Sample records for al2o3 gate dielectrics

  1. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    PubMed

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  2. Electrical Double Layer Capacitance in a Graphene-embedded Al2O3 Gate Dielectric

    PubMed Central

    Ki Min, Bok; Kim, Seong K.; Jun Kim, Seong; Ho Kim, Sung; Kang, Min-A; Park, Chong-Yun; Song, Wooseok; Myung, Sung; Lim, Jongsun; An, Ki-Seok

    2015-01-01

    Graphene heterostructures are of considerable interest as a new class of electronic devices with exceptional performance in a broad range of applications has been realized. Here, we propose a graphene-embedded Al2O3 gate dielectric with a relatively high dielectric constant of 15.5, which is about 2 times that of Al2O3, having a low leakage current with insertion of tri-layer graphene. In this system, the enhanced capacitance of the hybrid structure can be understood by the formation of a space charge layer at the graphene/Al2O3 interface. The electrical properties of the interface can be further explained by the electrical double layer (EDL) model dominated by the diffuse layer. PMID:26530817

  3. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  4. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  5. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    NASA Astrophysics Data System (ADS)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  6. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    PubMed

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  7. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2

    NASA Astrophysics Data System (ADS)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-01

    Top-gated and bottom-gated transistors with multilayer MoS2 channel fully encapsulated by stacked Al2O3/HfO2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on–off current ratio of 108, high field-effect mobility of 102 cm2 V‑1 s‑1, and low subthreshold swing of 93 mV dec–1. Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10‑3–10‑2 V MV–1 cm–1 after 6 MV cm‑1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS2 channel fully encapsulated by stacked Al2O3/HfO2 is a promising way to fabricate high-performance ML MoS2 field-effect transistors for practical electron device applications.

  8. Effect of incorporation of nitrogen atoms in Al2O3 gate dielectric of wide-bandgap-semiconductor MOSFET on gate leakage current and negative fixed charge

    NASA Astrophysics Data System (ADS)

    Kojima, Eiji; Chokawa, Kenta; Shirakawa, Hiroki; Araidai, Masaaki; Hosoi, Takuji; Watanabe, Heiji; Shiraishi, Kenji

    2018-06-01

    We performed first-principle calculations to investigate the effect of incorporation of N atoms into Al2O3 gate dielectrics. Our calculations show that the defect levels generated by VO in Al2O3 are the origin of the stress-induced gate leakage current and that VOVAl complexes in Al2O3 cause negative fixed charge. We revealed that the incorporation of N atoms into Al2O3 eliminates the VO defect levels, reducing the stress-induced gate leakage current. Moreover, this suppresses the formation of negatively charged VOVAl complexes. Therefore, AlON can reduce both stress-induced gate leakage current and negative fixed charge in wide-bandgap-semiconductor MOSFETs.

  9. Synthesis and electron storage characteristics of isolated silver nanodots on/embedded in Al 2O 3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Q.; Song, Z. T.; Liu, W. L.; Lin, C. L.; Wang, T. H.

    2004-05-01

    Monolayer-isolated silver (Ag) nanodots with the average diameter down to 7 nm are synthesized on Al 2O 3/Si substrate by vacuum electron-beam evaporation followed by annealing at 400 °C in N 2 ambient. Metal-insulator-silicon (MIS) structures with Ag nanodots embedded in Al 2O 3 gate dielectric are fabricated. Clear electron storage effect with the flatband voltage shift of 1.3 eV is observed through capacitance-conductance and conductance-voltage measurements. Our results demonstrate the feasibility of applying Ag nanodots for nanocrystal floating-gate memory devices.

  10. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  11. SiO2/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors

    NASA Astrophysics Data System (ADS)

    Watanabe, Kenta; Terashima, Daiki; Nozaki, Mikito; Yamada, Takahiro; Nakazawa, Satoshi; Ishida, Masahiro; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    Stacked gate dielectrics consisting of wide bandgap SiO2 insulators and thin aluminum oxynitride (AlON) interlayers were systematically investigated in order to improve the performance and reliability of AlGaN/GaN metal–oxide–semiconductor (MOS) devices. A significantly reduced gate leakage current compared with that in a single AlON layer was achieved with these structures, while maintaining the superior thermal stability and electrical properties of the oxynitride/AlGaN interface. Consequently, distinct advantages in terms of the reliability of the gate dielectrics, such as an improved immunity against electron injection and an increased dielectric breakdown field, were demonstrated for AlGaN/GaN MOS capacitors with optimized stacked structures having a 3.3-nm-thick AlON interlayer.

  12. Engineering epitaxial γ-Al2O3 gate dielectric films on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Tanner, Carey M.; Toney, Michael F.; Lu, Jun; Blom, Hans-Olof; Sawkar-Mathur, Monica; Tafesse, Melat A.; Chang, Jane P.

    2007-11-01

    The formation of epitaxial γ-Al2O3 thin films on 4H-SiC was found to be strongly dependent on the film thickness. An abrupt interface was observed in films up to 200 Å thick with an epitaxial relationship of γ-Al2O3(111)‖4H-SiC(0001) and γ-Al2O3(44¯0)‖4H-SiC(112¯0). The in-plane alignment between the film and the substrate is nearly complete for γ-Al2O3 films up to 115 Å thick, but quickly diminishes in thicker films. The films are found to be slightly strained laterally in tension; the strain increases with thickness and then decreases in films thicker than 200 Å, indicating strain relaxation which is accompanied by increased misorientation. By controlling the structure of ultrathin Al2O3 films, metal-oxide-semiconductor capacitors with Al2O3 gate dielectrics on 4H-SiC were found to have a very low leakage current density, suggesting suitability of Al2O3 for SiC device integration.

  13. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  14. MOCVD of HfO2 and ZrO2 high-k gate dielectrics for InAlN/AlN/GaN MOS-HEMTs

    NASA Astrophysics Data System (ADS)

    Abermann, S.; Pozzovivo, G.; Kuzmik, J.; Strasser, G.; Pogany, D.; Carlin, J.-F.; Grandjean, N.; Bertagnolli, E.

    2007-12-01

    We apply metal organic chemical vapour deposition (MOCVD) of HfO2 and of ZrO2 from β-diketonate precursors to grow high-k gate dielectrics for InAlN/AlN/GaN metal oxide semiconductor (MOS)-high electron mobility transistors (HEMTs). High-k oxides of about 12 nm-14 nm are deposited for the MOS-HEMTs incorporating Ni/Au gates, whereas as a reference, Ni-contact-based 'conventional' Schottky-barrier (SB)-HEMTs are processed. The processed dielectrics decrease the gate current leakage of the HEMTs by about four orders of magnitude if compared with the SB-gated HEMTs and show superior device characteristics in terms of IDS and breakdown.

  15. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  16. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  17. High-k dielectric Al2O3 nanowire and nanoplate field effect sensors for improved pH sensing

    PubMed Central

    Reddy, Bobby; Dorvel, Brian R.; Go, Jonghyun; Nair, Pradeep R.; Elibol, Oguz H.; Credo, Grace M.; Daniels, Jonathan S.; Chow, Edmond K. C.; Su, Xing; Varma, Madoo; Alam, Muhammad A.

    2011-01-01

    Over the last decade, field-effect transistors (FETs) with nanoscale dimensions have emerged as possible label-free biological and chemical sensors capable of highly sensitive detection of various entities and processes. While significant progress has been made towards improving their sensitivity, much is yet to be explored in the study of various critical parameters, such as the choice of a sensing dielectric, the choice of applied front and back gate biases, the design of the device dimensions, and many others. In this work, we present a process to fabricate nanowire and nanoplate FETs with Al2O3 gate dielectrics and we compare these devices with FETs with SiO2 gate dielectrics. The use of a high-k dielectric such as Al2O3 allows for the physical thickness of the gate dielectric to be thicker without losing sensitivity to charge, which then reduces leakage currents and results in devices that are highly robust in fluid. This optimized process results in devices stable for up to 8 h in fluidic environments. Using pH sensing as a benchmark, we show the importance of optimizing the device bias, particularly the back gate bias which modulates the effective channel thickness. We also demonstrate that devices with Al2O3 gate dielectrics exhibit superior sensitivity to pH when compared to devices with SiO2 gate dielectrics. Finally, we show that when the effective electrical silicon channel thickness is on the order of the Debye length, device response to pH is virtually independent of device width. These silicon FET sensors could become integral components of future silicon based Lab on Chip systems. PMID:21203849

  18. Improvement in top-gate MoS2 transistor performance due to high quality backside Al2O3 layer

    NASA Astrophysics Data System (ADS)

    Bolshakov, Pavel; Zhao, Peng; Azcatl, Angelica; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2017-07-01

    A high quality Al2O3 layer is developed to achieve high performance in top-gate MoS2 transistors. Compared with top-gate MoS2 field effect transistors on a SiO2 layer, the intrinsic mobility and subthreshold slope were greatly improved in high-k backside layer devices. A forming gas anneal is found to enhance device performance due to a reduction in the charge trap density of the backside dielectric. The major improvements in device performance are ascribed to the forming gas anneal and the high-k dielectric screening effect of the backside Al2O3 layer. Top-gate devices built upon these stacks exhibit a near-ideal subthreshold slope of ˜69 mV/dec and a high Y-Function extracted intrinsic carrier mobility (μo) of 145 cm2/V.s, indicating a positive influence on top-gate device performance even without any backside bias.

  19. Atomic layer deposition of sub-10 nm high-K gate dielectrics on top-gated MoS2 transistors without surface functionalization

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Shu; Cheng, Po-Hsien; Huang, Kuei-Wen; Lin, Hsin-Chih; Chen, Miin-Jang

    2018-06-01

    Sub-10 nm high-K gate dielectrics are of critical importance in two-dimensional transition metal dichalcogenides (TMDs) transistors. However, the chemical inertness of TMDs gives rise to a lot of pinholes in gate dielectrics, resulting in large gate leakage current. In this study, sub-10 nm, uniform and pinhole-free Al2O3 high-K gate dielectrics on MoS2 were achieved by atomic layer deposition without surface functionalization, in which an ultrathin Al2O3 layer prepared with a short purge time at a low temperature of 80 °C offers the nucleation cites for the deposition of the overlaying oxide at a higher temperature. Conductive atomic force microscopy reveals the significant suppression of gate leakage current in the sub-10 nm Al2O3 gate dielectrics with the low-temperature nucleation layer. Raman and X-ray photoelectron spectroscopies indicate that no oxidation occurred during the deposition of the low-temperature Al2O3 nucleation layer on MoS2. With the high-quality sub-10 nm Al2O3 high-K gate dielectrics, low hysteresis and subthreshold swing were demonstrated on the normally-off top-gated MoS2 transistors.

  20. Dielectric collapse at the LaAlO 3/SrTiO 3 (001) heterointerface under applied electric field

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Minohara, M.; Hikita, Y.; Bell, C.

    The fascinating interfacial transport properties at the LaAlO 3/SrTiO 3 heterointerface have led to intense investigations of this oxide system. Exploiting the large dielectric constant of SrTiO 3 at low temperatures, tunability in the interfacial conductivity over a wide range has been demonstrated using a back-gate device geometry. In order to understand the effect of back-gating, it is crucial to assess the interface band structure and its evolution with external bias. In this study, we report measurements of the gate-bias dependent interface band alignment, especially the confining potential profile, at the conducting LaAlO 3/SrTiO 3 (001) heterointerface using soft andmore » hard x-ray photoemission spectroscopy in conjunction with detailed model simulations. Depth-profiling analysis incorporating the electric field dependent dielectric constant in SrTiO 3 reveals that a significant potential drop on the SrTiO 3 side of the interface occurs within ~2 nm of the interface under negative gate-bias. These results demonstrate gate control of the collapse of the dielectric permittivity at the interface, and explain the dramatic loss of electron mobility with back-gate depletion.« less

  1. Dielectric collapse at the LaAlO 3/SrTiO 3 (001) heterointerface under applied electric field

    DOE PAGES

    Minohara, M.; Hikita, Y.; Bell, C.; ...

    2017-08-25

    The fascinating interfacial transport properties at the LaAlO 3/SrTiO 3 heterointerface have led to intense investigations of this oxide system. Exploiting the large dielectric constant of SrTiO 3 at low temperatures, tunability in the interfacial conductivity over a wide range has been demonstrated using a back-gate device geometry. In order to understand the effect of back-gating, it is crucial to assess the interface band structure and its evolution with external bias. In this study, we report measurements of the gate-bias dependent interface band alignment, especially the confining potential profile, at the conducting LaAlO 3/SrTiO 3 (001) heterointerface using soft andmore » hard x-ray photoemission spectroscopy in conjunction with detailed model simulations. Depth-profiling analysis incorporating the electric field dependent dielectric constant in SrTiO 3 reveals that a significant potential drop on the SrTiO 3 side of the interface occurs within ~2 nm of the interface under negative gate-bias. These results demonstrate gate control of the collapse of the dielectric permittivity at the interface, and explain the dramatic loss of electron mobility with back-gate depletion.« less

  2. Electrical characterization of amorphous Al2O3 dielectric films on n-type 4H-SiC

    NASA Astrophysics Data System (ADS)

    Khosa, R. Y.; Thorsteinsson, E. B.; Winters, M.; Rorsman, N.; Karhu, R.; Hassan, J.; Sveinbjörnsson, E. Ö.

    2018-02-01

    We report on the electrical properties of Al2O3 films grown on 4H-SiC by successive thermal oxidation of thin Al layers at low temperatures (200°C - 300°C). MOS capacitors made using these films contain lower density of interface traps, are more immune to electron injection and exhibit higher breakdown field (5MV/cm) than Al2O3 films grown by atomic layer deposition (ALD) or rapid thermal processing (RTP). Furthermore, the interface state density is significantly lower than in MOS capacitors with nitrided thermal silicon dioxide, grown in N2O, serving as the gate dielectric. Deposition of an additional SiO2 film on the top of the Al2O3 layer increases the breakdown voltage of the MOS capacitors while maintaining low density of interface traps. We examine the origin of negative charges frequently encountered in Al2O3 films grown on SiC and find that these charges consist of trapped electrons which can be released from the Al2O3 layer by depletion bias stress and ultraviolet light exposure. This electron trapping needs to be reduced if Al2O3 is to be used as a gate dielectric in SiC MOS technology.

  3. Reduced electron back-injection in Al2O3/AlOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Min Kim, Sung; Lee, Youngmin; Seo, David H.; Seo, Sunae; Wang, Kang L.

    2012-12-01

    A graphene charge-trap memory is devised using a single-layer graphene channel with an Al2O3/AlOx/Al2O3 oxide stack, where the ion-bombarded AlOx layer is intentionally added to create an abundance of charge-trap sites. The low dielectric constant of AlOx compared to Al2O3 reduces the potential drop in the control oxide Al2O3 and suppresses the electron back-injection from the gate to the charge-storage layer, allowing the memory window of the device to be further extended. This shows that the usage of a lower dielectric constant in the charge-storage layer compared to that of the control oxide layer improves the memory performance for graphene charge-trap memories.

  4. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  5. Dependence of electrical and time stress in organic field effect transistor with low temperature forming gas treated Al2O3 gate dielectrics.

    PubMed

    Lee, Sunwoo; Chung, Keum Jee; Park, In-Sung; Ahn, Jinho

    2009-12-01

    We report the characteristics of the organic field effect transistor (OFET) after electrical and time stress. Aluminum oxide (Al2O3) was used as a gate dielectric layer. The surface of the gate oxide layer was treated with hydrogen (H2) and nitrogen (N2) mixed gas to minimize the dangling bond at the interface layer of gate oxide. According to the two stress parameters of electrical and time stress, threshold voltage shift was observed. In particular, the mobility and subthreshold swing of OFET were significantly decreased due to hole carrier localization and degradation of the channel layer between gate oxide and pentacene by electrical stress. Electrical stress is a more critical factor in the degradation of mobility than time stress caused by H2O and O2 in the air.

  6. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics.

    PubMed

    Alshammari, Fwzah H; Nayak, Pradipta K; Wang, Zhenwei; Alshareef, Husam N

    2016-09-07

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm(2) V(-1) s(-1), but increased to 13.3 cm(2) V(-1) s(-1) using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance.

  7. Titanium-tungsten nanocrystals embedded in a SiO(2)/Al(2)O(3) gate dielectric stack for low-voltage operation in non-volatile memory.

    PubMed

    Yang, Shiqian; Wang, Qin; Zhang, Manhong; Long, Shibing; Liu, Jing; Liu, Ming

    2010-06-18

    Titanium-tungsten nanocrystals (NCs) were fabricated by a self-assembly rapid thermal annealing (RTA) process. Well isolated Ti(0.46)W(0.54) NCs were embedded in the gate dielectric stack of SiO(2)/Al(2)O(3). A metal-oxide-semiconductor (MOS) capacitor was fabricated to investigate its application in a non-volatile memory (NVM) device. It demonstrated a large memory window of 6.2 V in terms of flat-band voltage (V(FB)) shift under a dual-directional sweeping gate voltage of - 10 to 10 V. A 1.1 V V(FB) shift under a low dual-directional sweeping gate voltage of - 4 to 4 V was also observed. The retention characteristic of this MOS capacitor was demonstrated by a 0.5 V memory window after 10(4) s of elapsed time at room temperature. The endurance characteristic was demonstrated by a program/erase cycling test.

  8. Improved dc and power performance of AlGaN/GaN high electron mobility transistors with Sc 2O 3 gate dielectric or surface passivation

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R.; Kim, Jihyun; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R. C.; Moser, N.; Gillespie, J. K.; Jessen, G. H.; Jenkins, T. J.; Yannuzi, M. J.; Via, G. D.; Crespo, A.

    2003-10-01

    The dc and power characteristics of AlGaN/GaN MOS-HEMTs with Sc 2O 3 gate dielectrics were compared with that of conventional metal-gate HEMTs fabricated on the same material. The MOS-HEMT shows higher saturated drain-source current (˜0.75 A/mm) and significantly better power-added efficiency (PAE, 27%) relative to the HEMT (˜0.6 A/mm and ˜5%). The Sc 2O 3 also provides effective surface passivation, with higher drain current, lower leakage currents and higher three-terminal breakdown voltage in passivated devices relative to unpassivated devices. The PAE also increases (from ˜5% to 12%) on the surface passivated HEMTs, showing that Sc 2O 3 is an attractive option for reducing gate and surface leakage in AlGaN/GaN heterostructure transistors.

  9. High-performance enhancement-mode Al2O3/InAlGaN/GaN MOS high-electron mobility transistors with a self-aligned gate recessing technology

    NASA Astrophysics Data System (ADS)

    Zhang, Kai; Kong, Cen; Zhou, Jianjun; Kong, Yuechan; Chen, Tangsheng

    2017-02-01

    The paper reports high-performance enhancement-mode MOS high-electron mobility transistors (MOS-HEMTs) based on a quaternary InAlGaN barrier. Self-aligned gate technology is used for gate recessing, dielectric deposition, and gate electrode formation. An improved digital recessing process is developed, and an Al2O3 gate dielectric grown with O2 plasma is used. Compared to results with AlGaN barrier, the fabricated E-mode MOS-HEMT with InAlGaN barrier delivers a record output current density of 1.7 A/mm with a threshold voltage (V TH) of 1.5 V, and a small on-resistance (R on) of 2.0 Ω·mm. Excellent V TH hysteresis and greatly improved gate leakage characteristics are also demonstrated.

  10. Investigating compositional effects of atomic layer deposition ternary dielectric Ti-Al-O on metal-insulator-semiconductor heterojunction capacitor structure for gate insulation of InAlN/GaN and AlGaN/GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Colon, Albert; Stan, Liliana; Divan, Ralu

    Gate insulation/surface passivation in AlGaN/GaN and InAlN/GaN heterojunction field-effect transistors is a major concern for passivation of surface traps and reduction of gate leakage current. However, finding the most appropriate gate dielectric materials is challenging and often involves a compromise of the required properties such as dielectric constant, conduction/valence band-offsets, or thermal stability. Creating a ternary compound such as Ti-Al-O and tailoring its composition may result in a reasonably good gate material in terms of the said properties. To date, there is limited knowledge of the performance of ternary dielectric compounds on AlGaN/GaN and even less on InAlN/GaN. To approachmore » this problem, the authors fabricated metal-insulator-semiconductor heterojunction (MISH) capacitors with ternary dielectrics Ti-Al-O of various compositions, deposited by atomic layer deposition (ALD). The film deposition was achieved by alternating cycles of TiO2 and Al2O3 using different ratios of ALD cycles. TiO2 was also deposited as a reference sample. The electrical characterization of the MISH capacitors shows an overall better performance of ternary compounds compared to the pure TiO2. The gate leakage current density decreases with increasing Al content, being similar to 2-3 orders of magnitude lower for a TiO2:Al2O3 cycle ratio of 2:1. Although the dielectric constant has the highest value of 79 for TiO2 and decreases with increasing the number of Al2O3 cycles, it is maintaining a relatively high value compared to an Al2O3 film. Capacitance voltage sweeps were also measured in order to characterize the interface trap density. A decreasing trend in the interface trap density was found while increasing Al content in the film. In conclusion, our study reveals that the desired high-kappa properties of TiO2 can be adequately maintained while improving other insulator performance factors. The ternary compounds may be an excellent choice as a gate material

  11. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  12. AlN and Al oxy-nitride gate dielectrics for reliable gate stacks on Ge and InGaAs channels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Y.; Li, H.; Robertson, J.

    2016-05-28

    AlN and Al oxy-nitride dielectric layers are proposed instead of Al{sub 2}O{sub 3} as a component of the gate dielectric stacks on higher mobility channels in metal oxide field effect transistors to improve their positive bias stress instability reliability. It is calculated that the gap states of nitrogen vacancies in AlN lie further away in energy from the semiconductor band gap than those of oxygen vacancies in Al{sub 2}O{sub 3}, and thus AlN might be less susceptible to charge trapping and have a better reliability performance. The unfavourable defect energy level distribution in amorphous Al{sub 2}O{sub 3} is attributed tomore » its larger coordination disorder compared to the more symmetrically bonded AlN. Al oxy-nitride is also predicted to have less tendency for charge trapping.« less

  13. HIGH-k GATE DIELECTRIC: AMORPHOUS Ta/La2O3 FILMS GROWN ON Si AT LOW PRESSURE

    NASA Astrophysics Data System (ADS)

    Bahari, Ali; Khorshidi, Zahra

    2014-09-01

    In the present study, Ta/La2O3 films (La2O3 doped with Ta2O5) as a gate dielectric were prepared using a sol-gel method at low pressure. Ta/La2O3 film has some hopeful properties as a gate dielectric of logic device. The structure and morphology of Ta/La2O3 films were studied using X-ray diffraction (XRD), atomic force microscopy (AFM) and scanning electron microscopy (SEM). Electrical properties of films were performed using capacitance-voltage (C-V) and current density-voltage (J-V) measurements. The optical bandgap of samples was studied by UV-visible optical absorbance measurement. The optical bandgap, Eopt, is determined from the absorbance spectra. The obtained results show that Ta/La2O3 film as a good gate dielectric has amorphous structure, good thermal stability, high dielectric constant (≈ 25), low leakage current and wide bandgap (≈ 4.7 eV).

  14. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  15. Diamond field effect transistors with a high-dielectric constant Ta2O5 as gate material

    NASA Astrophysics Data System (ADS)

    Liu, J.-W.; Liao, M.-Y.; Imura, M.; Watanabe, E.; Oosato, H.; Koide, Y.

    2014-06-01

    A Ta2O5/Al2O3 bilayer gate oxide with a high-dielectric constant (high-k) has been successfully applied to a hydrogenated-diamond (H-diamond) metal-insulator-semiconductor field effect transistor (MISFET). The Ta2O5 layer is prepared by a sputtering-deposition (SD) technique on the Al2O3 buffer layer fabricated by an atomic layer deposition (ALD) technique. The ALD-Al2O3 plays an important role to eliminate plasma damage for the H-diamond surface during SD-Ta2O5 deposition. The dielectric constants of the SD-Ta2O5/ALD-Al2O3 bilayer and single SD-Ta2O5 are as large as 12.7 and 16.5, respectively. The k value of the single SD-Ta2O5 in this study is in good agreement with that of the SD-Ta2O5 on oxygen-terminated diamond. The capacitance-voltage characteristic suggests low interfacial trapped charge density for the SD-Ta2O5/ALD-Al2O3/H-diamond MIS diode. The MISFET with a gate length of 4 µm has a drain current maximum and an extrinsic transconductance of -97.7 mA mm-1 (normalized by gate width) and 31.0 ± 0.1 mS mm-1, respectively. The effective mobility in the H-diamond channel layer is found to be 70.1 ± 0.5 cm2 V-1 s-1.

  16. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer

    PubMed Central

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J.

    2016-01-01

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack. PMID:27279454

  17. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    PubMed

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  18. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    PubMed

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  19. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta2O5)x(Al2O3)1-x as potential gate dielectrics for GaN/AlxGa1-xN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Partida-Manzanera, T.; Roberts, J. W.; Bhat, T. N.; Zhang, Z.; Tan, H. R.; Dolmanan, S. B.; Sedghi, N.; Tripathy, S.; Potter, R. J.

    2016-01-01

    This paper describes a method to optimally combine wide band gap Al2O3 with high dielectric constant (high-κ) Ta2O5 for gate dielectric applications. (Ta2O5)x(Al2O3)1-x thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped AlxGa1-xN/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta2O5 molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al2O3 to 4.6 eV for pure Ta2O5. The dielectric constant calculated from capacitance-voltage measurements also increases linearly from 7.8 for Al2O3 up to 25.6 for Ta2O5. The effect of post-deposition annealing in N2 at 600 °C on the interfacial properties of undoped Al2O3 and Ta-doped (Ta2O5)0.12(Al2O3)0.88 films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al2O3/GaN-HEMT and (Ta2O5)0.16(Al2O3)0.84/GaN-HEMT samples increased by ˜1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al2O3 can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.

  20. Microwave dielectric properties of CaCu3Ti4O12-Al2O3 composite

    NASA Astrophysics Data System (ADS)

    Rahman, Mohd Fariz Ab; Abu, Mohamad Johari; Karim, Saniah Ab; Zaman, Rosyaini Afindi; Ain, Mohd Fadzil; Ahmad, Zainal Arifin; Mohamed, Julie Juliewatty

    2016-07-01

    (1-x)CaCu3Ti4O12 + (x)Al2O3 composite (0 ≤ x ≤0.25) was prepared via conventional solid-state reaction method. The fabrication of sample was started with synthesizing stoichiometric CCTO from CaCO3, CuO and TiO2 powders, then wet-mixed in deionized water for 24 h. The process was continued with calcined CCTO powder at 900 °C for 12 h before sintered at 1040 °C for 10 h. Next, the calcined CCTO powder with different amount of Al2O3 were mixed for 24 h, then palletized and sintered at 1040 °C for 10. X-ray diffraction analysis on the sintered samples showed that CCTO powder was in a single phase, meanwhile the trace of secondary peaks which belong to CaAl2O4 and Corundum (Al2O3) could be observed in the other samples Scanning electron microscopy analysis showed that the grain size of the sample is firstly increased with addition of Al2O3 (x = 0.01), then become smaller with the x > 0.01. Microwave dielectric properties showed that the addition of Al2O3 (x = 0.01) was remarkably reduced the dielectric loss while slightly increased the dielectric permittivity. However, further addition of Al2O3 was reduced both dielectric loss and permittivity at least for an order of magnitude.

  1. Temperature dependence of the dielectric response of anodized Al-Al2O3-metal capacitors

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2003-03-01

    The temperature dependence of capacitance, CM, and conductance, GM, of Al-Al2O3-metal capacitors with Cu, Ag, and Au electrodes has been measured between 100 and 340 K at seven frequencies between 10 kHz and 1 MHz. Al2O3 films between 15 and 64 nm thick were formed by anodizing evaporated Al films in borate-glycol or borate-H2O electrolyte. The interface capacitance at the Al2O3-metal interface, CI, which is in series with the capacitance CD due to the Al2O3 dielectric, is determined from plots of 1/CM versus insulator thickness. CI is not fixed for a given metal-insulator interface but depends on the vacuum system used to deposit the metal electrode. CI is nearly temperature independent. When CI is taken into account the dielectric constant of Al2O3 determined from capacitance measurements is ˜8.3 at 295 K. The dielectric constant does not depend on anodizing electrolyte, insulator thickness, metal electrode, deposition conditions for the metal electrode or measurement frequency. By contrast, GM of Al-Al2O3-metal capacitors depends on both the deposition conditions of the metal and on the metal. For Al-Al2O3-Cu capacitors, GM is larger for capacitors with large values of 1/CI that result when Cu is evaporated in an oil-pumped vacuum system. For Al-Al2O3-Ag capacitors, GM does not depend on the Ag deposition conditions.

  2. An Al2O3 Gating Substrate for the Greater Performance of Field Effect Transistors Based on Two-Dimensional Materials

    PubMed Central

    Zheng, Xiaoming; Wang, Guang; Tan, Yuan; Zhang, Xueao

    2017-01-01

    We fabricated 70 nm Al2O3 gated field effect transistors based on two-dimensional (2D) materials and characterized their optical and electrical properties. Studies show that the optical contrast of monolayer graphene on an Al2O3/Si substrate is superior to that on a traditional 300 nm SiO2/Si substrate (2.4 times). Significantly, the transconductance of monolayer graphene transistors on the Al2O3/Si substrate shows an approximately 10-fold increase, due to a smaller dielectric thickness and a higher dielectric constant. Furthermore, this substrate is also suitable for other 2D materials, such as WS2, and can enhance the transconductance remarkably by 61.3 times. These results demonstrate a new and ideal substrate for the fabrication of 2D materials-based electronic logic devices. PMID:28937619

  3. Remote interfacial dipole scattering and electron mobility degradation in Ge field-effect transistors with GeO x /Al2O3 gate dielectrics

    NASA Astrophysics Data System (ADS)

    Wang, Xiaolei; Xiang, Jinjuan; Wang, Shengkai; Wang, Wenwu; Zhao, Chao; Ye, Tianchun; Xiong, Yuhua; Zhang, Jing

    2016-06-01

    Remote Coulomb scattering (RCS) on electron mobility degradation is investigated experimentally in Ge-based metal-oxide-semiconductor field-effect-transistors (MOSFETs) with GeO x /Al2O3 gate stacks. It is found that the mobility increases with greater GeO x thickness (7.8-20.8 Å). The physical origin of this mobility dependence on GeO x thickness is explored. The following factors are excluded: Coulomb scattering due to interfacial traps at GeO x /Ge, phonon scattering, and surface roughness scattering. Therefore, the RCS from charges in gate stacks is studied. The charge distributions in GeO x /Al2O3 gate stacks are evaluated experimentally. The bulk charges in Al2O3 and GeO x are found to be negligible. The density of the interfacial charge is  +3.2  ×  1012 cm-2 at the GeO x /Ge interface and  -2.3  ×  1012 cm-2 at the Al2O3/GeO x interface. The electric dipole at the Al2O3/GeO x interface is found to be  +0.15 V, which corresponds to an areal charge density of 1.9  ×  1013 cm-2. The origin of this mobility dependence on GeO x thickness is attributed to the RCS due to the electric dipole at the Al2O3/GeO x interface. This remote dipole scattering is found to play a significant role in mobility degradation. The discovery of this new scattering mechanism indicates that the engineering of the Al2O3/GeO x interface is key for mobility enhancement and device performance improvement. These results are helpful for understanding and engineering Ge mobility enhancement.

  4. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  5. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors

    PubMed Central

    2013-01-01

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric. PMID:23294730

  6. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    PubMed

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  7. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  8. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  9. An “ohmic-first” self-terminating gate-recess technique for normally-off Al2O3/GaN MOSFET

    NASA Astrophysics Data System (ADS)

    Wang, Hongyue; Wang, Jinyan; Li, Mengjun; He, Yandong; Wang, Maojun; Yu, Min; Wu, Wengang; Zhou, Yang; Dai, Gang

    2018-04-01

    In this article, an ohmic-first AlGaN/GaN self-terminating gate-recess etching technique was demonstrated where ohmic contact formation is ahead of gate-recess-etching/gate-dielectric-deposition (GRE/GDD) process. The ohmic contact exhibits few degradations after the self-terminating gate-recess process. Besides, when comparing with that using the conventional fabrication process, the fabricated device using the ohmic-first fabrication process shows a better gate dielectric quality in terms of more than 3 orders lower forward gate leakage current, more than twice higher reverse breakdown voltage as well as better stability. Based on this proposed technique, the normally-off Al2O3/GaN MOSFET exhibits a threshold voltage (V th) of ˜1.8 V, a maximum drain current of ˜328 mA/mm, a forward gate leakage current of ˜10-6 A/mm and an off-state breakdown voltage of 218 V at room temperature. Meanwhile, high temperature characteristics of the device was also evaluated and small variations (˜7.6%) of the threshold voltage was confirmed up to 300 °C.

  10. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} as potential gate dielectrics for GaN/Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Partida-Manzanera, T., E-mail: sgtparti@liv.ac.uk; Institute of Materials Research and Engineering, A*STAR; Roberts, J. W.

    2016-01-14

    This paper describes a method to optimally combine wide band gap Al{sub 2}O{sub 3} with high dielectric constant (high-κ) Ta{sub 2}O{sub 5} for gate dielectric applications. (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta{sub 2}O{sub 5} molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al{sub 2}O{sub 3} to 4.6 eV for pure Ta{sub 2}O{sub 5}. The dielectric constant calculated from capacitance-voltage measurementsmore » also increases linearly from 7.8 for Al{sub 2}O{sub 3} up to 25.6 for Ta{sub 2}O{sub 5}. The effect of post-deposition annealing in N{sub 2} at 600 °C on the interfacial properties of undoped Al{sub 2}O{sub 3} and Ta-doped (Ta{sub 2}O{sub 5}){sub 0.12}(Al{sub 2}O{sub 3}){sub 0.88} films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al{sub 2}O{sub 3}/GaN-HEMT and (Ta{sub 2}O{sub 5}){sub 0.16}(Al{sub 2}O{sub 3}){sub 0.84}/GaN-HEMT samples increased by ∼1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al{sub 2}O{sub 3} can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.« less

  11. Abnormal positive bias stress instability of In–Ga–Zn–O thin-film transistors with low-temperature Al{sub 2}O{sub 3} gate dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, Yu-Hong; Yu, Ming-Jiue; Lin, Ruei-Ping

    2016-01-18

    Low-temperature atomic layer deposition (ALD) was employed to deposit Al{sub 2}O{sub 3} as a gate dielectric in amorphous In–Ga–Zn–O thin-film transistors fabricated at temperatures below 120 °C. The devices exhibited a negligible threshold voltage shift (ΔV{sub T}) during negative bias stress, but a more pronounced ΔV{sub T} under positive bias stress with a characteristic turnaround behavior from a positive ΔV{sub T} to a negative ΔV{sub T}. This abnormal positive bias instability is explained using a two-process model, including both electron trapping and hydrogen release and migration. Electron trapping induces the initial positive ΔV{sub T}, which can be fitted using the stretchedmore » exponential function. The breakage of residual AlO-H bonds in low-temperature ALD Al{sub 2}O{sub 3} is triggered by the energetic channel electrons. The hydrogen atoms then diffuse toward the In–Ga–Zn–O channel and induce the negative ΔV{sub T} through electron doping with power-law time dependence. A rapid partial recovery of the negative ΔV{sub T} after stress is also observed during relaxation.« less

  12. Processing of Al2O3/SrTiO3/PDMS Composites With Low Dielectric Loss

    NASA Astrophysics Data System (ADS)

    Yao, J. L.; Guo, M. J.; Qi, Y. B.; Zhu, H. X.; Yi, R. Y.; Gao, L.

    2018-05-01

    Polydimethylsiloxane (PDMS) is widely used in the electrical and electronic industries due to its excellent electrical insulation and biocompatible characteristics. However, the dielectric constant of pure PDMS is very low which restricts its applications. Herein, we report a series of PDMS/Al2O3/strontium titanate (ST) composites with high dielectric constant and low loss prepared by a simple experimental method. The composites exhibit high dielectric constant (relative dielectric constant is 4) after the composites are coated with insulated Al2O3 particles, and the dielectric constant gets further improved for composites with ST particles (dielectric constant reaches 15.5); a lower dielectric loss (tanδ= 0.05) is also found at the same time which makes co-filler composites suitable for electrical insulation products, and makes the experimental method more interesting in modern teaching.

  13. Giant dielectric response and low dielectric loss in Al{sub 2}O{sub 3} grafted CaCu{sub 3}Ti{sub 4}O{sub 12} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rajabtabar-Darvishi, A.; Center for Surface and Nanoanalytics; Bayati, R., E-mail: reza.bayati@intel.com, E-mail: mbayati@ncsu.edu, E-mail: wdfei@hit.edu.cn

    2015-03-07

    This study sheds light on the effect of alumina on dielectric constant and dielectric loss of novel CaCu{sub 3}Ti{sub 4}O{sub 12} composite ceramics. Alumina, at several concentrations, was deposited on the surface of CaCu{sub 3}Ti{sub 4}O{sub 12} particles via sol-gel technique. The dielectric constant significantly increased for all frequencies and the dielectric loss substantially decreased for low and intermediate frequencies. These observations were attributed to the change in characteristics of grains and grain boundaries. It was found that the insulating properties of the grain boundaries are improved following the addition of Al{sub 2}O{sub 3}. The relative density of CaCu{sub 3}Ti{submore » 4}O{sub 12}/Al{sub 2}O{sub 3} composite ceramics decreased compared to the pure CaCu{sub 3}Ti{sub 4}O{sub 12} and the grain size was greatly changed with the alumina content affecting the dielectric properties. With the addition of alumina into CaCu{sub 3}Ti{sub 4}O{sub 12}, tighter interfaces formed. The 6%- and 10%-alumina ceramics showed the minimum dielectric loss and the maximum dielectric constant, respectively. Both the dielectric constant and loss tangent decreased in the 20%-alumina ceramic due to the formation of CuO secondary phase. It was revealed that Al serves as an electron acceptor decreasing the electron concentration, if Al{sup 3+} ions substitute for Ti{sup 4+} ions, and as an electron donor increasing the electron concentration, if Al{sup 3+} ions substitute for Ca{sup 2+} ions. We established a processing-microstructure-properties paradigm which opens new avenues for novel applications of CaCu{sub 3}Ti{sub 4}O{sub 12}/Al{sub 2}O{sub 3} composite ceramics.« less

  14. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    NASA Astrophysics Data System (ADS)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  15. Al{sub 2}O{sub 3}/GeO{sub x} gate stack on germanium substrate fabricated by in situ cycling ozone oxidation method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Xu; Zeng, Zhen-Hua; Microwave Device and IC Department, Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029

    2014-09-01

    Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stack fabricated by an in situ cycling ozone oxidation (COO) method in the atomic layer deposition (ALD) system at low temperature is systematically investigated. Excellent electrical characteristics such as minimum interface trap density as low as 1.9 × 10{sup 11 }cm{sup −2 }eV{sup −1} have been obtained by COO treatment. The impact of COO treatment against the band alignment of Al{sub 2}O{sub 3} with respect to Ge is studied by x-ray photoelectron spectroscopy (XPS) and spectroscopic ellipsometry (SE). Based on both XPS and SE studies, the origin of gate leakage in the ALD-Al{sub 2}O{sub 3} is attributed to themore » sub-gap states, which may be correlated to the OH-related groups in Al{sub 2}O{sub 3} network. It is demonstrated that the COO method is effective in repairing the OH-related defects in high-k dielectrics as well as forming superior high-k/Ge interface for high performance Ge MOS devices.« less

  16. Microwave dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12}-Al{sub 2}O{sub 3} composite

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rahman, Mohd Fariz Ab; Abu, Mohamad Johari; Zaman, Rosyaini Afindi

    2016-07-19

    (1-x)CaCu{sub 3}Ti{sub 4}O{sub 12} + (x)Al{sub 2}O{sub 3} composite (0 ≤ x ≤0.25) was prepared via conventional solid-state reaction method. The fabrication of sample was started with synthesizing stoichiometric CCTO from CaCO{sub 3}, CuO and TiO{sub 2} powders, then wet-mixed in deionized water for 24 h. The process was continued with calcined CCTO powder at 900 °C for 12 h before sintered at 1040 °C for 10 h. Next, the calcined CCTO powder with different amount of Al{sub 2}O{sub 3} were mixed for 24 h, then palletized and sintered at 1040 °C for 10. X-ray diffraction analysis on the sinteredmore » samples showed that CCTO powder was in a single phase, meanwhile the trace of secondary peaks which belong to CaAl{sub 2}O{sub 4} and Corundum (Al{sub 2}O{sub 3}) could be observed in the other samples Scanning electron microscopy analysis showed that the grain size of the sample is firstly increased with addition of Al{sub 2}O{sub 3} (x = 0.01), then become smaller with the x > 0.01. Microwave dielectric properties showed that the addition of Al{sub 2}O{sub 3} (x = 0.01) was remarkably reduced the dielectric loss while slightly increased the dielectric permittivity. However, further addition of Al{sub 2}O{sub 3} was reduced both dielectric loss and permittivity at least for an order of magnitude.« less

  17. Impact of gate work-function on memory characteristics in Al2O3/HfOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Kim, Sungmin; Seo, David H.; Seo, Sunae; Won Kang, Tae; Wang, Kang L.

    2012-01-01

    Graphene-based non-volatile memory devices composed of a single-layer graphene channel and an Al2O3/HfOx/Al2O3 charge-storage layer exhibit memory functionality. The impact of the gate material's work-function (Φ) on the memory characteristics is investigated using different types of metals [Ti (ΦTi = 4.3 eV) and Ni (ΦNi = 5.2 eV)]. The ambipolar carrier conduction of graphene results in an enlargement of memory window (ΔVM), which is ˜4.5 V for the Ti-gate device and ˜9.1 V for the Ni-gate device. The increase in ΔVM is attributed to the change in the flat-band condition and the suppression of electron back-injection within the gate stack.

  18. AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors using Sc2O3 as the gate oxide and surface passivation

    NASA Astrophysics Data System (ADS)

    Mehandru, R.; Luo, B.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2003-04-01

    We demonstrated that Sc2O3 thin films deposited by plasma-assisted molecular-beam epitaxy can be used simultaneously as a gate oxide and as a surface passivation layer on AlGaN/GaN high electron mobility transistors (HEMTs). The maximum drain source current, IDS, reaches a value of over 0.8 A/mm and is ˜40% higher on Sc2O3/AlGaN/GaN transistors relative to conventional HEMTs fabricated on the same wafer. The metal-oxide-semiconductor HEMTs (MOS-HEMTs) threshold voltage is in good agreement with the theoretical value, indicating that Sc2O3 retains a low surface state density on the AlGaN/GaN structures and effectively eliminates the collapse in drain current seen in unpassivated devices. The MOS-HEMTs can be modulated to +6 V of gate voltage. In particular, Sc2O3 is a very promising candidate as a gate dielectric and surface passivant because it is more stable on GaN than is MgO.

  19. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  20. High-Performance Flexible Single-Crystalline Silicon Nanomembrane Thin-Film Transistors with High- k Nb2O5-Bi2O3-MgO Ceramics as Gate Dielectric on a Plastic Substrate.

    PubMed

    Qin, Guoxuan; Zhang, Yibo; Lan, Kuibo; Li, Lingxia; Ma, Jianguo; Yu, Shihui

    2018-04-18

    A novel method of fabricating flexible thin-film transistor based on single-crystalline Si nanomembrane (SiNM) with high- k Nb 2 O 5 -Bi 2 O 3 -MgO (BMN) ceramic gate dielectric on a plastic substrate is demonstrated in this paper. SiNMs are successfully transferred to a flexible polyethylene terephthalate substrate, which has been plated with indium-tin-oxide (ITO) conductive layer and high- k BMN ceramic gate dielectric layer by room-temperature magnetron sputtering. The BMN ceramic gate dielectric layer demonstrates as high as ∼109 dielectric constant, with only dozens of pA current leakage. The Si-BMN-ITO heterostructure has only ∼nA leakage current at the applied voltage of 3 V. The transistor is shown to work at a high current on/off ratio of above 10 4 , and the threshold voltage is ∼1.3 V, with over 200 cm 2 /(V s) effective channel electron mobility. Bending tests have been conducted and show that the flexible transistors have good tolerance on mechanical bending strains. These characteristics indicate that the flexible single-crystalline SiNM transistors with BMN ceramics as gate dielectric have great potential for applications in high-performance integrated flexible circuit.

  1. Pentacene-based low voltage organic field-effect transistors with anodized Ta2O5 gate dielectric

    NASA Astrophysics Data System (ADS)

    Jeong, Yeon Taek; Dodabalapur, Ananth

    2007-11-01

    Pentacene-based low voltage organic field-effect transistors were realized using an anodized Ta2O5 gate dielectric. The Ta2O5 gate dielectric layer with a surface roughness of 1.3Å was obtained by anodizing an e-beam evaporated Ta film. The device exhibited values of saturation mobility, threshold voltage, and Ion/Ioff ratio of 0.45cm2/Vs, 0.56V, and 7.5×101, respectively. The gate leakage current was reduced by more than 70% with a hexamethyldisilazane (HMDS) treatment on the Ta2O5 layer. The HMDS treatment also resulted in enhanced mobility values and a larger pentacene grain size.

  2. FAST TRACK COMMUNICATION High mobility and low operating voltage ZnGaO and ZnGaLiO transistors with spin-coated Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Xia, D. X.; Xu, J. B.

    2010-11-01

    Spin-coated alumina serving as a gate dielectric in thin film transistors shows interesting dielectric properties for low-voltage applications, despite a moderate capacitance. With Ga singly doped and Ga, Li co-doped ZnO as the active channel layers, typical mobilities of 4.7 cm2 V-1 s-1 and 2.1 cm2 V-1 s-1 are achieved, respectively. At a given gate bias, the operation current is much smaller than the previously reported values in low-voltage thin film transistors, primarily relying on the giant-capacitive dielectric. The reported devices combine advantages of high mobility, low power consumption, low cost and ease of fabrication. In addition to the transparent nature of both the dielectric and semiconducting active channels, the superior electrical properties of the devices may provide a new avenue for future transparent electronics.

  3. Gate bias stress stability under light irradiation for indium zinc oxide thin-film transistors based on anodic aluminium oxide gate dielectrics

    NASA Astrophysics Data System (ADS)

    Li, Min; Lan, Linfeng; Xu, Miao; Wang, Lei; Xu, Hua; Luo, Dongxiang; Zou, Jianhua; Tao, Hong; Yao, Rihui; Peng, Junbiao

    2011-11-01

    Thin-film transistors (TFTs) using indium zinc oxide as the active layer and anodic aluminium oxide (Al2O3) as the gate dielectric layer were fabricated. The device showed an electron mobility of as high as 10.1 cm2 V-1 s-1, an on/off current ratio of as high as ~108, and a turn-on voltage (Von) of only -0.5 V. Furthermore, this kind of TFTs was very stable under positive bias illumination stress. However, when the device experienced negative bias illumination stress, the threshold voltage shifted to the positive direction. It was found that the instability under negative bias illumination stress (NBIS) was due to the electrons from the Al gate trapping into the Al2O3 dielectric when exposed to the illuminated light. Using a stacked structure of Al2O3/SiO2 dielectrics, the device became more stable under NBIS.

  4. Polycrystalline diamond RF MOSFET with MoO3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ren, Zeyang; Zhang, Jinfeng; Zhang, Jincheng; Zhang, Chunfu; Chen, Dazheng; Quan, Rudai; Yang, Jiayin; Lin, Zhiyu; Hao, Yue

    2017-12-01

    We report the radio frequency characteristics of the diamond metal-oxide-semiconductor field effect transistor with MoO3 gate dielectric for the first time. The device with 2-μm gate length was fabricated on high quality polycrystalline diamond. The maximum drain current of 150 mA/mm at VGS = -5 V and the maximum transconductance of 27 mS/mm were achieved. The extrinsic cutoff frequency of 1.2 GHz and the maximum oscillation frequency of 1.9 GHz have been measured. The moderate frequency characteristics are attributed to the moderate transconductance limited by the series resistance along the channel. We expect that the frequency characteristics of the device can be improved by increasing the magnitude of gm, or fundamentally decreasing the gate-controlled channel resistance and series resistance along the channel, and down-scaling the gate length.

  5. Schottky barrier SOI-MOSFETs with high-k La2O3/ZrO2 gate dielectrics

    PubMed Central

    Henkel, C.; Abermann, S.; Bethge, O.; Pozzovivo, G.; Klang, P.; Stöger-Pollach, M.; Bertagnolli, E.

    2011-01-01

    Schottky barrier SOI-MOSFETs incorporating a La2O3/ZrO2 high-k dielectric stack deposited by atomic layer deposition are investigated. As the La precursor tris(N,N′-diisopropylformamidinato) lanthanum is used. As a mid-gap metal gate electrode TiN capped with W is applied. Processing parameters are optimized to issue a minimal overall thermal budget and an improved device performance. As a result, the overall thermal load was kept as low as 350, 400 or 500 °C. Excellent drive current properties, low interface trap densities of 1.9 × 1011 eV−1 cm−2, a low subthreshold slope of 70-80 mV/decade, and an ION/IOFF current ratio greater than 2 × 106 are obtained. PMID:21461054

  6. Dielectric characterization of TiO2, Al2O3 - Nanoparticle loaded epoxy resin

    NASA Astrophysics Data System (ADS)

    Thakor, S. G.; Rana, V. A.; Vankar, H. P.

    2018-05-01

    In present work, the dielectric properties of two different nanoparticle loaded Bisphenol A-epoxy resin were carried out at room temperature. Sample of the neat epoxy resin and nanoparticle loaded epoxy resin in the form of disc were prepared of different weight fraction (i.e 0.5 wt%,0.7 wt%,1 wt%,1.5 wt%,1.7 wt%,2 wt%). TiO2 and Al2O3 nanoparticles were taken as filler in the epoxy resin. Complex permittivity of the prepared samples was measured using Agilent E4980A precision LCR meter in frequency range of 103 Hz to 106 Hz. The dependency of dielectric behavior on type and concentration of nanoparticle in considered frequency range are discussed in detail.

  7. Microstructure, Thermal, Mechanical, and Dielectric Properties of BaO-CaO-Al2O3-B2O3-SiO2 Glass-Ceramics

    NASA Astrophysics Data System (ADS)

    Li, Bo; Bian, Haibo; Fang, Yi

    2017-12-01

    BaO-CaO-Al2O3-B2O3-SiO2 (BCABS) glass-ceramics were prepared via the method of controlled crystallization. The effect of CaO modification on the microstructure, phase evolution, as well as thermal, mechanical, and dielectric properties was investigated. XRD identified that quartz is the major crystal phase; cristobalite and bazirite are the minor crystal phases. Moreover, the increase of CaO could inhibit the phase transformation from quartz to cristobalite, but excessive CaO would increase the porosity of the ceramics. Additionally, with increasing the amount of CaO, the thermal expansion curve tends to be linear, and subsequently the CTE value decreases gradually, which is attributed to the decrease of cristobalite with high CTE and the formation of CaSiO3 with low CTE. The results indicated that a moderate amount of CaO helps attaining excellent mechanical, thermal, and dielectric properties, that is, the specimen with 9 wt% CaO sintered at 950 °C has a high CTE value (11.5 × 10-6/°C), a high flexural strength (165.7 MPa), and good dielectric properties (ɛr = 6.2, tanδ = 1.8 × 10-4, ρ = 4.6 × 1011 Ω•cm).

  8. Comparison of structural and electrical properties of Lu{sub 2}O{sub 3} and Lu{sub 2}TiO{sub 5} gate dielectrics for α-InGaZnO thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Tung-Ming, E-mail: tmpan@mail.cgu.edu.tw; Chen, Ching-Hung; Her, Jim-Long

    We compared the structural properties and electrical characteristics of high-κ Lu{sub 2}O{sub 3} and Lu{sub 2}TiO{sub 5} gate dielectrics for amorphous indium-gallium-zinc oxide (α-InGaZnO) thin-film transistor (TFT) applications. The Lu{sub 2}O{sub 3} film has a strong Lu{sub 2}O{sub 3} (400) peak in the X-ray diffraction pattern, while the Lu{sub 2}TiO{sub 5} sample shows a relatively weak Lu{sub 2}TiO{sub 5} (102) peak. Atomic force microscopy reveals that the Lu{sub 2}O{sub 3} dielectric exhibits a rougher surface (about three times) than Lu{sub 2}TiO{sub 5} one. In X-ray photoelectron spectroscopy analysis, we found that the intensity of the O 1s peak corresponding tomore » Lu(OH){sub x} for Lu{sub 2}O{sub 3} film was higher than that of Lu{sub 2}TiO{sub 5} film. Furthermore, compared with the Lu{sub 2}O{sub 3} dielectric, the α-InGaZnO TFT using the Lu{sub 2}TiO{sub 5} gate dielectric exhibited a lower threshold voltage (from 0.43 to 0.25 V), a higher I{sub on}/I{sub off} current ratio (from 3.5 × 10{sup 6} to 1.3 × 10{sup 8}), a smaller subthreshold swing (from 276 to 130 mV/decade), and a larger field-effect mobility (from 14.5 to 24.4 cm{sup 2}/V s). These results are probably due to the incorporation of TiO{sub x} into the Lu{sub 2}O{sub 3} film to form a Lu{sub 2}TiO{sub 5} structure featuring a smooth surface, a low moisture absorption, a high dielectric constant, and a low interface state density at the oxide/channel interface. Furthermore, the stability of Lu{sub 2}O{sub 3} and Lu{sub 2}TiO{sub 5} α-InGaZnO TFTs was investigated under positive gate-bias stress (PGBS) and negative gate-bias stress (NGBS). The threshold voltage of the TFT performed under NGBS is more degradation than that under PGBS. This behavior may be attributed to the electron charge trapping at the dielectric–channel interface under PGBS, whereas the oxygen vacancies occurred in the InGaZnO under NGBS.« less

  9. Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3.

    PubMed

    Zhang, Guozhen; Wu, Hao; Chen, Chao; Wang, Ti; Yue, Jin; Liu, Chang

    2015-01-01

    Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3 dielectrics have been fabricated on indium tin oxide-coated polyethylene naphthalate substrates by atomic layer deposition. A capacitance density of 7.8 fF/μm(2) at 10 KHz was obtained, corresponding to a dielectric constant of 26.3. Moreover, a low leakage current density of 3.9 × 10(-8) A/cm(2) at 1 V has been realized. Bending test shows that the capacitors have better performances in concave conditions than in convex conditions. The capacitors exhibit an average optical transmittance of about 70% in visible range and thus open the door for applications in transparent and flexible integrated circuits.

  10. Temperature- and frequency-dependent dielectric behaviors of insulator/semiconductor (Al2O3/ZnO) nanolaminates with various ZnO thicknesses

    NASA Astrophysics Data System (ADS)

    Li, Jin; Bi, Xiaofang

    2016-07-01

    Al2O3/ZnO nanolaminates (NLs) with various ZnO sublayer thicknesses were prepared by atomic layer deposition. The Al2O3 sublayers are characterized as amorphous and the ZnO sublayers have an oriented polycrystalline structure. As the ZnO thickness decreases to a certain value, each NL exhibits a critical temperature at which its dielectric constant starts to rise quickly. Moreover, this temperature increases as the ZnO thickness is decreased further. On the other hand, the permittivity demonstrates a large value of several hundred at a frequency  ⩽1000 Hz, followed by a steplike decrease at a higher frequency. The change in the cut-off frequency with ZnO thickness is characterized by a hook function. It is revealed that the Coulomb confinement effect becomes predominant in the dielectric behaviors of the NLs with very thin ZnO. As the ZnO thickness decreases to about the same as or even smaller than the Bohr radius of ZnO, a great change in the carrier concentration and effective mass of ZnO is induced, which is shown to be responsible for the peculiar dielectric behaviors of Al2O3/ZnO with very thin ZnO. These findings provide insight into the prevailing mechanisms to optimize the dielectric properties of semiconductor/insulator laminates with nanoscale sublayer thickness.

  11. Giant dielectric constant dominated by Maxwell-Wagner relaxation in Al{sub 2}O{sub 3}/TiO{sub 2} nanolaminates synthesized by atomic layer deposition.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, W.; Auciello, O.; Premnath, R. N.

    2010-01-01

    Nanolaminates consisting of Al{sub 2}O{sub 3} and TiO{sub 2} oxide sublayers were synthesized by using atomic layer deposition to produce individual layers with atomic scale thickness control. The sublayer thicknesses were kept constant for each multilayer structure, and were changed from 50 to 0.2 nm for a series of different samples. Giant dielectric constant ({approx}1000) was observed when the sublayer thickness is less than 0.5 nm, which is significantly larger than that of Al{sub 2}O{sub 3} and TiO{sub 2} dielectrics. Detailed investigation revealed that the observed giant dielectric constant is originated from the Maxwell-Wagner type dielectric relaxation.

  12. Highly stable organic field-effect transistors with engineered gate dielectrics (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kippelen, Bernard; Wang, Cheng-Yin; Fuentes-Hernandez, Canek; Yun, Minseong; Singh, Ankit K.; Dindar, Amir; Choi, Sangmoo; Graham, Samuel

    2016-11-01

    Organic field-effect transistors (OFETs) have the potential to lead to low-cost flexible displays, wearable electronics, and sensors. While recent efforts have focused greatly on improving the maximum charge mobility that can be achieved in such devices, studies about the stability and reliability of such high performance devices are relatively scarce. In this talk, we will discuss the results of recent studies aimed at improving the stability of OFETs under operation and their shelf lifetime. In particular, we will focus on device architectures where the gate dielectric is engineered to act simultaneously as an environmental barrier layer. In the past, our group had demonstrated solution-processed top-gate OFETs using TIPS-pentacene and PTAA blends as a semiconductor layer with a bilayer gate dielectric layer of CYTOP/Al2O3, where the oxide layer was fabricated by atomic layer deposition, ALD. Such devices displayed high operational stability with little degradation after 20,000 on/off scan cycles or continuous operation (24 h), and high environmental stability when kept in air for more than 2 years, with unchanged carrier mobility. Using this stable device geometry, simple circuits and sensors operating in aqueous conditions were demonstrated. However, the Al2O3 layer was found to degrade due to corrosion under prolonged exposure in aqueous solutions. In this talk, we will report on the use of a nanolaminate (NL) composed of Al2O3 and HfO2 by ALD to replace the Al2O3 single layer in the bilayer gate dielectric use in top-gate OFETs. Such OFETs were found to operate under harsh condition such as immersion in water at 95 °C. This work was funded by the Department of Energy (DOE) through the Bay Area Photovoltaics Consortium (BAPVC) under Award Number DE-EE0004946.

  13. Stability and band offsets between c-plane ZnO semiconductor and LaAlO3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Jianli; Chen, Xinfeng; Wu, Shuyin; Tang, Gang; Zhang, Junting; Stampfl, C.

    2018-03-01

    Wurtzite-perovskite heterostructures composed of a high dielectric constant oxide and a wide bandgap semiconductor envision promising applications in field-effect transistors. In the present paper, the structural and electronic properties of LaAlO3/ZnO heterojunctions are investigated by first-principles calculations. We study the initial adsorption of La, Al, and oxygen atoms on ZnO (0001) and (000 1 ¯ ) surfaces and find that La atoms may occupy interstitial sites during the growth of stoichiometric ZnO (0001). The band gap of the stoichiometric ZnO (0001) surface is smaller than that of the stoichiometric ZnO (000 1 ¯ ) surface. The surface formation energy indicates that La or Al atoms may substitute Zn atoms at the nonstoichiometric ZnO (0001) surface. The atomic charges, electronic density of states, and band offsets are analyzed for the optimized LaAlO3/ZnO heterojunctions. There is a band gap for the LaAlO3/ZnO (000 1 ¯ ) heterostructures, and the largest variation in charge occurs at the surface or interface. Our results suggest that the Al-terminated LaAlO3/ZnO (000 1 ¯ ) interfaces are suitable for the design of metal oxide semiconductor devices because the valence and conduction band offsets are both larger than 1 eV and the interface does not produce any in-gap states.

  14. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  15. Effects of HfO2 encapsulation on electrical performances of few-layered MoS2 transistor with ALD HfO2 as back-gate dielectric.

    PubMed

    Xu, Jingping; Wen, Ming; Zhao, Xinyuan; Liu, Lu; Song, Xingjuan; Lai, Pui-To; Tang, Wing-Man

    2018-08-24

    The carrier mobility of MoS 2 transistors can be greatly improved by the screening role of high-k gate dielectric. In this work, atomic-layer deposited (ALD) HfO 2 annealed in NH 3 is used to replace SiO 2 as the gate dielectric to fabricate back-gated few-layered MoS 2 transistors, and good electrical properties are achieved with field-effect mobility (μ) of 19.1 cm 2 V -1 s -1 , subthreshold swing (SS) of 123.6 mV dec -1 and on/off ratio of 3.76 × 10 5 . Furthermore, enhanced device performance is obtained when the surface of the MoS 2 channel is coated by an ALD HfO 2 layer with different thicknesses (10, 15 and 20 nm), where the transistor with a 15 nm HfO 2 encapsulation layer exhibits the best overall electrical properties: μ = 42.1 cm 2 V -1 s -1 , SS = 87.9 mV dec -1 and on/off ratio of 2.72 × 10 6 . These improvements should be associated with the enhanced screening effect on charged-impurity scattering and protection from absorption of environmental gas molecules by the high-k encapsulation. The capacitance equivalent thickness of the back-gate dielectric (HfO 2 ) is only 6.58 nm, which is conducive to scaling of the MoS 2 transistors.

  16. Effects of HfO2/Al2O3 gate stacks on electrical performance of planar In x Ga1- x As tunneling field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ahn, Dae-Hwan; Yoon, Sang-Hee; Takenaka, Mitsuru; Takagi, Shinichi

    2017-08-01

    We study the impact of gate stacks on the electrical characteristics of Zn-diffused source In x Ga1- x As tunneling field-effect transistors (TFETs) with Al2O3 or HfO2/Al2O3 gate insulators. Ta and W gate electrodes are compared in terms of the interface trap density (D it) of InGaAs MOS interfaces. It is found that D it is lower at the W/HfO2/Al2O3 InGaAs MOS interface than at the Ta/HfO2/Al2O3 interface. The In0.53Ga0.47As TFET with a W/HfO2 (2.7 nm)/Al2O3 (0.3 nm) gate stack of 1.4-nm-thick capacitance equivalent thickness (CET) has a steep minimum subthreshold swing (SS) of 57 mV/dec, which is attributed to the thin CET and low D it. Also, the In0.53Ga0.47As (2.6 nm)/In0.67Ga0.33As (3.2 nm)/In0.53Ga0.47As (96.5 nm) quantum-well (QW) TFET supplemented with this 1.4-nm-thick CET gate stack exhibits a steeper minimum SS of 54 mV/dec and a higher on-current (I on) than those of the In0.53Ga0.47As TFET.

  17. Comparative Study of HfTa-based gate-dielectric Ge metal-oxide-semiconductor capacitors with and without AlON interlayer

    NASA Astrophysics Data System (ADS)

    Xu, J. P.; Zhang, X. F.; Li, C. X.; Chan, C. L.; Lai, P. T.

    2010-04-01

    The electrical properties and high-field reliability of HfTa-based gate-dielectric metal-oxide-semiconductor (MOS) devices with and without AlON interlayer on Ge substrate are investigated. Experimental results show that the MOS capacitor with HfTaON/AlON stack gate dielectric exhibits low interface-state/oxide-charge densities, low gate leakage, small capacitance equivalent thickness (˜1.1 nm), and high dielectric constant (˜20). All of these should be attributed to the blocking role of the ultrathin AlON interlayer against interdiffusions of Ge, Hf, and Ta and penetration of O into the Ge substrate, with the latter effectively suppressing the unintentional formation of unstable poor-quality low- k GeO x and giving a superior AlON/Ge interface. Moreover, incorporation of N into both the interlayer and high- k dielectric further improves the device reliability under high-field stress through the formation of strong N-related bonds.

  18. Small signal measurement of Sc 2O 3 AlGaN/GaN moshemts

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R.; Kang, B. S.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J. K.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2004-02-01

    The rf performance of 1 × 200 μm 2 AlGaN/GaN MOS-HEMTs with Sc 2O 3 used as both the gate dielectric and as a surface passivation layer is reported. A maximum fT of ˜11 GHz and fMAX of 19 GHz were obtained. The equivalent device parameters were extracted by fitting this data to obtain the transconductance, drain resistance, drain-source resistance, transfer time and gate-drain and gate-source capacitance as a function of gate voltage. The transfer time is in the order 0.5-1 ps and decreases with increasing gate voltage.

  19. Improvement in dielectric and mechanical performance of CaCu3.1Ti4O12.1 by addition of Al2O3 nanoparticles

    PubMed Central

    2012-01-01

    The properties of CaCu3.1Ti4O12.1 [CC3.1TO] ceramics with the addition of Al2O3 nanoparticles, prepared via a solid-state reaction technique, were investigated. The nanoparticle additive was found to inhibit grain growth with the average grain size decreasing from approximately 7.5 μm for CC3.1TO to approximately 2.0 μm for the unmodified samples, while the Knoop hardness value was found to improve with a maximum value of 9.8 GPa for the 1 vol.% Al2O3 sample. A very high dielectric constant > 60,000 with a low loss tangent (approximately 0.09) was observed for the 0.5 vol.% Al2O3 sample at 1 kHz and at room temperature. These data suggest that nanocomposites have a great potential for dielectric applications. PMID:22221316

  20. Thickness engineering of atomic layer deposited Al2O3 films to suppress interfacial reaction and diffusion of Ni/Au gate metal in AlGaN/GaN HEMTs up to 600 °C in air

    NASA Astrophysics Data System (ADS)

    Suria, Ateeq J.; Yalamarthy, Ananth Saran; Heuser, Thomas A.; Bruefach, Alexandra; Chapin, Caitlin A.; So, Hongyun; Senesky, Debbie G.

    2017-06-01

    In this paper, we describe the use of 50 nm atomic layer deposited (ALD) Al2O3 to suppress the interfacial reaction and inter-diffusion between the gate metal and semiconductor interface, to extend the operation limit up to 600 °C in air. Suppression of diffusion is verified through Auger electron spectroscopy (AES) depth profiling and X-ray diffraction (XRD) and is further supported with electrical characterization. An ALD Al2O3 thin film (10 nm and 50 nm), which functions as a dielectric layer, was inserted between the gate metal (Ni/Au) and heterostructure-based semiconductor material (AlGaN/GaN) to form a metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). This extended the 50 nm ALD Al2O3 MIS-HEMT (50-MIS) current-voltage (Ids-Vds) and gate leakage (Ig,leakage) characteristics up to 600 °C. Both, the 10 nm ALD Al2O3 MIS-HEMT (10-MIS) and HEMT, failed above 350 °C, as evidenced by a sudden increase of approximately 50 times and 5.3 × 106 times in Ig,leakage, respectively. AES on the HEMT revealed the formation of a Ni-Au alloy and Ni present in the active region. Additionally, XRD showed existence of metal gallides in the HEMT. The 50-MIS enables the operation of AlGaN/GaN based electronics in oxidizing high-temperature environments, by suppressing interfacial reaction and inter-diffusion of the gate metal with the semiconductor.

  1. Characterization of ZrO2 and (ZrO2)x(Al2O3)1-X thin films on Si substrates: effect of the Al2O3 component

    NASA Astrophysics Data System (ADS)

    Vitanov, P.; Harizanova, A.; Ivanova, T.

    2014-05-01

    ZrO2 and (ZrO2)x(Al2O3)1-x films were deposited by the sol-gel technique on Si substrates. The effect of the Al2O3 additive on the film surface morphology was studied by atomic force microscopy (AFM). The mixed oxide films showed a smoother morphology and lower values of the root-mean-square (RMS) roughness compared to ZrO2. Further, FTIR spectra indicated that ZrO2 underwent crystallization. The electrical measurements of the MIS structure revealed that the presence of Al2O3 and the amorphization affects its dielectric properties. The MIS structure with (ZrO2)x(Al2O3)1-x showed a lower fixed charge (~ 6×1010 cm-2) and an interface state density in the middle of the band gap of 6×1011 eV-1 cm-2). The dielectric constant measured was 22, with the leakage current density decreasing to 2×10-8 A cm-2 at 1×106 V cm-1.

  2. Low-temperature fabrication of sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors

    NASA Astrophysics Data System (ADS)

    Yao, Rihui; Zheng, Zeke; Xiong, Mei; Zhang, Xiaochen; Li, Xiaoqing; Ning, Honglong; Fang, Zhiqiang; Xie, Weiguang; Lu, Xubing; Peng, Junbiao

    2018-03-01

    In this work, low temperature fabrication of a sputtered high-k HfO2 gate dielectric for flexible a-IGZO thin film transistors (TFTs) on polyimide substrates was investigated. The effects of Ar-pressure during the sputtering process and then especially the post-annealing treatments at low temperature (≤200 °C) for HfO2 on reducing the density of defects in the bulk and on the surface were systematically studied. X-ray reflectivity, UV-vis and X-ray photoelectron spectroscopy, and micro-wave photoconductivity decay measurements were carried out and indicated that the high quality of optimized HfO2 film and its high dielectric properties contributed to the low concentration of structural defects and shallow localized defects such as oxygen vacancies. As a result, the well-structured HfO2 gate dielectric exhibited a high density of 9.7 g/cm3, a high dielectric constant of 28.5, a wide optical bandgap of 4.75 eV, and relatively low leakage current. The corresponding flexible a-IGZO TFT on polyimide exhibited an optimal device performance with a saturation mobility of 10.3 cm2 V-1 s-1, an Ion/Ioff ratio of 4.3 × 107, a SS value of 0.28 V dec-1, and a threshold voltage (Vth) of 1.1 V, as well as favorable stability under NBS/PBS gate bias and bending stress.

  3. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  4. Anomalous bias-stress-induced unstable phenomena of InZnO thin-film transistors using Ta2O5 gate dielectric

    NASA Astrophysics Data System (ADS)

    Xu, Wangying; Dai, Mingzhi; Liang, Lingyan; Liu, Zhimin; Sun, Xilian; Wan, Qing; Cao, Hongtao

    2012-05-01

    InZnO thin-film transistors using high-κ Ta2O5 gate dielectric are presented and analysed. The large capacitance coupling effect of amorphous Ta2O5 results in fabricated devices with good electrical properties. However, an anomalous negative threshold voltage (Vth) shift under positive bias stress is observed. It is suggested that electron detrapping from the high-κ Ta2O5 dielectric to the gate electrode is responsible for this Vth shift, which is supported both by the logarithmical dependence of the Vth change on the duration of the bias stress and device simulation extracted trapped charges involved.

  5. The effect of Al segregation on Schottky barrier height and effective work function in TiAl/TiN/HfO2 gate stacks

    NASA Astrophysics Data System (ADS)

    Kim, Geun-Myeong; Oh, Young Jun; Chang, K. J.

    2016-07-01

    We perform first-principles density functional calculations to investigate the effects of Al incorporation on the p-type Schottky barrier height ≤ft({φ\\text{p}}\\right) and the effective work function for various high-k/metal gate stacks, such as TiN/HfO2 with interface Al impurities, Ti1-x Al x N/HfO2, and TiAl/TiN/HfO2. When Al atoms substitute for the interface Ti atoms at TiN/HfO2 interface, interface dipole fields become stronger, leading to the increase of {φ\\text{p}} and thereby the n-type shift of effective work function. In Ti1-x Al x N/HfO2 interface, {φ\\text{p}} linearly increases with the Al content, attributed to the presence of interface Al atoms. On the other hand, in TiAl/TiN/HfO2 interface, where Al is assumed not to segregate from TiAl to TiN, {φ\\text{p}} is nearly independent of the thickness of TiAl. Our results indicate that Al impurities at the metal/dielectric interface play an important role in controlling the effective work function, and provide a clue to understanding the n-type shift of the effective work function observed in TiAl/TiN/HfO2 gate stacks fabricated by using thegate-last process.

  6. Study of interfacial strain at the α-Al2O3/monolayer MoS2 interface by first principle calculations

    NASA Astrophysics Data System (ADS)

    Yu, Sheng; Ran, Shunjie; Zhu, Hao; Eshun, Kwesi; Shi, Chen; Jiang, Kai; Gu, Kunming; Seo, Felix Jaetae; Li, Qiliang

    2018-01-01

    With the advances in two-dimensional (2D) transition metal dichalcogenides (TMDCs) based metal-oxide-semiconductor field-effect transistor (MOSFET), the interface between the semiconductor channel and gate dielectrics has received considerable attention due to its significant impacts on the morphology and charge transport of the devices. In this study, first principle calculations were utilized to investigate the strain effect induced by the interface between crystalline α-Al2O3 (0001)/h-MoS2 monolayer. The results indicate that the 1.3 nm Al2O3 can induce a 0.3% tensile strain on the MoS2 monolayer. The strain monotonically increases with thicker dielectric layers, inducing more significant impact on the properties of MoS2. In addition, the study on temperature effect indicates that the increasing temperature induces monotonic lattice expansion. This study clearly indicates that the dielectric engineering can effectively tune the properties of 2D TMDCs, which is very attractive for nanoelectronics.

  7. Top gating control of superconductivity at the LaAlO3 /SrTiO3 interfaces

    NASA Astrophysics Data System (ADS)

    Jouan, Alexis; Hurand, Simon; Feuillet-Palma, Cheryl; Singh, Gyanendra; Lesueur, Jerome; Bergeal, Nicolas; Lesne, Edouard; Reyren, Nicolas

    2015-03-01

    Transition metal oxides display a great variety of quantum electronic behaviors. Epitaxial interfaces involving such materials give a unique opportunity to engineer artificial materials where new electronic orders take place. It has been shown that a superconducting two-dimensional electron gas could form at the interface of two insulators such as LaAlO3 and SrTiO3 [1], or LaTiO3 and SrTiO3 [2]. An important feature of these interfaces lies in the possibility to control their electronic properties, including superconductivity and spin-orbit coupling (SOC) with field effect [3-5]. However, experiments have been performed almost exclusively with a metallic gate on the back of the sample. In this presentation, we will report on the realization of a top-gated LaAlO3/SrTiO3 device whose physical properties, including superconductivity and SOC, can be tuned over a wide range of electrostatic doping. In particular, we will present a phase diagram of the interface and compare the effect of the top-gate and back-gate. Finally, we will discuss the field-effect modulation of the Rashba spin-splitting energy extracted from the analysis of magneto-transport measurements. Our result paves the way for the realization of mesoscopic devices where both superconductivity and SOC can be tuned locally.

  8. Electrical Properties of Ultrathin Hf-Ti-O Higher k Gate Dielectric Films and Their Application in ETSOI MOSFET.

    PubMed

    Xiong, Yuhua; Chen, Xiaoqiang; Wei, Feng; Du, Jun; Zhao, Hongbin; Tang, Zhaoyun; Tang, Bo; Wang, Wenwu; Yan, Jiang

    2016-12-01

    Ultrathin Hf-Ti-O higher k gate dielectric films (~2.55 nm) have been prepared by atomic layer deposition. Their electrical properties and application in ETSOI (fully depleted extremely thin SOI) PMOSFETs were studied. It is found that at the Ti concentration of Ti/(Ti + Hf) ~9.4%, low equivalent gate oxide thickness (EOT) of ~0.69 nm and acceptable gate leakage current density of 0.61 A/cm 2 @ (V fb  - 1)V could be obtained. The conduction mechanism through the gate dielectric is dominated by the F-N tunneling in the gate voltage range of -0.5 to -2 V. Under the same physical thickness and process flow, lower EOT and higher I on /I off ratio could be obtained while using Hf-Ti-O as gate dielectric compared with HfO 2 . With Hf-Ti-O as gate dielectric, two ETSOI PMOSFETs with gate width/gate length (W/L) of 0.5 μm/25 nm and 3 μm/40 nm show good performances such as high I on , I on /I off ratio in the magnitude of 10 5 , and peak transconductance, as well as suitable threshold voltage (-0.3~-0.2 V). Particularly, ETSOI PMOSFETs show superior short-channel control capacity with DIBL <82 mV/V and subthreshold swing <70 mV/decade.

  9. Enhanced carrier mobility of multilayer MoS2 thin-film transistors by Al2O3 encapsulation

    NASA Astrophysics Data System (ADS)

    Kim, Seong Yeoul; Park, Seonyoung; Choi, Woong

    2016-10-01

    We report the effect of Al2O3 encapsulation on the carrier mobility and contact resistance of multilayer MoS2 thin-film transistors by statistically investigating 70 devices with SiO2 bottom-gate dielectric. After Al2O3 encapsulation by atomic layer deposition, calculation based on Y-function method indicates that the enhancement of carrier mobility from 24.3 cm2 V-1 s-1 to 41.2 cm2 V-1 s-1 occurs independently from the reduction of contact resistance from 276 kΩ.μm to 118 kΩ.μm. Furthermore, contrary to the previous literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method of improving the carrier mobility of multilayer MoS2 transistors, providing important implications on the application of MoS2 and other two-dimensional materials into high-performance transistors.

  10. Nanocomposites of polyimide and mixed oxide nanoparticles for high performance nanohybrid gate dielectrics in flexible thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Ju Hyun; Hwang, Byeong-Ung; Kim, Do-Il; Kim, Jin Soo; Seol, Young Gug; Kim, Tae Woong; Lee, Nae-Eung

    2017-05-01

    Organic gate dielectrics in thin film transistors (TFTs) for flexible display have advantages of high flexibility yet have the disadvantage of low dielectric constant (low- k). To supplement low- k characteristics of organic gate dielectrics, an organic/inorganic nanocomposite insulator loaded with high- k inorganic oxide nanoparticles (NPs) has been investigated but high loading of high- k NPs in polymer matrix is essential. Herein, compositing of over-coated polyimide (PI) on self-assembled (SA) layer of mixed HfO2 and ZrO2 NPs as inorganic fillers was used to make dielectric constant higher and leakage characteristics lower. A flexible TFT with lower the threshold voltage and high current on/off ratio could be fabricated by using the hybrid gate dielectric structure of the nanocomposite with SA layer of mixed NPs on ultrathin atomic-layer deposited Al2O3. [Figure not available: see fulltext.

  11. Comprehensive Study of Lanthanum Aluminate High-Dielectric-Constant Gate Oxides for AdvancedCMOS Devices

    PubMed Central

    Suzuki, Masamichi

    2012-01-01

    A comprehensive study of the electrical and physical characteristics of Lanthanum Aluminate (LaAlO3) high-dielectric-constant gate oxides for advanced CMOS devices was performed. The most distinctive feature of LaAlO3 as compared with Hf-based high-k materials is the thermal stability at the interface with Si, which suppresses the formation of a low-permittivity Si oxide interfacial layer. Careful selection of the film deposition conditions has enabled successful deposition of an LaAlO3 gate dielectric film with an equivalent oxide thickness (EOT) of 0.31 nm. Direct contact with Si has been revealed to cause significant tensile strain to the Si in the interface region. The high stability of the effective work function with respect to the annealing conditions has been demonstrated through comparison with Hf-based dielectrics. It has also been shown that the effective work function can be tuned over a wide range by controlling the La/(La + Al) atomic ratio. In addition, gate-first n-MOSFETs with ultrathin EOT that use sulfur-implanted Schottky source/drain technology have been fabricated using a low-temperature process. PMID:28817057

  12. Electrical Characteristics of Organic Field Effect Transistor Formed by Gas Treatment of High-k Al2O3 at Low Temperature

    NASA Astrophysics Data System (ADS)

    Lee, Sunwoo; Yoon, Seungki; Park, In-Sung; Ahn, Jinho

    2009-04-01

    We studied the electrical characteristics of an organic field effect transistor (OFET) formed by the hydrogen (H2) and nitrogen (N2) mixed gas treatment of a gate dielectric layer. We also investigated how device mobility is related to the length and width variations of the channel. Aluminum oxide (Al2O3) was used as the gate dielectric layer. After the treatment, the mobility and subthreshold swing were observed to be significantly improved by the decreased hole carrier localization at the interfacial layer between the gate oxide and pentacene channel layers. H2 gas plays an important role in removing the defects of the gate oxide layer at temperatures below 100 °C.

  13. Effect of Al2O3 encapsulation on multilayer MoSe2 thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Hyun Ah; Yeoul Kim, Seong; Kim, Jiyoung; Choi, Woong

    2017-03-01

    We report the effect of Al2O3 encapsulation on the device performance of multilayer MoSe2 thin-film transistors based on statistical investigation of 29 devices with a SiO2 bottom-gate dielectric. On average, Al2O3 encapsulation by atomic layer deposition increased the field-effect mobility from 10.1 cm2 V-1 s-1 to 14.8 cm2 V-1 s-1, decreased the on/off-current ratio from 8.5  ×  105 to 2.3  ×  105 and negatively shifted the threshold voltage from  -1.1 V to  -8.1 V. Calculation based on the Y-function method indicated that the enhancement of intrinsic carrier mobility occurred independently of the reduction of contact resistance after Al2O3 encapsulation. Furthermore, contrary to previous reports in the literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method for improving the carrier mobility of multilayer MoSe2 transistors, providing important implications on the application of MoSe2 and other 2D materials into high-performance transistors.

  14. Synchrotron radiation x-ray photoelectron spectroscopy study on the interface chemistry of high-k PrxAl2-xO3 (x=0-2) dielectrics on TiN for dynamic random access memory applications

    NASA Astrophysics Data System (ADS)

    Schroeder, T.; Lupina, G.; Sohal, R.; Lippert, G.; Wenger, Ch.; Seifarth, O.; Tallarida, M.; Schmeisser, D.

    2007-07-01

    Engineered dielectrics combined with compatible metal electrodes are important materials science approaches to scale three-dimensional trench dynamic random access memory (DRAM) cells. Highly insulating dielectrics with high dielectric constants were engineered in this study on TiN metal electrodes by partly substituting Al in the wide band gap insulator Al2O3 by Pr cations. High quality PrAlO3 metal-insulator-metal capacitors were processed with a dielectric constant of 19, three times higher than in the case of Al2O3 reference cells. As a parasitic low dielectric constant interface layer between PrAlO3 and TiN limits the total performance gain, a systematic nondestructive synchrotron x-ray photoelectron spectroscopy study on the interface chemistry of PrxAl2-xO3 (x =0-2) dielectrics on TiN layers was applied to unveil its chemical origin. The interface layer results from the decreasing chemical reactivity of PrxAl2-xO3 dielectrics with increasing Pr content x to reduce native Ti oxide compounds present on unprotected TiN films. Accordingly, PrAlO3 based DRAM capacitors require strict control of the surface chemistry of the TiN electrode, a parameter furthermore of importance to engineer the band offsets of PrxAl2-xO3/TiN heterojunctions.

  15. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    NASA Astrophysics Data System (ADS)

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-09-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec.

  16. A mixed solution-processed gate dielectric for zinc-tin oxide thin-film transistor and its MIS capacitance

    PubMed Central

    Kim, Hunho; Kwack, Young-Jin; Yun, Eui-Jung; Choi, Woon-Seop

    2016-01-01

    Solution-processed gate dielectrics were fabricated with the combined ZrO2 and Al2O3 (ZAO) in the form of mixed and stacked types for oxide thin film transistors (TFTs). ZAO thin films prepared with double coatings for solid gate dielectrics were characterized by analytical tools. For the first time, the capacitance of the oxide semiconductor was extracted from the capacitance-voltage properties of the zinc-tin oxide (ZTO) TFTs with the combined ZAO dielectrics by using the proposed metal-insulator-semiconductor (MIS) structure model. The capacitance evolution of the semiconductor from the TFT model structure described well the threshold voltage shift observed in the ZTO TFT with the ZAO (1:2) gate dielectric. The electrical properties of the ZTO TFT with a ZAO (1:2) gate dielectric showed low voltage driving with a field effect mobility of 37.01 cm2/Vs, a threshold voltage of 2.00 V, an on-to-off current ratio of 1.46 × 105, and a subthreshold slope of 0.10 V/dec. PMID:27641430

  17. Post-deposition-annealing effect on current conduction in Al2O3 films formed by atomic layer deposition with H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Okubo, Satoshi; Kawarada, Hiroshi

    2017-02-01

    Atomic-layer-deposition (ALD) Al2O3 films are promising as gate insulators of non-Si semiconductor devices. Although they allow relatively small leakage currents just after deposition, ALD Al2O3 films formed at low temperatures are subject to high temperature during fabrication or operation of devices. Therefore, the effect of post-deposition annealing (PDA) on the properties of Al2O3 films is investigated in this study. ALD Al2O3 films formed using H2O oxidant at low temperatures are compacted by PDA, but their mass density and dielectric constant remain approximately unchanged or slightly decrease owing to the desorption of methyl groups contained in the films as impurities. In accordance with these results, the wet etching rate of Al2O3 films is not much reduced by PDA. The conduction current in ALD Al2O3 films formed on Si is reduced by PDA and becomes smaller than that in films formed at the same ALD temperatures as those of PDA. The conduction current for PDA temperatures above 250 °C, however, increases and, accordingly, spoils the merit of low-temperature ALD. Therefore, given that the dielectric constant of annealed films remains low, high-temperature ALD is practically more significant than applying PDA to low-temperature ALD Al2O3 films from the viewpoint of leakage current under the same thermal budget. Space-charge-controlled field emission analysis revealed that, at the aforementioned threshold temperature, PDA abruptly increases the Al2O3/SiO2 interfacial dipoles and simultaneously reduces the amount of the positive charge near the interface. The so-called negative-charge buildup by PDA might be caused by this decrease in the positive charge.

  18. Normally-off Al2O3/GaN MOSFET: Role of border traps on the device transport characteristics

    NASA Astrophysics Data System (ADS)

    Wang, Hongyue; Wang, Jinyan; Liu, Jingqian; He, Yandong; Wang, Maojun; Yu, Min; Wu, Wengang

    2018-03-01

    Based on the self-terminating gate recess technique, two different processes featuring gate-recess-first (GF) and ohmic-contact-first (OF) were proposed for E-mode Al2O3/GaN MOSFETs. Increased maximum drain current (Idmax) ∼30% (420 vs 325 mA/mm), field-effect mobility (μFEmax) ∼67% (150 vs 90 cm2/Vs) and reduced on-state resistance (Ron) ∼42% (9.7 vs 16.8 Ω·mm) were observed in the devices fabricated by GF process. Such significant performance difference of GF- and OF-devices resulted from the presence of border traps at Al2O3/GaN interface with a time constant ∼7 × 10-6 s. Experimental results indicated that: (1) the near interface border traps in Al2O3 dielectric significantly affect device channel mobility; (2) a high temperature post-deposition annealing process could effective suppress generation of border traps.

  19. Solution processed lanthanum aluminate gate dielectrics for use in metal oxide-based thin film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Esro, M.; Adamopoulos, G., E-mail: g.adamopoulos@lancaster.ac.uk; Mazzocco, R.

    2015-05-18

    We report on ZnO-based thin-film transistors (TFTs) employing lanthanum aluminate gate dielectrics (La{sub x}Al{sub 1−x}O{sub y}) grown by spray pyrolysis in ambient atmosphere at 440 °C. The structural, electronic, optical, morphological, and electrical properties of the La{sub x}Al{sub 1−x}O{sub y} films and devices as a function of the lanthanum to aluminium atomic ratio were investigated using a wide range of characterization techniques such as UV-visible absorption spectroscopy, impedance spectroscopy, spectroscopic ellipsometry, atomic force microscopy, x-ray diffraction, and field-effect measurements. As-deposited LaAlO{sub y} dielectrics exhibit a wide band gap (∼6.18 eV), high dielectric constant (k ∼ 16), low roughness (∼1.9 nm), and very low leakage currentsmore » (<3 nA/cm{sup 2}). TFTs employing solution processed LaAlO{sub y} gate dielectrics and ZnO semiconducting channels exhibit excellent electron transport characteristics with hysteresis-free operation, low operation voltages (∼10 V), high on/off current modulation ratio of >10{sup 6}, subthreshold swing of ∼650 mV dec{sup −1}, and electron mobility of ∼12 cm{sup 2} V{sup −1} s{sup −1}.« less

  20. Influence of B2O3 content on sintering behaviour and dielectric properties of La2O3-B2O3-CaO/Al2O3 glass-ceramic composites for LTCC applications

    NASA Astrophysics Data System (ADS)

    Wang, F. L.; Zhang, Y. W.; Chen, X. Y.; Mao, H. J.; Zhang, W. J.

    2018-01-01

    La2O3-B2O3-CaO glasses with different B2O3 content were synthesized by melting method to produce glass/ceramic composites in this work. XRD and DSC results revealed that the diminution of B2O3 content was beneficial to increase the crystallization tendency of glass and improve the quality of crystalline phase, while decreasing the effect of glass during sintering process as sintering aids. The choice of glass/ceramic mass ratio was also influenced by the B2O3 content of glass. Dense samples sintered at 875 ºC showed good dielectric properties which meet the requirement of LTCC applications: moderate dielectric constant (7.8-9.4) and low dielectric loss (2.0×10-3).

  1. Temperature dependence of trapping effects in metal gates/Al2O3/InGaAs stacks

    NASA Astrophysics Data System (ADS)

    Palumbo, F.; Pazos, S.; Aguirre, F.; Winter, R.; Krylov, I.; Eizenberg, M.

    2017-06-01

    The influence of the temperature on Metal Gate/Al2O3/n-InGaAs stacks has been studied by means of capacitance-voltage (C-V) hysteresis and flat band voltage as function of both negative and positive stress fields. It was found that the de-trapping effect decreases at low-temperature, indicating that the de-trapping of trapped electrons from oxide traps may be performed via Al2O3/InGaAs interface defects. The dependence of the C-V hysteresis on the stress field at different temperatures in our InGaAs stacks can be explained in terms of the defect spatial distribution. An oxide defect distribution can be found very close to the metal gate/Al2O3 interface. On the other side, the Al2O3/InGaAs interface presents defects distributed from the interface into the bulk of the oxide, showing the influence of InGaAs on Al2O3 in terms of the spatial defect distribution. At the present, he is a research staff of the National Council of Science and Technology (CONICET), working in the National Commission of Atomic Energy (CNEA) in Buenos Aires, Argentina, well embedded within international research collaboration. Since 2008, he is Professor at the National Technological University (UTN) in Buenos Aires, Argentina. Dr. Palumbo has received research fellowships from: Marie Curie Fellowship within the 7th European Community Framework Programme, Abdus Salam International Centre for Theoretical Physics (ICTP) Italy, National Council of Science and Technology (CONICET) Argentina, and Consiglio Nazionale delle Ricerche (CNR) Italy. He is also a frequent scientific visitor of academic institutions as IMM-CNR-Italy, Minatec Grenoble-France, the Autonomous University of Barcelona-Spain, and the Israel Institute of Technology-Technion. He has authored and co-authored more than 50 papers in international conferences and journals.

  2. Alternative Dielectric Films for rf MEMS Capacitive Switches Deposited using Atomic Layer Deposited Al2O3/ZnO Alloys

    DTIC Science & Technology

    2006-07-02

    A s c c s r t h s l © K 1 b c A a e t s C t o 0 d Sensors and Actuators A 135 (2007) 262–272 Alternative dielectric films for rf MEMS capacitive...Zn concentrations in the alloy films , which was lower than expected. Atomic force microscopy images evealed an average surface roughness of 0.27 nm...that was independent of deposition temperature and film composition. The dielectric constants of he Al2O3/ZnO ALD alloys films were calculated to be

  3. Time-dependent dielectric breakdown in pure and lightly Al-doped Ta2O5 stacks

    NASA Astrophysics Data System (ADS)

    Atanassova, E.; Stojadinović, N.; Spassov, D.; Manić, I.; Paskaleva, A.

    2013-05-01

    The time-dependent dielectric breakdown (TDDB) characteristics of 7 nm pure and lightly Al-doped Ta2O5 (equivalent oxide thickness of 2.2 and 1.5 nm, respectively) with W gate electrodes in MOS capacitor configuration are studied using gate injection and constant voltage stress. The effect of both the process-induced defects and the dopant on the breakdown distribution, and on the extracted Weibull slope values, are discussed. The pre-existing traps which provoke weak spots dictate early breakdowns. Their effect is compounded of both the stress-induced new traps generation (percolation model is valid) and the inevitable lower-k interface layer in the region with long time-to-breakdown. The domination of one of these competitive effects defines the mechanism of degradation: the trapping at pre-existing traps appears to dominate in Ta2O5; Al doping reduces defects in Ta2O5, the generation of new traps prevails over the charge trapping in the doped samples, and the mechanism of breakdown is more adequate to the percolation concept. The doping of high-k Ta2O5 even with small amount (5 at.%) may serve as an engineering solution for improving its TDDB characteristics and reliability.

  4. Dielectric properties and nonlinear I-V electrical behavior of (Li1+, Al3+) co-doped CaCu3Ti4O12 ceramics

    NASA Astrophysics Data System (ADS)

    Sun, Li; Ni, Qing; Guo, Jianqin; Cao, Ensi; Hao, Wentao; Zhang, Yongjia; Ju, Lin

    2018-06-01

    (Li1+, Al3+) co-doped CaCu3Ti4O12 ceramics (CaCu3-2 x Li x Al x Ti4O12, x = 0.05, 0.1, 0.15) were prepared by a sol-gel method and were sintered at 1020-1080 °C for 8 h to improve the geometric microstructure, dielectric and nonlinear I-V electrical properties. Notably, very high dielectric constant of 1 × 105 with good dielectric-frequency as well as dielectric-temperature stability can be achieved in CaCu2.8Li0.1Al0.1Ti4O12 ceramic sintered at 1060 °C. The average grain sizes, resistivity and the non-Ohmic properties are also improved compared to pure CaCu3Ti4O12. These results indicate that (Li1+, Al3+) co-doping at the Cu2+ site can improve the dielectric properties of CaCu3Ti4O12, supporting the internal barrier layer capacitance effect of Schottky barriers at grain boundaries.

  5. Reaching state-of-the art requirements for MIM capacitors with a single-layer anodic Al2O3 dielectric and imprinted electrodes

    NASA Astrophysics Data System (ADS)

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2017-07-01

    Metal-Insulator-Metal (MIM) capacitors with a high capacitance density and low non-linearity coefficient using a single-layer dielectric of barrier-type anodic alumina (Al2O3) and an imprinted bottom Al electrode are presented. Imprinting of the bottom electrode aimed at increasing the capacitor effective surface area by creating a three-dimensional MIM capacitor architecture. The bottom Al electrode was only partly nanopatterned so as to ensure low series resistance of the MIM capacitor. With a 3 nm thick anodic Al2O3 dielectric, the capacitor with the imprinted electrode showed a 280% increase in capacitance density compared to the flat electrode capacitor, reaching a value of 20.5 fF/μm2. On the other hand, with a 30 nm thick anodic Al2O3 layer, the capacitance density was 7.9 fF/μm2 and the non-linearity coefficient was as low as 196 ppm/V2. These values are very close to reaching all requirements of the last International Technology Roadmap for Semiconductors for MIM capacitors [ITRS, http://www.itrs2.net/2013-itrs.html for ITRS Roadmap (2013)], and they are achieved by a single-layer dielectric instead of the complicated dielectric stacks of the literature. The obtained results constitute a real progress compared to previously reported results by our group for MIM capacitors using imprinted electrodes.

  6. Effect of Dielectric Interface on the Performance of MoS2 Transistors.

    PubMed

    Li, Xuefei; Xiong, Xiong; Li, Tiaoyang; Li, Sichao; Zhang, Zhenfeng; Wu, Yanqing

    2017-12-27

    Because of their wide bandgap and ultrathin body properties, two-dimensional materials are currently being pursued for next-generation electronic and optoelectronic applications. Although there have been increasing numbers of studies on improving the performance of MoS 2 field-effect transistors (FETs) using various methods, the dielectric interface, which plays a decisive role in determining the mobility, interface traps, and thermal transport of MoS 2 FETs, has not been well explored and understood. In this article, we present a comprehensive experimental study on the effect of high-k dielectrics on the performance of few-layer MoS 2 FETs from 300 to 4.3 K. Results show that Al 2 O 3 /HfO 2 could boost the mobility and drain current. Meanwhile, MoS 2 transistors with Al 2 O 3 /HfO 2 demonstrate a 2× reduction in oxide trap density compared to that of the devices with the conventional SiO 2 substrate. Also, we observe a negative differential resistance effect on the device with 1 μm-channel length when using conventional SiO 2 as the gate dielectric due to self-heating, and this is effectively eliminated by using the Al 2 O 3 /HfO 2 gate dielectric. This dielectric engineering provides a highly viable route to realizing high-performance transition metal dichalcogenide-based FETs.

  7. Microstructural, Optical and Dielectric Properties of Al-Incorporated SnO2 Nanoparticles

    NASA Astrophysics Data System (ADS)

    Ahmed, Ateeq; Tripathi, P.; Naseem Siddique, M.; Ali, Tinku

    2017-08-01

    In this work, Pure SnO2 and Al doped SnO2 nanoparticles with the composition Sn1-xAlxO2 (x = 0, and 0.05) have been successfully prepared using sol-gel technique. The effect of Al dopant on microstructural, optical and dielectric properties has been investigated by X-ray diffraction (XRD), Scanning electron microscopy (SEM), Ultraviolet (UV-Visible) absorption spectroscopy andImpedance spectroscopy (LCR meter)respectively. The XRD patterns indicated tetragonal rutile structure with single phase without any detectable impurity for all samples and incorporation of Al ions into the SnO2 lattice. Crystalline size decreased with aluminum content. The results of SEM confirm nanoparticles size decreases with Al dopant. UV-Visible results showed that optical band also decreases when Al is doped into pure SnO2 lattice. Frequency dependent dielectric properties of pure and doped SnO2 nanoparticles have been also studied.

  8. Capacitance-voltage characteristics of sub-nanometric Al2O3 / TiO2 laminates: dielectric and interface charge densities.

    PubMed

    Kahouli, Abdelkader; Elbahri, Marwa Ben; Lebedev, Oleg; Lüders, Ulrike

    2017-07-12

    Advanced amorphous sub-nanometric laminates based on TiO 2 and Al 2 O 3 were deposited by atomic layer deposition at low temperature. Low densities of 'slow' and 'fast' interface states are achieved with values of 3.96 · 10 10 cm -2 and 4.85 · 10 -9 eV -1 cm -2 , respectively, by using a 40 nm laminate constituted of 0.7 nm TiO 2 and 0.8 nm Al 2 O 3 . The sub-nanometric laminate shows a low hysteresis width of 20 mV due to the low oxide charge density of about 3.72 · 10 11 cm -2 . Interestingly, such properties are required for stable and reliable performance of MOS capacitors and transistor operation. Thus, decreasing the individual layer thickness to the sub-nanometric range and combining two dielectric materials with oppositely charged defects may play a major role in the electrical response, highly promising for the application in future micro and nano-electronics applications.

  9. Millimeter distance effects of surface plasmon polaritons in electroformed Al-Al2O3-Ag diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2017-02-01

    Electroforming of metal-insulator-metal diodes is a soft dielectric breakdown that changes the high resistance of as-prepared diodes to a low resistance state. Electroforming of Al-Al2O3-metal diodes with anodic Al2O3 results in voltage-controlled negative resistance in the current-voltage (I-V) characteristics, electroluminescence (EL), and electron emission into vacuum (EM). EL is due to electrons injected at the Al-Al2O3 interface combining with radiative defects in Al2O3. Surface plasmon polaritons (SPPs) are electromagnetic waves that can be excited by photons or electrons. SPPs are confined to a metal-dielectric interface, cause large electric fields in the metal and dielectric, and have ranges of micrometers. The temperature dependence of I-V curves, EL, and EM of a group of electroformed Al-Al2O3-Ag diodes with Al2O3 thicknesses between 12 nm and 20 nm, group A, was measured between 200 K and 300 K. After a sequence of temperature measurements, the Al-Al2O3-Ag diodes, the Al-Al2O3 regions between diodes, and portions of the Ag on the glass region that provides contacts to the diodes are darkened. The range of darkening is >7 mm in a diode with 12 nm of Al2O3 and 2.0-3.5 mm in diodes with Al2O3 thicknesses between 14 nm and 20 nm. Darkening is attributed to the occurrence of SPPs generated by EL photons at the Ag-Al2O3 and Al-Al2O3 interfaces. The results are compared to a second group of Al-Al2O3-Ag diodes with identical Al2O3 thicknesses, group B, that were prepared in the same way as the diodes of group A except for a difference in the deposition of Al films for the two groups. Al-Al2O3-Ag diodes of group B exhibit enhanced EL, which is attributed to spontaneous emission of recombination centers in Al2O3 being enhanced by large electromagnetic fields that are due to SPPs that are generated by EL photons.

  10. Impact of La{sub 2}O{sub 3} interfacial layers on InGaAs metal-oxide-semiconductor interface properties in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks deposited by atomic-layer-deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, C.-Y., E-mail: cychang@mosfet.t.u-tokyo.ac.jp; Takenaka, M.; Takagi, S.

    We examine the electrical properties of atomic layer deposition (ALD) La{sub 2}O{sub 3}/InGaAs and Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs metal-oxide-semiconductor (MOS) capacitors. It is found that the thick ALD La{sub 2}O{sub 3}/InGaAs interface provides low interface state density (D{sub it}) with the minimum value of ∼3 × 10{sup 11} cm{sup −2} eV{sup −1}, which is attributable to the excellent La{sub 2}O{sub 3} passivation effect for InGaAs surfaces. It is observed, on the other hand, that there are a large amount of slow traps and border traps in La{sub 2}O{sub 3}. In order to simultaneously satisfy low D{sub it} and small hysteresis, the effectivenessmore » of Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks with ultrathin La{sub 2}O{sub 3} interfacial layers is in addition evaluated. The reduction of the La{sub 2}O{sub 3} thickness to 0.4 nm in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks leads to the decrease in hysteresis. On the other hand, D{sub it} of the Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs interfaces becomes higher than that of the La{sub 2}O{sub 3}/InGaAs ones, attributable to the diffusion of Al{sub 2}O{sub 3} through La{sub 2}O{sub 3} into InGaAs and resulting modification of the La{sub 2}O{sub 3}/InGaAs interface structure. As a result of the effective passivation effect of La{sub 2}O{sub 3} on InGaAs, however, the Al{sub 2}O{sub 3}/10 cycle (0.4 nm) La{sub 2}O{sub 3}/InGaAs gate stacks can realize still lower D{sub it} with maintaining small hysteresis and low leakage current than the conventional Al{sub 2}O{sub 3}/InGaAs MOS interfaces.« less

  11. Influence of gate recess on the electronic characteristics of β-Ga2O3 MOSFETs

    NASA Astrophysics Data System (ADS)

    Lv, Yuanjie; Mo, Jianghui; Song, Xubo; He, Zezhao; Wang, Yuangang; Tan, Xin; Zhou, Xingye; Gu, Guodong; Guo, Hongyu; Feng, Zhihong

    2018-05-01

    Gallium oxide (Ga2O3) metal-oxide-semiconductor field-effect transistors (MOSFETs) were fabricated with gate recess depths of 110 nm and 220 nm, respectively. The gate recess was formed by dry plasma etching with Cr metal as the mask. The fabricated devices with a 25-nm HfO2 gate dielectric both showed a low off-state drain current of about 1.8 × 10-10 A/mm. The effects of recess depth on the electronic characteristics of Ga2O3 MOSFETs were investigated. Upon increasing the recess depth from 110 nm to 220 nm, the saturated drain current decreased from 20.7 mA/mm to 2.6 mA/mm, while the threshold voltage moved increased to +3 V. Moreover, the breakdown voltage increased from 122 V to 190 V. This is mainly because the inverted-trapezoidal gate played the role of a gate-field plate, which suppressed the peak electric field close to the gate.

  12. Effect of Al gate on the electrical behaviour of Al-doped Ta2O5 stacks

    NASA Astrophysics Data System (ADS)

    Skeparovski, A.; Novkovski, N.; Atanassova, E.; Paskaleva, A.; Lazarov, V. K.

    2011-06-01

    The electrical behaviour of Al-doped Ta2O5 films on nitrided silicon and implemented in Al-gated MIS capacitors has been studied. The dopant was introduced into the Ta2O5 through its surface by deposing a thin Al layer on the top of Ta2O5 followed by an annealing process. The HRTEM images reveal that the initial double-layer structure of the stacks composed of doped Ta2O5 and interfacial SiON layer undergoes changes during the formation of the Al gate and transforms into a three-layer structure with an additional layer between the Al electrode and the doped Ta2O5. This layer, being a result of reaction between the Al gate and the Al-doped Ta2O5, affects the overall electrical properties of the stacks. Strong charge trapping/detrapping processes have been established in the vicinity of the doped Ta2O5/SiON interface resulting in a large C-V hysteresis effect. The charge trapping also influences the current conduction in the layers keeping the current density level rather low even at high electric fields (J < 10-6 A cm-2 at 7 MV cm-1). By employing a three-layer model of the stack, the permittivity of both, the Al-doped Ta2O5 and the additional layer, has been estimated and the corresponding conduction mechanisms identified.

  13. Compositional and gate tuning of the interfacial conductivity in LaAlO3/LaTiO3/SrTiO3 heterostructures

    NASA Astrophysics Data System (ADS)

    Hosoda, Masayuki; Bell, Christopher; Hikita, Yasuyuki; Hwang, Harold Y.

    2013-03-01

    We investigate the effect of LaTiO3 insertion at the interface between LaAlO3 and TiO2 terminated {100} SrTiO3 for a series of LaAlO3 and LaTiO3 thicknesses. A clear increase of the carrier density was observed while the Hall mobility was largely unchanged. In structures with LaAlO3 thickness ˜3 unit cells, close to the critical thickness for conductivity, as little as 0.25 unit cells of LaTiO3 drives an insulator-to-metal transition. These samples show a strong dependence of the conductivity on voltage with electrostatic back-gating, which can be understood in a two-carrier picture, and dominated by the change in carrier density at the interface.

  14. Hydrogen-induced reversible changes in drain current in Sc2O3/AlGaN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Kang, B. S.; Mehandru, R.; Kim, S.; Ren, F.; Fitch, R. C.; Gillespie, J. K.; Moser, N.; Jessen, G.; Jenkins, T.; Dettmer, R.; Via, D.; Crespo, A.; Gila, B. P.; Abernathy, C. R.; Pearton, S. J.

    2004-06-01

    Pt contacted AlGaN/GaN high electron mobility transistors with Sc2O3 gate dielectrics show reversible changes in drain-source current upon exposure to H2-containing ambients, even at room temperature. The changes in current (as high as 3 mA for relatively low gate voltage and drain-source voltage) are approximately an order of magnitude larger than for Pt/GaN Schottky diodes and a factor of 5 larger than Sc2O3/AlGaN/GaN metal-oxide-semiconductor (MOS) diodes exposed under the same conditions. This shows the advantage of using a transistor structure in which the gain produces larger current changes upon exposure to hydrogen-containing ambients. The increase in current is the result of a decrease in effective barrier height of the MOS gate of 30-50 mV at 25 °C for 10% H2/90% N2 ambients relative to pure N2 and is due to catalytic dissociation of the H2 on the Pt contact, followed by diffusion to the Sc2O3/AlGaN interface.

  15. Study on the dielectric properties of Al2O3/TiO2 sub-nanometric laminates: effect of the bottom electrode and the total thickness

    NASA Astrophysics Data System (ADS)

    Ben Elbahri, M.; Kahouli, A.; Mercey, B.; Lebedev, O.; Donner, W.; Lüders, U.

    2018-02-01

    Dielectrics based on amorphous sub-nanometric laminates of TiO2 and Al2O3 are subject to elevated dielectric losses and leakage currents, in large parts due to the extremely thin individual layer thickness chosen for the creation of the Maxwell-Wagner relaxation and therefore the high apparent dielectric constants. The optimization of performances of the laminate itself being strongly limited by this contradiction concerning its internal structure, we will show in this study that modifications of the dielectric stack of capacitors based on these sub-nanometric laminates can positively influence the dielectric losses and the leakage, as for example the nature of the electrodes, the introduction of thick insulating layers at the laminate/electrode interfaces and the modification of the total laminate thickness. The optimization of the dielectric stack leads to the demonstration of a capacitor with an apparent dielectric constant of 90, combined with low dielectric loss (tan δ) of 7 · 10-2 and with leakage currents smaller than 1  ×  10-6 A cm-2 at 10 MV m-1.

  16. The electrical and interfacial properties of metal-high-k oxide-semiconductor field effect transistors with CeO2/HfO2 laminated gate dielectrics

    NASA Astrophysics Data System (ADS)

    Chang, Ingram Yin-ku; Chen, Chun-Heng; Chiu, Fu-Chien; Lee, Joseph Ya-min

    2007-11-01

    Metal-oxide-semiconductor field-effect transistors with CeO2/HfO2 laminated gate dielectrics were fabricated. The transistors have a subthreshold slope of 74.9mV/decade. The interfacial properties were measured using gated diodes. The surface state density Dit was 9.78×1011cm-2eV-1. The surface-recombination velocity (s0) and the minority carrier lifetime in the field-induced depletion region (τ0,FIJ) measured from the gated diode were about 6.11×103cm /s and 1.8×10-8s, respectively. The effective capture cross section of surface state (σs) extracted using the subthreshold-swing measurement and the gated diode was about 7.69×10-15cm2. The effective electron mobility of CeO2/HfO2 laminated gated transistors was determined to be 212cm2/Vs.

  17. Accurate characterization and understanding of interface trap density trends between atomic layer deposited dielectrics and AlGaN/GaN with bonding constraint theory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena, E-mail: vmisra@ncsu.edu

    2015-06-15

    Many dielectrics have been proposed for the gate stack or passivation of AlGaN/GaN based metal oxide semiconductor heterojunction field effect transistors, to reduce gate leakage and current collapse, both for power and RF applications. Atomic Layer Deposition (ALD) is preferred for dielectric deposition as it provides uniform, conformal, and high quality films with precise monolayer control of film thickness. Identification of the optimum ALD dielectric for the gate stack or passivation requires a critical investigation of traps created at the dielectric/AlGaN interface. In this work, a pulsed-IV traps characterization method has been used for accurate characterization of interface traps withmore » a variety of ALD dielectrics. High-k dielectrics (HfO{sub 2}, HfAlO, and Al{sub 2}O{sub 3}) are found to host a high density of interface traps with AlGaN. In contrast, ALD SiO{sub 2} shows the lowest interface trap density (<2 × 10{sup 12 }cm{sup −2}) after annealing above 600 °C in N{sub 2} for 60 s. The trend in observed trap densities is subsequently explained with bonding constraint theory, which predicts a high density of interface traps due to a higher coordination state and bond strain in high-k dielectrics.« less

  18. Low-voltage organic thin film transistors (OTFTs) using crosslinked polyvinyl alcohol (PVA)/neodymium oxide (Nd2O3) bilayer gate dielectrics

    NASA Astrophysics Data System (ADS)

    Khound, Sagarika; Sarma, Ranjit

    2018-01-01

    We have reported here on the design, processing and dielectric properties of pentacene-based organic thin film transitors (OTFTs) with a bilayer gate dilectrics of crosslinked PVA/Nd2O3 which enables low-voltage organic thin film operations. The dielectric characteristics of PVA/Nd2O3 bilayer films are studied by capacitance-voltage ( C- V) and current-voltage ( I- V) curves in the metal-insulator-metal (MIM) structure. We have analysed the output electrical responses and transfer characteristics of the OTFT devices to determine their performance of OTFT parameters. The mobility of 0.94 cm2/Vs, the threshold voltage of - 2.8 V, the current on-off ratio of 6.2 × 105, the subthreshold slope of 0.61 V/decade are evaluated. Low leakage current of the device is observed from current density-electric field ( J- E) curve. The structure and the morphology of the device are studied using X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The study demonstrates an effective way to realize low-voltage, high-performance OTFTs at low cost.

  19. Fabrication of amorphous InGaZnO thin-film transistor with solution processed SrZrO3 gate insulator

    NASA Astrophysics Data System (ADS)

    Takahashi, Takanori; Oikawa, Kento; Hoga, Takeshi; Uraoka, Yukiharu; Uchiyama, Kiyoshi

    2017-10-01

    In this paper, we describe a method of fabrication of thin film transistors (TFTs) with high dielectric constant (high-k) gate insulator by a solution deposition. We chose a solution processed SrZrO3 as a gate insulator material, which possesses a high dielectric constant of 21 with smooth surface. The IGZO-TFT with solution processed SrZrO3 showed good switching property and enough saturation features, i.e. field effect mobility of 1.7cm2/Vs, threshold voltage of 4.8V, sub-threshold swing of 147mV/decade, and on/off ratio of 2.3×107. Comparing to the TFTs with conventional SiO2 gate insulator, the sub-threshold swing was improved by smooth surface and high field effect due to the high dielectric constant of SrZrO3. These results clearly showed that use of solution processed high-k SrZrO3 gate insulator could improve sub-threshold swing. In addition, the residual carbon originated from organic precursors makes TFT performances degraded.

  20. Fully patterned p-channel SnO TFTs using transparent Al2O3 gate insulator and ITO as source and drain contacts

    NASA Astrophysics Data System (ADS)

    Guzmán-Caballero, D. E.; Quevedo-López, M. A.; De la Cruz, W.; Ramírez-Bon, R.

    2018-03-01

    SnO p-type was used as active layer to fabricate thin film transistors (TFTs) through photolithography and dry etching processes. The SnO p-type thin films (25 nm) were deposited by DC reactive sputtering with variable oxygen (O2) flow rate to then be annealed in air at 250 ◦C. Al2O3 gate dielectric (15 nm) was deposited by atomic layer deposition. Hall measurements showed p-type carrier concentration (N h ) of around 1 × 1018 cm-3 and Hall mobilities (μ Hall) between 0.35 and 2.64 cm2 V-1 s-1, depending on the O2 flow rate during deposition. The hole transport was dominated by variable-range hopping conduction. A change in the preferred crystalline orientation in the SnO films from (101) to (110) was associated with the increase in μ Hall. In addition, Raman vibrational modes at 110 and 209 cm-1 of polycrystalline SnO films showed certain dependence with the grain orientation. The SnO-based TFTs showed p-type behavior with low threshold voltages (V T ) and low sub threshold swing (SS) in the range from 1.76 to 3.50 V and 1.63 to 3.24 V/dec., respectively. The TFTs mobilities in the saturation regime (μ sat) were in the range of 0.12 and 1.32 cm2 V-1 s-1. The current on/off ratio (I ON/I OFF) was in the order of 102, approximately. The large values of the interface trap density (D IT) contributed to the high I OFF and the low I ON/I OFF of the TFTs.

  1. A Grand Challenge for CMOS Scaling: Alternate Gate Dielectrics

    NASA Astrophysics Data System (ADS)

    Wallace, Robert M.

    2001-03-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.13 um complementary metal oxide semiconductor (CMOS) technology. The prospect of replacing SiO2 is a formidable task because the alternate gate dielectric must provide many properties that are, at a minimum, comparable to those of SiO2 yet with a much higher permittivity. A systematic examination of the required performance of gate dielectrics suggests that the key properties to consider in the selection an alternative gate dielectric candidate are (a) permittivity, band gap and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. We will review the performance requirements for materials associated with CMOS scaling, the challenges associated with these requirements, and the state-of-the-art in current research for alternate gate dielectrics. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  2. Atomic layer deposition of dielectrics on graphene using reversibly physisorbed ozone.

    PubMed

    Jandhyala, Srikar; Mordi, Greg; Lee, Bongki; Lee, Geunsik; Floresca, Carlo; Cha, Pil-Ryung; Ahn, Jinho; Wallace, Robert M; Chabal, Yves J; Kim, Moon J; Colombo, Luigi; Cho, Kyeongjae; Kim, Jiyoung

    2012-03-27

    Integration of graphene field-effect transistors (GFETs) requires the ability to grow or deposit high-quality, ultrathin dielectric insulators on graphene to modulate the channel potential. Here, we study a novel and facile approach based on atomic layer deposition through ozone functionalization to deposit high-κ dielectrics (such as Al(2)O(3)) without breaking vacuum. The underlying mechanisms of functionalization have been studied theoretically using ab initio calculations and experimentally using in situ monitoring of transport properties. It is found that ozone molecules are physisorbed on the surface of graphene, which act as nucleation sites for dielectric deposition. The physisorbed ozone molecules eventually react with the metal precursor, trimethylaluminum to form Al(2)O(3). Additionally, we successfully demonstrate the performance of dual-gated GFETs with Al(2)O(3) of sub-5 nm physical thickness as a gate dielectric. Back-gated GFETs with mobilities of ~19,000 cm(2)/(V·s) are also achieved after Al(2)O(3) deposition. These results indicate that ozone functionalization is a promising pathway to achieve scaled gate dielectrics on graphene without leaving a residual nucleation layer. © 2012 American Chemical Society

  3. Terahertz characterization of Y2O3-added AlN ceramics

    NASA Astrophysics Data System (ADS)

    Kang, Seung Beom; Chung, Dong Chul; Kim, Sung-Jin; Chung, Jun-Ki; Park, Sang-Yeup; Kim, Ki-Chul; Kwak, Min Hwan

    2016-12-01

    Terahertz optical and dielectric properties of AlN ceramics fabricated by hot pressed sintering are investigated by THz time-domain spectroscopy in the frequency range of 0.2-3.5 THz. The measured properties of the pure AlN ceramic are compared with those of Y2O3-added AlN ceramic. Two prominent resonance modes, which are essentially responsible for the dielectric properties of the Y2O3-added AlN in terahertz regime, are characterized at ωTO1/(2π) = 2.76 THz (92 cm-1) and ωTO2/(2π) = 18.2 THz (605 cm-1) and are well described by the pseudo-harmonic oscillator model through theoretical fitting. The resonance ωTO1 at 2.76 THz is proposed to be due to the formation of a YAG (Y3Al5O12) secondary phase in Y2O3-added AlN ceramic. From the experimental results, good correlation is observed between the prominent peak of YAG secondary phase at 2.76 THz and thermal conductivity. Additionally, there is a high correlation between densification and refractive index of AlN ceramics fabricated by hot pressed sintering.

  4. Highly Mobile Two-Dimensional Electron Gases with a Strong Gating Effect at the Amorphous LaAlO3/KTaO3 Interface.

    PubMed

    Zhang, Hui; Zhang, Hongrui; Yan, Xi; Zhang, Xuejing; Zhang, Qinghua; Zhang, Jing; Han, Furong; Gu, Lin; Liu, Banggui; Chen, Yuansha; Shen, Baogen; Sun, Jirong

    2017-10-18

    Two-dimensional electron gas (2DEG) at the perovskite oxide interface exhibits a lot of exotic properties, presenting a promising platform for the exploration of emergent phenomena. While most of the previous works focused on SrTiO 3 -based 2DEG, here we report on the fabrication of high-quality 2DEGs by growing an amorphous LaAlO 3 layer on a (001)-orientated KTaO 3 substrate, which is a 5d metal oxide with a polar surface, at a high temperature that is usually adopted for crystalline LaAlO 3 . Metallic 2DEGs with a Hall mobility as high as ∼2150 cm 2 /(V s) and a sheet carrier density as low as 2 × 10 12 cm -2 are obtained. For the first time, the gating effect on the transport process is studied, and its influence on spin relaxation and inelastic and elastic scattering is determined. Remarkably, the spin relaxation time can be strongly tuned by a back gate. It is reduced by a factor of ∼69 while the gate voltage is swept from -25 to +100 V. The mechanism that dominates the spin relaxation is elucidated.

  5. Top-gated field-effect LaAlO{sub 3}/SrTiO{sub 3} devices made by ion-irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hurand, S.; Jouan, A.; Feuillet-Palma, C.

    2016-02-01

    We present a method to fabricate top-gated field-effect devices in a LaAlO{sub 3}/SrTiO{sub 3} two-dimensional electron gas (2-DEG). Prior to the gate deposition, the realisation of micron size conducting channels in the 2-DEG is achieved by an ion-irradiation with high-energy oxygen ions. After identifying the ion fluence as the key parameter that determines the electrical transport properties of the channels, we demonstrate the field-effect operation. At low temperature, the normal state resistance and the superconducting T{sub c} can be tuned over a wide range by a top-gate voltage without any leakage. A superconductor-to-insulator quantum phase transition is observed for amore » strong depletion of the 2-DEG.« less

  6. Dependence of Pentacene Crystal Growth on Dielectric Roughness for Fabrication of Flexible Field-Effect Transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, H.; Yang, C; Kim, S

    2010-01-01

    The dependence of pentacene nanostructures on gate dielectric surfaces were investigated for flexible organic field-effect transistor (OFET) applications. Two bilayer types of polymer/aluminum oxide (Al{sub 2}O{sub 3}) gate dielectrics were fabricated on commercial Al foils laminated onto a polymer back plate. Some Al foils were directly used as gate electrodes, and others were smoothly polished by an electrolytic etching. These Al surfaces were then anodized and coated with poly({alpha}-methyl styrene) (PAMS). For PAMS/Al{sub 2}O{sub 3} dielectrics onto etched Al foils, surface roughness up to 1 nm could be reached, although isolated dimples with a lateral diameter of several micrometers weremore » still present. On PAMS/Al{sub 2}O{sub 3} dielectrics (surface roughness >40 nm) containing mechanical grooves of Al foil, average hole mobility ({mu}FET) of 50 nm thick pentacene-FETs under the low operating voltages (|V| < 6 V) was {approx}0.15 cm{sup 2} V{sup -1} s{sup -1}. In contrast, pentacene-FETs employing the etched Al gates exhibited {mu}FET of 0.39 cm{sup 2} V{sup -1} s{sup -1}, which was comparable to that of reference samples with PAMS/Al{sub 2}O{sub 3} dielectrics onto flat sputtered Al gates. Conducting-probe atomic force microscopy and two-dimensional X-ray diffraction of pentacene films with various thicknesses revealed different out-of-plane and in-plane crystal orderings of pentacene, depending on the surface roughness of the gate dielectrics.« less

  7. Structural and Electrical Characterization of SiO2 Gate Dielectrics Deposited from Solutions at Moderate Temperatures in Air.

    PubMed

    Esro, Mazran; Kolosov, Oleg; Jones, Peter J; Milne, William I; Adamopoulos, George

    2017-01-11

    Silicon dioxide (SiO 2 ) is the most widely used dielectric for electronic applications. It is usually produced by thermal oxidation of silicon or by using a wide range of vacuum-based techniques. By default, the growth of SiO 2 by thermal oxidation of silicon requires the use of Si substrates whereas the other deposition techniques either produce low quality or poor interface material and mostly require high deposition or annealing temperatures. Recent investigations therefore have focused on the development of alternative deposition paradigms based on solutions. Here, we report the deposition of SiO 2 thin film dielectrics deposited by spray pyrolysis in air at moderate temperatures of ≈350 °C from pentane-2,4-dione solutions of SiCl 4 . SiO 2 dielectrics were investigated by means of UV-vis absorption spectroscopy, spectroscopic ellipsometry, XPS, XRD, UFM/AFM, admittance spectroscopy, and field-effect measurements. Data analysis reveals smooth (R RMS < 1 nm) amorphous films with a dielectric constant of about 3.8, an optical band gap of ≈8.1 eV, leakage current densities in the order of ≈10 -7 A/cm 2 at 1 MV/cm, and high dielectric strength in excess of 5 MV/cm. XPS measurements confirm the SiO 2 stoichiometry and FTIR spectra reveal features related to SiO 2 only. Thin film transistors implementing spray-coated SiO 2 gate dielectrics and C 60 and pentacene semiconducting channels exhibit excellent transport characteristics, i.e., negligible hysteresis, low leakage currents, high on/off current modulation ratio on the order of 10 6 , and high carrier mobility.

  8. Magneto-transport study of top- and back-gated LaAlO{sub 3}/SrTiO{sub 3} heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, W., E-mail: W.Liu@unige.ch; Gariglio, S.; Fête, A.

    2015-06-01

    We report a detailed analysis of magneto-transport properties of top- and back-gated LaAlO{sub 3}/SrTiO{sub 3} heterostructures. Efficient modulation in magneto-resistance, carrier density, and mobility of the two-dimensional electron liquid present at the interface is achieved by sweeping top and back gate voltages. Analyzing those changes with respect to the carrier density tuning, we observe that the back gate strongly modifies the electron mobility while the top gate mainly varies the carrier density. The evolution of the spin-orbit interaction is also followed as a function of top and back gating.

  9. Effect of atomic layer deposition temperature on current conduction in Al2O3 films formed using H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Kawarada, Hiroshi

    2016-08-01

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al2O3 films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al2O3 metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO2 capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al2O3 capacitors are found to outperform the SiO2 capacitors in the cases where the capacitors are negatively biased and the gate material is adequately selected to reduce virtual dipoles at the gate/Al2O3 interface. The Al2O3 electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al2O3 capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al2O3. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al2O3 capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al2O3/underlying SiO2 interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al2O3 films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering, a 450 °C ALD process is presently the most promising technology for growing high-reliability Al2O3 films.

  10. High kappa Dielectrics on InGaAs and GaN - Growth, Interfacial Structural Studies, and Surface Fermi Level Unpinning

    DTIC Science & Technology

    2011-04-20

    ALD-Al2O3 and in-situ MBE-Al2O3/ Ga2O3 (Gd2O3) [GGO] as the gate dielectrics. The advances of the InGaAs MOSFETs achieved will enable future CMOS...and GaN MOSFETs:  High-performance self-aligned inversion-channel In0.53Ga0.47As and In0.75Ga0.25As MOSFET’s with Al2O3/ Ga2O3 (Gd2O3) as gate... Ga2O3 (Gd2O3) as gate dielectrics Key accomplishments in devices of 1m gate length: High drain current of 1.23 mA/m High transcoductance of 714

  11. Deposition temperature dependent optical and electrical properties of ALD HfO{sub 2} gate dielectrics pretreated with tetrakisethylmethylamino hafnium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, J.; School of Sciences, Anhui University of Science and Technology, Huainan 232001; He, G., E-mail: hegang@ahu.edu.cn

    2015-10-15

    Highlights: • ALD-derived HfO{sub 2} gate dielectrics have been deposited on Si substrates. • The leakage current mechanism for different deposition temperature was discussed. • Different emission at different field region has been determined precisely. - Abstract: The effect of deposition temperature on the growth rate, band gap energy and electrical properties of HfO{sub 2} thin film deposited by atomic layer deposition (ALD) has been investigated. By means of characterization of spectroscopy ellipsometry and ultraviolet–visible spectroscopy, the growth rate and optical constant of ALD-derived HfO{sub 2} gate dielectrics are determined precisely. The deposition temperature dependent electrical properties of HfO{sub 2}more » films were determined by capacitance–voltage (C–V) and leakage current density–voltage (J–V) measurements. The leakage current mechanism for different deposition temperature has been discussed systematically. As a result, the optimized deposition temperature has been obtained to achieve HfO{sub 2} thin film with high quality.« less

  12. Electrical characterization of 4H-SiC metal-oxide-semiconductor structure with Al2O3 stacking layers as dielectric

    NASA Astrophysics Data System (ADS)

    Chang, P. K.; Hwu, J. G.

    2018-02-01

    Interface defects and oxide bulk traps conventionally play important roles in the electrical performance of SiC MOS device. Introducing the Al2O3 stack grown by repeated anodization of Al films can notably lower the leakage current in comparison to the SiO2 structure, and enhance the minority carrier response at low frequency when the number of Al2O3 layers increase. In addition, the interface quality is not deteriorated by the stacking of Al2O3 layers because the stacked Al2O3 structure grown by anodization possesses good uniformity. In this work, the capacitance equivalent thickness (CET) of stacking Al2O3 will be up to 19.5 nm and the oxidation process can be carried out at room temperature. For the Al2O3 gate stack with CET 19.5 nm on n-SiC substrate, the leakage current at 2 V is 2.76 × 10-10 A/cm2, the interface trap density at the flatband voltage is 3.01 × 1011 eV-1 cm-2, and the effective breakdown field is 11.8 MV/cm. Frequency dispersion and breakdown characteristics may thus be improved as a result of the reduction in trap density. The Al2O3 stacking layers are capable of maintaining the leakage current as low as possible even after constant voltage stress test, which will further ameliorate reliability characteristics.

  13. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure.

    PubMed

    Chen, Szu-Hung; Liao, Wen-Shiang; Yang, Hsin-Chia; Wang, Shea-Jue; Liaw, Yue-Gie; Wang, Hao; Gu, Haoshuang; Wang, Mu-Chun

    2012-08-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal-semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials.

  14. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure

    PubMed Central

    2012-01-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal–semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials. PMID:22853458

  15. Low temperature solution processed high-κ ZrO2 gate dielectrics for nanoelectonics

    NASA Astrophysics Data System (ADS)

    Kumar, Arvind; Mondal, Sandip; Rao, K. S. R. Koteswara

    2016-05-01

    The high-κ gate dielectrics, specifically amorphous films offer salient features such as exceptional mechanical flexibility, smooth surfaces and better uniformity associated with low leakage current density. In this work, ∼35 nm thick amorphous ZrO2 films were deposited on silicon substrate at low temperature (300 °C, 1 h) from facile spin-coating method and characterized by various analytical techniques. The X-ray diffraction and X-ray photoelectron spectroscopy reveal the formation of amorphous phase ZrO2, while ellipsometry analysis together with the Atomic Force Microscope suggest the formation of dense film with surface roughness of 1.5 Å, respectively. The fabricated films were integrated in metal-oxide-semiconductor (MOS) structures to check the electrical capabilities. The oxide capacitance (Cox), flat band capacitance (CFB), flat band voltage (VFB), dielectric constant (κ) and oxide trapped charges (Qot) extracted from high frequency (1 MHz) C-V curve are 186 pF, 104 pF, 0.37 V, 15 and 2 × 10-11 C, respectively. The small flat band voltage 0.37 V, narrow hysteresis and very little frequency dispersion between 10 kHz-1 MHz suggest an excellent a-ZrO2/Si interface with very less trapped charges in the oxide. The films exhibit a low leakage current density 4.7 × 10-9 A/cm2 at 1 V. In addition, the charge transport mechanism across the MOSC is analyzed and found to have a strong bias dependence. The space charge limited conduction mechanism is dominant in the high electric field region (1.3-5 V) due to the presence of traps, while the trap-supported tunneling is prevailed in the intermediate region (0.35-1.3 V). Low temperature solution processed ZrO2 thin films obtained are of high quality and find their importance as a potential dielectric layer on Si and polymer based flexible electronics.

  16. InP MOS capacitor and E-mode n-channel FET with ALD Al2O3-based high- k dielectric

    NASA Astrophysics Data System (ADS)

    Yen, Chih-Feng; Yeh, Min-Yen; Chong, Kwok-Keung; Hsu, Chun-Fa; Lee, Ming-Kwei

    2016-07-01

    The electrical characteristics of atomic-layer-deposited Al2O3/TiO2/Al2O3 on (NH4)2S-treated InP MOS capacitor and related MOSFET were studied. The electrical characteristics were improved from the reduction of native oxides and sulfur passivation on InP by (NH4)2S treatment. The high bandgap Al2O3 on TiO2 can reduce the thermionic emission, and the Al2O3 under TiO2 improves the interface-state density by self-cleaning. The high dielectric constant TiO2 is used to lower the equivalent oxide thickness. The leakage currents can reach 2.3 × 10-8 and 2.2 × 10-7 A/cm2 at ±2 MV/cm, respectively. The lowest interface-state density is 4.6 × 1011 cm-2 eV-1 with a low-frequency dispersion of 15 %. The fabricated enhancement-mode n-channel sulfur-treated InP MOSFET exhibits good electrical characteristics with a maximum transconductance of 146 mS/mm and effective mobility of 1760 cm2/V s. The subthreshold swing and threshold voltage are 117 mV/decade and 0.44 V, respectively.

  17. Temperature-dependent field-effect carrier mobility in organic thin-film transistors with a gate SiO2 dielectric modified by H2O2 treatment

    NASA Astrophysics Data System (ADS)

    Lin, Yow-Jon; Hung, Cheng-Chun

    2018-02-01

    The effect of the modification of a gate SiO2 dielectric using an H2O2 solution on the temperature-dependent behavior of carrier transport for pentacene-based organic thin-film transistors (OTFTs) is studied. H2O2 treatment leads to the formation of Si(-OH) x (i.e., the formation of a hydroxylated layer) on the SiO2 surface that serves to reduce the SiO2 capacitance and weaken the pentacene-SiO2 interaction, thus increasing the field-effect carrier mobility ( µ) in OTFTs. The temperature-dependent behavior of carrier transport is dominated by the multiple trapping model. Note that H2O2 treatment leads to a reduction in the activation energy. The increased value of µ is also attributed to the weakening of the interactions of the charge carriers with the SiO2 dielectric that serves to reduce the activation energy.

  18. Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN: Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, D.; Hossain, T.; Nepal, N.

    2014-02-01

    Our study compares the physical, chemical and electrical properties of Al 2O 3 thin films deposited on gallium polar c- and nonpolar m -plane GaN substrates by atomic layer deposition (ALD). Correlations were sought between the film's structure, composition, and electrical properties. The thickness of the Al 2O 3 films was 19.2 nm as determined from a Si witness sample by spectroscopic ellipsometry. We measured the gate dielectric was slightly aluminum-rich (Al:O=1:1.3) from X-ray photoelectron spectroscopy (XPS) depth profile, and the oxide-semiconductor interface carbon concentration was lower on c -plane GaN. The oxide's surface morphology was similar on both substrates,more » but was smoothest on c -plane GaN as determined by atomic force microscopy (AFM). Circular capacitors (50-300 μm diameter) with Ni/Au (20/100 nm) metal contacts on top of the oxide were created by standard photolithography and e-beam evaporation methods to form metal-oxide-semiconductor capacitors (MOSCAPs). Moreover, the alumina deposited on c -plane GaN showed less hysteresis (0.15 V) than on m -plane GaN (0.24 V) in capacitance-voltage (CV) characteristics, consistent with its better quality of this dielectric as evidenced by negligible carbon contamination and smooth oxide surface. These results demonstrate the promising potential of ALD Al 2O 3 on c -plane GaN, but further optimization of ALD is required to realize the best properties of Al 2O 3 on m -plane GaN.« less

  19. Growth and characterization of Al2O3 films on fluorine functionalized epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Robinson, Zachary R.; Jernigan, Glenn G.; Wheeler, Virginia D.; Hernández, Sandra C.; Eddy, Charles R.; Mowll, Tyler R.; Ong, Eng Wen; Ventrice, Carl A.; Geisler, Heike; Pletikosic, Ivo; Yang, Hongbo; Valla, Tonica

    2016-08-01

    Intelligent engineering of graphene-based electronic devices on SiC(0001) requires a better understanding of processes used to deposit gate-dielectric materials on graphene. Recently, Al2O3 dielectrics have been shown to form conformal, pinhole-free thin films by functionalizing the top surface of the graphene with fluorine prior to atomic layer deposition (ALD) of the Al2O3 using a trimethylaluminum (TMA) precursor. In this work, the functionalization and ALD-precursor adsorption processes have been studied with angle-resolved photoelectron spectroscopy, low energy electron diffraction, and X-ray photoelectron spectroscopy. It has been found that the functionalization process has a negligible effect on the electronic structure of the graphene, and that it results in a twofold increase in the adsorption of the ALD-precursor. In situ TMA-dosing and XPS studies were also performed on three different Si(100) substrates that were terminated with H, OH, or dangling Si-bonds. This dosing experiment revealed that OH is required for TMA adsorption. Based on those data along with supportive in situ measurements that showed F-functionalization increases the amount of oxygen (in the form of adsorbed H2O) on the surface of the graphene, a model for TMA-adsorption on graphene is proposed that is based on a reaction of a TMA molecule with OH.

  20. Hydrogen sensors based on Sc2O3/AlGaN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Kang, B. S.; Mehandru, R.; Kim, S.; Ren, F.; Fitch, R. C.; Gillespie, J. K.; Moser, N.; Jessen, G.; Jenkins, T.; Dettmer, R.; Via, D.; Crespo, A.; Baik, K. H.; Gila, B. P.; Abernathy, C. R.; Pearton, S. J.

    2005-05-01

    Pt contacted AlGaN/GaN high electron mobility transistors with Sc2O3 gate dielectrics show reversible changes in drain-source current upon exposure to H2-containing ambients, even at room temperature. The changes in current (as high as 3 mA for relatively low gate voltage and drain-source voltage at 25 °C for the HEMTs and a change in forward current of 40 μA at a bias of 2.5 V was obtained for the MOS-diodes in response to a change in ambient from pure N2 to 10% H2/90% N2. The current changes in the latter case are almost linearly proportional to the testing temperature and reach around 400 μA at 400 °C. These signals are approximately an order of magnitude larger than for Pt /GaN Schottky diodes and a factor of 5 larger than Sc2O3/AlGaN/GaN metal-oxide semiconductor (MOS) diodes exposed under the same conditions. This shows the advantage of using a transistor structure in which the gain produces larger current changes upon exposure to hydrogen-containing ambients. The increase in current is the result of a decrease in effective barrier height of the MOS gate of 30-50 mV at 25 °C for 10%H2/90%N2 ambients relative to pure N2 and is due to catalytic dissociation of the H2 on the Pt contact, followed by diffusion to the Sc2O3/AlGaN interface.

  1. Miscibility of amorphous ZrO2-Al2O3 binary alloy

    NASA Astrophysics Data System (ADS)

    Zhao, C.; Richard, O.; Bender, H.; Caymax, M.; De Gendt, S.; Heyns, M.; Young, E.; Roebben, G.; Van Der Biest, O.; Haukka, S.

    2002-04-01

    Miscibility is a key factor for maintaining the homogeneity of the amorphous structure in a ZrO2-Al2O3 binary alloy high-k dielectric layer. In the present work, a ZrO2/Al2O3 laminate thin layer has been prepared by atomic layer chemical vapor deposition on a Si (100) wafer. This layer, with artificially induced inhomogeneity (lamination), enables one to study the change in homogeneity of the amorphous phase in the ZrO2/Al2O3 system during annealing. High temperature grazing incidence x-ray diffraction (HT-XRD) was used to investigate the change in intensity of the constructive interference peak of the x-ray beams which are reflected from the interfaces of ZrO2/Al2O3 laminae. The HT-XRD spectra show that the intensity of the peak decreases with an increase in the anneal temperature, and at 800 °C, the peak disappears. The same samples were annealed by a rapid thermal process (RTP) at temperatures between 700 and 1000 °C for 60 s. Room temperature XRD of the RTP annealed samples shows a similar decrease in peak intensity. Transmission electronic microscope images confirm that the laminate structure is destroyed by RTP anneals and, just below the crystallization onset temperature, a homogeneous amorphous ZrAlxOy phase forms. The results demonstrate that the two artificially separated phases, ZrO2 and Al2O3 laminae, tend to mix into a homogeneous amorphous phase before crystallization. This observation indicates that the thermal stability of ZrO2-Al2O3 amorphous phase is suitable for high-k applications.

  2. The effect of Cr2O3 doping on structures and dielectric constants of SiO2-Bi2O3-B2O3-Na2CO3 glass based on silica gel of natural sand

    NASA Astrophysics Data System (ADS)

    Diantoro, M.; Zaini, M. B.; Muniroh, Z.; Nasikhudin; Hidayat, A.

    2017-05-01

    One of the abundant natural resources along the coastal lines of Indonesia is silica sand. One of the beaches which has a lot of silica content is Bancar-Tuban beach. Silica can be used as a raw material of glass that has multiple properties in optic, dielectric, and other physical properties by introducing specific dopants. Some oxides have been used as dopant e.g. Al2O3, Fe3O4, and NiO. However, there has not been any comprehensive study discussing the multiple properties of natural silica-sand-based glass with Cr2O3 dopant so far. A series of samples have been prepared, which mean two solid steps to state melting technique. Cr2O3 was selected as a dopant due to its potential to control its color and to increase the dielectric constant of the glass. The synthesis of silica (SiO2) sand from BancarTuban beach was conducted through the sol-gel process. The composition varied as the addition of Cr2O3on 50SiO2-25B2O3-(6.5-x) Bi2O3-18.5Na2CO3-xCr2O3 (x = 0, 0.02, 0.04, 0.06 and 0.08mol), later called SBBN glass. The samples’ characterizations of the structure and morphology were conducted through the use of XRD, and SEM-EDX. The measurements were done by using a DC capacitance meter in order to investigate the dielectric properties of the sample, under the influence of light. It is shown that addition of Cr2O3 did not alter the crystal structure but changed the structure of the functional bond formation. It is also revealed that the dielectric constant increased along with the increasing of Cr2O3. An interesting result was that the dielectric constant of the glass was quantized decreasingly as the increase of light.

  3. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  4. Nanostructured bilayer anodic TiO2/Al2O3 metal-insulator-metal capacitor.

    PubMed

    Karthik, R; Kannadassan, D; Baghini, Maryam Shojaei; Mallick, P S

    2013-10-01

    This paper presents the fabrication of high performance bilayer TiO2/Al2O3 Metal-Insulator-Metal capacitor using anodization technique. A high capacitance density of 7 fF/microm2, low quadratic voltage coefficient of capacitance of 150 ppm/V2 and a low leakage current density of 9.1 nA/cm2 at 3 V are achieved which are suitable for Analog and Mixed signal applications. The influence of anodization voltage on structural and electrical properties of dielectric stack is studied in detail. At higher anodization voltages, we have observed the transformation of amorphous to crystalline state of TiO2/Al2O3 and improvement of electrical properties.

  5. Physical understanding of trends in current collapse with atomic layer deposited dielectrics in AlGaN/GaN MOS heterojunction FETs

    NASA Astrophysics Data System (ADS)

    Ramanan, Narayanan; Lee, Bongmook; Misra, Veena

    2016-03-01

    Many passivation dielectrics are pursued for suppressing current collapse due to trapping/detrapping of access-region surface traps in AlGaN/GaN based metal oxide semiconductor heterojuction field effect transistors (MOS-HFETs). The suppression of current collapse can potentially be achieved either by reducing the interaction of surface traps with the gate via surface leakage current reduction, or by eliminating surface traps that can interact with the gate. But, the latter is undesirable since a high density of surface donor traps is required to sustain a high 2D electron gas density at the AlGaN/GaN heterointerface and provide a low ON-resistance. This presents a practical trade-off wherein a passivation dielectric with the optimal surface trap characteristics and minimal surface leakage is to be chosen. In this work, we compare MOS-HFETs fabricated with popular ALD gate/passivation dielectrics like SiO2, Al2O3, HfO2 and HfAlO along with an additional thick plasma-enhanced chemical vapor deposition SiO2 passivation. It is found that after annealing in N2 at 700 °C, the stack containing ALD HfAlO provides a combination of low surface leakage and a high density of shallow donor traps. Physics-based TCAD simulations confirm that this combination of properties helps quick de-trapping and minimal current collapse along with a low ON resistance.

  6. Effect of an Interfacial Layer on Electron Tunneling through Atomically Thin Al2O3 Tunnel Barriers.

    PubMed

    Wilt, Jamie; Sakidja, Ridwan; Goul, Ryan; Wu, Judy Z

    2017-10-25

    Electron tunneling through high-quality, atomically thin dielectric films can provide a critical enabling technology for future microelectronics, bringing enhanced quantum coherent transport, fast speed, small size, and high energy efficiency. A fundamental challenge is in controlling the interface between the dielectric and device electrodes. An interfacial layer (IL) will contain defects and introduce defects in the dielectric film grown atop, preventing electron tunneling through the formation of shorts. In this work, we present the first systematic investigation of the IL in Al 2 O 3 dielectric films of 1-6 Å's in thickness on an Al electrode. We integrated several advanced approaches: molecular dynamics to simulate IL formation, in situ high vacuum sputtering atomic layer deposition (ALD) to synthesize Al 2 O 3 on Al films, and in situ ultrahigh vacuum scanning tunneling spectroscopy to probe the electron tunneling through the Al 2 O 3 . The IL had a profound effect on electron tunneling. We observed a reduced tunnel barrier height and soft-type dielectric breakdown which indicate that defects are present in both the IL and in the Al 2 O 3 . The IL forms primarily due to exposure of the Al to trace O 2 and/or H 2 O during the pre-ALD heating step of fabrication. As the IL was systematically reduced, by controlling the pre-ALD sample heating, we observed an increase of the ALD Al 2 O 3 barrier height from 0.9 to 1.5 eV along with a transition from soft to hard dielectric breakdown. This work represents a key step toward the realization of high-quality, atomically thin dielectrics with electron tunneling for the next generation of microelectronics.

  7. Determining oxide trapped charges in Al2O3 insulating films on recessed AlGaN/GaN heterostructures by gate capacitance transients measurements

    NASA Astrophysics Data System (ADS)

    Fiorenza, Patrick; Greco, Giuseppe; Schilirò, Emanuela; Iucolano, Ferdinando; Lo Nigro, Raffaella; Roccaforte, Fabrizio

    2018-05-01

    This letter presents time-dependent gate-capacitance transient measurements (C–t) to determine the oxide trapped charges (N ot) in Al2O3 films deposited on recessed AlGaN/GaN heterostructures. The C–t transients acquired at different temperatures under strong accumulation allowed to accurately monitor the gradual electron trapping, while hindering the re-emission by fast traps that may affect conventional C–V hysteresis measurements. Using this method, an increase of N ot from 2 to 6 × 1012 cm‑2 was estimated between 25 and 150 °C. The electron trapping is ruled by an Arrhenius dependence with an activation energy of 0.12 eV which was associated to points defects present in the Al2O3 films.

  8. The electrical performance and gate bias stability of an amorphous InGaZnO thin-film transistor with HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Wang, Ruo Zheng; Wu, Sheng Li; Li, Xin Yu; Zhang, Jin Tao

    2017-07-01

    In this study, we set out to fabricate an amorphous indium gallium zinc oxide (a-IGZO) thin-film transistor (TFT) with SiNx/HfO2/SiNx (SHS) sandwiched dielectrics. The J-V and C-V of this SHS film were extracted by the Au/p-Si/SHS/Ti structure. At room temperature the a-IGZO with SHS dielectrics showed the following electrical properties: a threshold voltage of 2.9 V, a subthreshold slope of 0.35 V/decade, an on/off current ratio of 3.5 × 107, and a mobility of 12.8 cm2 V-1 s-1. Finally, we tested the influence of gate bias stress on the TFT, and the result showed that the threshold voltage shifted to a positive voltage when applying a positive gate voltage to the TFT.

  9. Atomic-layer-deposited Al2O3 and HfO2 on InAlAs: A comparative study of interfacial and electrical characteristics

    NASA Astrophysics Data System (ADS)

    Wu, Li-Fan; Zhang, Yu-Ming; Lv, Hong-Liang; Zhang, Yi-Men

    2016-10-01

    Al2O3 and HfO2 thin films are separately deposited on n-type InAlAs epitaxial layers by using atomic layer deposition (ALD). The interfacial properties are revealed by angle-resolved x-ray photoelectron spectroscopy (AR-XPS). It is demonstrated that the Al2O3 layer can reduce interfacial oxidation and trap charge formation. The gate leakage current densities are 1.37 × 10-6 A/cm2 and 3.22 × 10-6 A/cm2 at +1 V for the Al2O3/InAlAs and HfO2/InAlAs MOS capacitors respectively. Compared with the HfO2/InAlAs metal-oxide-semiconductor (MOS) capacitor, the Al2O3/InAlAs MOS capacitor exhibits good electrical properties in reducing gate leakage current, narrowing down the hysteresis loop, shrinking stretch-out of the C-V characteristics, and significantly reducing the oxide trapped charge (Q ot) value and the interface state density (D it). Project supported by the National Basic Research Program of China (Grant No. 2010CB327505), the Advanced Research Foundation of China (Grant No. 914xxx803-051xxx111), the National Defense Advance Research Project, China (Grant No. 513xxxxx306), the National Natural Science Foundation of China (Grant No. 51302215), the Scientific Research Program Funded by Shaanxi Provincial Education Department, China (Grant No. 14JK1656), and the Science and Technology Project of Shaanxi Province, China (Grant No. 2016KRM029).

  10. Development of Al2O3 fiber-reinforced Al2O3-based ceramics.

    PubMed

    Tanimoto, Yasuhiro; Nemoto, Kimiya

    2004-09-01

    The purpose of this study was to use a tape casting technique to develop an Al2O3 fiber-reinforced Al2O3-based ceramic material (Al2O3-fiber/Al2O3 composite) into a new type of dental ceramic. The Al2O3-based ceramic used a matrix consisting of 60 wt% Al2O3 powder and 40 wt% SiO2-B2O3 powder. The prepreg sheets of Al2O3-fiber/Al2O3 composite (in which uniaxially aligned Al2O3 fibers were infiltrated with the Al2O3-based matrix) were fabricated continuously using tape casting technique with a doctor blade system. Multilayer preforms of Al2O3-fiber/Al2O3 composite sheets were then sintered at a maximum temperature of 1000 degrees C under an atmospheric pressure in a furnace. The results showed that the shrinkage and bending properties of Al2O3-fiber/Al2O3 composite exceeded those of unreinforced Al2O3--hence demonstrating the positive effects of fiber reinforcement. In conclusion, the tape casting technique has been utilized to successfully develop a new type of dental ceramic material.

  11. Effect of DC bias on dielectric properties of nanocrystalline CuAlO2

    NASA Astrophysics Data System (ADS)

    Prakash, T.; Ramasamy, S.; Murty, B. S.

    2013-03-01

    Grain boundary effect on the room temperature dielectric behavior in mechanically alloyed nanocrystalline CuAlO2 has been investigated using impedance spectroscopy under the applied DC bias voltages 0 V to 4.8 V in a periodic interval of 0.2 V. Analysis of impedance data confirms the existence of double Schottky potential barrier heights ( Φ b ) between two adjacent grains (left and right side) with grain boundary and its influences in dielectric relaxation time ( τ), dielectric constant ( ɛ') and dielectric loss (tan δ) factor. Also, clear evidence on the suppression of Φ b was demonstrated in the higher applied bias voltages with the parameter τ. At equilibrium state, τ is 0.63 ms and it was reduced to 0.13 ms after the 3.2 V applied DC bias. These observed DC bias voltage effects are obeying `brick layer model' and also elucidates Φ b is playing a crucial role in controlling dielectric properties of nanomaterials.

  12. Colossal dielectric permittivity in (Al + Nb) co-doped rutile SnO2 ceramics with low loss at room temperature

    NASA Astrophysics Data System (ADS)

    Song, Yongli; Wang, Xianjie; Zhang, Xingquan; Qi, Xudong; Liu, Zhiguo; Zhang, Lingli; Zhang, Yu; Wang, Yang; Sui, Yu; Song, Bo

    2016-10-01

    The exploration of colossal dielectric permittivity (CP) materials with low dielectric loss in a wide range of frequencies/temperatures continues to attract considerable interest. In this paper, we report CP in (Al + Nb) co-doped rutile SnO2 ceramics with a low dielectric loss at room temperature. Al0.02Nb0.05Sn0.93O2 and Al0.03Nb0.05Sn0.92O2 ceramics exhibit high relative dielectric permittivities (above 103) and low dielectric losses (0.015 < tan δ < 0.1) in a wide range of frequencies and at temperatures from 140 to 400 K. Al doping can effectively modulate the dielectric behavior by increasing the grain and grain boundary resistances. The large differences in the resistance and conductive activation energy of the grains and grain boundaries suggest that the CP in co-doped SnO2 ceramics can be attributed to the internal barrier layer capacitor effect.

  13. Interface Engineering for Atomic Layer Deposited Alumina Gate Dielectric on SiGe Substrates.

    PubMed

    Zhang, Liangliang; Guo, Yuzheng; Hassan, Vinayak Vishwanath; Tang, Kechao; Foad, Majeed A; Woicik, Joseph C; Pianetta, Piero; Robertson, John; McIntyre, Paul C

    2016-07-27

    Optimization of the interface between high-k dielectrics and SiGe substrates is a challenging topic due to the complexity arising from the coexistence of Si and Ge interfacial oxides. Defective high-k/SiGe interfaces limit future applications of SiGe as a channel material for electronic devices. In this paper, we identify the surface layer structure of as-received SiGe and Al2O3/SiGe structures based on soft and hard X-ray photoelectron spectroscopy. As-received SiGe substrates have native SiOx/GeOx surface layers, where the GeOx-rich layer is beneath a SiOx-rich surface. Silicon oxide regrows on the SiGe surface during Al2O3 atomic layer deposition, and both SiOx and GeOx regrow during forming gas anneal in the presence of a Pt gate metal. The resulting mixed SiOx-GeOx interface layer causes large interface trap densities (Dit) due to distorted Ge-O bonds across the interface. In contrast, we observe that oxygen-scavenging Al top gates decompose the underlying SiOx/GeOx, in a selective fashion, leaving an ultrathin SiOx interfacial layer that exhibits dramatically reduced Dit.

  14. Biosensor properties of SOI nanowire transistors with a PEALD Al{sub 2}O{sub 3} dielectric protective layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Popov, V. P., E-mail: popov@isp.nsc.ru; Ilnitskii, M. A.; Zhanaev, E. D.

    2016-05-15

    The properties of protective dielectric layers of aluminum oxide Al{sub 2}O{sub 3} applied to prefabricated silicon-nanowire transistor biochips by the plasma enhanced atomic layer deposition (PEALD) method before being housed are studied depending on the deposition and annealing modes. Coating the natural silicon oxide with a nanometer Al{sub 2}O{sub 3} layer insignificantly decreases the femtomole sensitivity of biosensors, but provides their stability in bioliquids. In deionized water, transistors with annealed aluminum oxide are closed due to the trapping of negative charges of <(1–10) × 10{sup 11} cm{sup −2} at surface states. The application of a positive potential to the substratemore » (V{sub sub} > 25 V) makes it possible to eliminate the negative charge and to perform multiple measurements in liquid at least for half a year.« less

  15. Electrical Performance and Reliability Improvement of Amorphous-Indium-Gallium-Zinc-Oxide Thin-Film Transistors with HfO2 Gate Dielectrics by CF4 Plasma Treatment

    PubMed Central

    Fan, Ching-Lin; Tseng, Fan-Ping; Tseng, Chiao-Yuan

    2018-01-01

    In this work, amorphous indium-gallium-zinc oxide thin-film transistors (a-IGZO TFTs) with a HfO2 gate insulator and CF4 plasma treatment was demonstrated for the first time. Through the plasma treatment, both the electrical performance and reliability of the a-IGZO TFT with HfO2 gate dielectric were improved. The carrier mobility significantly increased by 80.8%, from 30.2 cm2/V∙s (without treatment) to 54.6 cm2/V∙s (with CF4 plasma treatment), which is due to the incorporated fluorine not only providing an extra electron to the IGZO, but also passivating the interface trap density. In addition, the reliability of the a-IGZO TFT with HfO2 gate dielectric has also been improved by the CF4 plasma treatment. By applying the CF4 plasma treatment to the a-IGZO TFT, the hysteresis effect of the device has been improved and the device’s immunity against moisture from the ambient atmosphere has been enhanced. It is believed that the CF4 plasma treatment not only significantly improves the electrical performance of a-IGZO TFT with HfO2 gate dielectric, but also enhances the device’s reliability. PMID:29772767

  16. Limitations of threshold voltage engineering of AlGaN/GaN heterostructures by dielectric interface charge density and manipulation by oxygen plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Lükens, G.; Yacoub, H.; Kalisch, H.; Vescan, A.

    2016-05-01

    The interface charge density between the gate dielectric and an AlGaN/GaN heterostructure has a significant impact on the absolute value and stability of the threshold voltage Vth of metal-insulator-semiconductor (MIS) heterostructure field effect transistor. It is shown that a dry-etching step (as typically necessary for normally off devices engineered by gate-recessing) before the Al2O3 gate dielectric deposition introduces a high positive interface charge density. Its origin is most likely donor-type trap states shifting Vth to large negative values, which is detrimental for normally off devices. We investigate the influence of oxygen plasma annealing techniques of the dry-etched AlGaN/GaN surface by capacitance-voltage measurements and demonstrate that the positive interface charge density can be effectively compensated. Furthermore, only a low Vth hysteresis is observable making this approach suitable for threshold voltage engineering. Analysis of the electrostatics in the investigated MIS structures reveals that the maximum Vth shift to positive voltages achievable is fundamentally limited by the onset of accumulation of holes at the dielectric/barrier interface. In the case of the Al2O3/Al0.26Ga0.74N/GaN material system, this maximum threshold voltage shift is limited to 2.3 V.

  17. Evolution of subband structure with gate-tuning at LaAlO3/SrTiO3 interfaces

    NASA Astrophysics Data System (ADS)

    Tang, Lucas; Smink, Sander; van Heeringen, Linde; Geessinck, Jaap; Rana, Abimanuya; Rastogi, Ankur; Maan, Jan Kees; Brinkman, Alexander; Zeitler, Uli; Hilgenkamp, Hans; McCollam, Alix

    The outstanding characteristic of LaAlO3/SrTiO3 heterostructures is the formation of a high mobility 2D electron gas (2DEG) at the interface. The additional presence of superconductivity, magnetism and large spin-orbit coupling in these systems suggests that strong correlations play an important role in the electronic properties, in contrast to conventional semiconductor-based 2DEGs. Knowledge of the electronic bandstructure, and the interdependence of conduction electron density and properties is therefore essential for our understanding of these materials. We present new results of low temperature transport measurements in a high mobility LaAlO3/SrTiO3-based heterostructure, in magnetic fields up to 33 T. Shubnikov de-Haas oscillations are observed, revealing several subbands with different carrier densities. By application of an electric field in the back gate geometry, the Fermi level is tuned and thus we are able to map the smooth evolution of the subbands and their properties with carrier density. These results are in good agreement with recent theoretical work, such that we can disentangle the complex band structure, and quantify aspects such as Rashba spin-splitting and the mixing of orbital character.

  18. Surface morphological properties of Ag-Al2O3 nanocermet layers using dip-coating technique

    NASA Astrophysics Data System (ADS)

    Muhammad, Nor Adhila; Suhaimi, Siti Fatimah; Zubir, Zuhana Ahmad; Daud, Sahhidan

    2017-12-01

    Ag-Al2O3 nanocermet layer was deposited on Cu coated glass substrate using dip-coating technique. The aim of this study was to observe the surface morphology properties of Ag-Al2O3 nanocermet layers after annealing process at 350°C in H2. The surface morphology of Ag-Al2O3 nanocermet will be characterized by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM) and X-Ray Diffractometer (XRD), respectively. The results show that nearly isolated Ag particles having a large and small size were present in the Al2O3 dielectric matrix after annealing process. The face centered cubic crystalline structure of Ag nanoparticles inclusion in the amorphous alumina dielectric matrix was confirmed using XRD pattern and supported by EDX spectra analysis.

  19. Ambipolar transport in CVD grown MoSe2 monolayer using an ionic liquid gel gate dielectric

    NASA Astrophysics Data System (ADS)

    Ortiz, Deliris N.; Ramos, Idalia; Pinto, Nicholas J.; Zhao, Meng-Qiang; Kumar, Vinayak; Johnson, A. T. Charlie

    2018-03-01

    CVD grown MoSe2 monolayers were electrically characterized at room temperature in a field effect transistor (FET) configuration using an ionic liquid (IL) as the gate dielectric. During the growth, instead of using MoO3 powder, ammonium heptamolybdate was used for better Mo control of the source and sodium cholate added for lager MoSe2 growth areas. In addition, a high specific capacitance (˜7 μF/cm2) IL was used as the gate dielectric to significantly reduce the operating voltage. The device exhibited ambipolar charge transport at low voltages with enhanced parameters during n- and p-FET operation. IL gating thins the Schottky barrier at the metal/semiconductor interface permitting efficient charge injection into the channel and reduces the effects of contact resistance on device performance. The large specific capacitance of the IL was also responsible for a much higher induced charge density compared to the standard SiO2 dielectric. The device was successfully tested as an inverter with a gain of ˜2. Using a common metal for contacts simplifies fabrication of this ambipolar device, and the possibility of radiative recombination of holes and electrons could further extend its use in low power optoelectronic applications.

  20. Threshold voltage control in TmSiO/HfO2 high-k/metal gate MOSFETs

    NASA Astrophysics Data System (ADS)

    Dentoni Litta, E.; Hellström, P.-E.; Östling, M.

    2015-06-01

    High-k interfacial layers have been proposed as a way to extend the scalability of Hf-based high-k/metal gate CMOS technology, which is currently limited by strong degradations in threshold voltage control, channel mobility and device reliability when the chemical oxide (SiOx) interfacial layer is scaled below 0.4 nm. We have previously demonstrated that thulium silicate (TmSiO) is a promising candidate as a high-k interfacial layer, providing competitive advantages in terms of EOT scalability and channel mobility. In this work, the effect of the TmSiO interfacial layer on threshold voltage control is evaluated, showing that the TmSiO/HfO2 dielectric stack is compatible with threshold voltage control techniques commonly used with SiOx/HfO2 stacks. Specifically, we show that the flatband voltage can be set in the range -1 V to +0.5 V by the choice of gate metal and that the effective workfunction of the stack is properly controlled by the metal workfunction in a gate-last process flow. Compatibility with a gate-first approach is also demonstrated, showing that integration of La2O3 and Al2O3 capping layers can induce a flatband voltage shift of at least 150 mV. Finally, the effect of the annealing conditions on flatband voltage is investigated, finding that the duration of the final forming gas anneal can be used as a further process knob to tune the threshold voltage. The evaluation performed on MOS capacitors is confirmed by the fabrication of TmSiO/HfO2/TiN MOSFETs achieving near-symmetric threshold voltages at sub-nm EOT.

  1. Surfactant-assisted morphological studies of α-Al2O3 nanoparticles

    NASA Astrophysics Data System (ADS)

    Shah, Janki; Ranjan, Mukesh; Gupta, Sanjeev K.; Sonvane, Yogesh

    2018-05-01

    The present study deals with the synthesis and characterization of aluminum oxide (Al2O3) nanopowders, it is very useful material as dielectric, ceramic and catalyst. The high-quality nanopowders were obtained by adding surfactants urea and sodium acetate. Further, all characterizations are done for with (urea and sodium acetate) and without surfactant. X-ray diffraction was used to characterize phase formation and the crystallite size of powder while, FTIR gives information about the particle composition and surface intermediates. X-ray diffraction spectra revealed the synthesized nanoparticles phase transformation were γ-Al2O3 to α-Al2O3 phase. Furthermore, the addition of urea and sodium acetate significantly reduced the crystalline size of α-Al2O3 nanoparticles from 43.94 nm to 35.12 nm respectively.

  2. Effect of atomic layer deposition temperature on current conduction in Al{sub 2}O{sub 3} films formed using H{sub 2}O oxidant

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al{sub 2}O{sub 3} films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al{sub 2}O{sub 3} metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO{sub 2} capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al{sub 2}O{sub 3} capacitors are found to outperform the SiO{sub 2} capacitors in the cases where the capacitors are negatively biased andmore » the gate material is adequately selected to reduce virtual dipoles at the gate/Al{sub 2}O{sub 3} interface. The Al{sub 2}O{sub 3} electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al{sub 2}O{sub 3} capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al{sub 2}O{sub 3}. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al{sub 2}O{sub 3} capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al{sub 2}O{sub 3}/underlying SiO{sub 2} interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al{sub 2}O{sub 3} films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering

  3. Medium band gap polymer based solution-processed high-κ composite gate dielectrics for ambipolar OFET

    NASA Astrophysics Data System (ADS)

    Canımkurbey, Betül; Unay, Hande; Çakırlar, Çiğdem; Büyükköse, Serkan; Çırpan, Ali; Berber, Savas; Altürk Parlak, Elif

    2018-03-01

    The authors present a novel ambipolar organic filed-effect transistors (OFETs) composed of a hybrid dielectric thin film of Ta2O5:PMMA nanocomposite material, and solution processed poly(selenophene, benzotriazole and dialkoxy substituted [1,2-b:4, 5-b‧] dithiophene (P-SBTBDT)-based organic semiconducting material as the active layer of the device. We find that the Ta2O5:PMMA insulator shows n-type conduction character, and its combination with the p-type P-SBTBDT organic semiconductor leads to an ambipolar OFET device. Top-gated OFETs were fabricated on glass substrate consisting of interdigitated ITO electrodes. P-SBTBDT-based material was spin coated on the interdigitated ITO electrodes. Subsequently, a solution processed Ta2O5:PMMA nanocomposite material was spin coated, thereby creating the gate dielectric layer. Finally, as a gate metal, an aluminum layer was deposited by thermal evaporation. The fabricated OFETs exhibited an ambipolar performance with good air-stability, high field-induced current and relatively high electron and hole mobilities although Ta2O5:PMMA nanocomposite films have slightly higher leakage current compared to the pure Ta2O5 films. Dielectric properties of the devices with different ratios of Ta2O5:PMMA were also investigated. The dielectric constant varied between 3.6 and 5.3 at 100 Hz, depending on the Ta2O5:PMMA ratio.

  4. Preparation of magnetron sputtered ZrO2 films on Si for gate dielectric application

    NASA Astrophysics Data System (ADS)

    Kondaiah, P.; Mohan Rao, G.; Uthanna, S.

    2012-11-01

    Zirconium oxide (ZrO2) thin films were deposited on to p - Si and quartz substrates by sputtering of zirconium target at an oxygen partial pressure of 4x10-2 Pa and sputter pressure of 0.4 Pa by using DC reactive magnetron sputtering technique. The effect of annealing temperature on structural, optical, electrical and dielectric properties of the ZrO2 films was systematically studied. The as-deposited films were mixed phases of monoclinic and orthorhombic ZrO2. As the annealing temperature increased to 1073 K, the films were transformed in to single phase orthorhombic ZrO2. Fourier transform infrared studies conform the presence of interfacial layer between Si and ZrO2. The optical band gap and refractive index of the as-deposited films were 5.82 eV and 1.81. As the annealing temperature increased to 1073 K the optical band gap and refractive index increased to 5.92 eV and 2.10 respectively. The structural changes were influenced the capacitance-voltage and current-voltage characteristics of Al/ZrO2/p-Si capacitors. The dielectric constant was increased from 11.6 to 24.5 and the leakage current was decreased from 1.65×10-7 to 3.30×10-9 A/ cm2 for the as-deposited and annealed at 1073 K respectively.

  5. Dielectric Properties of BST/(Y 2O 3) x(ZrO 2) 1-x/BST Trilayer Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, Santosh K.; Misra, D.

    2011-01-31

    Thin films of Ba1-xSrxTiO3 (BST) are being actively investigated for applications in dynamic random access memories (DRAM) because of their properties such as high dielectric constant, low leakage current, and high dielectric breakdown strength. Various approaches have been used to improve the dielectric properties of BST thin films such as doping, graded compositions, and multilayer structures. We have found that inserting a ZrO2 layer in between two BST layers results in a significant reduction in dielectric constant as well as dielectric loss. In this work the effect of Y2O3 doped ZrO2 on the dielectric properties of BST/ZrO2/BST trilayer structure ismore » studied. The structure Ba0.8Sr0.2TiO3/(Y2O3)x(ZrO2)1-x/Ba0.8Sr0.2TiO3 is deposited by a sol-gel process on platinized Si substrate. The composition (x) of the middle layer is varied while keeping the total thickness of the trilayer film constant. The dielectric constant of the multilayer film decreases with the increase of Y2O3 amount in the film whereas there is a slight variation in dielectric loss. In Y2O3 doped multilayer thin films, the dielectric loss is lower in comparison to other films and also there is good frequency stability in the loss in the measured frequency range and hence very suitable for microwave device applications.« less

  6. Performance and Stability Enhancement of In-Sn-Zn-O TFTs Using SiO2 Gate Dielectrics Grown by Low Temperature Atomic Layer Deposition.

    PubMed

    Sheng, Jiazhen; Han, Ju-Hwan; Choi, Wan-Ho; Park, Jozeph; Park, Jin-Seong

    2017-12-13

    Silicon dioxide (SiO 2 ) films were synthesized by plasma-enhanced atomic layer deposition (PEALD) using BTBAS [bis(tertiarybutylamino) silane] as the precursor and O 2 plasma as the reactant, at a temperature range from 50 to 200 °C. While dielectric constant values larger than 3.7 are obtained at all deposition temperatures, the leakage current levels are drastically reduced to below 10 -12 A at temperatures above 150 °C, which are similar to those obtained in thermally oxidized and PECVD grown SiO 2 . Thin film transistors (TFTs) based on In-Sn-Zn-O (ITZO) semiconductors were fabricated using thermal SiO 2 , PECVD SiO 2 , and PEALD SiO 2 grown at 150 °C as the gate dielectrics, and superior device performance and stability are observed in the last case. A linear field effect mobility of 68.5 cm 2 /(V s) and a net threshold voltage shift (ΔV th ) of approximately 1.2 V under positive bias stress (PBS) are obtained using the PEALD SiO 2 as the gate insulator. The relatively high concentration of hydrogen in the PEALD SiO 2 is suggested to induce a high carrier density in the ITZO layer deposited onto it, which results in enhanced charge transport properties. Also, it is most likely that the hydrogen atoms have passivated the electron traps related to interstitial oxygen defects, thus resulting in improved stability under PBS. Although the PECVD SiO 2 contains a hydrogen concentration similar to that of PEALD SiO 2 , its relatively large surface roughness appears to induce scattering effects and the generation of electron traps, which result in inferior device performance and stability.

  7. SnO2-gated AlGaN/GaN high electron mobility transistors based oxygen sensors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hung, S.T.; Chung, Chi-Jung; Chen, Chin Ching

    2012-01-01

    Hydrothermally grown SnO2 was integrated with AlGaN/GaN high electron mobility transistor (HEMT) sensor as the gate electrode for oxygen detection. The crystalline of the SnO2 was improved after annealing at 400 C. The grain growth kinetics of the SnO2 nanomaterials, together with the O2 gas sensing properties and sensing mechanism of the SnO2 gated HEMT sensors were investigated. Detection of 1% oxygen in nitrogen at 100 C was possible. A low operation temperature and low power consumption oxygen sensor can be achieved by combining the SnO2 films with the AlGaN/GaN HEMT structure

  8. Field-effect control of superconductivity and Rashba spin-orbit coupling in top-gated LaAlO3/SrTiO3 devices

    PubMed Central

    Hurand, S.; Jouan, A.; Feuillet-Palma, C.; Singh, G.; Biscaras, J.; Lesne, E.; Reyren, N.; Barthélémy, A.; Bibes, M.; Villegas, J. E.; Ulysse, C.; Lafosse, X.; Pannetier-Lecoeur, M.; Caprara, S.; Grilli, M.; Lesueur, J.; Bergeal, N.

    2015-01-01

    The recent development in the fabrication of artificial oxide heterostructures opens new avenues in the field of quantum materials by enabling the manipulation of the charge, spin and orbital degrees of freedom. In this context, the discovery of two-dimensional electron gases (2-DEGs) at LaAlO3/SrTiO3 interfaces, which exhibit both superconductivity and strong Rashba spin-orbit coupling (SOC), represents a major breakthrough. Here, we report on the realisation of a field-effect LaAlO3/SrTiO3 device, whose physical properties, including superconductivity and SOC, can be tuned over a wide range by a top-gate voltage. We derive a phase diagram, which emphasises a field-effect-induced superconductor-to-insulator quantum phase transition. Magneto-transport measurements show that the Rashba coupling constant increases linearly with the interfacial electric field. Our results pave the way for the realisation of mesoscopic devices, where these two properties can be manipulated on a local scale by means of top-gates. PMID:26244916

  9. Low-voltage back-gated atmospheric pressure chemical vapor deposition based graphene-striped channel transistor with high-κ dielectric showing room-temperature mobility > 11,000 cm(2)/V·s.

    PubMed

    Smith, Casey; Qaisi, Ramy; Liu, Zhihong; Yu, Qingkai; Hussain, Muhammad Mustafa

    2013-07-23

    Utilization of graphene may help realize innovative low-power replacements for III-V materials based high electron mobility transistors while extending operational frequencies closer to the THz regime for superior wireless communications, imaging, and other novel applications. Device architectures explored to date suffer a fundamental performance roadblock due to lack of compatible deposition techniques for nanometer-scale dielectrics required to efficiently modulate graphene transconductance (gm) while maintaining low gate capacitance-voltage product (CgsVgs). Here we show integration of a scaled (10 nm) high-κ gate dielectric aluminum oxide (Al2O3) with an atmospheric pressure chemical vapor deposition (APCVD)-derived graphene channel composed of multiple 0.25 μm stripes to repeatedly realize room-temperature mobility of 11,000 cm(2)/V·s or higher. This high performance is attributed to the APCVD graphene growth quality, excellent interfacial properties of the gate dielectric, conductivity enhancement in the graphene stripes due to low tox/Wgraphene ratio, and scaled high-κ dielectric gate modulation of carrier density allowing full actuation of the device with only ±1 V applied bias. The superior drive current and conductance at Vdd = 1 V compared to other top-gated devices requiring undesirable seed (such as aluminum and poly vinyl alcohol)-assisted dielectric deposition, bottom gate devices requiring excessive gate voltage for actuation, or monolithic (nonstriped) channels suggest that this facile transistor structure provides critical insight toward future device design and process integration to maximize CVD-based graphene transistor performance.

  10. Designing hybrid gate dielectric for fully printing high-performance carbon nanotube thin film transistors

    NASA Astrophysics Data System (ADS)

    Li, Qian; Li, Shilong; Yang, Dehua; Su, Wei; Wang, Yanchun; Zhou, Weiya; Liu, Huaping; Xie, Sishen

    2017-10-01

    The electrical characteristics of carbon nanotube (CNT) thin-film transistors (TFTs) strongly depend on the properties of the gate dielectric that is in direct contact with the semiconducting CNT channel materials. Here, we systematically investigated the dielectric effects on the electrical characteristics of fully printed semiconducting CNT-TFTs by introducing the organic dielectrics of poly(methyl methacrylate) (PMMA) and octadecyltrichlorosilane (OTS) to modify SiO2 dielectric. The results showed that the organic-modified SiO2 dielectric formed a favorable interface for the efficient charge transport in s-SWCNT-TFTs. Compared to single-layer SiO2 dielectric, the use of organic-inorganic hybrid bilayer dielectrics dramatically improved the performances of SWCNT-TFTs such as mobility, threshold voltage, hysteresis and on/off ratio due to the suppress of charge scattering, gate leakage current and charge trapping. The transport mechanism is related that the dielectric with few charge trapping provided efficient percolation pathways for charge carriers, while reduced the charge scattering. High density of charge traps which could directly act as physical transport barriers and significantly restrict the charge carrier transport and, thus, result in decreased mobile carriers and low device performance. Moreover, the gate leakage phenomenon is caused by conduction through charge traps. So, as a component of TFTs, the gate dielectric is of crucial importance to the manufacture of high quality TFTs from the aspects of affecting the gate leakage current and device operation voltage, as well as the charge carrier transport. Interestingly, the OTS-modified SiO2 allows to directly print horizontally aligned CNT film, and the corresponding devices exhibited a higher mobility than that of the devices with the hybrid PMMA/SiO2 dielectric although the thickness of OTS layer is only ˜2.5 nm. Our present result may provide key guidance for the further development of printed

  11. Oxygen vacancy defect engineering using atomic layer deposited HfAlO{sub x} in multi-layered gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhuyian, M. N., E-mail: mnb3@njit.edu; Misra, D.; Sengupta, R.

    2016-05-02

    This work evaluates the defects in high quality atomic layer deposited (ALD) HfAlO{sub x} with extremely low Al (<3% Al/(Al + Hf)) incorporation in the Hf based high-k dielectrics. The defect activation energy estimated by the high temperature current voltage measurement shows that the charged oxygen vacancies, V{sup +}/V{sup 2+}, are the primary source of defects in these dielectrics. When Al is added in HfO{sub 2}, the V{sup +} type defects with a defect activation energy of E{sub a} ∼ 0.2 eV modify to V{sup 2+} type to E{sub a} ∼ 0.1 eV with reference to the Si conduction band. When devices were stressedmore » in the gate injection mode for 1000 s, more V{sup +} type defects are generated and E{sub a} reverts back to ∼0.2 eV. Since Al has a less number of valence electrons than do Hf, the change in the co-ordination number due to Al incorporation seems to contribute to the defect level modifications. Additionally, the stress induced leakage current behavior observed at 20 °C and at 125 °C demonstrates that the addition of Al in HfO{sub 2} contributed to suppressed trap generation process. This further supports the defect engineering model as reduced flat-band voltage shifts were observed at 20 °C and at 125 °C.« less

  12. A room temperature process for the fabrication of amorphous indium gallium zinc oxide thin-film transistors with co-sputtered Zr x Si1- x O2 Gate dielectric and improved electrical and hysteresis performance

    NASA Astrophysics Data System (ADS)

    Hung, Chien-Hsiung; Wang, Shui-Jinn; Liu, Pang-Yi; Wu, Chien-Hung; Wu, Nai-Sheng; Yan, Hao-Ping; Lin, Tseng-Hsing

    2017-04-01

    The use of co-sputtered zirconium silicon oxide (Zr x Si1- x O2) gate dielectrics to improve the gate controllability of amorphous indium gallium zinc oxide (α-IGZO) thin-film transistors (TFTs) through a room-temperature fabrication process is proposed and demonstrated. With the sputtering power of the SiO2 target in the range of 0-150 W and with that of the ZrO2 target kept at 100 W, a dielectric constant ranging from approximately 28.1 to 7.8 is obtained. The poly-structure formation immunity of the Zr x Si1- x O2 dielectrics, reduction of the interface trap density suppression, and gate leakage current are examined. Our experimental results reveal that the Zr0.85Si0.15O2 gate dielectric can lead to significantly improved TFT subthreshold swing performance (103 mV/dec) and field effect mobility (33.76 cm2 V-1 s-1).

  13. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Saito, Tatsuya; Matsumura, Daisuke

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups thanmore » the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the

  14. Bi-layer channel structure-based oxide thin-film transistors consisting of ZnO and Al-doped ZnO with different Al compositions and stacking sequences

    NASA Astrophysics Data System (ADS)

    Cho, Sung Woon; Yun, Myeong Gu; Ahn, Cheol Hyoun; Kim, So Hee; Cho, Hyung Koun

    2015-03-01

    Zinc oxide (ZnO)-based bi-layers, consisting of ZnO and Al-doped ZnO (AZO) layers grown by atomic layer deposition, were utilized as the channels of oxide thin-film transistors (TFTs). Thin AZO layers (5 nm) with different Al compositions (5 and 14 at. %) were deposited on top of and beneath the ZnO layers in a bi-layer channel structure. All of the bi-layer channel TFTs that included the AZO layers showed enhanced stability (Δ V Th ≤ 3.2 V) under a positive bias stress compared to the ZnO single-layer channel TFT (Δ V Th = 4.0 V). However, the AZO/ZnO bi-layer channel TFTs with an AZO interlayer between the gate dielectric and the ZnO showed a degraded field effect mobility (0.3 cm2/V·s for 5 at. % and 1.8 cm2/V·s for 14 at. %) compared to the ZnO single-layer channel TFT (5.5 cm2/V·s) due to increased scattering caused by Al-related impurities near the gate dielectric/channel interface. In contrast, the ZnO/AZO bi-layer channel TFTs with an AZO layer on top of the ZnO layer exhibited an improved field effect mobility (7.8 cm2/V·s for 14 at. %) and better stability. [Figure not available: see fulltext.

  15. Localized surface plasmon enhanced deep UV-emitting of AlGaN based multi-quantum wells by Al nanoparticles on SiO2 dielectric interlayer

    NASA Astrophysics Data System (ADS)

    He, Ju; Wang, Shuai; Chen, Jingwen; Wu, Feng; Dai, Jiangnan; Long, Hanling; Zhang, Yi; Zhang, Wei; Feng, Zhe Chuan; Zhang, Jun; Du, Shida; Ye, Lei; Chen, Changqing

    2018-05-01

    In this paper, we report a 2.6-fold deep ultraviolet emission enhancement of integrated photoluminescence (PL) intensity in AlGaN-based multi-quantum wells (MQWs) by introducing the coupling of local surface plasmons from Al nanoparticles (NPs) on a SiO2 dielectric interlayer with excitons and photons in MQWs at room temperature. In comparison to bare AlGaN MQWs, a significant 2.3-fold enhancement of the internal quantum efficiency, from 16% to 37%, as well as a 13% enhancement of photon extraction efficiency have been observed in the MQWs decorated with Al NPs on SiO2 dielectric interlayer. Polarization-dependent PL measurement showed that both the transverse electric and transverse magnetic mode were stronger than the original intensity in bare AlGaN MQWs, indicating a strong LSPs coupling process and vigorous scattering ability of the Al/SiO2 composite structure. These results were confirmed by the activation energy of non-radiative recombination from temperature-dependent PL measurement and the theoretical three dimensional finite difference time domain calculations.

  16. Localized surface plasmon enhanced deep UV-emitting of AlGaN based multi-quantum wells by Al nanoparticles on SiO2 dielectric interlayer.

    PubMed

    He, Ju; Wang, Shuai; Chen, Jingwen; Wu, Feng; Dai, Jiangnan; Long, Hanling; Zhang, Yi; Zhang, Wei; Feng, Zhe Chuan; Zhang, Jun; Du, Shida; Ye, Lei; Chen, Changqing

    2018-05-11

    In this paper, we report a 2.6-fold deep ultraviolet emission enhancement of integrated photoluminescence (PL) intensity in AlGaN-based multi-quantum wells (MQWs) by introducing the coupling of local surface plasmons from Al nanoparticles (NPs) on a SiO 2 dielectric interlayer with excitons and photons in MQWs at room temperature. In comparison to bare AlGaN MQWs, a significant 2.3-fold enhancement of the internal quantum efficiency, from 16% to 37%, as well as a 13% enhancement of photon extraction efficiency have been observed in the MQWs decorated with Al NPs on SiO 2 dielectric interlayer. Polarization-dependent PL measurement showed that both the transverse electric and transverse magnetic mode were stronger than the original intensity in bare AlGaN MQWs, indicating a strong LSPs coupling process and vigorous scattering ability of the Al/SiO 2 composite structure. These results were confirmed by the activation energy of non-radiative recombination from temperature-dependent PL measurement and the theoretical three dimensional finite difference time domain calculations.

  17. Enhanced self-repairing capability of sol-gel derived SrTiO3/nano Al2O3 composite films

    NASA Astrophysics Data System (ADS)

    Yao, Manwen; Peng, Yong; Xiao, Ruihua; Li, Qiuxia; Yao, Xi

    2016-08-01

    SrTiO3/nano Al2O3 inorganic nanocomposites were prepared by using a conventional sol-gel spin coating process. For comparison, SrTiO3 films doped by equivalent amount of sol-Al2O3 have also been investigated. Aluminum deposited by using vacuum evaporation was used as the top electrode. The nanocomposites exhibited a significantly enhanced dielectric strength of 506.9 MV/m, which was increased by 97.4% as compared with the SrTiO3 films doped with sol-Al2O3. The leakage current maintained of the same order of microampere until the ultimate breakdown of the nanocomposites. The excellent electrical performances are ascribed to the anodic oxidation reaction in origin, which can repair the internal and/or surface defects of the films.

  18. High-κ gate dielectrics: Current status and materials properties considerations

    NASA Astrophysics Data System (ADS)

    Wilk, G. D.; Wallace, R. M.; Anthony, J. M.

    2001-05-01

    Many materials systems are currently under consideration as potential replacements for SiO2 as the gate dielectric material for sub-0.1 μm complementary metal-oxide-semiconductor (CMOS) technology. A systematic consideration of the required properties of gate dielectrics indicates that the key guidelines for selecting an alternative gate dielectric are (a) permittivity, band gap, and band alignment to silicon, (b) thermodynamic stability, (c) film morphology, (d) interface quality, (e) compatibility with the current or expected materials to be used in processing for CMOS devices, (f) process compatibility, and (g) reliability. Many dielectrics appear favorable in some of these areas, but very few materials are promising with respect to all of these guidelines. A review of current work and literature in the area of alternate gate dielectrics is given. Based on reported results and fundamental considerations, the pseudobinary materials systems offer large flexibility and show the most promise toward successful integration into the expected processing conditions for future CMOS technologies, especially due to their tendency to form at interfaces with Si (e.g. silicates). These pseudobinary systems also thereby enable the use of other high-κ materials by serving as an interfacial high-κ layer. While work is ongoing, much research is still required, as it is clear that any material which is to replace SiO2 as the gate dielectric faces a formidable challenge. The requirements for process integration compatibility are remarkably demanding, and any serious candidates will emerge only through continued, intensive investigation.

  19. High-Mobility 6,13-Bis(triisopropylsilylethynyl) Pentacene Transistors Using Solution-Processed Polysilsesquioxane Gate Dielectric Layers.

    PubMed

    Matsuda, Yu; Nakahara, Yoshio; Michiura, Daisuke; Uno, Kazuyuki; Tanaka, Ichiro

    2016-04-01

    Polysilsesquioxane (PSQ) is a low-temperature curable polymer that is compatible with low-cost plastic substrates. We cured PSQ gate dielectric layers by irradiation with ultraviolet light at ~60 °C, and used them for 6,13-bis(triisopropylsilylethynyl) pentacene (TIPS-pentacene) thin film transistors (TFTs). The fabricated TFTs have shown the maximum and average hole mobility of 1.3 and 0.78 ± 0.3 cm2V-1s-1, which are comparable to those of the previously reported transistors using single-crystalline TIPS-pentacene micro-ribbons for their active layers and thermally oxidized SiO2 for their gate dielectric layers. Itis therefore demonstrated that PSQ is a promising polymer gate dielectric material for low-cost organic TFTs.

  20. “Thermal Stabilization Effect” of Al2O3 nano-dopants improves the high-temperature dielectric performance of polyimide

    PubMed Central

    Yang, Yang; He, Jinliang; Wu, Guangning; Hu, Jun

    2015-01-01

    Insulation performance of the dielectrics under extreme conditions always attracts widespread attention in electrical and electronic field. How to improve the high-temperature dielectric properties of insulation materials is one of the key issues in insulation system design of electrical devices. This paper studies the temperature-dependent corona resistance of polyimide (PI)/Al2O3 nanocomposite films under high-frequency square-wave pulse conditions. Extended corona resistant lifetime under high-temperature conditions is experimentally observed in the 2 wt% nanocomposite samples. The “thermal stabilization effect” is proposed to explain this phenomenon which attributes to a new kind of trap band caused by nanoparticles. This effect brings about superior space charge characteristics and corona resistance under high temperature with certain nano-doping concentration. The proposed theory is experimentally demonstrated by space charge analysis and thermally stimulated current (TSC) tests. This discovered effect is of profound significance on improving high-temperature dielectric properties of nanocomposites towards various applications. PMID:26597981

  1. Volatile organic compounds emission control in industrial pollution source using plasma technology coupled with F-TiO2/γ-Al2O3.

    PubMed

    Zhu, Tao; Chen, Rui; Xia, Ni; Li, Xiaoyang; He, Xianxian; Zhao, Wenjuan; Carr, Tim

    2015-01-01

    Volatile organic compounds' (VOCs) effluents, which come from many industries, are triggering serious environmental problems. As an emerging technology, non-thermal plasma (NTP) technology is a potential technology for VOCs emission control. NTP coupled with F-TiO2/γ-Al2O3 is used for toluene removal from a gaseous influent at normal temperature and atmospheric pressure. NTP is generated by dielectric barrier discharge, and F-TiO2/γ-Al2O3 can be prepared by sol-gel method in the laboratory. In the experiment, the different packed materials were packed into the plasma reactor, including γ-Al2O3, TiO2/γ-Al2O3 and F-TiO2/γ-Al2O3. Through a series of characterization methods such as X-ray diffraction, scanning electronic microscopy and Brunner-Emmet-Teller measurements, the results show that the particle size distribution of F-TiO2 is relatively smaller than that of TiO2, and the pore distribution of F-TiO2 is more uniformly distributed than that of TiO2. The relationships among toluene removal efficiency, reactor input energy density, and the equivalent capacitances of air gap and dielectric barrier layer were investigated. The results show that the synergistic technology NTP with F-TiO2/γ-Al2O3 resulted in greater enhancement of toluene removal efficiency and energy efficiency. Especially, when packing with F-TiO2/γ-Al2O3 in NTP reactor, toluene removal efficiency reaches 99% and higher. Based on the data analysis of Fourier Transform Infrared Spectroscopy, the experimental results showed that NTP reactor packed with F-TiO2/γ-Al2O3 resulted in a better inhibition for by-products formation effectively in the gas exhaust.

  2. Electrically programmable-erasable In-Ga-Zn-O thin-film transistor memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qian, Shi-Bing; Zhang, Wen-Peng; Liu, Wen-Jun

    Amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistor (TFT) memory is very promising for transparent and flexible system-on-panel displays; however, electrical erasability has always been a severe challenge for this memory. In this article, we demonstrated successfully an electrically programmable-erasable memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack under a maximal processing temperature of 300 {sup o}C. As the programming voltage was enhanced from 14 to 19 V for a constant pulse of 0.2 ms, the threshold voltage shift increased significantly from 0.89 to 4.67 V. When the programmed device was subjected to an appropriate pulse under negative gatemore » bias, it could return to the original state with a superior erasing efficiency. The above phenomena could be attributed to Fowler-Nordheim tunnelling of electrons from the IGZO channel to the Pt nanocrystals during programming, and inverse tunnelling of the trapped electrons during erasing. In terms of 0.2-ms programming at 16 V and 350-ms erasing at −17 V, a large memory window of 3.03 V was achieved successfully. Furthermore, the memory exhibited stable repeated programming/erasing (P/E) characteristics and good data retention, i.e., for 2-ms programming at 14 V and 250-ms erasing at −14 V, a memory window of 2.08 V was still maintained after 10{sup 3} P/E cycles, and a memory window of 1.1 V was retained after 10{sup 5} s retention time.« less

  3. Transferred wrinkled Al2O3 for highly stretchable and transparent graphene-carbon nanotube transistors

    NASA Astrophysics Data System (ADS)

    Chae, Sang Hoon; Yu, Woo Jong; Bae, Jung Jun; Duong, Dinh Loc; Perello, David; Jeong, Hye Yun; Ta, Quang Huy; Ly, Thuc Hue; Vu, Quoc An; Yun, Minhee; Duan, Xiangfeng; Lee, Young Hee

    2013-05-01

    Despite recent progress in producing transparent and bendable thin-film transistors using graphene and carbon nanotubes, the development of stretchable devices remains limited either by fragile inorganic oxides or polymer dielectrics with high leakage current. Here we report the fabrication of highly stretchable and transparent field-effect transistors combining graphene/single-walled carbon nanotube (SWCNT) electrodes and a SWCNT-network channel with a geometrically wrinkled inorganic dielectric layer. The wrinkled Al2O3 layer contained effective built-in air gaps with a small gate leakage current of 10-13 A. The resulting devices exhibited an excellent on/off ratio of ~105, a high mobility of ~40 cm2 V-1 s-1 and a low operating voltage of less than 1 V. Importantly, because of the wrinkled dielectric layer, the transistors retained performance under strains as high as 20% without appreciable leakage current increases or physical degradation. No significant performance loss was observed after stretching and releasing the devices for over 1,000 times. The sustainability and performance advances demonstrated here are promising for the adoption of stretchable electronics in a wide variety of future applications.

  4. Phase Transitions of KIO3 Ferroelectrics in Al2O3-Based Nanoporous Matrices

    NASA Astrophysics Data System (ADS)

    Milinskii, A. Yu.; Baryshnikov, S. V.

    2018-03-01

    Temperature dependences of the linear permittivity ɛ' and the third harmonic amplitude γ3ω of composites prepared by introducing ferroelectrics KIO3 into matrices of porous aluminum oxide Al2O3 with pore sizes of 240 nm were studied. It is found that the IV → III and III → II structural transition temperatures of potassium iodide in Al2O3 pores decrease by 5 K and 24 K, respectively, with respect to bulk KIO3. The measurements of the dielectric properties do not reveal V → IV and II → I phase transitions in the composite samples.

  5. Correlation between border traps and exposed surface properties in gate recessed normally-off Al2O3/GaN MOSFET

    NASA Astrophysics Data System (ADS)

    Yin, Ruiyuan; Li, Yue; Sun, Yu; Wen, Cheng P.; Hao, Yilong; Wang, Maojun

    2018-06-01

    We report the effect of the gate recess process and the surface of as-etched GaN on the gate oxide quality and first reveal the correlation between border traps and exposed surface properties in normally-off Al2O3/GaN MOSFET. The inductively coupled plasma (ICP) dry etching gate recess with large damage presents a rough and active surface that is prone to form detrimental GaxO validated by atomic force microscopy and X-ray photoelectron spectroscopy. Lower drain current noise spectral density of the 1/f form and less dispersive ac transconductance are observed in GaN MOSFETs fabricated with oxygen assisted wet etching compared with devices based on ICP dry etching. One decade lower density of border traps is extracted in devices with wet etching according to the carrier number fluctuation model, which is consistent with the result from the ac transconductance method. Both methods show that the density of border traps is skewed towards the interface, indicating that GaxO is of higher trap density than the bulk gate oxide. GaxO located close to the interface is the major location of border traps. The damage-free oxidation assisted wet etching gate recess technique presents a relatively smooth and stable surface, resulting in lower border trap density, which would lead to better MOS channel quality and improved device reliability.

  6. Four-Element Composite Triangular Dielectric Resonator Antenna Using Li2O-1.94MgO-0.02Al2O3-P2O5 Ceramic for Wideband Applications

    NASA Astrophysics Data System (ADS)

    Kumari, Preeti; Tripathi, Pankaj; Sahu, B.; Singh, S. P.; Kumar, Devendra

    2018-05-01

    A simulation and fabrication study of a coaxial probe-fed four-element composite triangular dielectric resonator antenna (TDRA) using low loss Li2O-1.94MgO-0.02Al2O3-P2O5 (LMAP) ceramic and Teflon. LMAP ceramic was carried out and the ceramic was synthesized using a solid-state sintering route. The phase, microstructure and microwave dielectric properties of LMAP were investigated using x-ray diffraction pattern, scanning electron microscopy and a network analyzer. A coaxial probe-fed four-element composite TDRA was designed and fabricated using LMAP as one section of each composite element of the proposed antenna. Each triangular element of the proposed dielectric resonator antenna (DRA) consists of two sections of different dielectric constant materials. The inner triangular section touching the coaxial probe at one of its corners is made of the LMAP ceramic (ɛ r = 6.2) while othe uter section is made of Teflon (ɛ r = 2.1). Four triangular DRA elements are excited bya centrally located 50-Ω coaxial probe. The parametric study of the proposed antenna was performed through simulation using Ansys High Frequency Structure Simulator software by varying the dimensions and dielectric constants of both sections of each triangular element of the TDRA to optimize the results for obtaining a wideband antenna. The simulated resonant frequency of 9.30 GHz with a percentage bandwidth of 61.65% for the proposed antenna is obtained within its operating frequency range of 7.82-14.8 GHz. Monopole-like radiation patterns with low cross-polarization levels and a peak gain of 5.63 dB are obtained for the proposed antenna through simulation. The antenna prototype having optimized dimensions has also been fabricated. The experimental resonant frequency of 9.10 GHz with a percentage bandwidth of 66.09% is obtained within its operating frequency range of 7.70-15.30 GHz. It is found that the simulation results for the proposed antenna are in close agreement with the measured data. The

  7. Top and Split Gating Control of the Electrical Characteristics of a Two-dimensional Electron Gas in a LaAlO3/SrTiO3 Perovskite

    NASA Astrophysics Data System (ADS)

    Kwak, Yongsu; Song, Jonghyun; Kim, Jihwan; Kim, Jinhee

    2018-04-01

    A top gate field effect transistor was fabricated using polymethyl methacrylate (PMMA) as a gate insulator on a LaAlO3 (LAO)/SrTiO3 (STO) hetero-interface. It showed n-type behavior, and a depletion mode was observed at low temperature. The electronic properties of the 2-dimensional electron gas at the LAO/STO hetero-interface were not changed by covering LAO with PMMA following the Au top gate electrode. A split gate device was also fabricated to construct depletion mode by using a narrow constriction between the LAO/STO conduction interface. The depletion mode, as well as superconducting critical current, could be controlled by applying a split gate voltage. Noticeably, the superconducting critical current tended to decrease with decreasing the split gate voltage and finally became zero. These results indicate that a weak-linked Josephson junction can be constructed and destroyed by split gating. This observation opens the possibility of gate-voltage-adjustable quantum devices.

  8. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al{sub 2}O{sub 3} gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori

    2015-08-15

    This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less

  9. Lanthanide-based oxides and silicates for high-kappa gate dielectric applications

    NASA Astrophysics Data System (ADS)

    Jur, Jesse Stephen

    The ability to improve performance of the high-end metal oxide semiconductor field effect transistor (MOSFET) is highly reliant on the dimensional scaling of such a device. In scaling, a decrease in dielectric thickness results in high current leakage between the electrode and the substrate by way of direct tunneling through the gate dielectric. Observation of a high leakage current when the standard gate dielectric, SiO2, is decreased below a thickness of 1.5 nm requires engineering of a replacement dielectric that is much more scalable. This high-kappa dielectric allows for a physically thicker oxide, reducing leakage current. Integration of select lanthanide-based oxides and silicates, in particular lanthanum oxide and silicate, into MOS gate stack devices is examined. The quality of the high-kappa dielectrics is monitored electrically to determine properties such as equivalent oxide thickness, leakage current density and defect densities. In addition, analytical characterization of the dielectric and the gate stack is provided to examine the materialistic significance to the change of the electrical properties of the devices. In this work, lanthanum oxide films have been deposited by thermal evaporation on to a pre-grown chemical oxide layer on silicon. It is observed that the SiO2 interfacial layer can be consumed by a low-temperature reaction with lanthanum oxide to produce a high-quality silicate. This is opposed to depositing lanthanum oxide directly on silicon, which can possibly favor silicide formation. The importance of oxygen regulation in the surrounding environment of the La2O3-SiO2 reaction-anneal is observed. By controlling the oxygen available during the reaction, SiO2 growth can be limited to achieve high stoichiometric ratios of La2O 3 to SiO2. As a result, MOS devices with an equivalent oxide thickness (EOT) of 5 A and a leakage current density of 5.0 A/cm 2 are attained. This data equals the best value achieved in this field and is a

  10. Electrical dependence on the chemical composition of the gate dielectric in indium gallium zinc oxide thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tari, Alireza, E-mail: atari@uwaterloo.ca; Lee, Czang-Ho; Wong, William S.

    Bottom-gate thin-film transistors were fabricated by depositing a 50 nm InGaZnO (IGZO) channel layer at 150 °C on three separate gate dielectric films: (1) thermal SiO{sub 2}, (2) plasma-enhanced chemical-vapor deposition (PECVD) SiN{sub x}, and (3) a PECVD SiO{sub x}/SiN{sub x} dual-dielectric. X-ray photoelectron and photoluminescence spectroscopy showed the V{sub o} concentration was dependent on the hydrogen concentration of the underlying dielectric film. IGZO films on SiN{sub x} (high V{sub o}) and SiO{sub 2} (low V{sub o}) had the highest and lowest conductivity, respectively. A PECVD SiO{sub x}/SiN{sub x} dual-dielectric layer was effective in suppressing hydrogen diffusion from the nitride layer intomore » the IGZO and resulted in higher resistivity films.« less

  11. Impact of bimetal electrodes on dielectric properties of TiO2 and Al-doped TiO2 films.

    PubMed

    Kim, Seong Keun; Han, Sora; Jeon, Woojin; Yoon, Jung Ho; Han, Jeong Hwan; Lee, Woongkyu; Hwang, Cheol Seong

    2012-09-26

    Rutile structured Al-doped TiO(2) (ATO) and TiO(2) films were grown on bimetal electrodes (thin Ru/thick TiN, Pt, and Ir) for high-performance capacitors. The work function of the top Ru layer decreased on TiN and increased on Pt and Ir when it was thinner than ~2 nm, suggesting that the lower metal within the electrodes influences the work function of the very thin Ru layer. The use of the lower electrode with a high work function for bottom electrode eventually improves the leakage current properties of the capacitor at a very thin Ru top layer (≤2 nm) because of the increased Schottky barrier height at the interface between the dielectric and the bottom electrode. The thin Ru layer was necessary to achieve the rutile structured ATO and TiO(2) dielectric films.

  12. Effect of the addition of B{sub 2}O{sub 3} and BaO-B{sub 2}O{sub 3}-SiO{sub 2} glasses on the microstructure and dielectric properties of giant dielectric constant material CaCu{sub 3}Ti{sub 4}O{sub 12}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shri Prakash, B.; Varma, K.B.R.

    2007-06-15

    The effect of the addition of glassy phases on the microstructure and dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12} (CCTO) ceramics was investigated. Both single-component (B{sub 2}O{sub 3}) and multi-component (30 wt% BaO-60 wt% B{sub 2}O{sub 3}-10 wt% SiO{sub 2} (BBS)) glass systems were chosen to study their effect on the density, microstructure and dielectric properties of CCTO. Addition of an optimum amount of B{sub 2}O{sub 3} glass facilitated grain growth and an increase in dielectric constant. However, further increase in the B{sub 2}O{sub 3} content resulted in its segregation at the grain boundaries associated with a reduction in themore » grain size. In contrast, BBS glass addition resulted in well-faceted grains and increase in the dielectric constant and decrease in the dielectric loss. An internal barrier layer capacitance (IBLC) model was invoked to correlate the dielectric constant with the grain size in these samples. - Graphical abstract: Scanning electron micrograph of 30 wt% BaO-60 wt% B{sub 2}O{sub 3}-10 wt% SiO{sub 2} (BBS) glass-added CaCu{sub 3}Ti{sub 4}O{sub 12} ceramic on sintering.« less

  13. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    NASA Astrophysics Data System (ADS)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  14. Frequency-Stable Ionic-Type Hybrid Gate Dielectrics for High Mobility Solution-Processed Metal-Oxide Thin-Film Transistors

    PubMed Central

    Heo, Jae Sang; Choi, Seungbeom; Jo, Jeong-Wan; Kang, Jingu; Park, Ho-Hyun; Kim, Yong-Hoon; Park, Sung Kyu

    2017-01-01

    In this paper, we demonstrate high mobility solution-processed metal-oxide thin-film transistors (TFTs) by using a high-frequency-stable ionic-type hybrid gate dielectric (HGD). The HGD gate dielectric, a blend of sol-gel aluminum oxide (AlOx) and poly(4-vinylphenol) (PVP), exhibited high dielectric constant (ε~8.15) and high-frequency-stable characteristics (1 MHz). Using the ionic-type HGD as a gate dielectric layer, an minimal electron-double-layer (EDL) can be formed at the gate dielectric/InOx interface, enhancing the field-effect mobility of the TFTs. Particularly, using the ionic-type HGD gate dielectrics annealed at 350 °C, InOx TFTs having an average field-effect mobility of 16.1 cm2/Vs were achieved (maximum mobility of 24 cm2/Vs). Furthermore, the ionic-type HGD gate dielectrics can be processed at a low temperature of 150 °C, which may enable their applications in low-thermal-budget plastic and elastomeric substrates. In addition, we systematically studied the operational stability of the InOx TFTs using the HGD gate dielectric, and it was observed that the HGD gate dielectric effectively suppressed the negative threshold voltage shift during the negative-illumination-bias stress possibly owing to the recombination of hole carriers injected in the gate dielectric with the negatively charged ionic species in the HGD gate dielectric. PMID:28772972

  15. Temperature-dependent degradation mechanisms of threshold voltage in La2O3-gated n-channel metal-oxide-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Wang, Ming-Tsong; Hsu, De-Cheng; Juan, Pi-Chun; Wang, Y. L.; Lee, Joseph Ya-min

    2010-09-01

    Metal-oxide-semiconductor capacitors and n-channel metal-oxide-semiconductor field-effect transistors with La2O3 gate dielectric were fabricated. The positive bias temperature instability was studied. The degradation of threshold voltage (ΔVT) showed an exponential dependence on the stress time in the temperature range from 25 to 75 °C. The degradation of subthreshold slope (ΔS) and gate leakage (IG) with stress voltage was also measured. The degradation of VT is attributed to the oxide trap charges Qot. The extracted activation energy of 0.2 eV is related to a degradation dominated by the release of atomic hydrogen in La2O3 thin films.

  16. Temperature compensation effects of TiO2 on Ca[(Li1/3Nb2/3)0.8Sn0.2]O3-δ microwave dielectric ceramic

    NASA Astrophysics Data System (ADS)

    Hu, Mingzhe; Wei, Huanghe; Xiao, Lihua; Zhang, Kesheng; Hao, Yongde

    2017-10-01

    The crystal structure and dielectric properties of TiO2-modified Ca[(Li1/3Nb2/3)0.8Sn0.2]O3-δ microwave ceramics are investigated in the present paper. The crystal structure is probed by XRD patterns and their Rietveld refinement, results show that a single perovskite phase is formed in TiO2-modified Ca[(Li1/3Nb2/3)0.8Sn0.2]O3-δ ceramics with the crystal structure belonging to the orthorhombic Pbnm 62 space group. Raman spectra results indicate that the B-site order-disorder structure transition is a key point to the dielectric loss of TiO2-modified Ca[(Li1/3Nb2/3)0.8Sn0.2]O3-δ ceramics at microwave frequencies. After properly modified by TiO2, the large negative temperature coefficient of Ca[(Li1/3Nb2/3)0.8Sn0.2]O3-δ ceramic can be compensated and the optimal microwave dielectric properties can reach 𝜀r = 25.66, Qf = 18,894 GHz and TCF = -6.3 ppm/∘C when sintered at 1170∘C for 2.5 h, which manifests itself for potential use in microwave dielectric devices for modern wireless communication.

  17. Electrical hysteresis in p-GaN metal-oxide-semiconductor capacitor with atomic-layer-deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liao, Meiyong; Imura, Masataka; Nabatame, Toshihide; Ohi, Akihiko; Sumiya, Masatomo; Koide, Yasuo; Sang, Liwen

    2016-12-01

    The electrical hysteresis in current-voltage (I-V) and capacitance-voltage characteristics was observed in an atomic-layer-deposited Al2O3/p-GaN metal-oxide-semiconductor capacitor (PMOSCAP). The absolute minimum leakage currents of the PMOSCAP for forward and backward I-V scans occurred not at 0 V but at -4.4 and +4.4 V, respectively. A negative flat-band voltage shift of 5.5 V was acquired with a capacitance step from +4.4 to +6.1 V during the forward scan. Mg surface accumulation on p-GaN was demonstrated to induce an Mg-Ga-Al-O oxidized layer with a trap density on the order of 1013 cm-2. The electrical hysteresis is attributed to the hole trapping and detrapping process in the traps of the Mg-Ga-Al-O layer via the Poole-Frenkel mechanism.

  18. Impacts of Annealing Conditions on the Flat Band Voltage of Alternate La2O3/Al2O3 Multilayer Stack Structures.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2016-12-01

    The mechanism of flat band voltage (VFB) shift for alternate La2O3/Al2O3 multilayer stack structures in different annealing condition is investigated. The samples were prepared for alternate multilayer structures, which were annealed in different conditions. The capacitance-voltage (C-V) measuring results indicate that the VFB of samples shift negatively for thinner bottom Al2O3 layer, increasing annealing temperature or longer annealing duration. Simultaneously, the diffusion of high-k material to interfaces in different multilayer structures and annealing conditions is observed by X-ray photoelectron spectroscopy (XPS). Based on the dipole theory, a correlation between the diffusion effect of La towards bottom Al2O3/Si interface and VFB shift is found. Without changing the dielectric constant k of films, VFB shift can be manipulated by controlling the single-layer cycles and annealing conditions of alternate high-k multilayer stack.

  19. A comparative study of photoconductivity in LaTiO3/SrTiO3 and LaAlO3/SrTiO3 2-DEG heterostructures

    NASA Astrophysics Data System (ADS)

    Rastogi, A.; Hossain, Z.; Budhani, R. C.

    2013-02-01

    Here we compare the growth temperature dependence of the response of LaTiO3/SrTiO3 and LaAlO3/SrTiO3 2D-electron gas (2-DEG) field effect structure to the optical radiation of near ultraviolet frequency and electrostatic gate field. For both the films the resistance of the channel increases significantly as growth temperature is lowered from 800 to 700 °C. These heterostructures show the photoconductivity (PC) simulated by UV light of λ ≤ 400 nm. The PC follows the stretched exponential dynamics. It is found that photo-response of the LaTiO3 films is prominent and has larger decay time constant as compare to LaAlO3 films. The effect of electric field on the photo-induced conducting state is also studied.

  20. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    PubMed

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  1. The effect of glass additives on the microwave dielectric properties of Ba(Mg{sub 1/3}Ta{sub 2/3})O{sub 3} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Surendran, K.P.; Mohanan, P.; Sebastian, M.T.

    2004-11-01

    The effect of glass additives on the densification, phase evolution, microstructure and microwave dielectric properties of Ba(Mg{sub 1/3}Ta{sub 2/3})O{sub 3} (BMT) was investigated. Different weight percentages of quenched glass such as B{sub 2}O{sub 3}, SiO{sub 2}, B{sub 2}O{sub 3}-SiO{sub 2}, ZnO-B{sub 2}O{sub 3}, 5ZnO-2B{sub 2}O{sub 3}, Al{sub 2}O{sub 3}-SiO{sub 2}, Na{sub 2}O-2B{sub 2}O{sub 3}.10H{sub 2}O, BaO-B{sub 2}O{sub 3}-SiO{sub 2}, MgO-B{sub 2}O{sub 3}-SiO{sub 2}, PbO-B{sub 2}O{sub 3}-SiO{sub 2}, ZnO-B{sub 2}O{sub 3}-SiO{sub 2} and 2MgO-Al{sub 2}O{sub 3}-5SiO{sub 2} were added to calcined BMT precursor. The sintering temperature of the glass-added BMT samples were lowered down to 1300 deg. C compared to solid-statemore » sintering where the temperature was 1650{sup o}C. The formation of high temperature satellite phases such as Ba{sub 5}Ta{sub 4}O{sub 15} and Ba{sub 7}Ta{sub 6}O{sub 22} were found to be suppressed by the glass addition. Addition of glass systems such as B{sub 2}O{sub 3}, ZnO-B{sub 2}O{sub 3}, 5ZnO-2B{sub 2}O{sub 3} and ZnO-B{sub 2}O{sub 3}-SiO{sub 2} improved the densification and microwave dielectric properties. Other glasses were found to react with BMT to form low-Q phases which prevented densification. The microwave dielectric properties of undoped BMT with a densification of 93.1% of the theoretical density were {epsilon}r=24.8, {tau}f=8ppm/{sup o}C and Q{sub u}xf=80,000GHz. The BMT doped with 1.0wt% of B{sub 2}O{sub 3} has Q{sub u}xf=124,700GHz, {epsilon}r=24.2, and {tau}f=-1.3ppm/ deg/ C. The unloaded Q factor of 0.2wt% ZnO-B{sub 2}O{sub 3}-doped BMT was 136,500GHz while that of 1.0wt% of 5ZnO-2B{sub 2}O{sub 3} added ceramic was Q{sub u}xf=141,800GHz. The best microwave quality factor was observed for ZnO-B{sub 2}O{sub 3}-SiO{sub 2} (ZBS) glass-added ceramics which can act as a perfect liquid-phase medium for the sintering of BMT. The microwave dielectric properties of 0.2wt% ZBS-added BMT dielectric was Q{sub u}xf=152,800GHz

  2. LaAlO{sub 3}/Si capacitors: Comparison of different molecular beam deposition conditions and their impact on electrical properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pelloquin, Sylvain; Baboux, Nicolas; Albertini, David

    2013-01-21

    A study of the structural and electrical properties of amorphous LaAlO{sub 3} (LAO)/Si thin films fabricated by molecular beam deposition (MBD) is presented. Two substrate preparation procedures have been explored namely a high temperature substrate preparation technique-leading to a step and terraces surface morphology-and a chemical HF-based surface cleaning. The LAO deposition conditions were improved by introducing atomic plasma-prepared oxygen instead of classical molecular O{sub 2} in the chamber. An Au/Ni stack was used as the top electrode for its electrical characteristics. The physico-chemical properties (surface topography, thickness homogeneity, LAO/Si interface quality) and electrical performance (capacitance and current versus voltagemore » and TunA current topography) of the samples were systematically evaluated. Deposition conditions (substrate temperature of 550 Degree-Sign C, oxygen partial pressure settled at 10{sup -6} Torr, and 550 W of power applied to the O{sub 2} plasma) and post-depositions treatments were investigated to optimize the dielectric constant ({kappa}) and leakage currents density (J{sub Gate} at Double-Vertical-Line V{sub Gate} Double-Vertical-Line = Double-Vertical-Line V{sub FB}- 1 Double-Vertical-Line ). In the best reproducible conditions, we obtained a LAO/Si layer with a dielectric constant of 16, an equivalent oxide thickness of 8.7 A, and J{sub Gate} Almost-Equal-To 10{sup -2}A/cm{sup 2}. This confirms the importance of LaAlO{sub 3} as an alternative high-{kappa} for ITRS sub-22 nm technology node.« less

  3. Nanocrystals embedded in hafnium dioxide-based dielectrics as charge storage nodes of nano-floating gate memory

    NASA Astrophysics Data System (ADS)

    Lee, Pui Fai

    2007-12-01

    Nanocrystals (NC) embedded in dielectrics have attracted a great deal of attention recently because they can potentially be applied in nonvolatile, high-speed, high-density and low-power memory devices. This device benefits from a relatively low operating voltage, high endurance, fast write-erase speeds and better immunity to soft errors. The nanocrystal materials suitable for such an application can be either metals or semiconductors. Recent studies have shown that high-k dielectrics, instead of SiO2 , for the tunneling layer in nanocrystal floating gate memory can improve the trade-off between data retention and program efficiency due to the unique band alignment of high-k dielectrics in the programming and retention modes. In this project, HfAlO has been selected as the high- k dielectric for the nanocrystal floating gate memory structure. The trilayer structure (HfAlO/Ge-NC/HfAlO) on Si was fabricated by PLD. Results revealed that relatively low substrate temperature and growth rate are favourable for the formation of smaller-size Ge nanocrystals. Effects of size/density of the Ge nanocrystal, the tunneling and control oxide layer thicknesses and the oxygen partial pressure during their growth on the charge storage and charge retention characteristics have also been studied. The island structure of the Ge nanocrystal suggests that the growth is based on the Volmer-Webber mode. The self-organized Ge nanocrystals so formed were uniform in size (5--20 nm diameter) and distribution with a density approaching 1012--1013cm-2. Flat-band voltage shift (DeltaVFB) of about 3.6 V and good retention property have been achieved. By varying aggregation distance, sputtering gas pressure and ionization power of the nanocluster source, nanoclusters of Ge with different sizes can be formed. The memory effect of the trilayer structure so formed with 10 nm Ge nanoclusters are manifested by the counter-clockwise hysteresis loop in the C-V curves and a maximum flat-band voltage

  4. Enhanced two dimensional electron gas transport characteristics in Al2O3/AlInN/GaN metal-oxide-semiconductor high-electron-mobility transistors on Si substrate

    NASA Astrophysics Data System (ADS)

    Freedsman, J. J.; Watanabe, A.; Urayama, Y.; Egawa, T.

    2015-09-01

    The authors report on Al2O3/Al0.85In0.15N/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistor (MOS-HEMT) on Si fabricated by using atomic layer deposited Al2O3 as gate insulator and passivation layer. The MOS-HEMT with the gate length of 2 μm exhibits excellent direct-current (dc) characteristics with a drain current maximum of 1270 mA/mm at a gate bias of 3 V and an off-state breakdown voltage of 180 V for a gate-drain spacing of 4 μm. Also, the 1 μm-gate MOS-HEMT shows good radio-frequency (rf) response such as current gain and maximum oscillation cut-off frequencies of 10 and 34 GHz, respectively. The capacitance-voltage characteristics at 1 MHz revealed significant increase in two-dimensional electron gas (2DEG) density for the MOS-HEMT compared to conventional Schottky barrier HEMTs. Analyses using drain-source conductivity measurements showed improvements in 2DEG transport characteristics for the MOS-HEMT. The enhancements in dc and rf performances of the Al2O3/Al0.85In0.15N/GaN MOS-HEMT are attributed to the improvements in 2DEG characteristics.

  5. Effect of NiO and Light Intensity on Dielectric Constant of SiO2-B2O3-Bi2O3-Na2CO3 Glass Based on Silica Gel of Natural Sands

    NASA Astrophysics Data System (ADS)

    Diantoro, M.; Muniroh, Z.; Zaini, B.; Mustikasari, A. A.; Nasikhudin; Hidayat, A.; Taufiq, A.; Sunaryono; Mufti, N.

    2017-05-01

    The use of silica in various fields is significantly increasing. One common application is silica based functional glass which has naturally show specific dielectric, optical, and magnetic properties. Many studies have been performing to explore the influence of dopant, composition, and other processing parameters as well as employing various characterization. In the previous work, we report the use of silica from silica sands. To reduce the melting temperature, we used silica sol-gel beside the utilization of some oxides such as B2O3, Na2CO3, and Bi3O3. We also used NiO as dopant explore the glass properties. We have prepared a series of sample with the composition of 50SiO2-25B2O3-(6.5-x) Bi3O3-18.5 Na2CO3-xNiO (x = 0, 1, 2, 3 and 4 wt%). After weighting process, the composition was blended, then heated to 450 °C for 120 minutes and then raised at 950 °C for 60 minutes in the crucible. Then samples of glass separated from the crucible and in the characterization of the structure using the DTA, XRD, SEM-EDAX and FTIR and measuring dielectric constant using a capacitance meter. The increase of NiO dopant resulted in increasing the dielectric constant of glass. On the other hand, the dielectric constant gradually decreases with the increase of light intensity. One can be noted that the applied intensity give rise to the step-like decrease of the dielectric constant. Whereas, the increasing magnetic field indicate the increase of dielectric constant.

  6. Depolarization currents in Al 2O 3 and MgAl 2O 4 oxides

    NASA Astrophysics Data System (ADS)

    Carvalhaes, R. P. M.; Rocha, M. S.; de Souza, S. S.; Blak, A. R.

    2004-06-01

    In the present work, dipole defects in γ-irradiated and thermally treated samples of Al 2O 3 and MgAl 2O 4 oxides are investigated, applying the thermally stimulated depolarisation currents technique (TSDC). The TSDC spectra of MgAl 2O 4 doped with Fe 2+, Fe 3+, Co 2+, Cr 3+ and Mn 2+ show four bands at 130 K, 160 K, 250 K and 320 K, and the spectra of Al 2O 3 doped with Mg 2+, Cr 3+ and Fe 3+ show bands between 230 K and 260 K. It has been observed that the bands at 130 K, 160 K and 250 K in MgAl 2O 4 spinel and that the 230 K and 240 K bands in Al 2O 3 are related to dipole defects. The other bands are possibly related to different types of charge storage mechanisms (space-charge and interfacial polarisation) or deal with distributions in activation energies and/or in relaxation times. A thermal decrease of the TSDC bands for heat treatments above 1000 K has been observed. In MgAl 2O 4 spinel, the 250 K band could be recovered after γ-irradiation and the two dipole peaks in Al 2O 3 were partially recovered. Thermal treatments affect the dipole aggregation processes in both oxides. Optical absorption (AO) results indicate that the presence of bands of water molecules in the infrared region obstructs the appearance of the TSDC bands in both Al 2O 3 and MgAl 2O 4. The 250 K peak in MgAl 2O 4 was correlated to V-type centres and the 250 K peak in Al 2O 3 to a substitutional Mg 2+ ion near a trapped hole localised on an adjacent oxygen ion.

  7. Strain-Gated Field Effect Transistor of a MoS2-ZnO 2D-1D Hybrid Structure.

    PubMed

    Chen, Libo; Xue, Fei; Li, Xiaohui; Huang, Xin; Wang, Longfei; Kou, Jinzong; Wang, Zhong Lin

    2016-01-26

    Two-dimensional (2D) molybdenum disulfide (MoS2) is an exciting material due to its unique electrical, optical, and piezoelectric properties. Owing to an intrinsic band gap of 1.2-1.9 eV, monolayer or a-few-layer MoS2 is used for fabricating field effect transistors (FETs) with high electron mobility and on/off ratio. However, the traditional FETs are controlled by an externally supplied gate voltage, which may not be sensitive enough to directly interface with a mechanical stimulus for applications in electronic skin. Here we report a type of top-pressure/force-gated field effect transistors (PGFETs) based on a hybrid structure of a 2D MoS2 flake and 1D ZnO nanowire (NW) array. Once an external pressure is applied, the piezoelectric polarization charges created at the tips of ZnO NWs grown on MoS2 act as a gate voltage to tune/control the source-drain transport property in MoS2. At a 6.25 MPa applied stimulus on a packaged device, the source-drain current can be tuned for ∼25%, equivalent to the results of applying an extra -5 V back gate voltage. Another type of PGFET with a dielectric layer (Al2O3) sandwiched between MoS2 and ZnO also shows consistent results. A theoretical model is proposed to interpret the received data. This study sets the foundation for applying the 2D material-based FETs in the field of artificial intelligence.

  8. Efficient III-Nitride MIS-HEMT devices with high-κ gate dielectric for high-power switching boost converter circuits

    NASA Astrophysics Data System (ADS)

    Mohanbabu, A.; Mohankumar, N.; Godwin Raj, D.; Sarkar, Partha; Saha, Samar K.

    2017-03-01

    The paper reports the results of a systematic theoretical study on efficient recessed-gate, double-heterostructure, and normally-OFF metal-insulator-semiconductor high-electron mobility transistors (MIS-HEMTs), HfAlOx/AlGaN on Al2O3 substrate. In device architecture, a thin AlGaN layer is used in the AlGaN graded barrier MIS-HEMTs that offers an excellent enhancement-mode device operation with threshold voltage higher than 5.3 V and drain current above 0.64 A/mm along with high on-current/off-current ratio over 107 and subthreshold slope less than 73 mV/dec. In addition, a high OFF-state breakdown voltage of 1200 V is achieved for a device with a gate-to-drain distance and field-plate length of 15 μm and 5.3 μm, respectively at a drain current of 1 mA/mm with a zero gate bias, and the substrate grounded. The numerical device simulation results show that in comparison to a conventional AlGaN/GaN MIS-HEMT of similar design, a graded barrier MIS-HEMT device exhibits a better interface property, remarkable suppression of leakage current, and a significant improvement of breakdown voltage for HfAlOx gate dielectric. Finally, the benefit of HfAlOx graded-barrier AlGaN MIS-HEMTs based switching devices is evaluated on an ultra-low-loss converter circuit.

  9. An Investigation of Dielectric, Piezoelectric Properties and Microstructures of Bi0.5Na0.5TiO3-BaTiO3-Bi0.5K0.5TiO3 Lead-Free Piezoelectric Ceramics Doped with K2AlNbO5 Compound

    NASA Astrophysics Data System (ADS)

    Liu, Gang; Jiang, Wentao; Liu, Kaihua; Liu, Xiaokui; Song, Chunlin; Yan, Yan; Jin, Li

    2017-08-01

    The effect of K2AlNbO5 compound acting as both donor and accepter on the phase, microstructures and electrical properties of the 0.9362(Bi0.5Na0.5)TiO3-0.0637BaTiO3-0.02(Bi0.5K0.5)TiO3 [(1- x)(0.9163BNT-0.0637BT-0.02BKT)- x(K2AlNbO5)] (BNKBT-1000 xKAN) ternary lead-free piezoelectric ceramics was systematically investigated. When doping content of K2AlNbO5 was varied from 0 to 0.009, the BNKBT-1000 xKAN ceramics showed a single perovskite structure, and the phase structure transferred from a rhombohedral-tetragonal coexistent morphotropic phase boundaries zone to a tetragonal zone. The x-ray photoelectron spectroscopy analysis indicated that the chemical valence of the Nb and Al element are 5+ and 3+, respectively. Strong relaxor characteristics were revealed by the temperature-dependent dielectric properties of the ceramics. Typical square polarization-electric field ( P- E) hysteresis loops were observed in the samples with doping content lower than 0.005. However, with further increasing the doping content ( x = 0.007 and 0.009), round P- E hysteresis loops were observed due to the high conductivity of these samples. Moreover, when the doping content was less than 0.005, the ceramic samples exhibited good piezoelectric properties. Specially, when the doping content was 0.001, the piezoelectric constant d 33 and electromechanical coupling coefficient k p of the sample were 197 pC/N and 22%, respectively. However, further addition would deteriorate both the dielectric and piezoelectric properties.

  10. Enhancement of Dielectric Breakdown Strength and Energy Conversion Efficiency of Niobate Glass-Ceramics by Sc2O3 Doping

    NASA Astrophysics Data System (ADS)

    Xiao, Shi; Xiu, Shaomei; Yang, Ke; Shen, Bo; Zhai, Jiwei

    2018-01-01

    Niobate glass-ceramics K2O-SrO-Nb2O5-B2O3-Al2O3-SiO2 (KSN-BAS) doped with different amounts of Sc2O3 have been prepared through a melt quenching/controlled crystallization method, and the influence of the Sc2O3 content on their phase composition, microstructure, dielectric performance, and charge-discharge properties investigated. X-ray powder diffraction results showed that the peak positions of the KSr2Nb5O15 phase shifted to higher angle and the glass-ceramic microstructures were significantly improved by Sc2O3 addition. Based on these results, 0.5 mol.% Sc2O3 doping was found to achieve remarkable enhancement in energy storage density, which reached 9.63 ± 0.39 J/cm3 at dielectric breakdown strength of 1450.38 ± 29.01 kV/cm with high conversion efficiency of ˜ 92.1%. For pulsed power applications, discharge speed of 17 ns and power density of 0.48 MW/cm3 were obtained in the glass-ceramic with 0.5 mol.% Sc2O3. These results could provide a new design strategy for high-performance dielectric capacitors.

  11. Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment.

    PubMed

    Kim, Kwangeun; Ryu, Jae Ha; Kim, Jisoo; Cho, Sang June; Liu, Dong; Park, Jeongpil; Lee, In-Kyu; Moody, Baxter; Zhou, Weidong; Albrecht, John; Ma, Zhenqiang

    2017-05-24

    Understanding the band bending at the interface of GaN/dielectric under different surface treatment conditions is critically important for device design, device performance, and device reliability. The effects of ultraviolet/ozone (UV/O 3 ) treatment of the GaN surface on the energy band bending of atomic-layer-deposition (ALD) Al 2 O 3 coated Ga-polar GaN were studied. The UV/O 3 treatment and post-ALD anneal can be used to effectively vary the band bending, the valence band offset, conduction band offset, and the interface dipole at the Al 2 O 3 /GaN interfaces. The UV/O 3 treatment increases the surface energy of the Ga-polar GaN, improves the uniformity of Al 2 O 3 deposition, and changes the amount of trapped charges in the ALD layer. The positively charged surface states formed by the UV/O 3 treatment-induced surface factors externally screen the effect of polarization charges in the GaN, in effect, determining the eventual energy band bending at the Al 2 O 3 /GaN interfaces. An optimal UV/O 3 treatment condition also exists for realizing the "best" interface conditions. The study of UV/O 3 treatment effect on the band alignments at the dielectric/III-nitride interfaces will be valuable for applications of transistors, light-emitting diodes, and photovoltaics.

  12. Low-voltage high-speed programming gate-all-around floating gate memory cell with tunnel barrier engineering

    NASA Astrophysics Data System (ADS)

    Hamzah, Afiq; Ezaila Alias, N.; Ismail, Razali

    2018-06-01

    The aim of this study is to investigate the memory performances of gate-all-around floating gate (GAA-FG) memory cell implementing engineered tunnel barrier concept of variable oxide thickness (VARIOT) of low-k/high-k for several high-k (i.e., Si3N4, Al2O3, HfO2, and ZrO2) with low-k SiO2 using three-dimensional (3D) simulator Silvaco ATLAS. The simulation work is conducted by initially determining the optimized thickness of low-k/high-k barrier-stacked and extracting their Fowler–Nordheim (FN) coefficients. Based on the optimized parameters the device performances of GAA-FG for fast program operation and data retention are assessed using benchmark set by 6 and 8 nm SiO2 tunnel layer respectively. The programming speed has been improved and wide memory window with 30% increment from conventional SiO2 has been obtained using SiO2/Al2O3 tunnel layer due to its thin low-k dielectric thickness. Furthermore, given its high band edges only 1% of charge-loss is expected after 10 years of ‑3.6/3.6 V gate stress.

  13. Formation of gamma'-Ni3Al via the Peritectoid Reaction: gamma plus beta (+Al2O3) equals gamma'(+Al2O3)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8 - 32 at.%Al and temperature range T = 1400 - 1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma'-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3) = gamma + beta (+ Al2O3), at 1640 plus or minus 1 K and a liquid composition of 24.8 plus or minus 0.2 at.%Al (at an unknown oxygen content). The {gamma + beta + Al2O3} phase field is stable over the temperature range 1633 - 1640 K, and gamma'-Ni3Al forms via the peritectiod, gamma + beta (+ Al2O3) = gamma'(+ Al2O3), at 1633 plus or minus 1 K. This behavior is inconsistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma'-Ni3Al phase field.

  14. Understanding the gradual reset in Pt/Al2O3/Ni RRAM for synaptic applications

    NASA Astrophysics Data System (ADS)

    Sarkar, Biplab; Lee, Bongmook; Misra, Veena

    2015-10-01

    In this work, a study has been performed to understand the gradual reset in Al2O3 resistive random-access memory (RRAM). Concentration of vacancies created during the forming or set operation is found to play a major role in the reset mechanism. The reset was observed to be gradual when a significantly higher number of vacancies are created in the dielectric during the set event. The vacancy concentration inside the dielectric was increased using a multi-step forming method which resulted in a diffusion-dominated gradual filament dissolution during the reset in Al2O3 RRAM. The gradual dissolution of the filament allows one to control the conductance of the dielectric during the reset. RRAM devices with gradual reset show excellent endurance and retention for multi-bit storage. Finally, the conductance modulation characteristics realizing synaptic learning are also confirmed in the RRAM.

  15. Direct current performance and current collapse in AlGaN/GaN insulated gate high-electron mobility transistors on Si (1 1 1) substrate with very thin SiO2 gate dielectric

    NASA Astrophysics Data System (ADS)

    Lachab, M.; Sultana, M.; Fatima, H.; Adivarahan, V.; Fareed, Q.; Khan, M. A.

    2012-12-01

    This work reports on the dc performance of AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) grown on Si (1 1 1) substrate and the study of current dispersion in these devices using various widely adopted methods. The MOSHEMTs were fabricated using a very thin (4.2 nm) SiO2 film as the gate insulator and were subsequently passivated with about 30 nm thick Si3N4 layer. For devices with 2.5 µm long gates and a 4 µm drain-to-source spacing, the maximum saturation drain current density was 822 mA mm-1 at + 4 V gate bias and the peak external transconductance was ˜100 mS mm-1. Furthermore, the oxide layer successfully suppressed the drain and gate leakage currents with the subthreshold current and the gate diode current levels exceeding by more than three orders of magnitude the levels found in their Schottky gate counterparts. Capacitance-voltage and dynamic current-voltage measurements were carried out to assess the oxide quality as well as the devices’ surface properties after passivation. The efficacy of each of these characterization techniques to probe the presence of interface traps and oxide charge in the nitride-based transistors is also discussed.

  16. Effects of BiAlO{sub 3}-doping on dielectric and ferroelectric properties of 0.93Na{sub 0.5}Bi{sub 0.5}TiO{sub 3}–0.07BaTiO{sub 3} lead-free ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Jian; Chen, Xiao-ming, E-mail: xmchen-snnu@163.com; Zhao, Xu-mei

    2015-07-15

    Highlights: • BiAlO{sub 3}-doped BNT-based ceramics were synthesized via a conventional solid state reaction method. • T% values are 56%, 32%, 37%, and 37% for the ceramics with x = 0, 0.01, 0.02 and 0.06, respectively. • The mean grain sizes of the ceramics with x = 0, 0.01, 0.02 and 0.06 are about 1.1, 0.9, 0.8 and 0.7 μm, respectively. • Dielectric anomalies in the ϵ{sub r}–T curves are close related to the BiAlO{sub 3} amounts. • The ceramic with x = 0.01 shows the P{sub m} of 32.5 μC/cm{sup 2}, P{sub r} of 24.1 μC/cm{sup 2}, E{sub c}more » of 20.0 kV/cm and d{sub 33} of 166 pC/N. - Abstract: (1 − x)(0.93Na{sub 0.5}Bi{sub 0.5}TiO{sub 3}–0.07BaTiO{sub 3})–xBiAlO{sub 3} (BNBT-xBA, x = 0, 0.01, 0.02, 0.06) lead-free ceramics were synthesized via a conventional solid state reaction method. Crystallite structure, microstructure, dielectric and ferroelectric properties of the BNBT–xBA ceramics were studied in detail. X-ray diffraction results show that all ceramics exhibit typical diffraction peaks of ABO{sub 3} perovskite structure. Scanning electron microscope images show that all samples have fine microstructures. Both Curie temperature and maximum dielectric constant vary with the change in the BiAlO{sub 3} amounts. The values of hysteresis loop squareness were calculated to be 1.26, 0.81, 0.51 and 0.36 for the ceramics with x = 0, 0.01, 0.02 and 0.06, respectively, indicating a decreased switching behavior of polarization. The changes in dielectric and ferroelectric properties of the ceramics are also discussed.« less

  17. Depletion-mode vertical Ga2O3 trench MOSFETs fabricated using Ga2O3 homoepitaxial films grown by halide vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Sasaki, Kohei; Thieu, Quang Tu; Wakimoto, Daiki; Koishikawa, Yuki; Kuramata, Akito; Yamakoshi, Shigenobu

    2017-12-01

    We developed depletion-mode vertical Ga2O3 trench metal-oxide-semiconductor field-effect transistors by using n+ contact and n- drift layers. These epilayers were grown on an n+ (001) Ga2O3 single-crystal substrate by halide vapor phase epitaxy. Cu and HfO2 were used for the gate metal and dielectric film, respectively. The mesa width and gate length were approximately 2 and 1 µm, respectively. The devices showed good DC characteristics, with a specific on-resistance of 3.7 mΩ cm2 and clear current modulation. An on-off ratio of approximately 103 was obtained.

  18. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  19. Effectiveness of BaTiO 3 dielectric patches on YBa 2Cu 3O 7 thin films for MEM switches

    DOE PAGES

    Vargas, J.; Hijazi, Y.; Noel, J.; ...

    2014-05-12

    A micro-electro-mechanical (MEM) switch built on a superconducting microstrip filter will be utilized to investigate BaTiO 3 dielectric patches for functional switching points of contact. Actuation voltage resulting from the MEM switch provokes static friction between the bridge membrane and BaTiO 3 insulation layer. Furthermore, the dielectric patch crystal structure and roughness affect the ability of repetitively switching cycles and lifetime. We performed a series of experiments using different deposition methods and RF magnetron sputtering was found to be the best deposition process for the BaTiO 3 layer. The effect examination of surface morphology will be presented using characterization techniquesmore » as x-ray diffraction, SEM and AFM for an optimum switching device. The thin film is made of YBa 2Cu 3O 7 deposited on LaAlO 3 substrate by pulsed laser deposition. In our work, the dielectric material sputtering pressure is set at 9.5x10 -6 Torr. The argon gas is released through a mass-flow controller to purge the system prior to deposition. RF power is 85 W at a distance of 9 cm. The behavior of Au membranes built on ultimate BaTiO 3 patches will be shown as part of the results. These novel surface patterns will in turn be used in modelling other RF MEM switch devices such as distributed-satellite communication system operating at cryogenic temperatures.« less

  20. Comparing the Thermodynamic Behaviour of Al(1)+ZrO2(s) to Al(1)+Al2O3(s)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2004-01-01

    In an effort to better determine the thermodynamic properties of Al(g) and Al2O(g). the vapor in equilibrium with Al(l)+ZrO2(s) was compared to the vapor in equilibrium with Al(l)+Al2O3(s) over temperature range 1197-to-1509K. The comparison was made directly by Knudsen effusion-cell mass spectrometry with an instrument configured for a multiple effusion-cell vapor source (multi-cell KEMS). Second law enthalpies of vaporization of Al(g) and Al2O(g) together with activity measurements show that Al(l)+ZrO2(s) is thermodynamically equivalent to Al(l)+Al2O3(s), indicating Al(l) remained pure and Al2O3(s) was present in the ZrO2-cell. Subsequent observation of the Al(l)/ZrO2 and vapor/ZrO2 interfaces revealed a thin Al2O3-layer had formed, separating the ZrO2-cell from Al(l) and Al(g)+Al2O(g), effectively transforming it into an Al2O3 effusion-cell. This behavior agrees with recent observations made for Beta-NiAl(Pt) alloys measured in ZrO2 effusion-cell.

  1. SEGR in SiO$${}_2$$ –Si$$_3$$ N$$_4$$ Stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Javanainen, Arto; Ferlet-Cavrois, Veronique; Bosser, Alexandre

    2014-04-17

    This work presents experimental SEGR data for MOS-devices, where the gate dielectrics are are made of stacked SiO 2–Si 3N 4 structures. Also a semi-empirical model for predicting the critical gate voltage in these structures under heavy-ion exposure is proposed. Then statistical interrelationship between SEGR cross-section data and simulated energy deposition probabilities in thin dielectric layers is discussed.

  2. Dielectric spectroscopy of Dy2O3 doped (K0.5Na0.5)NbO3 piezoelectric ceramics

    NASA Astrophysics Data System (ADS)

    Mahesh, P.; Subhash, T.; Pamu, D.

    2014-06-01

    We report the dielectric properties of ( K 0.5 Na 0.5 ) NbO 3 ceramics doped with x wt% of Dy 2 O 3 (x= 0.0-1.5 wt%) using the broadband dielectric spectroscopy. The X-ray diffraction studies showed the formation of perovskite structure signifying that Dy 2 O 3 diffuse into the KNN lattice. Samples doped with x > 0.5 wt% exhibit smaller grain size and lower relative densities. The dielectric properties of KNN ceramics doped with Dy 2 O 3 are enhanced by increasing the Dy 3+ content; among the compositions studied, x = 0.5 wt% exhibited the highest dielectric constant and lowest loss at 1MHz over the temperature range of 30°C to 400°C. All the samples exhibit maximum dielectric constant at the Curie temperature (˜ 326°C) and a small peak in the dielectric constant at around 165°C is due to a structural phase transition. At the request of all authors, and by agreement with the Proceedings Editors, a corrected version of this article was published on 19 June 2014. The full text of the Corrigendum is attached to the corrected article PDF file.

  3. Atomic Layer Deposition of Gallium Oxide Films as Gate Dielectrics in AlGaN/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Shih, Huan-Yu; Chu, Fu-Chuan; Das, Atanu; Lee, Chia-Yu; Chen, Ming-Jang; Lin, Ray-Ming

    2016-04-01

    In this study, films of gallium oxide (Ga2O3) were prepared through remote plasma atomic layer deposition (RP-ALD) using triethylgallium and oxygen plasma. The chemical composition and optical properties of the Ga2O3 thin films were investigated; the saturation growth displayed a linear dependence with respect to the number of ALD cycles. These uniform ALD films exhibited excellent uniformity and smooth Ga2O3-GaN interfaces. An ALD Ga2O3 film was then used as the gate dielectric and surface passivation layer in a metal-oxide-semiconductor high-electron-mobility transistor (MOS-HEMT), which exhibited device performance superior to that of a corresponding conventional Schottky gate HEMT. Under similar bias conditions, the gate leakage currents of the MOS-HEMT were two orders of magnitude lower than those of the conventional HEMT, with the power-added efficiency enhanced by up to 9 %. The subthreshold swing and effective interfacial state density of the MOS-HEMT were 78 mV decade-1 and 3.62 × 1011 eV-1 cm-2, respectively. The direct-current and radio-frequency performances of the MOS-HEMT device were greater than those of the conventional HEMT. In addition, the flicker noise of the MOS-HEMT was lower than that of the conventional HEMT.

  4. Optimization of Al2O3/TiO2/Al 2O3 Multilayer Antireflection Coating With X-Ray Scattering Techniques

    NASA Astrophysics Data System (ADS)

    Li, Chao

    Broadband multilayer antireflection coatings (ARCs) are keys to improving solar cell efficiencies. The goal of this dissertation is to optimize the multilayer Al2O3/TiO2/Al2O 3 ARC designed for a III-V space multi-junction solar cell with understanding influences of post-annealing and varying deposition parameters on the optical properties. Accurately measuring optical properties is important in accessing optical performances of ARCs. The multilayer Al2O3/TiO 2/Al2O3 ARC and individual Al2O 3 and TiO2 layers were characterized by a novel X-ray reflectivity (XRR) method and a combined method of grazing-incidence small angle X-ray scattering (GISAXS), atomic force microscopy (AFM), and XRR developed in this study. The novel XRR method combining an enhanced Fourier analysis with specular XRR simulation effectively determines layer thicknesses and surface and interface roughnesses and/or grading with sub-nanometer precision, and densities less than three percent uncertainty. Also, the combined method of GISAXS, AFM, and XRR characterizes the distribution of pore size with one-nanometer uncertainty. Unique to this method, the diffuse scattering from surface and interface roughnesses is estimated with surface parameters (root mean square roughness sigma, lateral correlation length ξ, and Hurst parameter h) obtained from AFM, and layer densities, surface grading and interface roughness/grading obtained from specular XRR. It is then separated from pore scattering. These X-ray scattering techniques obtained consistent results and were validated by other techniques including optical reflectance, spectroscopic ellipsometry (SE), glancing incidence X-ray diffraction, transmission electron microscopy and energy dispersive X-ray spectroscopy. The ARCs were deposited by atomic layer deposition with standard parameters at 200 °C. The as-deposited individual Al2O3 layer on Si is porous and amorphous as indicated by the combined methods of GISAXS, AFM, and XRR. Both post

  5. Optical microcavities and enhanced electroluminescence from electroformed Al-Al{sub 2}O{sub 3}-Ag diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hickmott, T. W.

    Electroluminescence (EL) and electron emission into vacuum (EM) occur when a non-destructive dielectric breakdown of Al-Al{sub 2}O{sub 3}-Ag diodes, electroforming, results in the development of a filamentary region in which current-voltage (I-V) characteristics exhibit voltage-controlled negative resistance. The temperature dependence of I-V curves, EM, and, particularly, EL of Al-Al{sub 2}O{sub 3}-Ag diodes with anodic Al{sub 2}O{sub 3} thicknesses between 12 nm and 30 nm, has been studied. Two filters, a long-pass (LP) filter with transmission of photons with energies less than 3.0 eV and a short-pass (SP) filter with photon transmission between 3.0 and 4.0 eV, have been used to characterize EL. The voltagemore » threshold for EL with the LP filter, V{sub LP}, is ∼1.5 V. V{sub LP} is nearly independent of Al{sub 2}O{sub 3} thickness and of temperature and is 0.3–0.6 V less than the threshold voltage for EL for the SP filter, V{sub SP}. EL intensity is primarily between 1.8 and 3.0 eV when the bias voltage, V{sub S} ≲ 7 V. EL in the thinnest diodes is enhanced compared to EL in thicker diodes. For increasing V{sub S}, for diodes with the smallest Al{sub 2}O{sub 3} thicknesses, there is a maximum EL intensity, L{sub MX}, at a voltage, V{sub LMX}, followed by a decrease to a plateau. L{sub MX} and EL intensity at 4.0 V in the plateau region depend exponentially on Al{sub 2}O{sub 3} thickness. The ratio of L{sub MX} at 295 K for a diode with 12 nm of Al{sub 2}O{sub 3} to L{sub MX} for a diode with 25 nm of Al{sub 2}O{sub 3} is ∼140. The ratio of EL intensity with the LP filter to EL intensity with the SP filter, LP/SP, varies between ∼3 and ∼35; it depends on Al{sub 2}O{sub 3} thickness and V{sub S}. Enhanced EL is attributed to the increase of the spontaneous emission rate of a dipole in a non-resonant optical microcavity. EL photons interact with the Ag and Al films to create surface plasmon polaritons (SPPs) at the metal-Al

  6. [Influences of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite ceramics].

    PubMed

    Wang, Zhiqiang; Chen, Xiaoxu; Cai, Yingji; Lü, Bingling

    2003-06-01

    The effects of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite (HAP) ceramics were assessed. The results showed that alpha-Al2O3 impeded the sintering of HAP and raised the sintering temperature. When glass and alpha-Al2O3 were used together to reinforce HAP ceramics, better results could be obtained; the bending strength of multiphase HAP ceramics approached 106 MPa when 10% (wt) alpha-Al2O3 and 20%(wt) glass were used and sintered at 1200 for 1 h.

  7. Effect of nanocomposite gate-dielectric properties on pentacene microstructure and field-effect transistor characteristics.

    PubMed

    Lee, Wen-Hsi; Wang, Chun-Chieh

    2010-02-01

    In this study, the effect of surface energy and roughness of the nanocomposite gate dielectric on pentacene morphology and electrical properties of pentacene OTFT are reported. Nanoparticles TiO2 were added in the polyimide matrix to form a nanocomposite which has a significantly different surface characteristic from polyimide, leading to a discrepancy in the structural properties of pentacene growth. A growth mode of pentacene deposited on the nanocomposite is proposed to explain successfully the effect of surface properties of nanocomposite gate dielectric such as surface energy and roughness on the pentacene morphology and electrical properties of OTFT. To obtain the lower surface energy and smoother surface of nanocomposite gate dielectric that is responsible for the desired crystalline, microstructure of pentacene and electrical properties of device, a bottom contact OTFT-pentacene deposited on the double-layer nanocomposite gate dielectric consisting of top smoothing layer of the neat polyimide and bottom layer of (PI+ nano-TiO2 particles) nanocomposite has been successfully demonstrated to exhibit very promising performance including high current on to off ratio of about 6 x 10(5), threshold voltage of -10 V and moderately high filed mobility of 0.15 cm2V(-1)s(-1).

  8. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    NASA Astrophysics Data System (ADS)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  9. Role of deposition and annealing of the top gate dielectric in a-IGZO TFT-based dual-gate ion-sensitive field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kumar, Narendra; Sutradhar, Moitri; Kumar, Jitendra; Panda, Siddhartha

    2017-03-01

    The deposition of the top gate dielectric in thin film transistor (TFT)-based dual-gate ion-sensitive field-effect transistors (DG ISFETs) is critical, and expected not to affect the bottom gate TFT characteristics, while providing a higher pH sensitive surface and efficient capacitive coupling between the gates. Amorphous Ta2O5, in addition to having good sensing properties, possesses a high dielectric constant of ˜25 making it well suited as the top gate dielectric in a DG ISFET by providing higher capacitive coupling (ratio of C top/C bottom) leading to higher amplification. To avoid damage of the a-IGZO channel reported to be caused by plasma exposure, deposition of Ta2O5 by e-beam evaporation followed by annealing was investigated in this work to obtain sensitivity over the Nernst limit. The deteriorated bottom gate TFT characteristics, indicated by an increase in the channel conductance, confirmed that plasma exposure is not the sole contributor to the changes. Oxygen vacancies at the Ta2O5/a-IGZO interface, which emerged during processing, increased the channel conductivity, became filled by optimum annealing in oxygen at 400 °C for 1 h, which was confirmed by an x-ray photoelectron spectroscopy depth profiling analysis. The obtained pH sensitivity of the TFT-based DG ISFET was 402 mV pH-1, which is about 6.8 times the Nernst limit (59 mV pH-1). The concept of capacitive coupling was also demonstrated by simulating an a-IGZO-based DG TFT structure. Here, the exposure of the top gate dielectric to the electrolyte without applying any top gate bias led to changes in the measured threshold voltage of the bottom gate TFT, and this obviated the requirement of a reference electrode needed in conventional ISFETs and other reported DG ISFETs. These devices, with high sensitivities and requiring low volumes (˜2 μl) of analyte solution, could be potential candidates for utilization as chemical sensors and biosensors.

  10. Nano SnO 2-Al 2O 3 mixed oxide and SnO 2-Al 2O 3-carbon composite oxides as new and novel electrodes for supercapacitor applications

    NASA Astrophysics Data System (ADS)

    Jayalakshmi, M.; Venugopal, N.; Raja, K. Phani; Rao, M. Mohan

    New nano-materials like SnO 2-Al 2O 3 and SnO 2-Al 2O 3-carbon were synthesized by a single step hydrothermal method in searching for novel mixed oxides with high electrochemical double layer capacitance. A SnO 2-Al 2O 3-carbon sample was calcined at 600 °C and tested for its performance. The source of carbon was tetrapropyl ammonium hydroxide. The capacitive behavior of SnO 2 was compared to the performance of SnO 2-Al 2O 3, SnO 2-Al 2O 3-carbon and calcined SnO 2-Al 2O 3-carbon using the techniques of cyclic voltammetry, double potential step, chronopotentiometry and E-log I polarization. In 0.1 M NaCl solutions, SnO 2-Al 2O 3 gave the best performance with a value of 119 Fg -1 and cycled 1000 times. The nano-material mixed oxides were characterized by TEM, XRD, ICP-AES and SEM-EDAX.

  11. Wafer-scale solution-derived molecular gate dielectrics for low-voltage graphene electronics

    NASA Astrophysics Data System (ADS)

    Sangwan, Vinod K.; Jariwala, Deep; Everaerts, Ken; McMorrow, Julian J.; He, Jianting; Grayson, Matthew; Lauhon, Lincoln J.; Marks, Tobin J.; Hersam, Mark C.

    2014-02-01

    Graphene field-effect transistors are integrated with solution-processed multilayer hybrid organic-inorganic self-assembled nanodielectrics (SANDs). The resulting devices exhibit low-operating voltage (2 V), negligible hysteresis, current saturation with intrinsic gain >1.0 in vacuum (pressure < 2 × 10-5 Torr), and overall improved performance compared to control devices on conventional SiO2 gate dielectrics. Statistical analysis of the field-effect mobility and residual carrier concentration demonstrate high spatial uniformity of the dielectric interfacial properties and graphene transistor characteristics over full 3 in. wafers. This work thus establishes SANDs as an effective platform for large-area, high-performance graphene electronics.

  12. Optical and dielectric properties of isothermally crystallized nano-KNbO3 in Er3+-doped K2O-Nb2O5-SiO2 glasses.

    PubMed

    Chaliha, Reenamoni Saikia; Annapurna, K; Tarafder, Anal; Tiwari, V S; Gupta, P K; Karmakar, Basudeb

    2010-01-01

    Precursor glass of composition 25K(2)O-25Nb(2)O(5)-50SiO(2) (mol%) doped with Er(2)O(3) (0.5 wt% in excess) was isothermally crystallized at 800 degrees C for 0-100 h to obtain transparent KNbO(3) nanostructured glass-ceramics. XRD, FESEM, TEM, FTIRRS, dielectric constant, refractive index, absorption and fluorescence measurements were carried out to analyze the morphology, dielectric, structure and optical properties of the glass-ceramics. The crystallite size of KNbO(3) estimated from XRD and TEM is found to vary in the range 7-23 nm. A steep rise in the dielectric constant of glass-ceramics with heat-treatment time reveals the formation of ferroelectric nanocrystalline KNbO(3) phase. The measured visible photoluminescence spectra have exhibited green emission transitions of (2)H(11/2), (4)S(3/2)-->(4)I(15/2) upon excitation at 377 nm ((4)I(15/2)-->(4)G(11/2)) absorption band of Er(3+) ions. The near infrared (NIR) emission transition (4)I(13/2)-->(4)I(15/2) is detected around 1550 nm on excitation at 980 nm ((4)I(15/2)-->(4)I(11/2)) of absorption bands of Er(3+) ions. It is observed that photoluminescent intensity at 526 nm ((2)H(11/2)-->(4)I(15/2)), 550 nm ((4)S(3/2)-->(4)I(15/2)) and 1550 nm ((4)I(13/2)-->(4)I(15/2)) initially decrease and then gradually increase with increase in heat-treatment time. The measured lifetime (tau(f)) of the (4)I(13/2)-->(4)I(15/2) transition also possesses a similar trend. The measured absorption and fluorescence spectra reveal that the Er(3+) ions gradually enter into the KNbO(3) nanocrystals. Copyright 2009 Elsevier B.V. All rights reserved.

  13. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locatemore » in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.« less

  14. Oxygen vacancy defect engineering using atomic layer deposited HfAlOx in multi-layered gate stack

    NASA Astrophysics Data System (ADS)

    Bhuyian, M. N.; Sengupta, R.; Vurikiti, P.; Misra, D.

    2016-05-01

    This work evaluates the defects in high quality atomic layer deposited (ALD) HfAlOx with extremely low Al (<3% Al/(Al + Hf)) incorporation in the Hf based high-k dielectrics. The defect activation energy estimated by the high temperature current voltage measurement shows that the charged oxygen vacancies, V+/V2+, are the primary source of defects in these dielectrics. When Al is added in HfO2, the V+ type defects with a defect activation energy of Ea ˜ 0.2 eV modify to V2+ type to Ea ˜ 0.1 eV with reference to the Si conduction band. When devices were stressed in the gate injection mode for 1000 s, more V+ type defects are generated and Ea reverts back to ˜0.2 eV. Since Al has a less number of valence electrons than do Hf, the change in the co-ordination number due to Al incorporation seems to contribute to the defect level modifications. Additionally, the stress induced leakage current behavior observed at 20 °C and at 125 °C demonstrates that the addition of Al in HfO2 contributed to suppressed trap generation process. This further supports the defect engineering model as reduced flat-band voltage shifts were observed at 20 °C and at 125 °C.

  15. Influence of LaSiOx passivation interlayer on band alignment between PEALD-Al2O3 and 4H-SiC determined by X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Wang, Qian; Cheng, Xinhong; Zheng, Li; Shen, Lingyan; Zhang, Dongliang; Gu, Ziyue; Qian, Ru; Cao, Duo; Yu, Yuehui

    2018-01-01

    The influence of lanthanum silicate (LaSiOx) passivation interlayer on the band alignment between plasma enhanced atomic layer deposition (PEALD)-Al2O3 films and 4H-SiC was investigated by high resolution X-ray photoelectron spectroscopy (XPS). An ultrathin in situ LaSiOx interfacial passivation layer (IPL) was introduced between the Al2O3 gate dielectric and the 4H-SiC substrate to enhance the interfacial characteristics. The valence band offset (VBO) and corresponding conduction band offset (CBO) for the Al2O3/4H-SiC interface without any passivation were extracted to be 2.16 eV and 1.49 eV, respectively. With a LaSiOx IPL, a VBO of 1.79 eV and a CBO of 1.86 eV could be obtained across the Al2O3/4H-SiC interface. The difference in the band alignments was dominated by the band bending or band shift in the 4H-SiC substrate as a result of different interfacial layers (ILs) formed at the interface. This understanding of the physical details of the band alignment could be a good foundation for Al2O3/LaSiOx/4H-SiC heterojunctions applied in the 4H-SiC metal-oxide-semiconductor field effect transistors (MOSFETs).

  16. Formation of gamma(sup prime)-Ni3Al via the Peritectoid Reaction: gamma + beta (+ Al2O3)=gamma(sup prime)(+ Al2O3)

    NASA Technical Reports Server (NTRS)

    Copeland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8-32 at.%Al and temperature range T=1400-1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma(sup prime)-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3)=gamma + Beta(+ Al2O3), at 1640 +/- 1 K and a liquid composition of 24.8 +/- 0.2 at.%al (at an unknown oxygen content). The {gamma + Beta (+Al2O3} phase field is stable over the temperature range 1633-1640 K, and gamma(sup prime)-Ni3Al forms via the peritectoid, gamma + Beta (+ Al2O3)=gamma(sup prime) (+ Al2O3), at 1633 +/- 1 K. This behavior is consistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady-state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma(sup prime)-Ni2Al phase field.

  17. Solution processed flexible organic thin film back-gated transistors based on polyimide dielectric films

    NASA Astrophysics Data System (ADS)

    Park, Janghoon; Min, Yoonki; Lee, Dongjin

    2018-04-01

    An organic thin film back-gated transistor (OBGT) was fabricated and characterized. The gate electrode was printed on the back side of substrate, and the dielectric layer was omitted by substituting the dielectric layer with the polyimide (PI) film substrate. Roll-to-roll (R2R) gravure printing, doctor blading, and drop casting methods were used to fabricate the OBGT. The printed OBGT device shows better performance compared with an OTFT device based on dielectric layer of BaTiO3. Additionally, a calendering process enhanced the performance by a factor of 3 to 7 (mobility: 0.016 cm2/V.s, on/off ratio: 9.17×103). A bending test was conducted to confirm the flexibility and durability of the OBGT device. The results show the fabricated device endures 20000-cyclic motions. The realized OBGT device was successfully fabricated and working, which is meaningful for production engineering from the viewpoint of process development.

  18. Spectra of surface plasmon polariton enhanced electroluminescence from electroformed Al-Al{sub 2}O{sub 3}-Ag diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hickmott, T. W.

    Narrow band-pass filters have been used to measure the spectral distribution of electroluminescent photons with energies between 1.8 eV and 3.0 eV from electroformed Al-Al{sub 2}O{sub 3}-Ag diodes with anodic Al{sub 2}O{sub 3} thicknesses between 12 nm and 18 nm. Electroforming of metal-insulator-metal (MIM) diodes is a non-destructive dielectric breakdown that results in a conducting channel in the insulator and changes the initial high resistance of the MIM diode to a low resistance state. It is a critical step in the development of resistive-switching memories that utilize MIM diodes as the active element. Electroforming of Al-Al{sub 2}O{sub 3}-Ag diodes in vacuum results in voltage-controlledmore » negative resistance (VCNR) in the current-voltage (I-V) characteristics. Electroluminescence (EL) and electron emission into vacuum (EM) develop simultaneously with the current increase that results in VCNR in the I-V characteristics. EL is due to recombination of electrons injected at the Al-Al{sub 2}O{sub 3} interface with radiative defect centers in Al{sub 2}O{sub 3}. Measurements of EL photons between 1.8 eV and 3.0 eV using a wide band-pass filter showed that EL intensity is exponentially dependent on Al{sub 2}O{sub 3} thickness for Al-Al{sub 2}O{sub 3}-Ag diodes between 12 nm and 20 nm thick. Enhanced El intensity in the thinnest diodes is attributed to an increase in the spontaneous emission rate of recombination centers due to high electromagnetic fields generated in Al{sub 2}O{sub 3} when EL photons interact with electrons in Ag or Al to form surface plasmon polaritons at the Al{sub 2}O{sub 3}-Ag or Al{sub 2}O{sub 3}-Al interface. El intensity is a maximum at 2.0–2.2 eV for Al-Al{sub 2}O{sub 3}-Ag diodes with Al{sub 2}O{sub 3} thicknesses between 12 nm and 18 nm. EL in diodes with 12 nm or 14 nm of Al{sub 2}O{sub 3} is enhanced by factors of 8–10 over EL from a diode with 18 nm of Al{sub 2}O{sub 3}. The extent of EL

  19. Colossal dielectric response in all-ceramic percolative composite 0.65Pb(Mg1/3Nb2/3)O3-0.35PbTiO3-Pb2Ru2O6.5

    NASA Astrophysics Data System (ADS)

    Bobnar, V.; Hrovat, M.; Holc, J.; Filipič, C.; Levstik, A.; Kosec, M.

    2009-02-01

    An exceptionally high dielectric constant was obtained by making use of the conductive percolative phenomenon in all-ceramic composite, comprising of Pb2Ru2O6.5 with high electrical conductivity denoted as the conductive phase and ferroelectric 0.65Pb(Mg1/3Nb2/3)O3-0.35PbTiO3 (PMN-PT) perovskite systems. Structural analysis revealed a uniform distribution of conductive ceramic grains within the PMN-PT matrix. Consequently, the dielectric response in the PMN-PT-Pb2Ru2O6.5 composite follows the predictions of the percolation theory. Thus, close to the percolation point exceptionally high values of the dielectric constant were obtained—values higher than 105 were detected at room temperature at 1 kHz. Fit of the data, obtained for samples of different compositions, revealed critical exponent and percolation point, which reasonably agree with the theoretically predicted values.

  20. Synthesis and properties of SrAl2O4:Eu2+, Dy3+ nanowires

    NASA Astrophysics Data System (ADS)

    Li, Zhi-jie; Zhang, Yu-feng; Wu, Xiao; Qin, Chu-yang; Shi, Gui-mei

    2017-10-01

    The SrAl2O4:Eu2+, Dy3+ nanowire was successfully synthesized by means of catalyst-assisted thermal chemical vapor deposition method. Their morphology, structure, composition, luminescent properties are explored in way of SEM, TEM, XRD and PL analysis. The nanowires diameter is uniform distributed in 50∼80 nm, but orientation distribution is irregular, with the length varying from 4 μm to 20 μm. When heated up to the temperature of 1200 °C for three hours, the optimum synthesis is achieved with the alumina substrate covered by Al nanoparticles. The emission peak reaches to 517 nm with 365 nm light excitation and the luminous intensity was down to 1/10 of the initial brightness in 20 mins. The dielectric property was investigated at the room temperature, which show stronger dielectric loss ability.

  1. Synthesis and properties of γ-Ga2O3-Al2O3 solid solutions

    NASA Astrophysics Data System (ADS)

    Afonasenko, T. N.; Leont'eva, N. N.; Talzi, V. P.; Smirnova, N. S.; Savel'eva, G. G.; Shilova, A. V.; Tsyrul'nikov, P. G.

    2017-10-01

    The textural and structural properties of mixed oxides Ga2O3-Al2O3, obtained via impregnating γ-Al2O3 with a solution of Ga(NO3)3 and subsequent heat treatment, are studied. According to the results from X-ray powder diffraction, gallium ions are incorporated into the structure of aluminum oxide to form a solid solution of spinel-type γ-Ga2O3-Al2O3 up to a Ga2O3 content of 50 wt % of the total weight of the sample, accompanied by a reduction in the specific surface area, volume, and average pore diameter. It is concluded that when the Ga2O3 content exceeds 50 wt %, the β-Ga2O3 phase is observed along with γ-Ga2O3-Al2O3 solid solution. 71Ga and 27Al NMR spectroscopy shows that gallium replaces aluminum atoms from the tetrahedral position to the octahedral coordination in the structure of γ-Ga2O3-Al2O3.

  2. Electrical conductivity optimization of the Na3AlF6-Al2O3-Sm2O3 molten salts system for Al-Sm intermediate binary alloy production

    NASA Astrophysics Data System (ADS)

    Liao, Chun-fa; Jiao, Yun-fen; Wang, Xu; Cai, Bo-qing; Sun, Qiang-chao; Tang, Hao

    2017-09-01

    Metal Sm has been widely used in making Al-Sm magnet alloy materials. Conventional distillation technology to produce Sm has the disadvantages of low productivity, high costs, and pollution generation. The objective of this study was to develop a molten salt electrolyte system to produce Al-Sm alloy directly, with focus on the electrical conductivity and optimal operating conditions to minimize the energy consumption. The continuously varying cell constant (CVCC) technique was used to measure the conductivity for the Na3AlF6-AlF3-LiF-MgF2-Al2O3-Sm2O3 electrolysis medium in the temperature range from 905 to 1055°C. The temperature ( t) and the addition of Al2O3 ( W(Al2O3)), Sm2O3 ( W(Sm2O3)), and a combination of Al2O3 and Sm2O3 into the basic fluoride system were examined with respect to their effects on the conductivity ( κ) and activation energy. The experimental results showed that the molten electrolyte conductivity increases with increasing temperature ( t) and decreases with the addition of Al2O3 or Sm2O3 or both. We concluded that the optimal operation conditions for Al-Sm intermediate alloy production in the Na3AlF6-AlF3-LiF-MgF2-Al2O3-Sm2O3 system are W(Al2O3) + W(Sm2O3) = 3wt%, W(Al2O3): W(Sm2O3) = 7:3, and a temperature of 965 to 995°C, which results in satisfactory conductivity, low fluoride evaporation losses, and low energy consumption.

  3. Low-voltage electric-double-layer paper transistors gated by microporous SiO2 processed at room temperature

    NASA Astrophysics Data System (ADS)

    Sun, Jia; Wan, Qing; Lu, Aixia; Jiang, Jie

    2009-11-01

    Battery drivable low-voltage SnO2-based paper thin-film transistors with a near-zero threshold voltage (Vth=0.06 V) gated by microporous SiO2 dielectric with electric-double-layer (EDL) effect are fabricated at room temperature. The operating voltage is found to be as low as 1.5 V due to the huge gate specific capacitance (1.34 μF/cm2 at 40 Hz) related to EDL formation. The subthreshold gate voltage swing and current on/off ratio is found to be 82 mV/decade and 2.0×105, respectively. The electron field-effect mobility is estimated to be 47.3 cm2/V s based on the measured gate specific capacitance at 40 Hz.

  4. Distinctive electrical properties in sandwich-structured Al2O3/low density polyethylene nanocomposites

    NASA Astrophysics Data System (ADS)

    Wang, Si-Jiao; Zha, Jun-Wei; Li, Wei-Kang; Dang, Zhi-Min

    2016-02-01

    The sandwich-structured Al2O3/low density polyethylene (Al2O3/LDPE) nanocomposite dielectrics consisting of layer-by-layer with different concentration Al2O3 loading were prepared by melt-blending and following hot pressing method. The space charge distribution from pulsed electro-acoustic method and breakdown strength of the nanocomposites were investigated. Compared with the single-layer Al2O3/LDPE nanocomposites, the sandwich-structured nanocomposites remarkably suppressed the space charge accumulation and presented higher breakdown strength. The charges in the sandwich-structured nanocomposites decayed much faster than that in the single-layer nanocomposites, which was attributed to an effective electric field caused by the formation of the interfacial space charges. The energy depth of shallow and deep traps was estimated as 0.73 eV and 1.17 eV in the sandwich-structured nanocomposites, respectively, according to the thermal excitation theoretical model we proposed. This work provides an attractive strategy of design and fabrication of polymer nanocomposites with excellent space charge suppression.

  5. Self-Healing Polymer Dielectric for a High Capacitance Gate Insulator.

    PubMed

    Ko, Jieun; Kim, Young-Jae; Kim, Youn Sang

    2016-09-14

    Self-healing materials are required for development of various flexible electronic devices to repair cracks and ruptures caused by repetitive bending or folding. Specifically, a self-healing dielectric layer has huge potential to achieve healing electronics without mechanical breakdown in flexible operations. Here, we developed a high performance self-healing dielectric layer with an ionic liquid and catechol-functionalized polymer which exhibited a self-healing ability for both bulk and film states under mild self-healing conditions at 55 °C for 30 min. Due to the sufficient ion mobility of the ionic liquid in the polymer matrix, it had a high capacitance value above 1 μF/cm(2) at 20 Hz. Moreover, zinc oxide (ZnO) thin-film transistors (TFTs) with a self-healing dielectric layer exhibited a high field-effect mobility of 16.1 ± 3.07 cm(2) V(-1) s(-1) at a gate bias of 3 V. Even after repetitive self-healing of the dielectric layer from mechanical breaking, the electrical performance of the TFTs was well-maintained.

  6. A new high-κ Al2O3 based metal-insulator-metal antifuse

    NASA Astrophysics Data System (ADS)

    Tian, Min; Zhong, Huicai; Li, Li; Wang, Zhigang

    2018-06-01

    In this paper, a new metal-insulator-metal (MIM) antifuse was fabricated with the high κ Al2O3 deposited by atomic layer deposition (ALD) as the dielectric. On this high κ antifuse structure, the very low on-state resistance was obtained under certain programming conditions. It is the first time that the antifuse on-state resistance has been found decreasing along with the increase of dielectric film thickness, which is attributed to a large current overshoot during breakdown. For the device with a dielectric thickness of 12 nm, very large overshoot current (∼60 mA) was observed and extremely low on-state resistance (∼10 Ω) was achieved.

  7. Microstructure and dielectric parameters of epitaxial SrRuO3/BaTiO3/SrRuO3 heterostructures

    NASA Astrophysics Data System (ADS)

    Boikov, Yu. A.; Claeson, T.

    2001-05-01

    Epitaxial films of ferroelectric barium titanate are desirable in a number of applications but their properties are inferior to those of bulk material. Relations between microstructure and dielectric properties may give better understanding of limitations. Trilayer heterostructures SrRuO3/BaTiO3/SrRuO3 were grown by laser ablation on (100)LaAlO3 and (100)MgO substrates. The BaTiO3 layer was granular in structure. When grown on (100)SrRuO3/(100)LaAlO3, it was preferentially a-axis oriented due to tensile mechanical stress. Using (100)MgO as a substrate, on the other hand, produced a mixture of about equal value of a-axis and c-axis oriented grains of BaTiO3. The dielectric permittivity, ɛ, of the BaTiO3 layer was almost twice as large, at T>200 K and f=100 kHz, for the LaAlO3 substrate as compared to the MgO one. Its maximum value (ɛ/ɛ0≈6200) depended on temperature of growth, grain size, and electric field and compares well with optimal values commonly used for ceramic material. The maximum in the ɛ(T) shifted from about 370 to 320 K when the grain size in the BaTiO3 film decreased from 100 to 40 nm. At T<300 K, hysteresis loops in polarization versus electric field were roughly symmetric. The BaTiO3 films grown on (100)SrRuO3/(100)MgO exhibit the largest remnant polarizations and coercive fields in the temperature range 100-380 K.

  8. Role of the dielectric for the charging dynamics of the dielectric/barrier interface in AlGaN/GaN based metal-insulator-semiconductor structures under forward gate bias stress

    NASA Astrophysics Data System (ADS)

    Lagger, P.; Steinschifter, P.; Reiner, M.; Stadtmüller, M.; Denifl, G.; Naumann, A.; Müller, J.; Wilde, L.; Sundqvist, J.; Pogany, D.; Ostermaier, C.

    2014-07-01

    The high density of defect states at the dielectric/III-N interface in GaN based metal-insulator-semiconductor structures causes tremendous threshold voltage drifts, ΔVth, under forward gate bias conditions. A comprehensive study on different dielectric materials, as well as varying dielectric thickness tD and barrier thickness tB, is performed using capacitance-voltage analysis. It is revealed that the density of trapped electrons, ΔNit, scales with the dielectric capacitance under spill-over conditions, i.e., the accumulation of a second electron channel at the dielectric/AlGaN barrier interface. Hence, the density of trapped electrons is defined by the charging of the dielectric capacitance. The scaling behavior of ΔNit is explained universally by the density of accumulated electrons at the dielectric/III-N interface under spill-over conditions. We conclude that the overall density of interface defects is higher than what can be electrically measured, due to limits set by dielectric breakdown. These findings have a significant impact on the correct interpretation of threshold voltage drift data and are of relevance for the development of normally off and normally on III-N/GaN high electron mobility transistors with gate insulation.

  9. Atomic layer deposited Ta2O5 gate insulation for enhancing breakdown voltage of AlN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Deen, D. A.; Storm, D. F.; Bass, R.; Meyer, D. J.; Katzer, D. S.; Binari, S. C.; Lacis, J. W.; Gougousi, T.

    2011-01-01

    AlN/GaN heterostructures with a 3.5 nm AlN cap have been grown by molecular beam epitaxy followed by a 6 nm thick atomic layer deposited Ta2O5 film. Transistors fabricated with 150 nm length gates showed drain current density of 1.37 A/mm, transconductance of 315 mS/mm, and sustained drain-source biases up to 96 V while in the off-state before destructive breakdown as a result of the Ta2O5 gate insulator. Terman's method has been modified for the multijunction capacitor and allowed the measurement of interface state density (˜1013 cm-2 eV-1). Small-signal frequency performance of 75 and 115 GHz was obtained for ft and fmax, respectively.

  10. Nanoscale Multigate TiN Metal Nanocrystal Memory Using High-k Blocking Dielectric and High-Work-Function Gate Electrode Integrated on Silcon-on-Insulator Substrate

    NASA Astrophysics Data System (ADS)

    Lu, Chi-Pei; Luo, Cheng-Kei; Tsui, Bing-Yue; Lin, Cha-Hsin; Tzeng, Pei-Jer; Wang, Ching-Chiun; Tsai, Ming-Jinn

    2009-04-01

    In this study, a charge-trapping-layer-engineered nanoscale n-channel trigate TiN nanocrystal nonvolatile memory was successfully fabricated on silicon-on-insulator (SOI) wafer. An Al2O3 high-k blocking dielectric layer and a P+ polycrystalline silicon gate electrode were used to obtain low operation voltage and suppress the back-side injection effect, respectively. TiN nanocrystals were formed by annealing TiN/Al2O3 nanolaminates deposited by an atomic layer deposition system. The memory characteristics of various samples with different TiN wetting layer thicknesses, post-deposition annealing times, and blocking oxide thicknesses were also investigated. The sample with a thicker wetting layer exhibited a much larger memory window than other samples owing to its larger nanocrystal size. Good retention with a mere 12% charge loss for up to 10 years and high endurance were also obtained. Furthermore, gate disturbance and read disturbance were measured with very small charge migrations after a 103 s stressing bias.

  11. Dielectric function in the spectral range (0.5–8.5)eV of an (Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} thin film with continuous composition spread

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt-Grund, R., E-mail: Schmidt-Grund@physik.uni-leipzig.de; Kranert, C.; Wenckstern, H. von

    2015-04-28

    We determined the dielectric function of the alloy system (Al{sub x}Ga{sub 1−x}){sub 2}O{sub 3} by spectroscopic ellipsometry in the wide spectral range from 0.5 eV to 8.5 eV and for Al contents ranging from x = 0.11 to x = 0.55. For the composition range x < 0.4, we observe single phase material in the β-modification and for larger Al content also the occurrence of γ-(Al,Ga){sub 2}O{sub 3}. We derived spectra of the refractive index and the absorption coefficient as well as energy parameters of electronic band-band transitions by model analysis of the dielectric function. The dependence of the dielectric functions lineshape and the energy parameters on xmore » is highly continuous, reflecting theoretical expectations. The data presented here provide a basis for a deeper understanding of the electronic properties of this material system and may be useful for device engineering.« less

  12. Method of Preparing Monoclinic BaO.Al2O3.2SiO2

    DTIC Science & Technology

    Monoclinic celsian (BaO.Al2O3.2SiO2) is produced by heating a stoichiometric, powder mixture of BaCO3 (or BaC2O4), Al2O3, and SiO2 (preferably SiO2 gel) with monoclinic celsian seeds at from 1250 deg C to 1500 deg C.

  13. Electrical characterization of ALD HfO2 high-k dielectrics on ( 2 ¯ 01) β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Shahin, David I.; Tadjer, Marko J.; Wheeler, Virginia D.; Koehler, Andrew D.; Anderson, Travis J.; Eddy, Charles R.; Christou, Aris

    2018-01-01

    The electrical quality of HfO2 dielectrics grown by thermal atomic layer deposition at 175 °C on n-type ( 2 ¯ 01) β-Ga2O3 has been studied through capacitance- and current-voltage measurements on metal-oxide-semiconductor capacitors. These capacitors exhibited excellent electrical characteristics, including dual-sweep capacitance-voltage curves with low hysteresis and stretch-out and a frequency-stable dielectric constant of k˜14 when measured between 10 kHz and 1 MHz. The C-V curves exhibited a uniform and repeatable +1.05 V shift relative to the ideal case when swept from 3.5 to -5 V, yielding positively measured flatband (+2.15 V) and threshold (+1.05 V) voltages that may be useful for normally off n-channel Ga2O3 devices. Using the Terman method, an average interface trap density of 1.3 × 1011 cm-2.eV-1 was obtained between 0.2 and 0.6 eV below the conduction band edge. The forward bias current-voltage characteristic was successfully fitted to the Fowler-Nordheim tunneling model at a field strength of 5 MV/cm, allowing an extraction of a 1.3 eV conduction band offset between HfO2 and Ga2O3, which matches the value previously determined from x-ray photoelectron spectroscopy. However, a temperature dependence in the leakage current was observed. These results suggest that HfO2 is an appealing dielectric for Ga2O3 device applications.

  14. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  15. Plasma-catalyst hybrid reactor with CeO2/γ-Al2O3 for benzene decomposition with synergetic effect and nano particle by-product reduction.

    PubMed

    Mao, Lingai; Chen, Zhizong; Wu, Xinyue; Tang, Xiujuan; Yao, Shuiliang; Zhang, Xuming; Jiang, Boqiong; Han, Jingyi; Wu, Zuliang; Lu, Hao; Nozaki, Tomohiro

    2018-04-05

    A dielectric barrier discharge (DBD) catalyst hybrid reactor with CeO 2 /γ-Al 2 O 3 catalyst balls was investigated for benzene decomposition at atmospheric pressure and 30 °C. At an energy density of 37-40 J/L, benzene decomposition was as high as 92.5% when using the hybrid reactor with 5.0wt%CeO 2 /γ-Al 2 O 3 ; while it was 10%-20% when using a normal DBD reactor without a catalyst. Benzene decomposition using the hybrid reactor was almost the same as that using an O 3 catalyst reactor with the same CeO 2 /γ-Al 2 O 3 catalyst, indicating that O 3 plays a key role in the benzene decomposition. Fourier transform infrared spectroscopy analysis showed that O 3 adsorption on CeO 2 /γ-Al 2 O 3 promotes the production of adsorbed O 2 - and O 2 2‒ , which contribute benzene decomposition over heterogeneous catalysts. Nano particles as by-products (phenol and 1,4-benzoquinone) from benzene decomposition can be significantly reduced using the CeO 2 /γ-Al 2 O 3 catalyst. H 2 O inhibits benzene decomposition; however, it improves CO 2 selectivity. The deactivated CeO 2 /γ-Al 2 O 3 catalyst can be regenerated by performing discharges at 100 °C and 192-204 J/L. The decomposition mechanism of benzene over CeO 2 /γ-Al 2 O 3 catalyst was proposed. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Interface composition of InAs nanowires with Al2O3 and HfO2 thin films

    NASA Astrophysics Data System (ADS)

    Timm, R.; Hjort, M.; Fian, A.; Borg, B. M.; Thelander, C.; Andersen, J. N.; Wernersson, L.-E.; Mikkelsen, A.

    2011-11-01

    Vertical InAs nanowires (NWs) wrapped by a thin high-κ dielectric layer may be a key to the next generation of high-speed metal-oxide-semiconductor devices. Here, we have investigated the structure and chemical composition of the interface between InAs NWs and 2 nm thick Al2O3 and HfO2 films. The native oxide on the NWs is significantly reduced upon high-κ deposition, although less effective than for corresponding planar samples, resulting in a 0.8 nm thick interface layer with an In-/As-oxide composition of about 0.7/0.3. The exact oxide reduction and composition including As-suboxides and the role of the NW geometry are discussed in detail.

  17. Crack-resistant Al2O3-SiO2 glasses.

    PubMed

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  18. Crack-resistant Al2O3-SiO2 glasses

    NASA Astrophysics Data System (ADS)

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  19. Evaluation to the effect of B2O3-La2O3-SrO-Na2O-Al2O3 bonding agent on Ti6Al4V-porcelain bonding.

    PubMed

    Zhao, C Q; Wu, S Q; Lu, Y J; Gan, Y L; Guo, S; Lin, J J; Huang, T T; Lin, J X

    2016-10-01

    Low-fusing bonding agents have been widely applied in Ti-ceramics restorations. As an important category, borate bonding agents have great potentials in increasing Ti-porcelain bonding. The purpose of this study is to evaluate the effect of borate bonding agent with addition of Na2O and Al2O3 on Ti6Al4V-porcelain bonding. The thermal properties of borate bonding agent, such as glass transition temperature (Tg) and crystallization peak temperature (Tp), were investigated to establish the sintering process. And the coefficient of thermal expansion (CTE) was to evaluate the matching effect of porcelain to Ti6Al4V. The bond strength was analyzed by the three point bending test. The microscopic morphology of the borate bonding agent surface after sintering, the interface of Ti-borate bonding agent-porcelain, and the fracture mode after porcelains fracture, were studied to assess the influence of borate bonding agent on Ti6Al4V-ceramics. With the addition of Na2O and Al2O3, the porcelain residues were observed increased indication on the Ti6Al4V surface after porcelain fracture and the bond strength was acquired the maximum (49.45MPa) in the bonding agent composition of 75.70B2O3-5.92La2O3-11.84SrO-4.67Na2O-1.87Al2O3. Those results suggest that borate bonding agent is an effective way to improve the Ti6Al4V-ceramics bond strength. And the addition of Na2O and Al2O3 strengthen this effect. Copyright © 2016 Elsevier Ltd. All rights reserved.

  20. Stable Low-Voltage Operation Top-Gate Organic Field-Effect Transistors on Cellulose Nanocrystal Substrates

    Treesearch

    Cheng-Yin Wang; Canek Fuentes-Hernandez; Jen-Chieh Liu; Amir Dindar; Sangmoo Choi; Jeffrey P. Youngblood; Robert J. Moon; Bernard Kippelen

    2015-01-01

    We report on the performance and the characterization of top-gate organic field-effect transistors (OFETs), comprising a bilayer gate dielectric of CYTOP/ Al2O3 and a solution-processed semiconductor layer made of a blend of TIPS-pentacene:PTAA, fabricated on recyclable cellulose nanocrystal−glycerol (CNC/glycerol...

  1. The Performance Improvement of N2 Plasma Treatment on ZrO2 Gate Dielectric Thin-Film Transistors with Atmospheric Pressure Plasma-Enhanced Chemical Vapor Deposition IGZO Channel.

    PubMed

    Wu, Chien-Hung; Huang, Bo-Wen; Chang, Kow-Ming; Wang, Shui-Jinn; Lin, Jian-Hong; Hsu, Jui-Mei

    2016-06-01

    The aim of this paper is to illustrate the N2 plasma treatment for high-κ ZrO2 gate dielectric stack (30 nm) with indium-gallium-zinc-oxide (IGZO) thin-film transistors (TFTs). Experimental results reveal that a suitable incorporation of nitrogen atoms could enhance the device performance by eliminating the oxygen vacancies and provide an amorphous surface with better surface roughness. With N2 plasma treated ZrO2 gate, IGZO channel is fabricated by atmospheric pressure plasma-enhanced chemical vapor deposition (AP-PECVD) technique. The best performance of the AP-PECVD IGZO TFTs are obtained with 20 W-90 sec N2 plasma treatment with field-effect mobility (μ(FET)) of 22.5 cm2/V-s, subthreshold swing (SS) of 155 mV/dec, and on/off current ratio (I(on)/I(off)) of 1.49 x 10(7).

  2. Device performance of in situ steam generated gate dielectric nitrided by remote plasma nitridation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Al-Shareef, H. N.; Karamcheti, A.; Luo, T. Y.

    2001-06-11

    In situ steam generated (ISSG) oxides have recently attracted interest for use as gate dielectrics because of their demonstrated reliability improvement over oxides formed by dry oxidation. [G. Minor, G. Xing, H. S. Joo, E. Sanchez, Y. Yokota, C. Chen, D. Lopes, and A. Balakrishna, Electrochem. Soc. Symp. Proc. 99-10, 3 (1999); T. Y. Luo, H. N. Al-Shareef, G. A. Brown, M. Laughery, V. Watt, A. Karamcheti, M. D. Jackson, and H. R. Huff, Proc. SPIE 4181, 220 (2000).] We show in this letter that nitridation of ISSG oxide using a remote plasma decreases the gate leakage current of ISSGmore » oxide by an order of magnitude without significantly degrading transistor performance. In particular, it is shown that the peak normalized transconductance of n-channel devices with an ISSG oxide gate dielectric decreases by only 4% and the normalized drive current by only 3% after remote plasma nitridation (RPN). In addition, it is shown that the reliability of the ISSG oxide exhibits only a small degradation after RPN. These observations suggest that the ISSG/RPN process holds promise for gate dielectric applications. {copyright} 2001 American Institute of Physics.« less

  3. Room temperature radiolytic synthesized Cu@CuAlO(2)-Al(2)O(3) nanoparticles.

    PubMed

    Abedini, Alam; Saion, Elias; Larki, Farhad; Zakaria, Azmi; Noroozi, Monir; Soltani, Nayereh

    2012-01-01

    Colloidal Cu@CuAlO(2)-Al(2)O(3) bimetallic nanoparticles were prepared by a gamma irradiation method in an aqueous system in the presence of polyvinyl pyrrolidone (PVP) and isopropanol respectively as a colloidal stabilizer and scavenger of hydrogen and hydroxyl radicals. The gamma irradiation was carried out in a (60)Co gamma source chamber with different doses up to 120 kGy. The formation of Cu@CuAlO(2)-Al(2)O(3) nanoparticles was observed initially by the change in color of the colloidal samples from colorless to brown. Fourier transform infrared spectroscopy (FTIR) confirmed the presence of bonds between polymer chains and the metal surface at all radiation doses. Results of transmission electron microscopy (TEM), energy dispersive X-ray spectrometry (EDX), and X-ray diffraction (XRD) showed that Cu@CuAlO(2)-Al(2)O(3) nanoparticles are in a core-shell structure. By controlling the absorbed dose and precursor concentration, nanoclusters with different particle sizes were obtained. The average particle diameter increased with increased precursor concentration and decreased with increased dose. This is due to the competition between nucleation, growth, and aggregation processes in the formation of nanoclusters during irradiation.

  4. Fabrication of CuAl1-xMxO2 (M = Fe, Cr)/Ni film delafossite compounds using spin coating and their microstructure and dielectric constant

    NASA Astrophysics Data System (ADS)

    Diantoro, Markus; Yuwita, Pelangi Eka; Olenka, Desyana; Nasikhudin

    2014-09-01

    The discovery of delafossite compound has encouraged more rapid technological developments particularly in transparent electronic devices. Copper oxide-based transparent thin films delafossite semiconductor recently give much attention in the field of optoelectronic technology, after the discovery of p-type CuAlO2. The potential applications of a p-type semiconductor transparent conductive oxides (TCO) have been applied in broad field of optoelectronics. To explore a broad physical properties interms of magnetic conducting subtitution is understudied. In this work we report the fabrication of delafossite film on Ni substrate and their characterization of CuAl1-xMxO2 delafossite compounds doped with Cr3+ and Fe3+ from the raw material of Cu(NO3)2˙3H2O, Al(NO3)3˙9H2O, Fe(NO3)3˙9H2O and Cr(NO3)3˙9H2O. The films were prepared using spin coating through a sol-gel technique at various concentrations of x = 0, 0.03, 0.04, and 0.05 for chromium and x = 0, 0.02, 0.04, 0.06, and 0.08 for iron doped. Crystal and microstructure were characterized by means of Cu-Kα Bragg-Brentano X-RD followed by High Score Plus and SEM-EDAX. The dielectric constants of the films were characterized using LCR meter. It was found that the CuAl1-xMxO2/Ni delafossite films were successfully fabricated. The CuAl1-xFexO2 compound crystallized with lattice parameters of a = b ranged from 2.8603 Å to 2.8675 Å and c ranged from 16.9576 to 17.0763 Å. The increase of the dopant give rise to the increase of the lattice parameters. Since iron has bigger ionic radius (69 pm) than original site of Al3+ with radius of 53 pm the crystal volume lattice also increase. Further analyses of increasing volume of the crystal, as expected, affected to the decreasing of its dielectric constant. The similar trends also shown by Cr3+ doped of CuAl1-xCrxO2 films with smaller effects.

  5. Optimization of dielectric matrix for ZnO nanowire based nanogenerators

    NASA Astrophysics Data System (ADS)

    Kannan, Santhosh; Parmar, Mitesh; Tao, Ran; Ardila, Gustavo; Mouis, Mireille

    2016-11-01

    This paper reports the role of selection of suitable dielectric layer in nanogenerator (NG) structure and its influence on the output performance. The basic NG structure is a composite material integrating hydrothermally grown vertical piezoelectric zinc oxide (ZnO) nanowires (NWs) into a dielectric matrix. To accomplish this study, three materials - poly methyl methacrylate (PMMA), silicon nitride (Si3N4) and aluminium oxide (Al2O3) are selected, processed and used as matrix dielectric in NGs. Scanning electron microscopy (SEM) analysis shows the well-aligned NWs with a diameter of 200±50 nm and length of 3.5±0.3 μm. This was followed by dielectric material deposition as a matrix material. After fabricating NG devices, the output generated voltage under manual and automatic bending were recorded, observed and analyzed for the selection of the best dielectric material to obtain an optimum output. The maximum peak-to-peak open-circuit voltage output for PMMA, Si3N4 and Al2O3 under manual bending was recorded as approximately 880 mV, 1.2 V and 2.1 V respectively. These preliminary results confirm the predicted effect of using more rigid dielectrics as matrix material for the NGs. The generated voltage is increased by about 70% using Si3N4 or Al2O3, instead of a less rigid material as PMMA.

  6. Modulus, strength and thermal exposure studies of FP-Al2O3/aluminum and FP-Al2O3/magnesium composites

    NASA Technical Reports Server (NTRS)

    Bhatt, R. T.

    1981-01-01

    The mechanical properties of FP-Al2O3 fiber reinforced composites prepared by liquid infiltration techniques are improved. A strengthening addition, magnesium, was incorporated with the aluminum-lithium matrix alloy usually selected for these composites because of its good wetting characteristics. This ternary composite, FP-Al2O3/Al-(2-3)Li-(3-5)Mg, showed improved transverse strength compared with FP-Al2O3/Al-(2-3)Li composites. The lower axial strengths found for the FP-Al2O3/Al-(2-3)Li-(3-5)Mg composites were attributed to fabrication related defects. Another technique was the use of Ti/B coated FP-Al2O3 fibers in the composites. This coating is readily wet by molten aluminum and permitted the use of more conventional aluminum alloys in the composites. However, the anticipated improvements in the axial and transverse strengths were not obtained due to poor bonding between the fiber coating and the matrix. A third approach studied to improve the strengths of FP-Al2O3 reinforced composites was the use of magnesium alloys as matrix materials. While these alloys wet fibers satisfactorily, the result indicated that the magnesium alloy composites used offered no axial strength or modulus advantage over FP-Al2O3/Al-(2-3)Li composites.

  7. Microwave dielectric properties of BaO-2CeO{sub 2}-nTiO{sub 2} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sreemoolanadhan, H.; Sebastian, M.T.; Ratheesh, R.

    2004-11-01

    The BaO-2CeO{sub 2}-nTiO{sub 2} ceramics with n=3, 4 and 5 have been prepared with CeO{sub 2} as starting material. The ceramics have been characterized using scanning electron microscopy, X-ray diffraction, Raman and X-ray photoelectron spectroscopy techniques. The microwave dielectric properties have been measured using standard dielectric resonator techniques. BaO-2CeO{sub 2}-3TiO{sub 2} (123), BaO-2CeO{sub 2}-4TiO{sub 2} (124) and BaO-2CeO{sub 2}-5TiO{sub 2} (125) ceramics showed dielectric constants of 38, 27 and 32, respectively. All the ceramics showed fairly good unloaded Q-factors. 124 and 125 compounds exhibited low {tau}f values, while 123 showed a high {tau}f value.

  8. Removal of nitrate and phosphate using chitosan/Al2O3/Fe3O4 composite nanofibrous adsorbent: Comparison with chitosan/Al2O3/Fe3O4 beads.

    PubMed

    Bozorgpour, Farahnaz; Ramandi, Hossein Fasih; Jafari, Pooya; Samadi, Saman; Yazd, Shabnam Sharif; Aliabadi, Majid

    2016-12-01

    In the present study the chitosan/Al 2 O 3 /Fe 3 O 4 composite nanofibrous adsorbent was prepared by electrospinning process and its application for the removal of nitrate and phosphate were compared with chitosan/Al 2 O 3 /Fe 3 O 4 composite bead adsorbent. The influence of Al 2 O 3 /Fe 3 O 4 composite content, pH, contact time, nitrate and phosphate initial concentrations and temperature on the nitrate and phosphate sorption using synthesized bead and nanofibrous adsorbents was investigated in a single system. The reusability of chitosan/Al 2 O 3 /Fe 3 O 4 composite beads and nanofibers after five sorption-desorption cycles were carried out. The Box-Behnken design was used to investigate the interaction effects of adsorbent dosage, nitrate and phosphate initial concentrations on the nitrate and phosphate removal efficiency. The pseudo-second-order kinetic model and known Freundlich and Langmuir isotherm models were used to describe the kinetic and equilibrium data of nitrate and phosphate sorption using chitosan/Al 2 O 3 /Fe 3 O 4 composite beads and nanofibers. The influence of other anions including chloride, fluoride and sulphate on the sorption efficiency of nitrate and phosphate was examined. The obtained results revealed the higher potential of chitosan/Al 2 O 3 /Fe 3 O 4 composite nanofibers for nitrate and phosphate compared with chitosan/Al 2 O 3 /Fe 3 O 4 composite beads. Copyright © 2016 Elsevier B.V. All rights reserved.

  9. Ultrahigh near infrared photoresponsive organic field-effect transistors with lead phthalocyanine/C60 heterojunction on poly(vinyl alcohol) gate dielectric.

    PubMed

    Sun, Lei; Zhang, Jianping; Zhao, Feiyu; Luo, Xiao; Lv, Wenli; li, Yao; Ren, Qiang; Wen, Zhanwei; Peng, Yingquan; Liu, Xingyuan

    2015-05-08

    Performances of photoresponsive organic field-effect transistors (photOFETs) operating in the near infrared (NIR) region utilizing SiO2 as the gate dielectric is generally low due to low carrier mobility of the channel. We report on NIR photOFETs based on lead phthalocyanine (PbPc)/C60 heterojunction with ultrahigh photoresponsivity by utilizing poly(vinyl alcohol) (PVA) as the gate dielectric. For 808 nm NIR illumination of 1.69 mW cm(-2), an ultrahigh photoresponsivity of 21 A W(-1), and an external quantum efficiency of 3230% were obtained at a gate voltage of 30 V and a drain voltage of 80 V, which are 124 times and 126 times as large as the reference device with SiO2 as the gate dielectric, respectively. The ultrahigh enhancement of photoresponsivity is resulted from the huge increase of electron mobility of C60 film grown on PVA dielectric. AFM investigations revealed that the C60 film grown on PVA is much smooth and uniform and the grain size is much larger than that grown on SiO2 dielectric, which together results in four orders of magnitude increase of the field-effect electron mobility of C60 film.

  10. Room Temperature Radiolytic Synthesized Cu@CuAlO2-Al2O3 Nanoparticles

    PubMed Central

    Abedini, Alam; Saion, Elias; Larki, Farhad; Zakaria, Azmi; Noroozi, Monir; Soltani, Nayereh

    2012-01-01

    Colloidal Cu@CuAlO2-Al2O3 bimetallic nanoparticles were prepared by a gamma irradiation method in an aqueous system in the presence of polyvinyl pyrrolidone (PVP) and isopropanol respectively as a colloidal stabilizer and scavenger of hydrogen and hydroxyl radicals. The gamma irradiation was carried out in a 60Co gamma source chamber with different doses up to 120 kGy. The formation of Cu@CuAlO2-Al2O3 nanoparticles was observed initially by the change in color of the colloidal samples from colorless to brown. Fourier transform infrared spectroscopy (FTIR) confirmed the presence of bonds between polymer chains and the metal surface at all radiation doses. Results of transmission electron microscopy (TEM), energy dispersive X-ray spectrometry (EDX), and X-ray diffraction (XRD) showed that Cu@CuAlO2-Al2O3 nanoparticles are in a core-shell structure. By controlling the absorbed dose and precursor concentration, nanoclusters with different particle sizes were obtained. The average particle diameter increased with increased precursor concentration and decreased with increased dose. This is due to the competition between nucleation, growth, and aggregation processes in the formation of nanoclusters during irradiation. PMID:23109893

  11. Low-frequency dielectric properties of intrinsic and Al-doped rutile TiO{sub 2} thin films grown by the atomic layer deposition technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kassmi, M.; LMOP, El Manar University, Tunis 2092; Pointet, J.

    2016-06-28

    Dielectric spectroscopy is carried out for intrinsic and aluminum-doped TiO{sub 2} rutile films which are deposited on RuO{sub 2} by the atomic layer deposition technique. Capacitance and conductance are measured in the 0.1 Hz–100 kHz range, for ac electric fields up to 1 MV{sub rms}/cm. Intrinsic films have a much lower dielectric constant than rutile crystals. This is ascribed to the presence of oxygen vacancies which depress polarizability. When Al is substituted for Ti, the dielectric constant further decreases. By considering Al-induced modification of polarizability, a theoretical relationship between the dielectric constant and the Al concentration is proposed. Al doping drastically decreasesmore » the loss in the very low frequency part of the spectrum. However, Al doping has almost no effect on the loss at high frequencies. The effect of Al doping on loss is discussed through models of hopping transport implying intrinsic oxygen vacancies and Al related centers. When increasing the ac electric field in the MV{sub rms}/cm range, strong voltage non-linearities are evidenced in undoped films. The conductance increases exponentially with the ac field and the capacitance displays negative values (inductive behavior). Hopping barrier lowering is proposed to explain high-field effects. Finally, it is shown that Al doping strongly improves the high-field dielectric behavior.« less

  12. Structural, thermal, optical and dielectric studies of Dy3+: B2O3-ZnO-PbO-Na2O-CaO glasses for white LEDs application

    NASA Astrophysics Data System (ADS)

    Mohammed, Al-B. F. A.; Lakshminarayana, G.; Baki, S. O.; Halimah, M. K.; Kityk, I. V.; Mahdi, M. A.

    2017-11-01

    Dy3+-doped borate glasses with nominal composition (60-x) B2O3-10 ZnO-10 PbO-10 Na2O-10 CaO-(x) Dy2O3 (x = 0, 0.1, 0.2, 0.5, 0.75, 1.0, 1.5 and 2.0 mol%) were prepared by the melt quenching technique. The XRD and SEM confirm the amorphous nature of the glasses and through EDAX, all the related elements were found in the synthesized glasses. The vibrations of metal cations such as Pb2+ and Zn2+, B-O-B bond bending vibrations from pentaborate groups, bending vibrations of BO3 triangles, and stretching vibrations of tetrahedral BO4- units etc. are identified from the respective FTIR and Raman spectra including the non-hygroscopic nature of the synthesized glasses. The TGA and DSC measurements were performed to study thermal properties, where ΔT >100 °C (ΔT = Tx - Tg) for all the glasses. Among all the Dy3+-doped glasses, the 0.75 mol% Dy3+-doped glass shows the highest PL intensity with four emissions, where the two transitions corresponding to 4F9/2 → 6H15/2 (blue) and 4F9/2 → 6H13/2 (yellow) are observed more intense than the others. The CIE chromaticity (x,y) coordinates for BZPNCDy 0.1 mol% glass are (0.398, 0.430), close to the white light region in the CIE 1931 chromaticity diagram. The dielectric properties of the 0.75 mol% Dy3+-doped glass such as dielectric constant, dielectric loss and AC conductivity were studied in the various frequencies and temperature.

  13. A comparative study of CeO2-Al2O3 support prepared with different methods and its application on MoO3/CeO2-Al2O3 catalyst for sulfur-resistant methanation

    NASA Astrophysics Data System (ADS)

    Jiang, Minhong; Wang, Baowei; Yao, Yuqin; Li, Zhenhua; Ma, Xinbin; Qin, Shaodong; Sun, Qi

    2013-11-01

    The CeO2-Al2O3 supports prepared with impregnation (IM), deposition precipitation (DP), and solution combustion (SC) methods for MoO3/CeO2-Al2O3 catalyst were investigated in the sulfur-resistant methanation. The supports and catalysts were characterized by N2-physisorption, transmission electron microscopy (TEM), X-ray diffraction (XRD), Raman spectroscopy (RS), and temperature-programmed reduction (TPR). The N2-physisorption results indicated that the DP method was favorable for obtaining better textural properties. The TEM and RS results suggested that there is a CeO2 layer on the surface of the support prepared with DP method. This CeO2 layer not only prevented the interaction between MoO3 and γ-Al2O3 to form Al2(MoO4)3 species, but also improved the dispersion of MoO3 in the catalyst. Accordingly, the catalysts whose supports were prepared with DP method exhibited the best catalytic activity. The catalysts whose supports were prepared with SC method had the worst catalytic activity. This was caused by the formation of Al2(MoO4)3 and crystalline MoO3. Additionally, the CeO2 layer resulted in the instability of catalysts in reaction process. The increasing of calcination temperature of supports reduced the catalytic activity of all catalysts. The decrease extent of the catalysts whose supports were prepared with DP method was the lowest as the CeO2 layer prevented the interaction between MoO3 and γ-Al2O3.

  14. Threshold voltage tuning in AlGaN/GaN HFETs with p-type Cu2O gate synthesized by magnetron reactive sputtering

    NASA Astrophysics Data System (ADS)

    Wang, Lei; Li, Liuan; Xie, Tian; Wang, Xinzhi; Liu, Xinke; Ao, Jin-Ping

    2018-04-01

    In present study, copper oxide films were prepared at different sputtering powers (10-100 W) using magnetron reactive sputtering. The crystalline structure, surface morphologies, composition, and optical band gap of the as-grown films are dependent on sputtering power. As the sputtering power decreasing from 100 to 10 W, the composition of films changed from CuO to quasi Cu2O domination. Moreover, when the sputtering power is 10 W, a relative high hole carrier density and high-surface-quality quasi Cu2O thin film can be achieved. AlGaN/GaN HFETs were fabricated with the optimized p-type quasi Cu2O film as gate electrode, the threshold voltage of the device shows a 0.55 V positive shift, meanwhile, a lower gate leakage current, a higher ON/OFF drain current ratio of ∼108, a higher electron mobility (1465 cm2/Vs), and a lower subthreshold slope of 74 mV/dec are also achieved, compared with the typical Ni/Au-gated HFETs. Therefore, Cu2O have a great potential to develop high performance p-type gate AlGaN/GaN HFETs.

  15. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1989-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  16. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1988-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  17. Gate bias stress in pentacene field-effect-transistors: Charge trapping in the dielectric or semiconductor

    NASA Astrophysics Data System (ADS)

    Häusermann, R.; Batlogg, B.

    2011-08-01

    Gate bias stress instability in organic field-effect transistors (OFETs) is a major conceptual and device issue. This effect manifests itself by an undesirable shift of the transfer characteristics and is associated with long term charge trapping. We study the role of the dielectric and the semiconductor separately by producing OFETs with the same semiconductor (pentacene) combined with different dielectrics (SiO2 and Cytop). We show that it is possible to fabricate devices which are immune to gate bias stress. For other material combinations, charge trapping occurs in the semiconductor alone or in the dielectric.

  18. Solution-processable alumina: PVP nanocomposite dielectric layer for high-performance organic thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lin, Hui; Kong, Xiao; Li, Yiran; Kuang, Peng; Tao, Silu

    2018-03-01

    In this article, we have investigated the effect of nanocomposite gate dielectric layer built by alumina (Al2O3) and poly(4-vinyphenol) (PVP) with solution method which could enhance the dielectric capability and decrease the surface polarity. Then, we used modify layer to optimize the surface morphology of dielectric layer to further improve the insulation capability, and finally we fabricated the high-performance and low-voltage organic thin-film transistors by using this nanocomposite dielectric layer. The result shows that the devices with Al2O3:10%PVP dielectric layer with a modified layer exhibited a mobility of 0.49 cm2/Vs, I on/Ioff ratio of 7.8 × 104, threshold voltage of - 1.2 V, sub-threshold swing of 0.3 V/dec, and operating voltage as low as - 4 V. The improvement of devices performance was owing to the good insulation capability, appropriate capacitance of dielectric layer, and preferable interface contact, smaller crystalline size of active layer.

  19. Growth, Quantitative Growth Analysis, and Applications of Graphene on γ-Al2O3 catalysts

    PubMed Central

    Park, Jaehyun; Lee, Joohwi; Choi, Jung-Hae; Hwang, Do Kyung; Song, Yong-Won

    2015-01-01

    The possibilities offered by catalytic γ-Al2O3 substrates are explored, and the mechanism governing graphene formation thereon is elucidated using both numerical simulations and experiments. The growth scheme offers metal-free synthesis at low temperature, grain-size customization, large-area uniformity of electrical properties, single-step preparation of graphene/dielectric structures, and readily detachable graphene. We quantify based on thermodynamic principles the activation energies associated with graphene nucleation/growth on γ-Al2O3, verifying the low physical and chemical barriers. Importantly, we derive a universal equation governing the adsorption-based synthesis of graphene over a wide range of temperatures in both catalytic and spontaneous growth regimes. Experimental results support the equation, highlighting the catalytic function of γ-Al2O3 at low temperatures. The synthesized graphene is manually incorporated as a ‘graphene sticker’ into an ultrafast mode-locked laser. PMID:26137994

  20. Unraveling the Origin of Structural Disorder in High Temperature Transition Al2O3: Structure of θ-Al2O3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kovarik, Libor; Bowden, Mark E.; Shi, Dachuan

    The crystallography of transition Al2O3 has been extensively studied in the past due to the advantageous properties of the oxide in catalytic and a range of other technological applications. However, existing crystallographic models are insufficient to describe the structure of many important Al2O3 polymorphs due to their highly disordered nature. In this work, we investigate structure and disorder in high-temperature treated transition Al2O3, and provide a structural description for θ-Al2O3 by using a suite of complementary imaging, spectroscopy and quantum calculation techniques. Contrary to current understanding, our high-resolution imaging shows that θ-Al2O3 is a disordered composite phase of at leastmore » two different end members. By correlating imaging and spectroscopy results with DFT calculations, we propose a model that describes θ-Al2O3 as a disordered intergrowth of two crystallographic variants at the unit cell level. One variant is based on β-Ga2O3, and the other on a monoclinic phase that is closely-related to δ-Al2O3. The overall findings and interpretations afford new insight into the origin of poor crystallinity in transition Al2O3, and also provide new perspectives on structural complexity that can emerge from intergrowth of closely related structural polymorphs.« less

  1. Effects of plasma-induced charging damage on random telegraph noise in metal-oxide-semiconductor field-effect transistors with SiO2 and high-k gate dielectrics

    NASA Astrophysics Data System (ADS)

    Kamei, Masayuki; Takao, Yoshinori; Eriguchi, Koji; Ono, Kouichi

    2014-01-01

    We clarified in this study how plasma-induced charging damage (PCD) affects the so-called “random telegraph noise (RTN)” — a principal concern in designing ultimately scaled large-scale integrated circuits (LSIs). Metal-oxide-semiconductor field-effect transistors (MOSFETs) with SiO2 and high-k gate dielectric were exposed to an inductively coupled plasma (ICP) with Ar gas. Drain current vs gate voltage (Ids-Vg) characteristics were obtained before and after the ICP plasma exposure for the same device. Then, the time evolution of Ids fluctuation defined as Ids/μIds was measured, where μIds is the mean Ids. This value corresponds to an RTN feature, and RTN was obtained under various gate voltages (Vg) by a customized measurement technique. We focused on the statistical distribution width of (Ids/μIds), δ(Ids/μIds), in order to clarify the effects of PCD on RTN. δ(Ids/μIds) was increased by PCD for both MOSFETs with the SiO2 and high-k gate dielectrics, suggesting that RTN can be used as a measure of PCD, i.e., a distribution width increase directly indicates the presence of PCD. The dependence of δ(Ids/μIds) on the overdrive voltage Vg-Vth, where Vth is the threshold voltage, was investigated by the present technique. It was confirmed that δ(Ids/μIds) increased with a decrease in the overdrive voltage for MOSFETs with the SiO2 and high-k gate dielectrics. The presence of created carrier trap sites with PCD was characterized by the time constants for carrier capture and emission. The threshold voltage shift (ΔVth) induced by PCD was also evaluated and compared with the RTN change, to correlate the RTN increase with ΔVth induced by PCD. Although the estimated time constants exhibited complex behaviors due to the nature of trap sites created by PCD, δ(Ids/μIds) showed a straightforward tendency in accordance with the amount of PCD. These findings provide an in-depth understanding of plasma-induced RTN characteristic changes in future MOSFETs.

  2. Structural and electronic properties of Ga2O3-Al2O3 alloys

    NASA Astrophysics Data System (ADS)

    Peelaers, Hartwin; Varley, Joel B.; Speck, James S.; Van de Walle, Chris G.

    2018-06-01

    Ga2O3 is emerging as an important electronic material. Alloying with Al2O3 is a viable method to achieve carrier confinement, to increase the bandgap, or to modify the lattice parameters. However, the two materials have very different ground-state crystal structures (monoclinic β-gallia for Ga2O3 and corundum for Al2O3). Here, we use hybrid density functional theory calculations to assess the alloy stabilities and electronic properties of the alloys. We find that the monoclinic phase is the preferred structure for up to 71% Al incorporation, in close agreement with experimental phase diagrams, and that the ordered monoclinic AlGaO3 alloy is exceptionally stable. We also discuss bandgap bowing, lattice constants, and band offsets that can guide future synthesis and device design efforts.

  3. Improved interface properties of Ge metal-oxide-semiconductor capacitor with TaTiO gate dielectric by using in situ TaON passivation interlayer

    NASA Astrophysics Data System (ADS)

    Ji, F.; Xu, J. P.; Liu, J. G.; Li, C. X.; Lai, P. T.

    2011-05-01

    TaON is in situ formed as a passivating interlayer in Ge metal-oxide-semiconductor (MOS) capacitors with high-k TaTiO gate dielectric fabricated simply by alternate sputtering of Ta and Ti. Also, postdeposition annealing is performed in wet N2 to suppress the growth of unstable GeOx at the Ge surface. As a result, excellent electrical properties of the Ge MOS devices are demonstrated, such as high equivalent dielectric constant (22.1), low interface-state density (7.3×1011 cm-2 eV), small gate leakage current (8.6×10-4 A cm-2 at Vg-Vfb=1 V), and high device reliability. Transmission electron microscopy and x-ray photoelectron spectroscopy support that all these should be attributed to the fact that the nitrogen barrier in the TaON interlayer can effectively block the interdiffusions of Ge and Ta, and the wet-N2 anneal can significantly suppress the growth of unstable low-k GeOx.

  4. The MgO-Al2O3-SiO2 system - Free energy of pyrope and Al2O3-enstatite. [in earth mantle formation

    NASA Technical Reports Server (NTRS)

    Saxena, S. K.

    1981-01-01

    The model of fictive ideal components is used to determine Gibbs free energies of formation of pyrope and Al2O3-enstatite from the experimental data on coexisting garnet and orthopyroxene and orthopyroxene and spinel in the temperature range 1200-1600 K. It is noted that Al2O3 forms an ideal solution with MgSiO3. These thermochemical data are found to be consistent with the Al2O3 isopleths that could be drawn using most recent experimental data and with the reversed experimental data on the garnet-spinel field boundary.

  5. Crack-resistant Al2O3–SiO2 glasses

    PubMed Central

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-01-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3–(100–x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3–SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses. PMID:27053006

  6. Postperovskite phase equilibria in the MgSiO3-Al2O3 system.

    PubMed

    Tsuchiya, Jun; Tsuchiya, Taku

    2008-12-09

    We investigate high-P,T phase equilibria of the MgSiO(3)-Al(2)O(3) system by means of the density functional ab initio computation methods with multiconfiguration sampling. Being different from earlier studies based on the static substitution properties with no consideration of Rh(2)O(3)(II) phase, present calculations demonstrate that (i) dissolving Al(2)O(3) tends to decrease the postperovskite transition pressure of MgSiO(3) but the effect is not significant ( approximately -0.2 GPa/mol% Al(2)O(3)); (ii) Al(2)O(3) produces the narrow perovskite+postperovskite coexisting P,T area (approximately 1 GPa) for the pyrolitic concentration (x(Al2O3) approximately 6 mol%), which is sufficiently responsible to the deep-mantle D'' seismic discontinuity; (iii) the transition would be smeared (approximately 4 GPa) for the basaltic Al-rich composition (x(Al2O3) approximately 20 mol%), which is still seismically visible unless iron has significant effects; and last (iv) the perovskite structure spontaneously changes to the Rh(2)O(3)(II) with increasing the Al concentration involving small displacements of the Mg-site cations.

  7. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    PubMed

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  8. Formation Mechanism of CuAlO2 Prepared by Rapid Thermal Annealing of Al2O3/Cu2O/Sapphire Sandwich Structure

    NASA Astrophysics Data System (ADS)

    Shih, C. H.; Tseng, B. H.

    Single-phase CuAlO2 films were successfully prepared by thin-film reaction of an Al2O3/Cu2O/sapphire sandwich structure. We found that the processing parameters, such as heating rate, holding temperature and annealing ambient, were all crucial to form CuAlO2 without second phases. Thermal annealing in pure oxygen ambient with a lower temperature ramp rate might result in the formation of CuAl2O4 in addition to CuAlO2, since part of Cu2O was oxidized to form CuO and caused the change in reaction path, i.e. CuO + Al2O3 → CuAl2O4. Typical annealing conditions successful to prepare single-phase CuAlO2 would be to heat the sample with a temperature rampt rate higher than 7.3 °C/sec and hold the temperature at 1100 °C in air ambient. The formation mechanism of CuAlO2 has also been studied by interrupting the reaction after a short period of annealing. TEM observations showed that the top Al2O3 layer with amorphous structure reacted immediately with Cu2O to form CuAlO2 in the early stage and then the remaining Cu2O reacted with the sapphire substrate.

  9. Atomic layer deposition of high-density Pt nanodots on Al2O3 film using (MeCp)Pt(Me)3 and O2 precursors for nonvolatile memory applications

    PubMed Central

    2013-01-01

    Pt nanodots have been grown on Al2O3 film via atomic layer deposition (ALD) using (MeCp)Pt(Me)3 and O2 precursors. Influence of the substrate temperature, pulse time of (MeCp)Pt(Me)3, and deposition cycles on ALD Pt has been studied comprehensively by scanning electron microscopy, transmission electron microscopy, and X-ray photoelectron spectroscopy. Therefore, Pt nanodots with a high density of approximately 2 × 1012 cm-2 have been achieved under optimized conditions: 300°C substrate temperature, 1 s pulse time of (MeCp)Pt(Me)3, and 70 deposition cycles. Further, metal-oxide-semiconductor capacitors with Pt nanodots embedded in ALD Al2O3 dielectric have been fabricated and characterized electrically, indicating noticeable electron trapping capacity, efficient programmable and erasable characteristics, and good charge retention. PMID:23413837

  10. Room-Temperature-Processed Flexible Amorphous InGaZnO Thin Film Transistor.

    PubMed

    Xiao, Xiang; Zhang, Letao; Shao, Yang; Zhou, Xiaoliang; He, Hongyu; Zhang, Shengdong

    2017-12-13

    A room-temperature flexible amorphous indium-gallium-zinc oxide thin film transistor (a-IGZO TFT) technology is developed on plastic substrates, in which both the gate dielectric and passivation layers of the TFTs are formed by an anodic oxidation (anodization) technique. While the gate dielectric Al 2 O 3 is grown with a conventional anodization on an Al:Nd gate electrode, the channel passivation layer Al 2 O 3 is formed using a localized anodization technique. The anodized Al 2 O 3 passivation layer shows a superior passivation effect to that of PECVD SiO 2 . The room-temperature-processed flexible a-IGZO TFT exhibits a field-effect mobility of 7.5 cm 2 /V·s, a subthreshold swing of 0.44 V/dec, an on-off ratio of 3.1 × 10 8 , and an acceptable gate-bias stability with threshold voltage shifts of 2.65 and -1.09 V under positive gate-bias stress and negative gate-bias stress, respectively. Bending and fatigue tests confirm that the flexible a-IGZO TFT also has a good mechanical reliability, with electrical performances remaining consistent up to a strain of 0.76% as well as after 1200 cycles of fatigue testing.

  11. Quasi-Two-Dimensional h-BN/β-Ga2O3 Heterostructure Metal-Insulator-Semiconductor Field-Effect Transistor.

    PubMed

    Kim, Janghyuk; Mastro, Michael A; Tadjer, Marko J; Kim, Jihyun

    2017-06-28

    β-gallium oxide (β-Ga 2 O 3 ) and hexagonal boron nitride (h-BN) heterostructure-based quasi-two-dimensional metal-insulator-semiconductor field-effect transistors (MISFETs) were demonstrated by integrating mechanical exfoliation of (quasi)-two-dimensional materials with a dry transfer process, wherein nanothin flakes of β-Ga 2 O 3 and h-BN were utilized as the channel and gate dielectric, respectively, of the MISFET. The h-BN dielectric, which has an extraordinarily flat and clean surface, provides a minimal density of charged impurities on the interface between β-Ga 2 O 3 and h-BN, resulting in superior device performances (maximum transconductance, on/off ratio, subthreshold swing, and threshold voltage) compared to those of the conventional back-gated configurations. Also, double-gating of the fabricated device was demonstrated by biasing both top and bottom gates, achieving the modulation of the threshold voltage. This heterostructured wide-band-gap nanodevice shows a new route toward stable and high-power nanoelectronic devices.

  12. Surface step terrace tuned microstructures and dielectric properties of highly epitaxial CaCu3Ti4O12 thin films on vicinal LaAlO3 substrates

    PubMed Central

    Yao, Guang; Gao, Min; Ji, Yanda; Liang, Weizheng; Gao, Lei; Zheng, Shengliang; Wang, You; Pang, Bin; Chen, Y. B.; Zeng, Huizhong; Li, Handong; Wang, Zhiming; Liu, Jingsong; Chen, Chonglin; Lin, Yuan

    2016-01-01

    Controllable interfacial strain can manipulate the physical properties of epitaxial films and help understand the physical nature of the correlation between the properties and the atomic microstructures. By using a proper design of vicinal single-crystal substrate, the interface strain in epitaxial thin films can be well controlled by adjusting the miscut angle via a surface-step-terrace matching growth mode. Here, we demonstrate that LaAlO3 (LAO) substrates with various miscut angles of 1.0°, 2.75°, and 5.0° were used to tune the dielectric properties of epitaxial CaCu3Ti4O12 (CCTO) thin films. A model of coexistent compressive and tensile strained domains is proposed to understand the epitaxial nature. Our findings on the self-tuning of the compressive and tensile strained domain ratio along the interface depending on the miscut angle and the stress relaxation mechanism under this growth mode will open a new avenue to achieve CCTO films with high dielectric constant and low dielectric loss, which is critical for the design and integration of advanced heterostructures for high performance capacitance device applications. PMID:27703253

  13. Surface step terrace tuned microstructures and dielectric properties of highly epitaxial CaCu3Ti4O12 thin films on vicinal LaAlO3 substrates

    NASA Astrophysics Data System (ADS)

    Yao, Guang; Gao, Min; Ji, Yanda; Liang, Weizheng; Gao, Lei; Zheng, Shengliang; Wang, You; Pang, Bin; Chen, Y. B.; Zeng, Huizhong; Li, Handong; Wang, Zhiming; Liu, Jingsong; Chen, Chonglin; Lin, Yuan

    2016-10-01

    Controllable interfacial strain can manipulate the physical properties of epitaxial films and help understand the physical nature of the correlation between the properties and the atomic microstructures. By using a proper design of vicinal single-crystal substrate, the interface strain in epitaxial thin films can be well controlled by adjusting the miscut angle via a surface-step-terrace matching growth mode. Here, we demonstrate that LaAlO3 (LAO) substrates with various miscut angles of 1.0°, 2.75°, and 5.0° were used to tune the dielectric properties of epitaxial CaCu3Ti4O12 (CCTO) thin films. A model of coexistent compressive and tensile strained domains is proposed to understand the epitaxial nature. Our findings on the self-tuning of the compressive and tensile strained domain ratio along the interface depending on the miscut angle and the stress relaxation mechanism under this growth mode will open a new avenue to achieve CCTO films with high dielectric constant and low dielectric loss, which is critical for the design and integration of advanced heterostructures for high performance capacitance device applications.

  14. Surface step terrace tuned microstructures and dielectric properties of highly epitaxial CaCu3Ti4O12 thin films on vicinal LaAlO3 substrates.

    PubMed

    Yao, Guang; Gao, Min; Ji, Yanda; Liang, Weizheng; Gao, Lei; Zheng, Shengliang; Wang, You; Pang, Bin; Chen, Y B; Zeng, Huizhong; Li, Handong; Wang, Zhiming; Liu, Jingsong; Chen, Chonglin; Lin, Yuan

    2016-10-05

    Controllable interfacial strain can manipulate the physical properties of epitaxial films and help understand the physical nature of the correlation between the properties and the atomic microstructures. By using a proper design of vicinal single-crystal substrate, the interface strain in epitaxial thin films can be well controlled by adjusting the miscut angle via a surface-step-terrace matching growth mode. Here, we demonstrate that LaAlO 3 (LAO) substrates with various miscut angles of 1.0°, 2.75°, and 5.0° were used to tune the dielectric properties of epitaxial CaCu 3 Ti 4 O 12 (CCTO) thin films. A model of coexistent compressive and tensile strained domains is proposed to understand the epitaxial nature. Our findings on the self-tuning of the compressive and tensile strained domain ratio along the interface depending on the miscut angle and the stress relaxation mechanism under this growth mode will open a new avenue to achieve CCTO films with high dielectric constant and low dielectric loss, which is critical for the design and integration of advanced heterostructures for high performance capacitance device applications.

  15. Reaction between NiO and Al2O3 in NiO/γ-Al2O3 catalysts probed by positronium atom

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Zhang, H. J.; Chen, Z. Q.

    2013-02-01

    NiO/γ-Al2O3 catalysts with NiO content of 9 wt% and 24 wt% were prepared by solid state reaction method. They are annealed in air at temperatures from 100 °C to 1000 °C. Positron lifetime spectra were measured to study the microstructure variation during annealing process. Four positron lifetime components were resolved with two long lifetime τ3 and τ4, which can be attributed to the ortho-positronium lifetime in microvoids and large pores, respectively. It was found that the longest lifetime τ4 is rather sensitive to the chemical environment of the large pores. The NiO active centers in the catalysts cause decrease of both τ4 and its intensity I4, which is due to the spin-conversion of positronium induced by NiO. However, after heating the catalysts above 600 °C, abnormal increase of the lifetime τ4 is observed. This is due to the formation of NiAl2O4 spinel from the reaction of NiO and γ-Al2O3. The generated NiAl2O4 weakens the spin-conversion effect of positronium, thus leads to the increase of o-Ps lifetime τ4. Formation of NiAl2O4 is further confirmed by both X-ray diffraction and X-ray photoelectron spectroscopy measurements.

  16. Study on Preparing Al2O3 Particles Reinforced ZL109 Composite by in Situ Reaction of Fe2O3/Al System

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Yu, Huashun; Zhao, Qi; Wang, Haitao; Min, Guanghui

    Al2O3 particles reinforced ZL109 composite was prepared by in situ reaction between Fe2O3 and Al. The phases were identified by XRD and the microstructures were observed by SEM and TEM. The Al2O3 particles in sub-micron size distribute uniformly in the matrix and Fe displaced from the in situ reaction forms net-like alloy phases with Cu, Ni, Al, Mn ect. The hardness and the tensile strength at room temperature of the composites have a small increase compared with the matrix. However, the tensile strength at 350°C can reach 92.18 MPa, which is 18.87 MPa higher than that of the matrix. The mechanism of the reaction in the Fe2O3/Al system was studied by DSC. The reaction between Fe2O3 and Al involves two steps. The first step in which Fe2O3 reacts with Al to form FeO and Al2O3 takes place at the matrix alloy melting temperature. The second step in which FeO reacts with Al to form Fe and Al2O3 takes place at a higher temperature.

  17. Combustion synthesis of AlB2-Al2O3 composite powders with AlB2 nanowire structures

    NASA Astrophysics Data System (ADS)

    Yang, Pan; Xiao, Guoqing; Ding, Donghai; Ren, Yun; Yang, Shoulei; Lv, Lihua; Hou, Xing

    2018-05-01

    Using of Al and B2O3 powders as starting materials, and Mg-Al alloy as additives, AlB2-Al2O3 composite powders with AlB2 nanowire structures were successfully fabricated via combustion synthesis method in Ar atmosphere at a pressure of 1.5 MPa. The effect of different amount of Mg-Al alloy on the phase compositions and morphology of the combustion products was investigated. The results revealed that AlB2 and Al2O3 increased, whereas Al decreased with the content of Mg-Al alloy increasing. The impurities MgAl2O4 and AlB12 would exist in the sample with adding of 18 wt% Mg-Al alloy. Interestingly, FESEM/TEM/EDS results showed that AlB2 nanowires were observed in the products when the content of Mg-Al alloy is 6 wt% and 12 wt%. The more AlB2 nanowires can be found as the content of Mg-Al alloy increased. And the yield of AlB2 nanowires with the diameter of about 200 nanometers (nm) and the length up to several tens of micrometers (μm) in the combustion product is highest when the content of Mg-Al alloy is 12 wt%. The vapor, such as Mg-Al (g), B2O2 (g), AlO (g) and Al2O (g), produced during the process of combustion synthesis, reacted with each other to yield AlB2 nanowires by vapor-solid (VS) mechanism and the corresponding model was also proposed.

  18. High Temperature Mechanical Characterization and Analysis of Al2O3 /Al2O3 Composition

    NASA Technical Reports Server (NTRS)

    Gyekenyesi, John Z.; Jaskowiak, Martha H.

    1999-01-01

    Sixteen ply unidirectional zirconia coated single crystal Al2O3 fiber reinforced polycrystalline Al2O3 was tested in uniaxial tension at temperatures to 1400 C in air. Fiber volume fractions ranged from 26 to 31%. The matrix has primarily open porosity of approximately 40%. Theories for predicting the Young's modulus, first matrix cracking stress, and ultimate strength were applied and evaluated for suitability in predicting the mechanical behavior of Al2O3/Al2O3 composites. The composite exhibited pseudo tough behavior (increased area under the stress/strain curve relative to monolithic alumina) from 22 to 1400 C. The rule-of-mixtures provides a good estimate of the Young's modulus of the composite using the constituent properties from room temperature to approximately 1200 C for short term static tensile tests in air. The ACK theory provides the best approximation of the first matrix cracking stress while accounting for residual stresses at room temperature. Difficulties in determining the fiber/matrix interfacial shear stress at high temperatures prevented the accurate prediction of the first matrix cracking stress above room temperature. The theory of Cao and Thouless, based on Weibull statistics, gave the best prediction for the composite ultimate tensile strength.

  19. Effects of La2O3-B2O3-ZnO additions on the low temperature sintering and microwave dielectric properties of (Ca0.61La0.26) TiO3 ceramics

    NASA Astrophysics Data System (ADS)

    Chen, Y. W.; Li, E. Z.; Niu, N.; Zou, M. Y.; Duan, S. X.; Zhang, S. R.

    2017-02-01

    The influence of La2O3-B2O3-ZnO (LBZ) additions on the sintering behavior, microstructure, phase composition, and the microwave dielectric properties of (Ca0.61La0.26) TiO3 (CLT) ceramics have been investigated. The results indicate that the LBZ additions could efficiently lower the sintering temperature of the CLT ceramics from 1400°C to 950°C, and excellent microwave properties remain. Small amount of LBZ glass promotes the densification of the CLT ceramics and enhances the microwave dielectric properties. However, excess amount of LBZ glass deteriorates the dielectric properties because of the increasing glass phase. The CLT ceramic with 3 wt. % LBZ additions, sintered at 950°C, exhibit excellent properties: εr= 103.12, Q× f = 8826 GHz(f=3.312 GHz) and τƒ=299.52 ppm/°C.

  20. Gate dielectric surface treatments for performance improvement of poly(3-hexylthiophene-2,5-diyl) based organic field-effect transistors

    NASA Astrophysics Data System (ADS)

    Nawaz, Ali; de, Cristiane, , Col; Cruz-Cruz, Isidro; Kumar, Anshu; Kumar, Anil; Hümmelgen, Ivo A.

    2015-08-01

    We report on enhanced performance in poly(3-hexylthiophene-2,5-diyl) (P3HT) based organic field effect transistors (OFETs) achieved by improvement in hole transport along the channel near the insulator/semiconductor (I/S) interface. The improvement in hole transport is demonstrated to occur very close to the I/S interface, after treatment of the insulator layer with sodium dodecyl sulfate (SDS). SDS is an anionic surfactant, with negatively charged heads, known for formation of micelles above critical micelle concentration (CMC), which contribute to the passivation of positively charged traps. Investigation of field-effect mobility (μFET) as a function of channel bottleneck thickness in OFETs reveals the favorable gate voltage regime where mobility is the highest. In addition, it shows that the gate dielectric surface treatment not only leads to an increase in mobility in that regime, but also displaces charge transport closer to the interface, hence pointing toward passivation of the charge traps at I/S interface. OFETs with SDS treatment were compared with untreated and vitamin C or hexadecyltrimethylammonium bromide (CTAB) treated OFETs. All the treatments resulted in significant improvements in specific dielectric capacitance, μFET, on/off current ratio and transconductance.

  1. Poly(methyl methacrylate) as a self-assembled gate dielectric for graphene field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sanne, A.; Movva, H. C. P.; Kang, S.

    We investigate poly(methyl methacrylate) (PMMA) as a low thermal budget organic gate dielectric for graphene field effect-transistors (GFETs) based on a simple process flow. We show that high temperature baking steps above the glass transition temperature (∼130 °C) can leave a self-assembled, thin PMMA film on graphene, where we get a gate dielectric almost for “free” without additional atomic layer deposition type steps. Electrical characterization of GFETs with PMMA as a gate dielectric yields a dielectric constant of k = 3.0. GFETs with thinner PMMA dielectrics have a lower dielectric constant due to decreased polarization arising from neutralization of dipoles and charged carriersmore » as baking temperatures increase. The leakage through PMMA gate dielectric increases with decreasing dielectric thickness and increasing electric field. Unlike conventional high-k gate dielectrics, such low-k organic gate dielectrics are potentially attractive for devices such as the proposed Bilayer pseudoSpin Field-Effect Transistor or flexible high speed graphene electronics.« less

  2. Kinetics of Valeric Acid Ketonization and Ketenization in Catalytic Pyrolysis on Nanosized SiO2 , γ-Al2 O3 , CeO2 /SiO2 , Al2 O3 /SiO2 and TiO2 /SiO2.

    PubMed

    Kulyk, Kostiantyn; Palianytsia, Borys; Alexander, John D; Azizova, Liana; Borysenko, Mykola; Kartel, Mykola; Larsson, Mats; Kulik, Tetiana

    2017-07-19

    Valeric acid is an important renewable platform chemical that can be produced efficiently from lignocellulosic biomass. Upgrading of valeric acid by catalytic pyrolysis has the potential to produce value added biofuels and chemicals on an industrial scale. Understanding the different mechanisms involved in the thermal transformations of valeric acid on the surface of nanometer-sized oxides is important for the development of efficient heterogeneously catalyzed pyrolytic conversion techniques. In this work, the thermal decomposition of valeric acid on the surface of nanoscale SiO 2 , γ-Al 2 O 3 , CeO 2 /SiO 2 , Al 2 O 3 /SiO 2 and TiO 2 /SiO 2 has been investigated by temperature-programmed desorption mass spectrometry (TPD MS). Fourier transform infrared spectroscopy (FTIR) has also been used to investigate the structure of valeric acid complexes on the oxide surfaces. Two main products of pyrolytic conversion were observed to be formed depending on the nano-catalyst used-dibutylketone and propylketene. Mechanisms of ketene and ketone formation from chemisorbed fragments of valeric acid are proposed and the kinetic parameters of the corresponding reactions were calculated. It was found that the activation energy of ketenization decreases in the order SiO 2 >γ-Al 2 O 3 >TiO 2 /SiO 2 >Al 2 O 3 /SiO 2 , and the activation energy of ketonization decreases in the order γ-Al 2 O 3 >CeO 2 /SiO 2 . Nano-oxide CeO 2 /SiO 2 was found to selectively catalyze the ketonization reaction. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Semi-transparent a-IGZO thin-film transistors with polymeric gate dielectric.

    PubMed

    Hyung, Gun Woo; Wang, Jian-Xun; Li, Zhao-Hui; Koo, Ja-Ryong; Kwon, Sang Jik; Cho, Eou-Sik; Kim, Young Kwan

    2013-06-01

    We report the fabrication of semi-transparent a-IGZO-based thin-film transistors (TFTs) with crosslinked poly-4-vinylphenol (PVP) gate dielectric layers on PET substrate and thermally-evaporated Al/Ag/Al source and drain (S&D) electrodes, which showed a transmittance of 64% at a 500-nm wavelength and sheet resistance of 16.8 omega/square. The semi-transparent a-IGZO TFTs with a PVP layer exhibited decent saturation mobilities (maximum approximately 5.8 cm2Ns) and on/off current ratios of approximately 10(6).

  4. α-Al2O3/Ga2O3 superlattices coherently grown on r-plane sapphire

    NASA Astrophysics Data System (ADS)

    Oshima, Takayoshi; Kato, Yuji; Imura, Masataka; Nakayama, Yoshiko; Takeguchi, Masaki

    2018-06-01

    Ten-period binary α-Al2O3/Ga2O3 superlattices were fabricated on r-plane sapphire substrates by molecular beam epitaxy. By systematic variation of α-Ga2O3 thickness and evaluation through X-ray reflectivity and diffraction measurements and scanning transmission electron microscopy, we verified that the superlattice with α-Ga2O3 thickness up to ∼1 nm had coherent interfaces without misfit dislocation in spite of the large lattice mismatches. This successful fabrication of coherent α-Al2O3/Ga2O3 superlattices will encourage further development of α-(Al x Ga1‑ x )2O3-based heterostructures including superlattices.

  5. Preparation and microwave-infrared absorption of reduced graphene oxide/Cu-Ni ferrite/Al2O3 composites

    NASA Astrophysics Data System (ADS)

    De-yue, Ma; Xiao-xia, Li; Yu-xiang, Guo; Yu-run, Zeng

    2018-01-01

    Reduced graphene oxide (RGO)/Cu-Ni ferrite/Al2O3 composite was prepared by solvothermal method, and its properties were characterized by SEM, x-ray diffraction, energy-dispersive x-ray spectroscopy and FTIR. The electromagnetic parameters in 2-18 GHz and mid-infrared (IR) spectral transmittance of the composite were measured, respectively. The results show that Cu0.7Ni0.3Fe2O4 nanoparticles with an average size of tens nanometers adsorb on surface of RGO, and meanwhile, Al2O3 nanoparticles adhere to the surface of Cu0.7Ni0.3Fe2O4 nanoparticles and RGO. The composite has both dielectric and magnetic loss mechanism. Its reflection loss is lower than -19 dB in 2-18 GHz, and the maximum of -23.2 dB occurs at 15.6 GHz. With the increasing of Al2O3 amount, its reflection loss becomes lower and the maximum moves towards low frequency slightly. Compared with RGO/Cu-Ni ferrite composites, its magnetic loss and reflection loss slightly reduce with the increasing of Al2O3 amount, and the maximum of reflection loss shifts from a low frequency to a high one. However, its broadband IR absorption is significantly enhanced owing to nano-Al2O3. Therefore, RGO/Cu-Ni ferrite/Al2O3 composites can be used as excellent broadband microwave and IR absorbing materials, and maybe have broad application prospect in electromagnetic shielding, IR absorbing and coating materials.

  6. Effects of Al2O3 and CaO/SiO2 Ratio on Phase Equilbria in the ZnO-"FeO"-Al2O3-CaO-SiO2 System in Equilibrium with Metallic Iron

    NASA Astrophysics Data System (ADS)

    Zhao, Baojun; Hayes, Peter C.; Jak, Evgueni

    2011-02-01

    The phase equilibria and liquidus temperatures in the ZnO-"FeO"-Al2O3-CaO-SiO2 system in equilibrium with metallic iron have been determined experimentally in the temperature range 1383 K to 1573 K (1150 °C to 1300 °C). The experimental conditions were selected to characterize lead blast furnace and imperial smelting furnace slags. The results are presented in a form of pseudoternary sections ZnO-"FeO"-(Al2O3 + CaO + SiO2) with fixed CaO/SiO2 and (CaO + SiO2)/Al2O3 ratios. It was found that wustite and spinel are the major primary phases in the composition range investigated. Effects of Al2O3 concentration as well as the CaO/SiO2 ratio on the primary phase field, the liquidus temperature, and the partitioning of ZnO between liquid and solid phases have been discussed for zinc-containing slags.

  7. Dielectric and ferroelectric properties of highly (100)-oriented (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 thin films grown on LaNiO 3/γ-Al 2O 3/Si substrates by chemical solution deposition

    NASA Astrophysics Data System (ADS)

    Guo, Yiping; Akai, Daisuke; Sawada, Kazauki; Ishida, Makoto

    2008-07-01

    A (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 chemical solution was prepared by using barium acetate, nitrate of sodium, nitrate of bismuth, and Ti-isopropoxide as raw materials. A white precipitation appeared during the preparation was analyzed to be Ba(NO 3) 2. We found that ethanolamine is a very effective coordinating ligand of Ba 2+. A transparent and stable (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 precursor chemical solution has been achieved by using ethanolamine as a ligand of Ba 2+. (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 films were grown on LaNiO 3/γ-Al 2O 3/Si substrates. Highly (100)-oriented (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 films were obtained in this work due to lattice match growth. The dielectric, ferroelectric and insulative characteristics against applied field were studied. The conduction current shows an Ohmic conduction behavior at lower voltages and space-charge-limited behavior at higher voltages, respectively. These results indicate that, the (Na 0.5Bi 0.5) 0.94Ba 0.06TiO 3 film is a promising lead-free ferroelectric film.

  8. Investigation of High-k Dielectrics and Metal Gate Electrodes for Non-volatile Memory Applications

    NASA Astrophysics Data System (ADS)

    Jayanti, Srikant

    Due to the increasing demand of non-volatile flash memories in the portable electronics, the device structures need to be scaled down drastically. However, the scalability of traditional floating gate structures beyond 20 nm NAND flash technology node is uncertain. In this regard, the use of metal gates and high-k dielectrics as the gate and interpoly dielectrics respectively, seem to be promising substitutes in order to continue the flash scaling beyond 20nm. Furthermore, research of novel memory structures to overcome the scaling challenges need to be explored. Through this work, the use of high-k dielectrics as IPDs in a memory structure has been studied. For this purpose, IPD process optimization and barrier engineering were explored to determine and improve the memory performance. Specifically, the concept of high-k / low-k barrier engineering was studied in corroboration with simulations. In addition, a novel memory structure comprising a continuous metal floating gate was investigated in combination with high-k blocking oxides. Integration of thin metal FGs and high-k dielectrics into a dual floating gate memory structure to result in both volatile and non-volatile modes of operation has been demonstrated, for plausible application in future unified memory architectures. The electrical characterization was performed on simple MIS/MIM and memory capacitors, fabricated through CMOS compatible processes. Various analytical characterization techniques were done to gain more insight into the material behavior of the layers in the device structure. In the first part of this study, interfacial engineering was investigated by exploring La2O3 as SiO2 scavenging layer. Through the silicate formation, the consumption of low-k SiO2 was controlled and resulted in a significant improvement in dielectric leakage. The performance improvement was also gauged through memory capacitors. In the second part of the study, a novel memory structure consisting of continuous metal FG

  9. Structural Evaluation of 5,5'-Bis(naphth-2-yl)-2,2'-bithiophene in Organic Field-Effect Transistors with n-Octadecyltrichlorosilane Coated SiO2 Gate Dielectric.

    PubMed

    Lauritzen, Andreas E; Torkkeli, Mika; Bikondoa, Oier; Linnet, Jes; Tavares, Luciana; Kjelstrup-Hansen, Jakob; Knaapila, Matti

    2018-05-25

    We report on the structure and morphology of 5,5'-bis(naphth-2-yl)-2,2'-bithiophene (NaT2) films in bottom-contact organic field-effect transistors (OFETs) with octadecyltrichlorosilane (OTS) coated SiO 2 gate dielectric, characterized by atomic force microscopy (AFM), grazing-incidence X-ray diffraction (GIXRD), and electrical transport measurements. Three types of devices were investigated with the NaT2 thin-film deposited either on (1) pristine SiO 2 (corresponding to higher surface energy, 47 mJ/m 2 ) or on OTS deposited on SiO 2 under (2) anhydrous or (3) humid conditions (corresponding to lower surface energies, 20-25 mJ/m 2 ). NaT2 films grown on pristine SiO 2 form nearly featureless three-dimensional islands. NaT2 films grown on OTS/SiO 2 deposited under anhydrous conditions form staggered pyramid islands where the interlayer spacing corresponds to the size of the NaT2 unit cell. At the same time, the grain size measured by AFM increases from hundreds of nanometers to micrometers and the crystal size measured by GIXRD from 30 nm to more than 100 nm. NaT2 on OTS/SiO 2 deposited under humid conditions also promotes staggered pyramids but with smaller crystals 30-80 nm. The NaT2 unit cell parameters in OFETs differ 1-2% from those in bulk. Carrier mobilities tend to be higher for NaT2 layers on SiO 2 (2-3 × 10 -4 cm 2 /(V s)) compared to NaT2 on OTS (2 × 10 -5 -1 × 10 -4 cm 2 /(V s)). An applied voltage does not influence the unit cell parameters when probed by GIXRD in operando.

  10. Structural and dielectric properties of Sr3(MgTa2)O9 and Sr3(ZnTa2)O9

    NASA Astrophysics Data System (ADS)

    Hoque, Md. M.; Dutta, Alo; Kumar, S.; Sinha, T. P.

    2015-07-01

    Herein, we report the crystal structures and morphological properties of Sr3(MgTa2)O9 (SMT) and Sr3(ZnTa2)O9 (SZT) synthesized by solid state ceramic method along with the results of alternating current impedance spectroscopic (ACIS) study in a frequency range from 50 Hz to 1 MHz at selective temperatures between 393 and 573 K. The crystal structures of SMT and SZT have been determined by Rietveld refinement of powder X-ray diffraction pattern using an initial structural model developed on the basis of literature survey. The results indicate that both the samples possess hexagonal structure of trigonal P 3 bar m 1 space group. The lattice parameters of SMT are a=b=5.65162 Å, c=6.94440 Å, α=β=90° and γ=120° and those of SZT are a=b=5.65832 Å, c=6.95911 Å and α=β=90° and γ=120°. SMT and SZT are isostructural and they exhibit 2:1 B site ordering with the staking sequence of {-Ta-Ta-Mg (Zn)-} (Mg for SMT and Zn for SZT) layer repeat on (111) plane of the pseudocells. The characteristic vibrational bands due to Ta-O, Mg-O and Zn-O bonds have been observed in the FTIR spectra of the samples. The FESEM micrographs of the samples show that the grains size ranges between 0.40 and 3.65 μm and 0.9 to 4.2 μm for SMT and SZT, respectively. To account for the polydispersive nature of the dielectric relaxation mechanism along with the effects of dc conductivity and localized space charges the variation of real (ε‧) and imaginary (ε″) parts of dielectric constant with frequency has been analytically interpreted in the framework of modified Cole-Cole model. SMT and SZT having the activation energies of 0.35 eV and 0.33 eV, respectively (obtained from the Arrhenius plot of dc conductivity), are semiconducting in nature. The electrical current conduction in the samples occurs by polaron hopping process. Further, we have shown that chemical property of A site cations has significant role in determining the dielectric properties of A3B‧B″2O9 type perovskites

  11. W:Al 2O 3 nanocomposite thin films with tunable optical properties prepared by atomic layer deposition

    DOE PAGES

    Babar, Shaista; Mane, Anil U.; Yanguas-Gil, Angel; ...

    2016-06-17

    Here, a systematic alteration in the optical properties of W:Al 2O 3 nanocomposite films is demonstrated by precisely varying the W cycle percentage (W%) from 0 to 100% in Al 2O 3 during atomic layer deposition. The direct and indirect band energies of the nanocomposite materials decrease from 5.2 to 4.2 eV and from 3.3 to 1.8 eV, respectively, by increasing the W% from 10 to 40. X-ray absorption spectroscopy reveals that, for W% < 50, W is present in both metallic and suboxide states, whereas, for W% ≥ 50, only metallic W is seen. This transition from dielectric tomore » metallic character at W% ~ 50 is accompanied by an increase in the electrical and thermal conductivity and the disappearance of a clear band gap in the absorption spectrum. The density of the films increases monotonically from 3.1 g/cm 3 for pure Al 2O 3 to 17.1 g/cm 3 for pure W, whereas the surface roughness is greatest for the W% = 50 films. The W:Al 2O 3 nanocomposite films are thermally stable and show little change in optical properties upon annealing in air at 500 °C. These W:Al 2O 3 nanocomposite films show promise as selective solar absorption coatings for concentrated solar power applications.« less

  12. Postperovskite phase equilibria in the MgSiO3Al2O3 system

    PubMed Central

    Tsuchiya, Jun; Tsuchiya, Taku

    2008-01-01

    We investigate high-P,T phase equilibria of the MgSiO3Al2O3 system by means of the density functional ab initio computation methods with multiconfiguration sampling. Being different from earlier studies based on the static substitution properties with no consideration of Rh2O3(II) phase, present calculations demonstrate that (i) dissolving Al2O3 tends to decrease the postperovskite transition pressure of MgSiO3 but the effect is not significant (≈-0.2 GPa/mol% Al2O3); (ii) Al2O3 produces the narrow perovskite+postperovskite coexisting P,T area (≈1 GPa) for the pyrolitic concentration (xAl2O3 ≈6 mol%), which is sufficiently responsible to the deep-mantle D″ seismic discontinuity; (iii) the transition would be smeared (≈4 GPa) for the basaltic Al-rich composition (xAl2O3 ≈20 mol%), which is still seismically visible unless iron has significant effects; and last (iv) the perovskite structure spontaneously changes to the Rh2O3(II) with increasing the Al concentration involving small displacements of the Mg-site cations. PMID:19036928

  13. Dielectric and optical study of poly (methyl methacrylate) (PMMA) / Fe2O3 films

    NASA Astrophysics Data System (ADS)

    Anita, Chimankar, O. P.; Bansod, A. R.; Sannakki, Basavaraja

    2013-06-01

    Organic/inorganic polymer composite films containing poly (methyl-methacrylate) (PMMA)/ ferric oxide Fe2O3 were prepared following solution casting technique. Dielectric Properties of films has been studied using LCR meter at room temperature 26°C. Also optical properties have been studied using digital abbey refractometer. The dielectric behavior of films have been studied as a function of concentration, and at lower frequencies over the range 100 Hz-25 KHz, The results elucidate that 70:30 and 50:50 wt% of PMMA/Fe2O3 composite films posses optimal conducting properties due to observed electronic polarisability dip at 40Wt% of Fe2O3.

  14. Electron Trap Energy Distribution in ALD Al2O3, LaAl4Ox, and GdyAl2-yO3 Layers on Silicon

    NASA Astrophysics Data System (ADS)

    Wang, W. C.; Badylevich, M.; Adelmann, C.; Swerts, J.; Kittl, J. A.; Afanas'ev, V. V.

    2012-12-01

    The energy distribution of electron trap density in atomic layer deposited Al2O3, LaAl4Ox and GdyAl2-yO3 insulating layers was studied by using the exhaustive photodepopulation spectroscopy. Upon filling the traps by electron tunneling from Si substrate, a broad energy distribution of trap levels in the energy range 2-4 eV is found in all studied insulators with trap densities in the range of 1012 cm-2eV-1. The incorporation of La and Gd cations reduces the trap density in aluminate layers as compared to Al2O3. Crystallization of the insulator by the post-deposition annealing is found to increase the trap density while the energy distribution remains unchanged. The similar trap spectra in the Al2O3 and La or Gd aluminate layers suggest the common nature of the traps, probably originating from imperfections in the AlOx sub-network.

  15. Optimization of the Al2O3/GaSb Interface and a High-Mobility GaSb pMOSFET

    DTIC Science & Technology

    2011-10-01

    explored the use of in situ deposition of Al2O3 on GaSb grown on InP using molecular beam epitaxy and reported Dit values in the low 1012/cm2eV range near...M. Heyns, M. Caymax, and J. Dekoster, “GaSb mole- cular beam epitaxial growth on p-InP(001) and passivation with in situ deposited Al2O3 gate oxide...transmission electron microscopy. Capacitors were made on these films using platinum (Pt) electrode deposited in an e- beam evaporator through a shadow

  16. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  17. Chemical quenching of positronium in Fe 2O 3/Al 2O 3 catalysts

    NASA Astrophysics Data System (ADS)

    Li, C.; Zhang, H. J.; Chen, Z. Q.

    2010-09-01

    Fe 2O 3/Al 2O 3 catalysts were prepared by solid state reaction method using α-Fe 2O 3 and γ-Al 2O 3 nano powders. The microstructure and surface properties of the catalyst were studied using positron lifetime and coincidence Doppler broadening annihilation radiation measurements. The positron lifetime spectrum shows four components. The two long lifetimes τ3 and τ4 are attributed to positronium annihilation in two types of pores distributed inside Al 2O 3 grain and between the grains, respectively. With increasing Fe 2O 3 content from 3 wt% to 40 wt%, the lifetime τ3 keeps nearly unchanged, while the longest lifetime τ4 shows decrease from 96 ns to 64 ns. Its intensity decreases drastically from 24% to less than 8%. The Doppler broadening S parameter shows also a continuous decrease. Further analysis of the Doppler broadening spectra reveals a decrease in the p-Ps intensity with increasing Fe 2O 3 content, which rules out the possibility of spin-conversion of positronium. Therefore the decrease of τ4 is most probably due to the chemical quenching reaction of positronium with Fe ions on the surface of the large pores.

  18. Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} nanocomposite: Structure, mechanical property and bioactivity studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalita, Samar Jyoti, E-mail: Samar.Kalita@und.nodak.edu; Somani, Vikas

    2010-12-15

    Novel biomaterials are of prime importance in tissue engineering. Here, we developed novel nanostructured Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} composite as a biomaterial for bone repair. Initially, nanocrystalline Al{sub 2}O{sub 3}-TiO{sub 2} composite powder was synthesized by a sol-gel process. The powder was cold compacted and sintered at 1300-1500 {sup o}C to develop nanostructured Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} composite. Nano features were retained in the sintered structures while the grains showed irregular morphology. The grain-growth and microcracking were prominent at higher sintering temperatures. X-ray diffraction peak intensity of {beta}-Al{sub 2}TiO{sub 5} increased with increasing temperature. {beta}-Al{sub 2}TiO{submore » 5} content increased from 91.67% at 1300 {sup o}C to 98.83% at 1500 {sup o}C, according to Rietveld refinement. The density of {beta}-Al{sub 2}TiO{sub 5} sintered at 1300 {sup o}C, 1400 {sup o}C and 1500 {sup o}C were computed to be 3.668 g cm{sup -3}, 3.685 g cm{sup -3} and 3.664 g cm{sup -3}, respectively. Nanocrystalline grains enhanced the flexural strength. The highest flexural strength of 43.2 MPa was achieved. Bioactivity and biomechanical properties were assessed in simulated body fluid. Electron microscopy confirmed the formation of apatite crystals on the surface of the nanocomposite. Spectroscopic analysis established the presence of Ca and P ions in the crystals. Results throw light on biocompatibility and bioactivity of {beta}-Al{sub 2}TiO{sub 5} phase, which has not been reported previously.« less

  19. Photoelectron spectroscopy and density functional theory study of TiAlO(y) (-) (y=1-3) and TiAl(2)O(y) (-) (y=2-3) clusters.

    PubMed

    Zhang, Zeng-Guang; Xu, Hong-Guang; Zhao, Yuchao; Zheng, Weijun

    2010-10-21

    Small titanium-aluminum oxide clusters, TiAlO(y) (-) (y=1-3) and TiAl(2)O(y) (-) (y=2-3), were studied by using anion photoelectron spectroscopy. The adiabatic detachment energies of TiAlO(y) (-) (y=1-3) were estimated to be 1.11±0.05, 1.70±0.08, and 2.47±0.08eV based on their photoelectron spectra; those of TiAl(2)O(2) (-) and TiAl(2)O(3) (-) were estimated to be 1.17±0.08 and 2.2±0.1eV, respectively. The structures of these clusters were determined by comparison of density functional calculations with the experimental results. The structure of TiAlO(-) is nearly linear with the O atom in the middle. That of TiAlO(2) (-) is a kite-shaped structure. TiAlO(3) (-) has a kite-shaped TiAlO(2) unit with the third O atom attaching to the Ti atom. TiAl(2)O(2) (-) has two nearly degenerate Al-O-Ti-O-Al chain structures that can be considered as cis and trans forms. TiAl(2)O(3) (-) has two low-lying isomers, kite structure and book structure. The structures of these clusters indicate that the Ti atom tends to bind to more O atoms.

  20. Hydrogen release at metal-oxide interfaces: A first principle study of hydrogenated Al/SiO2 interfaces

    NASA Astrophysics Data System (ADS)

    Huang, Jianqiu; Tea, Eric; Li, Guanchen; Hin, Celine

    2017-06-01

    The Anode Hydrogen Release (AHR) mechanism at interfaces is responsible for the generation of defects, that traps charge carriers and can induce dielectric breakdown in Metal-Oxide-Semiconductor Field Effect Transistors. The AHR has been extensively studied at Si/SiO2 interfaces but its characteristics at metal-silica interfaces remain unclear. In this study, we performed Density Functional Theory (DFT) calculations to study the hydrogen release mechanism at the typical Al/SiO2 metal-oxide interface. We found that interstitial hydrogen atoms can break interfacial Alsbnd Si bonds, passivating a Si sp3 orbital. Interstitial hydrogen atoms can also break interfacial Alsbnd O bonds, or be adsorbed at the interface on aluminum, forming stable Alsbnd Hsbnd Al bridges. We showed that hydrogenated Osbnd H, Sisbnd H and Alsbnd H bonds at the Al/SiO2 interfaces are polarized. The resulting bond dipole weakens the Osbnd H and Sisbnd H bonds, but strengthens the Alsbnd H bond under the application of a positive bias at the metal gate. Our calculations indicate that Alsbnd H bonds and Osbnd H bonds are more important than Sisbnd H bonds for the hydrogen release process.

  1. Electrode influence on the number of oxygen vacancies at the gate/high-κ dielectric interface in nanoscale MIM capacitors

    NASA Astrophysics Data System (ADS)

    Stojanovska-Georgievska, Lihnida

    2015-02-01

    In this paper, a particular attention has been paid in determining the impact of the type of top electrode (the gate), on the overall characteristics of the examined metal-insulator-metal structures, that contain doped Ta2O5:Hf high-κ dielectric as an insulator. For that purpose MIM capacitors with different metal gates (conventional Al and also W, Au, Pt, Mo, TiN, Ta) were formed. The results obtained, consider both the influence of metal work function and oxygen affinity, as possible reasons for increasing of number of oxygen vacancies at the gate/dielectric interface. Here we use capacitance-voltage alteration (C-V measurements) under constant current stress (CCS) conditions as characterization technique. The measurements show grater creation of positive oxygen vacancies in the case of metal electrodes with high work function, like Au and Pt, for almost one order of magnitude. It is also indicative that these metals have also the lowest values of heat of oxygen formation, which also favors the creation of oxygen vacancies. All results are discussed taking into consideration the nanoscale thickness of the dielectric layer (of the order of 8 nm), implicating the stronger effect of interface properties on the overall behavior rather than the one originating from the bulk of material.

  2. Epitaxial growth of (111)-oriented BaTiO3/SrTiO3 perovskite superlattices on Pt(111)/Ti/Al2O3(0001) substrates

    NASA Astrophysics Data System (ADS)

    Panomsuwan, Gasidit; Takai, Osamu; Saito, Nagahiro

    2013-09-01

    Symmetric BaTiO3/SrTiO3 (BTO/STO) superlattices (SLs) were epitaxially grown on Pt(111)/Ti/Al2O3(0001) substrates with various modulation periods (Λ = 4.8 - 48 nm) using double ion beam sputter deposition. The BTO/STO SLs exhibit high (111) orientation with two in-plane orientation variants related by a 180° rotation along the [111]Pt axis. The BTO layer is under an in-plane compressive state, whereas the STO layer is under an in-plane tensile state due to the effect of lattice mismatch. A remarkable enhancement of dielectric constant is observed for the SL with relatively small modulation period, which is attributed to both the interlayer biaxial strain effect and the Maxwell-Wagner effect.

  3. Modeling and estimation of process-induced stress in the nanowire field-effect-transistors (NW-FETs) on Insulator-on-Silicon substrates with high-k gate-dielectrics

    NASA Astrophysics Data System (ADS)

    Chatterjee, Sulagna; Chattopadhyay, Sanatan

    2016-10-01

    An analytical model including the simultaneous impact of lattice and thermo-elastic constant mismatch-induced stress in nanowires on Insulator-on-Silicon substrate is developed. It is used to calibrate the finite-element based software, ANSYS, which is subsequently employed to estimate process-induced stress in the sequential steps of NW-FET fabrication. The model considers crystal structures and orientations for both the nanowires and substrates. In-plane stress components along nanowire-axis are estimated for different radii and fractions of insertion. Nature of longitudinal stress is observed to change when inserted fraction of nanowires is changed. Effect of various high-k gate-dielectrics is also investigated. A longitudinal tensile stress of 2.4 GPa and compressive stress of 1.89 GPa have been obtained for NW-FETs with 1/4th and 3/4th insertions with La2O3 and TiO2 as the gate-dielectrics, respectively. Therefore, it is possible to achieve comparable values of electron and hole mobility in NW-FETs by judiciously choosing gate-dielectrics and fractional insertion of the nanowires.

  4. Top-gate dielectric induced doping and scattering of charge carriers in epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Puls, Conor P.; Staley, Neal E.; Moon, Jeong-Sun; Robinson, Joshua A.; Campbell, Paul M.; Tedesco, Joseph L.; Myers-Ward, Rachael L.; Eddy, Charles R.; Gaskill, D. Kurt; Liu, Ying

    2011-07-01

    We show that an e-gun deposited dielectric impose severe limits on epitaxial graphene-based device performance based on Raman spectroscopy and low-temperature transport measurements. Specifically, we show from studies of epitaxial graphene Hall bars covered by SiO2 that the measured carrier density is strongly inhomogenous and predominantly induced by charged impurities at the grapheme/dielectric interface that limit mobility via Coulomb interactions. Our work emphasizes that material integration of epitaxial graphene and a gate dielectric is the next major road block towards the realization of graphene-based electronics.

  5. Al2O3/ZrO2/Y3Al5O12 Composites: A High-Temperature Mechanical Characterization

    PubMed Central

    Palmero, Paola; Pulci, Giovanni; Marra, Francesco; Valente, Teodoro; Montanaro, Laura

    2015-01-01

    An Al2O3/5 vol%·ZrO2/5 vol%·Y3Al5O12 (YAG) tri-phase composite was manufactured by surface modification of an alumina powder with inorganic precursors of the second phases. The bulk materials were produced by die-pressing and pressureless sintering at 1500 °C, obtaining fully dense, homogenous samples, with ultra-fine ZrO2 and YAG grains dispersed in a sub-micronic alumina matrix. The high temperature mechanical properties were investigated by four-point bending tests up to 1500 °C, and the grain size stability was assessed by observing the microstructural evolution of the samples heat treated up to 1700 °C. Dynamic indentation measures were performed on as-sintered and heat-treated Al2O3/ZrO2/YAG samples in order to evaluate the micro-hardness and elastic modulus as a function of re-heating temperature. The high temperature bending tests highlighted a transition from brittle to plastic behavior comprised between 1350 and 1400 °C and a considerable flexural strength reduction at temperatures higher than 1400 °C; moreover, the microstructural investigations carried out on the re-heated samples showed a very limited grain growth up to 1650 °C. PMID:28787961

  6. Crystallization studies and dielectric properties of (Ba0.7Sr0.3)TiO3 in bariumaluminosilicate glass

    NASA Astrophysics Data System (ADS)

    Divya, P. V.; Vignesh, G.; Kumar, V.

    2007-12-01

    Ferroelectric glass-ceramics with a basic composition (1 - y)(Ba0.70Sr0.30)TiO3 : y(BaO : Al2O3 : 2SiO2) have been synthesized by the sol-gel method. The major crystalline phase is the perovskite. The crystallization of the ferroelectric phase in the glass matrix have been studied using differential thermal analysis and x-ray diffraction and the kinetic parameters characterizing the crystallization have been determined using an Arrhenius model. Glass contents <= 5 mol% promoted liquid phase sintering, which reduced the sintering temperature to 1250 °C. The dielectric permittivity of the glass-ceramic samples decreased and the ferroelectric-paraelectric phase transition became more diffuse with increasing glass content. The dielectric connectivity of the ferroelectric phase in the composite have also been investigated and are reported.

  7. Atomic layer deposited TaCy metal gates: Impact on microstructure, electrical properties, and work function on HfO2 high-k dielectrics

    NASA Astrophysics Data System (ADS)

    Triyoso, D. H.; Gregory, R.; Schaeffer, J. K.; Werho, D.; Li, D.; Marcus, S.; Wilk, G. D.

    2007-11-01

    TaCy has been reported to have the appropriate work function for negative metal-oxide semiconductor metal in high-k metal-oxide field-effect transistors. As device size continues to shrink, a conformal deposition for metal gate electrodes is needed. In this work, we report on the development and characterization of a novel TaCy process by atomic layer deposition (ALD). Detailed physical properties of TaCy films are studied using ellipsometry, a four-point probe, Rutherford backscattering spectrometry (RBS), x-ray photoelectron spectroscopy (XPS), and x-ray diffraction (XRD). RBS and XPS analysis indicate that TaCy films are near-stoichiometric, nitrogen free, and have low oxygen impurities. Powder XRD spectra showed that ALD films have a cubic microstructure. XPS carbon bonding studies revealed that little or no glassy carbon is present in the bulk of the film. Excellent electrical properties are obtained using ALD TaCy as a metal gate electrode. Well-behaved capacitance-voltage characteristics with ALD HfO2 gate dielectrics are demonstrated for TaCy thicknesses of 50, 100, and 250 Å. A low fixed charge (˜2-4×10-11 cm-2) is observed for all ALD HfO2/ALD TaCy devices. Increasing the thickness of ALD TaCy results in a decrease in work function (4.77 to 4.54 eV) and lower threshold voltages.

  8. Hydrodeoxygenation of p -Cresol over Pt/Al 2 O 3 Catalyst Promoted by ZrO 2 , CeO 2 , and CeO 2 –ZrO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Weiyan; Wu, Kui; Liu, Pengli

    2016-07-20

    ZrO 2-Al 2O 3 and CeO 2-Al 2O 3 were prepared by a co-precipitation method and selected as supports for Pt catalysts. The effects of CeO 2 and ZrO 2 on the surface area and Brønsted acidity of Pt/Al 2O 3 were studied. In the hydrodeoxygenation (HDO) of p-cresol, the addition of ZrO 2 promoted the direct deoxygenation activity on Pt/ZrOO 2-Al 2O 3 via Caromatic-O bond scission without benzene ring saturation. Pt/CeOO 2-Al 2O 3 exhibited higher deoxygenation extent than Pt/Al 2O 3 due to the fact that Brønsted acid sites on the catalyst surface favored the adsorption ofmore » p-cresol. With the advantages of CeO 2 and ZrO 2 taken into consideration, CeO 2-ZrOO 2-Al 2O 3 was prepared, leading to the highest HDO activity of Pt/CeO 2-ZrOO 2-Al 2O 3. The deoxygenation extent for Pt/CeO 2-ZrOO 2-Al 2O 3 was 48.4% and 14.5% higher than that for Pt/ZrO2O 2-Al 2O 3 and Pt/CeOO 2-Al 2O 3, respectively.« less

  9. Fabrication and Properties of Plasma-Sprayed Al2O3/ZrO2 Composite Coatings

    NASA Astrophysics Data System (ADS)

    Dejang, N.; Limpichaipanit, A.; Watcharapasorn, A.; Wirojanupatump, S.; Niranatlumpong, P.; Jiansirisomboon, S.

    2011-12-01

    Al2O3 /xZrO2 (where x = 0, 3, 13, and 20 wt.%) composite coatings were deposited onto mild steel substrates by atmospheric plasma spraying of mixed α-Al2O3 and nano-sized monoclinic-ZrO2 powders. Microstructural investigation showed that the coatings comprised well-separated Al2O3 and ZrO2 lamellae, pores, and partially molten particles. The coating comprised mainly of metastable γ-Al2O3 and tetragonal-ZrO2 with trace of original α-Al2O3 and monoclinic-ZrO2 phases. The effect of ZrO2 addition on the properties of coatings were investigated in terms of microhardness, fracture toughness, and wear behavior. It was found that ZrO2 improved the fracture toughness, reduced friction coefficient, and wear rate of the coatings.

  10. Fixed interface charges between AlGaN barrier and gate stack composed of in situ grown SiN and Al{sub 2}O{sub 3} in AlGaN/GaN high electron mobility transistors with normally off capability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Capriotti, M., E-mail: mattia.capriotti@tuwien.ac.at; Alexewicz, A.; Fleury, C.

    2014-03-17

    Using a generalized extraction method, the fixed charge density N{sub int} at the interface between in situ deposited SiN and 5 nm thick AlGaN barrier is evaluated by measurements of threshold voltage V{sub th} of an AlGaN/GaN metal insulator semiconductor high electron mobility transistor as a function of SiN thickness. The thickness of the originally deposited 50 nm thick SiN layer is reduced by dry etching. The extracted N{sub int} is in the order of the AlGaN polarization charge density. The total removal of the in situ SiN cap leads to a complete depletion of the channel region resulting in V{sub th} = +1 V.more » Fabrication of a gate stack with Al{sub 2}O{sub 3} as a second cap layer, deposited on top of the in situ SiN, is not introducing additional fixed charges at the SiN/Al{sub 2}O{sub 3} interface.« less

  11. Luminescence and energy transfer of Tb3+-doped BaO-Gd2O3-Al2O3-B2O3-SiO2 glasses.

    PubMed

    Zuo, Chenggang; Huang, Jinze; Liu, Shaoyou; Xiao, Anguo; Shen, Youming; Zhang, Xiangyang; Zhou, Zhihua; Zhu, Ligang

    2017-12-05

    Transparent Tb 3+ -doped BaO-Gd 2 O 3 -Al 2 O 3 -B 2 O 3 -SiO 2 glasses with the greater than 4g/cm 3 were prepared by high temperature melting method and its luminescent properties have been investigated by measured UV-vis transmission, excitation, emission and luminescence decay spectra. The transmission spectrum shows there are three weak absorption bands locate at about 312, 378 and 484nm in the glasses and it has good transmittance in the visible spectrum region. Intense green emission can be observed under UV excitation. The effective energy transfer from Gd 3+ ion to Tb 3+ ion could occur and sensitize the luminescence of Tb 3+ ion. The green emission intensity of Tb 3+ ion could change with the increasing SiO 2 /B 2 O 3 ratio in the borosilicate glass matrix. With the increasing concentration of Tb 3+ ion, 5 D 4 → 7 F J transitions could be enhanced through the cross relaxation between the two nearby Tb 3+ ions. Luminescence decay time of 2.12ms from 546nm emission is obtained. The results indicate that Tb 3+ -doped BaO-Gd 2 O 3 -Al 2 O 3 -B 2 O 3 -SiO 2 glasses would be potential scintillating material for applications in X-ray imaging. Copyright © 2017 Elsevier B.V. All rights reserved.

  12. Substitutional and Interstitial Diffusion in alpha2-Ti3Al(O)

    NASA Technical Reports Server (NTRS)

    Copland, Evan; Young, David J.; Gleeson, Brian; Jacobson, Nathan

    2007-01-01

    The reaction between Al2O3 and alpha2-Ti3Al was studied with a series of Al2O3/alpha2-Ti3Al multiphase diffusion couples annealed at 900, 1000 and 1100 C. The diffusion-paths were found to strongly depend on alpha2- Ti3Al(O) composition. For alloys with low oxygen concentrations the reaction involved the reduction of Al2O3, the formation of a gamma-TiAl reaction-layer and diffusion of Al and O into the alpha2-Ti3Al substrate. Measured concentration profiles across the interaction-zone showed "up-hill" diffusion of O in alpha2-Ti3Al(O) indicating a significant thermodynamic interaction between O and Al, Ti or both. Diffusion coefficients for the interstitial O in alpha2-Ti3Al(O) were determined independently from the interdiffusion of Ti and Al on the substitutional lattice. Diffusion coefficients are reported for alpha2-Ti3Al(O) as well as gamma-TiAl. Interpretation of the results were aided with the subsequent measurement of the activities of Al, Ti and O in alpha 2-Ti3Al(O) by Knudsen effusion-cell mass spectrometry.

  13. Temperature-dependent OSL properties of nano-phosphors LiAlO2:C and α-Al2O3:C

    NASA Astrophysics Data System (ADS)

    Agarwal, Mini; Garg, Sandeep K.; Asokan, K.; Kumar, Pratik

    2018-06-01

    The present study focuses on the synthesis and characterization of carbon doped nano-phosphors, LiAlO2 and α-Al2O3 and their temperature-dependent optically stimulated luminescence (TA-OSL) characteristics in the temperature ranges of 25-350 °C. These nano-phosphors with the carbon concentration of 0.01 mol% exhibits high luminescent intensity for LiAlO2:C in the low dose range of 1 mGy-7 Gy and for α-Al2O3:C in the range of 100 mGy-1 kGy. Both these nano-phosphors are of polycrystalline in nature, having grain size 15-50 nm as confirmed by the X-ray diffraction (XRD) and Transmission Electron Microscopy (TEM), respectively. The maximum TA-OSL intensities are observed at 125 °C for LiAlO2:C and 200 °C for Al2O3:C, and reveal the presence of deep defect centres. The Arrhenius analysis shows the activation energies Ea = 0.06 ± 0.02 eV for LiAlO2:C and Ea = 0.04 ± 0.01 eV, & Eb = 0.48 ± 0.07 eV for Al2O3:C. The TA-OSL and OSL characteristics are discussed with special reference to the medical and high radiation dosimetry. These compounds, LiAlO2:C and α-Al2O3:C, are non-toxic, robust and are potential candidates for reusable dosimetry.

  14. Photoresponse and photo-induced memory effect in the organic field-effect transistor based on AlOX nanoparticles at the interface of semiconductor/dielectric

    NASA Astrophysics Data System (ADS)

    Cheng, Yunfei; Wang, Wu

    2017-10-01

    In this work, the photoresponse and photo-induced memory effect were demonstrated in an organic field-effect transistor (OFET) with semiconductor pentacene and SiO2 as the active and gate dielectric layers, respectively. By inserting AlOX nanoparticles (NPs) at the interface of pentacene/SiO2, obvious enhancing photoresponse was obtained in the OFET with the maximum responsivity and photosensitivity of about 15 A/W and 100, respectively. Moreover, the stable photoinduced memory effect was achieved in the OFET, attributing to the photogenerated electrons captured by the interface traps of the AlOX NPs/SiO2.

  15. Improved integration of ultra-thin high-k dielectrics in few-layer MoS2 FET by remote forming gas plasma pretreatment

    NASA Astrophysics Data System (ADS)

    Wang, Xiao; Zhang, Tian-Bao; Yang, Wen; Zhu, Hao; Chen, Lin; Sun, Qing-Qing; Zhang, David Wei

    2017-01-01

    The effective and high-quality integration of high-k dielectrics on two-dimensional (2D) crystals is essential to the device structure engineering and performance improvement of field-effect transistor (FET) based on the 2D semiconductors. We report a 2D MoS2 transistor with ultra-thin Al2O3 top-gate dielectric (6.1 nm) and extremely low leakage current. Remote forming gas plasma pretreatment was carried out prior to the atomic layer deposition, providing nucleation sites with the physically adsorbed ions on the MoS2 surface. The top gate MoS2 FET exhibited excellent electrical performance, including high on/off current ratio over 109, subthreshold swing of 85 mV/decade and field-effect mobility of 45.03 cm2/V s. Top gate leakage current less than 0.08 pA/μm2 at 4 MV/cm has been obtained, which is the smallest compared with the reported top-gated MoS2 transistors. Such an optimized integration of high-k dielectric in 2D semiconductor FET with enhanced performance is very attractive, and it paves the way towards the realization of more advanced 2D nanoelectronic devices and integrated circuits.

  16. Electric-field control of magnetic properties for α-Fe2O3/Al2O3 films

    NASA Astrophysics Data System (ADS)

    Cheng, Bin; Qin, Hongwei; Liu, Liang; Xie, Jihao; Zhou, Guangjun; Chen, Lubin; Hu, Jifan

    2018-06-01

    α-Fe2O3/Al2O3 films can exhibit weak ferromagnetism at room temperature. The saturation magnetization of the thinner film is larger than that of the thick one deposited at the same temperature of 500 °C, which implies that the weak ferromagnetism at room temperature comes not only from the intrinsic canted magnetic structure, but also from the effects of interface between α-Fe2O3/Al2O3, such as the effect of Al diffusion into α-Fe2O3 film. Perpendicular electric field upon α-Fe2O3/Al2O3 film at room temperature could adjust the magnetic properties (saturation magnetization, magnetic remanence, coercivity and saturation magnetizing field). The positive electric field can enhance the magnetism of α-Fe2O3/Al2O3 thin film, while negative electric field can reduce it. The change induced by electric field may be connected with the migration effects of Al3+ ions. The steps of curve for saturation magnetization versus the electric field may reflect these complicated processes. The magnetization of the film deposited at a higher temperature can be changed by electric field more easily. This study may inspire more in-depth research and lead to an alternative approach to future magneto-electronic devices.

  17. Lanthanum aluminum oxide thin-film dielectrics from aqueous solution.

    PubMed

    Plassmeyer, Paul N; Archila, Kevin; Wager, John F; Page, Catherine J

    2015-01-28

    Amorphous LaAlO3 dielectric thin films were fabricated via solution processing from inorganic nitrate precursors. Precursor solutions contained soluble oligomeric metal-hydroxyl and/or -oxo species as evidenced by dynamic light scattering (DLS) and Raman spectroscopy. Thin-film formation was characterized as a function of annealing temperature using Fourier transform infrared (FTIR), X-ray diffraction (XRD), X-ray reflectivity (XRR), scanning electron microscopy (SEM), and an array of electrical measurements. Annealing temperatures ≥500 °C result in thin films with low leakage-current densities (∼1 × 10(-8) A·cm(-2)) and dielectric constants ranging from 11.0 to 11.5. When incorporated as the gate dielectric layer in a-IGZO thin-film transistors (TFTs), LaAlO3 thin films annealed at 600 °C in air yielded TFTs with relatively low average mobilities (∼4.5 cm(2)·V(-1)·s(-1)) and high turn-on voltages (∼26 V). Interestingly, reannealing the LaAlO3 in 5%H2/95%N2 at 300 °C before deposition of a-IGZO channel layers resulted in TFTs with increased average mobilities (11.1 cm(2)·V(-1)·s(-1)) and lower turn-on voltages (∼6 V).

  18. Investigation of hybrid plasma-catalytic removal of acetone over CuO/γ-Al2O3 catalysts using response surface method.

    PubMed

    Zhu, Xinbo; Tu, Xin; Mei, Danhua; Zheng, Chenghang; Zhou, Jinsong; Gao, Xiang; Luo, Zhongyang; Ni, Mingjiang; Cen, Kefa

    2016-07-01

    In this work, plasma-catalytic removal of low concentrations of acetone over CuO/γ-Al2O3 catalysts was carried out in a cylindrical dielectric barrier discharge (DBD) reactor. The combination of plasma and the CuO/γ-Al2O3 catalysts significantly enhanced the removal efficiency of acetone compared to the plasma process using the pure γ-Al2O3 support, with the 5.0 wt% CuO/γ-Al2O3 catalyst exhibiting the best acetone removal efficiency of 67.9%. Catalyst characterization was carried out to understand the effect the catalyst properties had on the activity of the CuO/γ-Al2O3 catalysts in the plasma-catalytic reaction. The results indicated that the formation of surface oxygen species on the surface of the catalysts was crucial for the oxidation of acetone in the plasma-catalytic reaction. The effects that various operating parameters (discharge power, flow rate and initial concentration of acetone) and the interactions between these parameters had on the performance of the plasma-catalytic removal of acetone over the 5.0 wt% CuO/γ-Al2O3 catalyst were investigated using central composite design (CCD). The significance of the independent variables and their interactions were evaluated by means of the Analysis of Variance (ANOVA). The results showed that the gas flow rate was the most significant factor affecting the removal efficiency of acetone, whilst the initial concentration of acetone played the most important role in determining the energy efficiency of the plasma-catalytic process. Copyright © 2016 Elsevier Ltd. All rights reserved.

  19. Behavior of Al2O3 and SiO2 with heating in a Cl2 + CO stream

    NASA Technical Reports Server (NTRS)

    Shchetinin, L. K.

    1984-01-01

    Differential thermal analysis (DTA) and Thermogravimetric analysis (TGA) were used to study the chlorination of alpha-Al2O3, gamma-Al2O3 and amorphous SiO2 in a Cl + CO stream, for the preparation of AlCl3 and SiCl4. The chlorination starting temperatures were 235 deg for Al2O3 and 680 deg for SiO2. The chlorination of alpha- and gamma-Al2O3 takes place via the formation of AlOCl as an intermediate product, and its subsequent dissociation at 480 to 560 deg, according to 3AlOCl yields AlCl3 + Al2O3. The chlorination activation energies are given for the three oxides.

  20. Control of Ga-oxide interlayer growth and Ga diffusion in SiO2/GaN stacks for high-quality GaN-based metal-oxide-semiconductor devices with improved gate dielectric reliability

    NASA Astrophysics Data System (ADS)

    Yamada, Takahiro; Watanabe, Kenta; Nozaki, Mikito; Yamada, Hisashi; Takahashi, Tokio; Shimizu, Mitsuaki; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-01-01

    A simple and feasible method for fabricating high-quality and highly reliable GaN-based metal-oxide-semiconductor (MOS) devices was developed. The direct chemical vapor deposition of SiO2 films on GaN substrates forming Ga-oxide interlayers was carried out to fabricate SiO2/GaO x /GaN stacked structures. Although well-behaved hysteresis-free GaN-MOS capacitors with extremely low interface state densities below 1010 cm-2 eV-1 were obtained by postdeposition annealing, Ga diffusion into overlying SiO2 layers severely degraded the dielectric breakdown characteristics. However, this problem was found to be solved by rapid thermal processing, leading to the superior performance of the GaN-MOS devices in terms of interface quality, insulating property, and gate dielectric reliability.

  1. Effect of proton irradiation dose on InAlN/GaN metal-oxide semiconductor high electron mobility transistors with Al 2O 3 gate oxide

    DOE PAGES

    Ahn, Shihyun; Kim, Byung -Jae; Lin, Yi -Hsuan; ...

    2016-07-26

    The effects of proton irradiation on the dc performance of InAlN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) with Al 2O 3 as the gate oxide were investigated. The InAlN/GaN MOSHEMTs were irradiated with doses ranging from 1×10 13 to 1×10 15cm –2 at a fixed energy of 5MeV. There was minimal damage induced in the two dimensional electron gas at the lowest irradiation dose with no measurable increase in sheet resistance, whereas a 9.7% increase of the sheet resistance was observed at the highest irradiation dose. By sharp contrast, all irradiation doses created more severe degradation in the Ohmic metalmore » contacts, with increases of specific contact resistance from 54% to 114% over the range of doses investigated. These resulted in source-drain current–voltage decreases ranging from 96 to 242 mA/mm over this dose range. The trap density determined from temperature dependent drain current subthreshold swing measurements increased from 1.6 × 10 13 cm –2 V –1 for the reference MOSHEMTs to 6.7 × 10 13 cm –2 V –1 for devices irradiated with the highest dose. In conclusion, the carrier removal rate was 1287 ± 64 cm –1, higher than the authors previously observed in AlGaN/GaN MOSHEMTs for the same proton energy and consistent with the lower average bond energy of the InAlN.« less

  2. Influence of Content of Al2O3 on Structure and Properties of Nanocomposite Nb-B-Al-O films

    NASA Astrophysics Data System (ADS)

    Liu, Na; Dong, Lei; Dong, Lei; Yu, Jiangang; Pan, Yupeng; Wan, Rongxin; Gu, Hanqing; Li, Dejun

    2015-11-01

    Nb-B-Al-O nanocomposite films with different power of Al2O3 were successfully deposited on the Si substrate via multi-target magnetron co-sputtering method. The influences of Al2O3's content on structure and properties of obtained nanocomposite films through controlling Al2O3's power were investigated. Increasing the power of Al2O3 can influence the bombarding energy and cause the momentum transfer of NbB2. This can lead to the decreasing content of Al2O3. Furthermore, the whole films showed monocrystalline NbB2's (100) phase, and Al2O3 shaded from amorphous to weak cubic-crystalline when decreasing content of Al2O3. This structure and content changes were proof by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). When NbB2 grains were far from each other in lower power of Al2O3, the whole films showed a typical nanocomposite microstructure with crystalline NbB2 grains embedded in a matrix of an amorphous Al2O3 phase. Continuing increasing the power of Al2O3, the less content of Al2O3 tended to cause crystalline of cubic-Al2O3 between the close distances of different crystalline NbB2 grains. The appearance of cubic-crystallization Al2O3 can help to raise the nanocomposite films' mechanical properties to some extent. The maximum hardness and elastic modulus were up to 21.60 and 332.78 GPa, which were higher than the NbB2 and amorphous Al2O3 monolithic films. Furthermore, this structure change made the chemistry bond of O atom change from the existence of O-Nb, O-B, and O-Al bonds to single O-Al bond and increased the specific value of Al and O. It also influenced the hardness in higher temperature, which made the hardness variation of different Al2O3 content reduced. These results revealed that it can enhance the films' oxidation resistance properties and keep the mechanical properties at high temperature. The study highlighted the importance of controlling the Al2O3's content to prepare

  3. Dielectric relaxation in epitaxial films of paraelectric-magnetic SrTiO3-SrMnO3 solid solution

    NASA Astrophysics Data System (ADS)

    Savinov, M.; Bovtun, V.; Tereshina-Chitrova, E.; Stupakov, A.; Dejneka, A.; Tyunina, M.

    2018-01-01

    Magneto-dielectric properties of (A2+)MnO3-type perovskites are attractive for applications and stimulate extensive studies of these materials. Here, the complex dielectric and magnetic responses are investigated as in epitaxial films of SrTi0.6Mn0.4O3, solid solution of paraelectric SrTiO3 and magnetic SrMnO3. The impedance and resonance measurements at frequencies of 10-2-1010 Hz and temperatures of 10-500 K reveal broad dielectric anomalies centered at 100-200 K, while the films are paramagnetic at all temperatures. Analysis shows polaronic electrical conductivity behind the observed behavior. Electron-phonon correlations, rather than spin-phonon correlations, are suggested to produce the apparent magneto-dielectric responses in many multiferroic manganites.

  4. Broadband infrared luminescence from Li2O-Al2O3-ZnO-SiO2 glasses doped with Bi2O3.

    PubMed

    Peng, Mingying; Qiu, Jianrong; Chen, Danping; Meng, Xiangeng; Zhu, Congshan

    2005-09-05

    The broadband emission in the 1.2~1.6mum region from Li2O-Al2O3-ZnO-SiO2 ( LAZS ) glass codoped with 0.01mol.%Cr2O3 and 1.0mol.%Bi2O3 when pumped by the 808nm laser at room temperature is not initiated from Cr4+ ions, but from bismuth, which is remarkably different from the results reported by Batchelor et al. The broad ~1300nm emission from Bi2O3-containing LAZS glasses possesses a FWHM ( Full Width at Half Maximum ) more than 250nm and a fluorescent lifetime longer than 500mus when excited by the 808nm laser. These glasses might have the potential applications in the broadly tunable lasers and the broadband fiber amplifiers.

  5. Oxidation of Al2O3 continuous fiber-reinforced/NiAl composites

    NASA Technical Reports Server (NTRS)

    Doychak, J.; Nesbitt, J. A.; Noebe, R. D.; Bowman, R. R.

    1992-01-01

    The 1200 C and 1300 C isothermal and cyclic oxidation behavior of Al2O3 continuous fiber-reinforced/NiAl composites were studied. Oxidation resulted in formation of Al2O3 external scales in a similar manner as scales formed on monolithic NiAl. The isothermal oxidation of an Al2O3/NiAl composite resulted in oxidation of the matrix along the fiber/matrix interface near the fiber ends. This oxide acted as a wedge between the fiber and the matrix, and, under cyclic oxidation conditions, led to further oxidation along the fiber lengths and eventual cracking of the composite. The oxidation behavior of composites in which the Al2O3 fibers were sputter coated with nickel prior to processing was much more severe. This was attributed to open channels around the fibers which formed during processing, most likely as a result of the diffusion of the nickel coating into the matrix.

  6. Dielectric relaxation in 0-3 PVDF-Ba(Fe{sub 1/2}Nb{sub 1/2})O{sub 3} composites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chandra, K. P., E-mail: kpchandra23@gmail.com; Singh, Rajan; Kulkarni, A. R., E-mail: ajit2957@gmail.com

    2016-05-06

    (1-x)PVDF-xBa(Fe{sub 1/2}Nb{sub 1/2})O{sub 3} ceramic-polymer composites with x = 0.025, 0.05, 0.10, 0.15 were prepared using melt-mixing technique. The crystal symmetry, space group and unit cell dimensions were determined from the XRD data of Ba(Fe{sub 1/2}Nb{sub 1/2})O{sub 3} using FullProf software, whereas crystallite size and lattice strain were estimated using Williamson-Hall approach. The distribution of Ba(Fe{sub 1/2}Nb{sub 1/2})O{sub 3} particles in the PVDF matrix were examined on the cryo-fractured surfaces using a scanning electron microscope. Cole-Cole and pseudo Cole-Cole analysis suggested the dielectric relaxation in this system to be of non-Debye type. Filler concentration dependent real and imaginary parts ofmore » dielectric constant as well as ac conductivity data followed definite trends of exponential growth types of variation.« less

  7. Effect of AL2O3 and TiO2 nanoparticles on aquatic organisms

    NASA Astrophysics Data System (ADS)

    Gosteva, I.; Morgalev, Yu; Morgaleva, T.; Morgalev, S.

    2015-11-01

    Environmental toxicity of aqueous disperse systems of nanoparticles of binary compounds of titanium dioxides (with particle size Δ50=5 nm, Δ50=50 nm, Δ50=90 nm), aluminum oxide alpha-forms (Δ50=7 nm and Δ50=70 nm) and macro forms (TiO2 Δ50=350 nm, Al2O3 A50=4000 nm) were studied using biological testing methods. The bioassay was performed using a set of test organisms representing the major trophic levels. We found the dependence of the toxic effect concentration degree of nTiO2 and nAl2O3 on the fluorescence of the bacterial biosensor "Ekolyum", the chemotactic response of ciliates Paramecium caudatum, the growth of unicellular algae Chlorella vulgaris Beijer and mortality of entomostracans Daphnia magna Straus. We revealed the selective dependence of nTiO2 and nAl2O3 toxicity on the size, concentration and chemical nature of nanoparticles. The minimal concentration causing an organism's response on nTiO2 and nAl2O3 effect depends on the type of the test- organism and the test reaction under study. We specified L(E)C50 and acute toxicity categories for all the studied nanoparticles. We determined that nTiO2 (Δ50=5 nm) belong to the category «Acute toxicity 1», nTiO2 (A50=90 nm) and nAl2O3 (Δ50=70 nm) - to the category «Acute toxicity 2», nAl2O3 (Δ50=7 nm) - to the category «Acute toxicity 3». No acute toxicity was registered for nTiO2 (Δ50=50 nm) and macro form TiO2.

  8. Low-voltage organic strain sensor on plastic using polymer/high- K inorganic hybrid gate dielectrics

    NASA Astrophysics Data System (ADS)

    Jung, Soyoun; Ji, Taeksoo; Varadan, Vijay K.

    2007-12-01

    In this paper, gate-induced pentacene semiconductor strain sensors based on hybrid-gate dielectrics using poly-vinylphenol (PVP) and high-K inorganic, Ta IIO 5 are fabricated on flexible substrates, polyethylene naphthalate (PEN). The Ta IIO 5 gate dielectric layer is combined with a thin PVP layer to obtain very smooth and hydrophobic surfaces which improve the molecular structures of pentacene films. The PVP-Ta IIO 5 hybrid-gate dielectric films exhibit a high dielectric capacitance and low leakage current. The sensors adopting thin film transistor (TFT)-like structures show a significantly reduced operating voltage (~6V), and good device characteristics with a field-effect mobility of 1.89 cm2/V•s, a threshold voltage of -0.5 V, and an on/off ratio of 10 3. The strain sensor, one of the practical applications in large-area organic electronics, was characterized with different bending radii of 50, 40, 30, and 20 mm. The sensor output signals were significantly improved with low-operating voltages.

  9. Polarization and Fowler-Nordheim tunneling in anodized Al-Al2O3-Au diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2000-06-01

    Polarization in anodic Al2O3 films is measured by using quasi-dc current-voltage (I-V) curves of Al-Al2O3-Au diodes. A reproducible polarization state is established by applying a negative voltage to the Au electrode of a rectifying Al-Al2O3-Au diode. The difference between subsequent I-V curves with Au positive is a measure of polarization in the sample. The magnitude of polarization charge in Al2O3 depends on the anodizing electrolyte. Al2O3 films formed in H2O-based electrolytes have approximately ten times the polarization charge of Al2O3 films formed in ethylene glycol-based electrolyte. Anodizing conditions that produce greater polarizing charge in anodic Al2O3 result in voltage-time curves during anodization under galvanostatic conditions that are nonlinear. Anodic films with greater polarizing charge also have a greater apparent interface capacitance which is independent of Al2O3 thickness. I-V curves of Al-Al2O3-Au diodes for increasing voltage are dominated by polarization. I-V curves for decreasing voltage are reproducible and parallel but depend on the maximum current and voltage reached during the measurement. There is no single current corresponding to a given voltage. I-V curves for decreasing voltage are analyzed assuming that the conduction mechanism is Fowler-Nordheim (FN) tunneling. There is a qualitative difference between the FN tunneling parameters for Al2O3 films formed in H2O-based electrolytes and those formed in ethylene glycol-based electrolyte. For the former the value of the exponential term in the FN analysis increases as the value of maximum voltage and current in an I-V characteristic increases, while the value of the pre-exponential term is nearly constant. For the latter, the exponential term is nearly constant as maximum voltage and current increase, but the pre-exponential term decreases by about 5 decades. Thus polarization charge incorporated during formation of anodized Al2O3 strongly affects the formation of the insulating

  10. Effect of gate bias sweep rate on the threshold voltage of in-plane gate nanowire transistor

    NASA Astrophysics Data System (ADS)

    Liu, H. X.; Li, J.; Tan, R. R.

    2018-01-01

    In2O3 nanowire electric-double-layer (EDL) transistors with in-plane gate gated by SiO2 solid-electrolyte are fabricated on transparent glass substrates. The gate voltage sweep rates can effectively modulate the threshold voltage (Vth) of nanowire device. Both depletion mode and enhancement mode are realized, and the Vth shift of the nanowire transistors is estimated to be 0.73V (without light). This phenomenon is due to increased adsorption of oxygen on the nanowire surface by the slower gate voltage sweep rates. Adsorbed oxygens capture electrons and cause a surface of nanowire channel was depleted. The operation voltage of transistor was 1.0 V, because the EDL gate dielectric can lead to high gate dielectric capacitance. These transparent in-plane gate nanowire transistors are promising for “see-through” nanoscale sensors.

  11. Emission analysis of RE3+ (RE = Sm, Dy):B2O3-TeO2-Li2O-AlF3 glasses.

    PubMed

    Raju, C Nageswara; Sailaja, S; Kumari, S Pavan; Dhoble, S J; Kumar, V Ramesh; Ramanaiah, M V; Reddy, B Sudhakar

    2013-01-01

    This article reports on the optical properties of 0.5% mol of Sm(3+), Dy(3+) ion-doped B2O3-TeO2-Li2O-AlF3 (LiAlFBT) glasses. The glass samples were characterized by optical absorption and emission spectra. Judd-Ofelt theory was applied to analyze the optical absorption spectra and calculate the intensity parameters and radiative properties of the emission transitions. The emission spectra of Sm(3+) and Dy(3+):LiAlFBT glasses showed a bright reddish-orange emission at 598 nm ((4)G5/2 → (6)H7/2) and an intense yellow emission at 574 nm ((4)F9/2 → (6)H13/2), respectively. Full width at half maximum (FWHM), stimulated emission cross section, gain bandwidth and optical gain values were also calculated to extend the applications of the Sm(3+) and Dy(3+):LiAlFBT glasses. Copyright © 2012 John Wiley & Sons, Ltd.

  12. Crack-healing function of metal/Al2O3 hybrid materials

    NASA Astrophysics Data System (ADS)

    Nanko, M.; Maruoka, D.; Nguyen, T. D.

    2011-10-01

    Nano-Ni/Al2O3 hybrid materials have the crack-healing function by thermal oxidation process such as 1200°C for 6 h in air. In this hybrid material system, crack was filled up by an oxidation product, NiAl2O4, via outward diffusion of cations along grain boundaries of Al2O3 matrix. Ni/Al2O3 with Y2O3 doping and SiC+Ni/Al2O3 nano-hybrid materials have similar crack-healing performance with better oxidation resistance at high temperatures than Ni/Al2O3 nano-hybrid materials. Mo/Al2O3 hybrid materials were studied on a candidate with crack-healing function via thermal oxidation process at temperatures as low as 700°C.

  13. Hafnium germanosilicate thin films for gate and capacitor dielectric applications: thermal stability studies

    NASA Astrophysics Data System (ADS)

    Addepalli, Swarna; Sivasubramani, Prasanna; El-Bouanani, Mohamed; Kim, Moon; Gnade, Bruce; Wallace, Robert

    2003-03-01

    The use of SiO_2-GeO2 mixtures in gate and capacitor dielectric applications is hampered by the inherent thermodynamic instability of germanium oxide. Studies to date have confirmed that germanium oxide is readily converted to elemental germanium [1,2]. In sharp contrast, germanium oxide is known to form stable compounds with transition metal oxides such as hafnium oxide (hafnium germanate, HfGeO_4) [3]. Thus, the incorporation of hafnium in SiO_2-GeO2 may be expected to enhance the thermal stability of germanium oxide via Hf-O-Ge bond formation. In addition, the introduction of a transition metal would simultaneously enhance the capacitance of the dielectric thereby permitting a thicker dielectric which reduces leakage current [4]. In this study, the thermal stability of PVD-grown hafnium germanosilicate (HfGeSiO) films was investigated. XPS, HR-TEM, C-V and I-V results of films after deposition and subsequent annealing treatments will be presented. The results indicate that the presence or formation of elemental germanium drastically affects the stability of the HfGeSiO films. This work is supported by DARPA through SPAWAR Grant No. N66001-00-1-8928, and the Texas Advanced Technology Program. References: [1] W. S. Liu, J .S. Chen, M.-A. Nicolet, V. Arbet-Engels, K. L. Wang, Journal of Applied Physics, 72, 4444 (1992), and, Applied Physics Letters, 62, 3321 (1993) [2] W. S. Liu, M. -A. Nicolet, H. -H. Park, B. -H. Koak, J. -W. Lee, Journal of Applied Physics, 78, 2631 (1995) [3] P. M. Lambert, Inorganic Chemistry, 37, 1352 (1998) [4] G. D. Wilk, R. M. Wallace and J. M. Anthony, Journal of Applied Physics, 89, 5243 (2001)

  14. Environment dependent enhanced photoluminescence and Boolean logic gates like behavior of Bi2O3 and Ag:Bi2O3 nanostructures

    NASA Astrophysics Data System (ADS)

    Hariharan, S.; Karthikeyan, B.

    2018-03-01

    In the evolution of nanotechnology research for smart and precise sensor fabrication, here we report the implementation of simple logic gate operations performing by luminescent nanostructures in biomolecule environment based on photoluminescence (PL) technique. This present work deals with the luminescence property of α-Bi2O3 and Ag modified α-Bi2O3 nanostructures for D-glucose and Bovine serum albumin (BSA) sensing applications. These nanostructures are prepared by simple co-precipitation method and their morphology are examined using transmission electron microscope (TEM). We explore the PL characteristics of the prepared nanostructures and observe their change in PL intensity in the presence of D-glucose and BSA molecules. Enhancement in PL intensity is observed in the presence of D-glucose and BSA. Based on the PL response of prepared nanostructures in the biomolecule environment, we demonstrate biophotonic logic gates including YES, PASS 0, OR and INHIBIT gates.

  15. In operando evidence of deoxygenation in ionic liquid gating of YBa2Cu3O7-X

    PubMed Central

    Perez-Muñoz, Ana M.; Schio, Pedro; Poloni, Roberta; Fernandez-Martinez, Alejandro; Rivera-Calzada, Alberto; Salas-Colera, Eduardo; Kinney, Joseph; Leon, Carlos; Santamaria, Jacobo; Garcia-Barriocanal, Javier; Goldman, Allen M.

    2017-01-01

    Field-effect experiments on cuprates using ionic liquids have enabled the exploration of their rich phase diagrams [Leng X, et al. (2011) Phys Rev Lett 107(2):027001]. Conventional understanding of the electrostatic doping is in terms of modifications of the charge density to screen the electric field generated at the double layer. However, it has been recently reported that the suppression of the metal to insulator transition induced in VO2 by ionic liquid gating is due to oxygen vacancy formation rather than to electrostatic doping [Jeong J, et al. (2013) Science 339(6126):1402–1405]. These results underscore the debate on the true nature, electrostatic vs. electrochemical, of the doping of cuprates with ionic liquids. Here, we address the doping mechanism of the high-temperature superconductor YBa2Cu3O7-X (YBCO) by simultaneous ionic liquid gating and X-ray absorption experiments. Pronounced spectral changes are observed at the Cu K-edge concomitant with the superconductor-to-insulator transition, evidencing modification of the Cu coordination resulting from the deoxygenation of the CuO chains, as confirmed by first-principles density functional theory (DFT) simulations. Beyond providing evidence of the importance of chemical doping in electric double-layer (EDL) gating experiments with superconducting cuprates, our work shows that interfacing correlated oxides with ionic liquids enables a delicate control of oxygen content, paving the way to novel electrochemical concepts in future oxide electronics. PMID:28028236

  16. In operando evidence of deoxygenation in ionic liquid gating of YBa2Cu3O7-X.

    PubMed

    Perez-Muñoz, Ana M; Schio, Pedro; Poloni, Roberta; Fernandez-Martinez, Alejandro; Rivera-Calzada, Alberto; Cezar, Julio C; Salas-Colera, Eduardo; Castro, German R; Kinney, Joseph; Leon, Carlos; Santamaria, Jacobo; Garcia-Barriocanal, Javier; Goldman, Allen M

    2017-01-10

    Field-effect experiments on cuprates using ionic liquids have enabled the exploration of their rich phase diagrams [Leng X, et al. (2011) Phys Rev Lett 107(2):027001]. Conventional understanding of the electrostatic doping is in terms of modifications of the charge density to screen the electric field generated at the double layer. However, it has been recently reported that the suppression of the metal to insulator transition induced in VO 2 by ionic liquid gating is due to oxygen vacancy formation rather than to electrostatic doping [Jeong J, et al. (2013) Science 339(6126):1402-1405]. These results underscore the debate on the true nature, electrostatic vs. electrochemical, of the doping of cuprates with ionic liquids. Here, we address the doping mechanism of the high-temperature superconductor YBa 2 Cu 3 O 7-X (YBCO) by simultaneous ionic liquid gating and X-ray absorption experiments. Pronounced spectral changes are observed at the Cu K-edge concomitant with the superconductor-to-insulator transition, evidencing modification of the Cu coordination resulting from the deoxygenation of the CuO chains, as confirmed by first-principles density functional theory (DFT) simulations. Beyond providing evidence of the importance of chemical doping in electric double-layer (EDL) gating experiments with superconducting cuprates, our work shows that interfacing correlated oxides with ionic liquids enables a delicate control of oxygen content, paving the way to novel electrochemical concepts in future oxide electronics.

  17. Significantly improved dielectric performances of nanocomposites via loading two-dimensional core-shell structure Bi2Te3@SiO2 nanosheets

    NASA Astrophysics Data System (ADS)

    Chen, Jianwen; Wang, Xiucai; Yu, Xinmei; Fan, Yun; Duan, Zhikui; Jiang, Yewen; Yang, Faquan; Zhou, Yuexia

    2018-07-01

    Polymer/semiconductor-insulator nanocomposites can display high dielectric constants with a relatively low dissipation factor under low electric fields, and thus seem to promising for high energy density capacitors. Here, a novel nanocomposite films is developed by loading two-dimensional (2D) core-shell structure Bi2Te3@SiO2 nanosheets in the poly (vinylidene fluoride-hexafluoro propylene) (P(VDF-HFP)) polymer matrix. The 2D Bi2Te3 nanosheets were prepared through simple microwave-assisted method. The experimental results suggesting that the SiO2 shell layer between the fillers and polymer matrix could effectively improve the dielectric constant, dielectric loss, AC conductivity, and breakdown strength of composites films. The composite films load with 10 vol.% 2D Bi2Te3@SiO2 nanosheets exhibits a high dielectric constant of 70.3 at 1 kHz and relatively low dielectric loss of 0.058 at 1 kHz. The finite element simulation of electric field and electric current density distribution revealed that the SiO2 shell layer between the fillers and polymer matrix could effectively improve the energy loss, local electric field strength, and breakdown strength of composite films. Therefore, this work will provide a promising route to achieve high-performance capacitors.

  18. Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks with low interface trap density fabricated by electron cyclotron resonance plasma postoxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, R.; Iwasaki, T.; Taoka, N.

    2011-03-14

    An electron cyclotron resonance (ECR) plasma postoxidation method has been employed for forming Al{sub 2}O{sub 3}/GeO{sub x}/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopy and transmission electron microscope characterizations have revealed that a GeO{sub x} layer is formed beneath the Al{sub 2}O{sub 3} capping layer by exposing the Al{sub 2}O{sub 3}/Ge structures to ECR oxygen plasma. The interface trap density (D{sub it}) of Au/Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS capacitors is found to be significantly suppressed down to lower than 10{sup 11} cm{sup -2} eV{sup -1}. Especially, a plasma postoxidation time of as short as 10 s is sufficient to reduce D{submore » it} with maintaining the equivalent oxide thickness (EOT). As a result, the minimum D{sub it} values and EOT of 5x10{sup 10} cm{sup -2} eV{sup -1} and 1.67 nm, and 6x10{sup 10} cm{sup -2} eV{sup -1} and 1.83 nm have been realized for Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS structures with p- and n-type substrates, respectively.« less

  19. Effects of Al2O3, B2O3, Li2O, Na2O, and SiO2 on Nepheline Crystallization in Hanford High Level Waste Glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kroll, Jared O.; Vienna, John D.; Schweiger, Michael J.

    2016-09-15

    Nepheline (nominally NaAlSiO4) formation during slow cooling of high-alumina (25.4 - 34.5 mass% Al2O3) Hanford high level waste glasses may significantly reduce product durability. To investigate the effects of composition on nepheline crystallization, 29 compositions were formulated by adjusting Al2O3, B2O3, Li2O, Na2O, and SiO2 around a baseline glass that precipitated 12 mass% nepheline. Thirteen of these compositions were generated by adjusting one-component-at-a-time, while two or three components were adjusted to produce the other 16 (with all remaining components staying in the same relative proportions). Quantitative X-ray diffraction was used to determine nepheline concentration in each sample. Twenty two glassesmore » precipitated nepheline, two of which also precipitated eucryptite (nominally LiAlSiO4), and one glass formed only eucryptite upon slow cooling. Increasing Na2O and Li2O had the strongest effect in promoting nepheline formation. Increasing B2O3 inhibited nepheline formation. SiO2 and Al2O3 showed non-linear behavior related to nepheline formation. The composition effects on nepheline formation in these glasses are reported.« less

  20. Phase equilibria investigations and thermodynamic modeling of the system Bi2O3-Al2O3

    NASA Astrophysics Data System (ADS)

    Oudich, F.; David, N.; Mathieu, S.; Vilasi, M.

    2015-02-01

    The system Bi2O3-Al2O3 has been experimentally investigated above 600 °C by DTA, XRD and EPMA under air and low oxygen pressure. Only two compounds were found to exist in equilibrium, which are Bi2Al4O9(1Bi2O3:2Al2O3) and Bi25AlO39(25:1). The latter exhibits a sillenite structure and does not contain pentavalent bismuth. A peritectoid decomposition of (25:1) and a peritectic melting of (1:2) occur at 775 °C and 1075 °C respectively, while an eutectic transformation was observed at 815 °C for 97 mol% Bi2O3. On the basis of the results obtained within the present work as well as experimental data provided from literature, a thermodynamic modeling where the liquid phase is described by the two-sublattice ionic liquid model was performed according to the Calphad approach. The resulting thermodynamic optimization yielded good agreement with experimental results in the investigated region.

  1. Role of oxygen vacancies in HfO2-based gate stack breakdown

    NASA Astrophysics Data System (ADS)

    Wu, X.; Migas, D. B.; Li, X.; Bosman, M.; Raghavan, N.; Borisenko, V. E.; Pey, K. L.

    2010-04-01

    We study the influence of multiple oxygen vacancy traps in the percolated dielectric on the postbreakdown random telegraph noise (RTN) digital fluctuations in HfO2-based metal-oxide-semiconductor transistors. Our electrical characterization results indicate that these digital fluctuations are triggered only beyond a certain gate stress voltage. First-principles calculations suggest the oxygen vacancies to be responsible for the formation of a subband in the forbidden band gap region, which affects the triggering voltage (VTRIG) for the RTN fluctuations and leads to a shrinkage of the HfO2 band gap.

  2. Research on c-HfO2 (0 0 1)/α -Al2O3 (1 -1 0 2) interface in CTM devices based on first principle theory

    NASA Astrophysics Data System (ADS)

    Lu, Wenjuan; Dai, Yuehua; Wang, Feifei; Yang, Fei; Ma, Chengzhi; Zhang, Xu; Jiang, Xianwei

    2017-12-01

    With the growing application of high-k dielectrics, the interface between HfO2 and Al2O3 play a crucial role in CTM devices. To clearly understand the interaction of the HfO-AlO interface at the atomic and electronic scale, the bonding feature, electronic properties and charge localized character of c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has been investigated by first principle calculations. The c- HfO2 (0 0 1)/α-Al2O3 (1 -1 0 2) interface has adhesive energy about -1.754 J/m2, suggesting that this interface can exist stably. Through analysis of Bader charge and charge density difference, the intrinsic interfacial gap states are mainly originated from the OII and OIII types oxygen atoms at the interface, and only OIII type oxygen atoms can localized electrons effectively and are provided with good reliability during P/E cycles, which theoretically validate the experimental results that HfO2/Al2O3 multi-layered charge trapping layer can generate more effective traps in memory device. Furthermore, the influence of interfacial gap states during P/E cycles in the defective interface system have also been studied, and the results imply that defective system displays the degradation on the reliability during P/E cycles, while, the charge localized ability of interfacial states is stronger than intrinsic oxygen vacancy in the trapping layer. Besides, these charge localized characters are further explained by the analysis of the density of states correspondingly. In sum, our results compare well with similar experimental observations in other literatures, and the study of the interfacial gap states in this work would facilitate further development of interface passivation.

  3. Utilizing self-assembled-monolayer-based gate dielectrics to fabricate molybdenum disulfide field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kawanago, Takamasa, E-mail: kawanago.t.ab@m.titech.ac.jp; Oda, Shunri

    In this study, we apply self-assembled-monolayer (SAM)-based gate dielectrics to the fabrication of molybdenum disulfide (MoS{sub 2}) field-effect transistors. A simple fabrication process involving the selective formation of a SAM on metal oxides in conjunction with the dry transfer of MoS{sub 2} flakes was established. A subthreshold slope (SS) of 69 mV/dec and no hysteresis were demonstrated with the ultrathin SAM-based gate dielectrics accompanied by a low gate leakage current. The small SS and no hysteresis indicate the superior interfacial properties of the MoS{sub 2}/SAM structure. Cross-sectional transmission electron microscopy revealed a sharp and abrupt interface of the MoS{sub 2}/SAM structure.more » The SAM-based gate dielectrics are found to be applicable to the fabrication of low-voltage MoS{sub 2} field-effect transistors and can also be extended to various layered semiconductor materials. This study opens up intriguing possibilities of SAM-based gate dielectrics in functional electronic devices.« less

  4. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    NASA Astrophysics Data System (ADS)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  5. The effect of Al2O3, CaO, Cr2O3 and MgO on devitrification of silica

    NASA Technical Reports Server (NTRS)

    Zaplatynsky, Isidor

    1988-01-01

    The effect of doping on devitrification of vitreous silica was studied at 1100, 1200, and 1300 C. Dispersion of dopants on a molecular scale was accomplished via a sol-gel technique. All dopants accelerated the devitrification of silica but to different degrees. The most active was CaO followed by MgO, Al2O3, and Cr2O3. Pure silica and silica containing Cr2O3 and Al2O3 devitrified to alpha-cristobalite only, whereas silica doped with CaO and MgO produced alpha-quartz and alpha-cristobalite. It appears that prolonged heat treatment would cause alpha-quartz to transform to alpha-cristobalite.

  6. Electrical and optical properties of NdAlO{sub 3} synthesized by an optimized combustion process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Harilal, Midhun; Faculty of Industrial Sciences and Technology, Universiti Malaysia Pahang, 26300 Kuantan, Pahang; Nair, V. Manikantan

    2014-04-01

    Nanocrystals of neodymium aluminate (NdAlO{sub 3}) are synthesized using an optimized single step auto-ignition citrate complex combustion process. The combustion product was characterized by X-ray diffraction, transmission electron microscopy, Fourier transform infrared spectroscopy, Raman spectroscopy and Ultraviolet–visible reflection spectroscopy. The combustion product is single phase and composed of aggregates of nanocrystals of sizes in the range 20–40 nm. The NdAlO{sub 3} crystallized in rhombohedral perovskite structure with lattice parameters a = 5.3223 Å and c = 12.9292 Å. The absorption spectrum of the NdAlO{sub 3} nanocrystals shows characteristic absorption bands of the Nd atom. The polycrystalline fluffy combustion product ismore » sintered to high density (∼ 97%) at ∼ 1450 °C for 4 h and the microstructure was characterized by scanning electron microscopy. The electrical properties of the sintered product were studied using dielectric measurements. The sintered NdAlO{sub 3} has a dielectric constant (ε{sub r}) and a dielectric loss (tan δ) of 21.9 and ∼ 10{sup −3} at 5 MHz, respectively. - Highlights: • NdAlO{sub 3} nanocrystals were synthesized through a citrate combustion process. • The nanocrystals were sintered to ∼ 97% of theoretical density. • The materials were characterized using a number of analytical techniques. • Nanostructured NdAlO{sub 3} showed crystal field splitting of Nd ions. • Dielectric properties of the sintered NdAlO{sub 3} ceramics were studied.« less

  7. Enhancement of field effect mobility of poly(3-hexylthiophene) thin film transistors by soft-lithographical nanopatterning on the gate-dielectric surface

    NASA Astrophysics Data System (ADS)

    Park, Jeong-Ho; Kang, Seok-Ju; Park, Jeong-Woo; Lim, Bogyu; Kim, Dong-Yu

    2007-11-01

    The submicroscaled octadecyltrichlorosilane (OTS) line patterns on gate-dielectric surfaces were introduced into the fabrication of organic field effect transistors (OFETs). These spin-cast regioregular poly(3-hexylthiophene) films on soft-lithographically patterned SiO2 surfaces yielded a higher hole mobility (˜0.072cm2/Vs ) than those of unpatterned (˜0.015cm2/Vs) and untreated (˜5×10-3cm2/Vs) OFETs. The effect of mobility enhancement as a function of the patterned line pitch was investigated in structural and geometric characteristics. The resulting improved mobility is likely attributed to the formation of efficient π-π stacking as a result of guide-assisted, local self-organization-involved molecular interactions between the poly(3-hexylthiophene) polymer and the geometrical OTS patterns.

  8. Effect of solvents on morphology, magnetic and dielectric properties of (α-Fe2O3@SiO2) core-shell nanoparticles.

    PubMed

    Joshi, Deepika P; Pant, Geeta; Arora, Neha; Nainwal, Seema

    2017-02-01

    Present work describes the formation of α-Fe 2 O 3 @SiO 2 core shell structure by systematic layer by layer deposition of silica shell on core iron oxide nanoparticles prepared via various solvents. Sol-gel method has been used to synthesize magnetic core and the dielectric shell. The average crystallite size of iron oxide nanoparticles was calculated ∼20 nm by X-ray diffraction pattern. Morphological study by scanning electron microscopy revealed that the core-shell nanoparticles were spherical in shape and the average size of nanoparticles increased by varying solvent from methanol to ethanol to isopropanol due to different chemical structure and nature of the solvents. It was also observed that the particles prepared by solvent ethanol were more regular and homogeneous as compared to other solvents. Magnetic measurements showed the weak ferromagnetic behaviour of both core α-Fe 2 O 3 and silica-coated iron oxide nanoparticles which remained same irrespective of the solvent chosen. However, magnetization showed dependency on the types of solvent chosen due to the variation in shell thickness. At room temperature, dielectric constant and dielectric loss of silica nanoparticles for all the solvents showed decrement with the increment in frequency. Decrement in the value of dielectric constant and increment in dielectric loss was observed for silica coated iron oxide nanoparticles in comparison of pure silica, due to the presence of metallic core. Homogeneous and regular silica layer prepared by using ethanol as a solvent could serve as protecting layer to shield the magnetic behaviour of iron oxide nanoparticles as well as to provide better thermal insulation over pure α-Fe 2 O 3 nanoparticles.

  9. Nanocrystal floating gate memory with solution-processed indium-zinc-tin-oxide channel and colloidal silver nanocrystals

    NASA Astrophysics Data System (ADS)

    Hu, Quanli; Ha, Sang-Hyub; Lee, Hyun Ho; Yoon, Tae-Sik

    2011-12-01

    A nanocrystal (NC) floating gate memory with solution-processed indium-zinc-tin-oxide (IZTO) channel and silver (Ag) NCs embedded in thin gate dielectric layer (SiO2(30 nm)/Al2O3(3 nm)) was fabricated. Both the IZTO channel and colloidal Ag NC layers were prepared by spin-coating and subsequent annealing, and dip-coating process, respectively. A threshold voltage shift up to ~0.9 V, corresponding to the electron density of 6.5 × 1011 cm-2, at gate pulsing <=10 V was achieved by the charging of high density NCs. These results present the successful non-volatile memory characteristics of an oxide-semiconductor transistor fabricated through solution processes.

  10. Effect of Al-diffusion-induced positive flatband voltage shift on the electrical characteristics of Al-incorporated high-k metal-oxide-semiconductor field-effective transistor

    NASA Astrophysics Data System (ADS)

    Wang, Wenwu; Akiyama, Koji; Mizubayashi, Wataru; Nabatame, Toshihide; Ota, Hiroyuki; Toriumi, Akira

    2009-03-01

    We systematically studied what effect Al diffusion from high-k dielectrics had on the flatband voltage (Vfb) of Al-incorporated high-k gate stacks. An anomalous positive shift fin Vfb with the decreasing equivalent oxide thickness (EOT) of high-k gate stacks is reported. As the SiO2 interfacial layer is aggressively thinned in Al-incorporated HfxAl1-xOy gate stacks with a metal-gate electrode, the Vfb first lies on the well known linear Vfb-EOT plot and deviates toward the positive-voltage direction (Vfb roll-up), followed by shifting toward negative voltage (Vfb roll-off). We demonstrated that the Vfb roll-up behavior remarkably decreases the threshold voltage (Vth) of p-type metal-oxide-semiconductor field-effect transistors (p-MOSFETs), and does not cause severe degradation in the characteristics of hole mobility. The Vfb roll-up behavior, which is independent of gate materials but strongly dependent on high-k dielectrics, was ascribed to variations in fixed charges near the SiO2/Si interface, which are caused by Al diffusion from HfxAl1-xOy through SiO2 to the SiO2/Si interface. These results indicate that anomalous positive shift in Vfb, i.e., Vfb roll-up, should be taken into consideration in quantitatively adjusting Vfb in thin EOT regions and that it could be used to further tune Vth in p-MOSFETs.

  11. The Effect of Microstructure on Mechanical Properties of Directionally Solidified Al2O3/ZrO2(Y2O3) Eutectic

    NASA Technical Reports Server (NTRS)

    Sayir, Ali; Farmer, Serene C.

    1999-01-01

    The eutectic architecture of a continuous reinforcing phase within a higher volume fraction phase or matrix can be described as a naturally occurring in-situ composite. Here we report the results of experiments aimed at identifying the sources of high temperature creep resistance and high levels of strength in a two phase Al2O3/ZrO2(Y2O3) system. The mechanical properties of two phase Al2O3/ZrO2(Y2O3) eutectic are superior to those of either constituent alone due to strong constraining effects provided by the coherent interfaces and microstructure. The AlO3/ZrO2(Y2O3) eutectic maintains a low energy interface resulting from directional solidification and can produce strong and stable reinforcing phase/matrix bonding. The phases comprising a eutectic are thermodynamically compatible at higher homologous temperatures than man-made composites and as such offer the potential for superior high temperature properties.

  12. High Temperature Aerogels in the Al2O3-SiO2 System

    NASA Technical Reports Server (NTRS)

    Hurwitz, Frances I.; Aranda, Denisse V.; Gallagher, Meghan E.

    2008-01-01

    Al2O3-SiO2 aerogels are of interest as constituents of thermal insulation systems for use at high temperatures. Al2O3 and mullite aerogels are expected to crystallize at higher temperatures than their SiO2 counterparts, hence avoiding the shrinkages that accompany the formation of lower temperature SiO2 phases and preserving pore structures into higher temperature regimes. The objective of this work is to determine the influence of processing parameters on shrinkage, gel structure (including surface area, pore size and distribution) and pyrolysis behavior.

  13. Al2O3 and TiO2 atomic layer deposition on copper for water corrosion resistance.

    PubMed

    Abdulagatov, A I; Yan, Y; Cooper, J R; Zhang, Y; Gibbs, Z M; Cavanagh, A S; Yang, R G; Lee, Y C; George, S M

    2011-12-01

    Al(2)O(3) and TiO(2) atomic layer deposition (ALD) were employed to develop an ultrathin barrier film on copper to prevent water corrosion. The strategy was to utilize Al(2)O(3) ALD as a pinhole-free barrier and to protect the Al(2)O(3) ALD using TiO(2) ALD. An initial set of experiments was performed at 177 °C to establish that Al(2)O(3) ALD could nucleate on copper and produce a high-quality Al(2)O(3) film. In situ quartz crystal microbalance (QCM) measurements verified that Al(2)O(3) ALD nucleated and grew efficiently on copper-plated quartz crystals at 177 °C using trimethylaluminum (TMA) and water as the reactants. An electroplating technique also established that the Al(2)O(3) ALD films had a low defect density. A second set of experiments was performed for ALD at 120 °C to study the ability of ALD films to prevent copper corrosion. These experiments revealed that an Al(2)O(3) ALD film alone was insufficient to prevent copper corrosion because of the dissolution of the Al(2)O(3) film in water. Subsequently, TiO(2) ALD was explored on copper at 120 °C using TiCl(4) and water as the reactants. The resulting TiO(2) films also did not prevent the water corrosion of copper. Fortunately, Al(2)O(3) films with a TiO(2) capping layer were much more resilient to dissolution in water and prevented the water corrosion of copper. Optical microscopy images revealed that TiO(2) capping layers as thin as 200 Å on Al(2)O(3) adhesion layers could prevent copper corrosion in water at 90 °C for ~80 days. In contrast, the copper corroded almost immediately in water at 90 °C for Al(2)O(3) and ZnO films by themselves on copper. Ellipsometer measurements revealed that Al(2)O(3) films with a thickness of ~200 Å and ZnO films with a thickness of ~250 Å dissolved in water at 90 °C in ~10 days. In contrast, the ellipsometer measurements confirmed that the TiO(2) capping layers with thicknesses of ~200 Å on the Al(2)O(3) adhesion layers protected the copper for ~80 days in

  14. Crystal structures of hydrates of simple inorganic salts. III. Water-rich aluminium halide hydrates: AlCl3 · 15H2O, AlBr3 · 15H2O, AlI3 · 15H2O, AlI3 · 17H2O and AlBr3 · 9H2O.

    PubMed

    Schmidt, Horst; Hennings, Erik; Voigt, Wolfgang

    2014-09-01

    Water-rich aluminium halide hydrate structures are not known in the literature. The highest known water content per Al atom is nine for the perchlorate and fluoride. The nonahydrate of aluminium bromide, stable pentadecahydrates of aluminium chloride, bromide and iodide, and a metastable heptadecahydrate of the iodide have now been crystallized from low-temperature solutions. The structures of these hydrates were determined and are discussed in terms of the development of cation hydration spheres. The pentadecahydrate of the chloride and bromide are isostructural. In AlI(3) · 15H2O, half of the Al(3+) cations are surrounded by two complete hydration spheres, with six H2O in the primary and 12 in the secondary. For the heptadecahydrate of aluminium iodide, this hydration was found for every Al(3+).

  15. Dielectric and Nonohmic Properties of CaCu3Ti4O12/SrTiO3 Ceramics

    NASA Astrophysics Data System (ADS)

    Xue, Renzhong; Liu, Dewei; Chen, Zhenping; Dai, Haiyang; Chen, Jing; Zhao, Gaoyang

    2015-04-01

    In this work, (1 - x)CaCu3Ti4O12- xSrTiO3 [(1 - x)CCTO/ xST, x = 0% to 2%] ceramic samples were prepared by the solid-state reaction method. The dielectric and electrical properties of CaCu3Ti4O12 (CCTO) and CaCu3Ti4O12/SrTiO3 (CCTO/ST) ceramics were investigated. The results show that a small amount of Sr2+ can enter the lattice of CCTO. The mean grain size of the ceramic samples increased greatly for x = 0.5% and then decreased for x = 0.75% to 2%. ST addition and Sr2+ preferential occupancy in CCTO grains should be responsible for the change of the microstructure. Interestingly, the dielectric constant ( ɛ) of the 0.5% ST-added sample increased significantly while the dielectric loss (tan δ) remained low. With further increase of the ST content, the ɛ and tan δ values of the CCTO ceramics decreased monotonically while the nonlinear current-voltage properties were significantly enhanced. The change in the potential barrier height is thought to be the main cause for the opposite behaviors in the dielectric properties and nonohmic characteristics.

  16. Dielectric characteristics of Mn-doped LaTiO3+δ ceramics

    NASA Astrophysics Data System (ADS)

    Chen, Yan; Cui, Yimin

    A series of ceramic composites of Mn-doped La1- x MnxTiO3+ δ and LaMnxTi1- x O3+ δ (x = 0.1, 0.2) were synthesized by conventional solid-state reaction method. The low-frequency complex dielectric properties of the composites were investigated as functions of temperature (77 K <= T <= 360 K) and frequency (100 Hz <= f <= 1 MHz), respectively. The dielectric constant of A-site doped samples is higher than that of B-site doped samples. The loss tangent of low doped samples is much less than that of high doped samples. The A-site doped composites exhibit intrinsic dielectric response with a dielectric constant of 40 in the temperature below 250 K. Interestingly, the dielectric constants of B-site doped ceramics increase slightly in the temperature range from 77 to 360 K. And it is clearly observed that extraordinarily high dielectric loss tangent ( 6) appear at low frequency (100 Hz) in LaMn0.2Ti0.8O3+ δ , which is 8 times larger than that of LaMn0.1Ti0.9O3+ δ , which indicates that the doped content can affect the intrinsic dielectric characteristics significantly.

  17. The Phase Relations in the In 2O 3-Al 2ZnO 4-ZnO System at 1350°C

    NASA Astrophysics Data System (ADS)

    Nakamura, Masaki; Kimizuka, Noboru; Mohri, Takahiko; Isobe, Mitsumasa

    1993-08-01

    Phase relations in the In 2O 3-Al 2ZnO 4-ZnO system at 1350°C are determined by a classical quenching method. This system consists of In 2O 3, Al 2ZnO 4, ZnO, and homologous phases InAlO 3(ZnO) m ( m = 2, 3, …) having solid solutions with LuFeO 3(ZnO) m-type crystal structures. These solid solution ranges are as follows: In 1+ x1Al 1- x1O 3(ZnO) 2 ( x1 = 0.70)-In 1+ x2Al 1- x2O 3(ZnO) 2 ( x2 = 0.316-0.320), In 2O 3(ZnO) 3-In 1+ xAl 1- xO 3(ZnO) 3 ( x = 0.230), In 2O 3(ZnO) 4-In 1+ xAl 1- xO 3(ZnO) 4 ( x = 0.15-0.16), In 2O 3(ZnO) 5-In 1+ xAl 1- xO 3(ZnO) 5 ( x = 0.116-0.130), In 2O 3(ZnO) 6-In 1+ xAl 1- xO 3(ZnO) 6 ( x = 0.000-0.111), In 2O 3(ZnO) 7-In 1+ xAl 1- xO 3(ZnO) 7 ( x = 0.08), In 2O 3(ZnO) 8-In 1+ xAl 1- xO 3(ZnO) 8 ( x: undetermined), and In 2O 3(ZnO) m-InAlO 3(ZnO) m ( m = 9, 10, 11, 13, 15, 17, and 19). The space groups of these homologous phases belong to R3¯ m for m = odd or P6 3/ mmc for m = even. Their crystal structures, In 1+ xAl 1- xO 3(ZnO) m (0 < x < 1), consist of three kinds of layers: an InO 1.5 layer, an (In xAl 1- xZn)O 2.5 layer, and ZnO layers. A comparison of the phase relations in the In 2O 3- M2ZnO 4-ZnO systems ( M = Fe, Ga, or Al) is made and their characteristic features are discussed in terms of the ionic radii and site preferences of the M cations.

  18. Ordinary dielectric function of corundumlike α -Ga2O3 from 40 meV to 20 eV

    NASA Astrophysics Data System (ADS)

    Feneberg, Martin; Nixdorf, Jakob; Neumann, Maciej D.; Esser, Norbert; Artús, Lluis; Cuscó, Ramon; Yamaguchi, Tomohiro; Goldhahn, Rüdiger

    2018-04-01

    The linear optical response of metastable α -Ga2O3 is investigated by spectroscopic ellipsometry. We determine the ordinary dielectric function from lattice vibrations up to the vacuum ultraviolet spectral range at room temperature for a sample with a (0001 ) surface. Three out of four Eu infrared-active phonon modes are unambiguously determined, and their frequencies are in good agreement with density functional theory calculations. The dispersion of the refractive index in the visible and ultraviolet part of the spectrum is determined. High-energy interband transitions are characterized up to 20 eV . By comparison with the optical response of α -Al2O3 and with theoretical results, a tentative assignment of interband transitions is proposed.

  19. Role of Co3O4 Nanoparticles in Dielectric Properties of Cu0.5Tl0.5Ba2Ca2Cu3O10-δ Superconducting Phase

    NASA Astrophysics Data System (ADS)

    Imran, M.; Mumtaz, M.; Naveed, M.; Khan, M. Nasir

    2018-04-01

    Cobalt oxide (Co3O4) nanoparticles and Cu0.5Tl0.5Ba2Ca2Cu3O10-δ (CuTl-1223) superconducting phase were prepared by sol-gel and solid-state reaction methods, respectively. Co3O4 nanoparticles were added in CuTl-1223 superconducting matrix to get (Co3O4)x/CuTl-1223, x = 0-2.0 wt.%, nanoparticles-superconductor composites. The unchanged crystal structure of the host CuTl-1223 superconducting phase (i.e. tetragonal) revealed that Co3O4 nanoparticles were settled at the grain boundaries. Superconducting properties of the CuTl-1223 phase were overall suppressed due to hole-charge carriers interaction at the grain boundaries. The dielectric properties of (Co3O4)x/CuTl-1223 composites were investigated by varying the test frequencies from 40 Hz to 100 MHz and operating temperatures from 77 to 298 K. The values of dielectric properties were found maximal at lower frequencies and started to decrease at higher frequencies. So, the dielectric properties of the CuTl-1223 superconducting phase can be tuned by varying the contents of (Co3O4) nanoparticles, test frequencies as well as operating temperatures.

  20. Viscosity of SiO2-"FeO"-Al2O3 System in Equilibrium with Metallic Fe

    NASA Astrophysics Data System (ADS)

    Chen, Mao; Raghunath, Sreekanth; Zhao, Baojun

    2013-08-01

    The present study delivered the measurements of viscosities in SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe. The rotational spindle technique was used in the measurements at the temperature range of 1473 K to 1773 K (1200 °C to 1500 °C). Molybdenum crucibles and spindles were employed in all measurements. The Fe saturation condition was maintained by an iron plate placed at the bottom of the crucible. The equilibrium compositions of the slags were measured by EPMA after the viscosity measurements. The effect of up to 20 mol. pct Al2O3 on the viscosity of the SiO2-"FeO" slag was investigated. The "charge compensation effect" of the Al2O3 and FeO association has been discussed. The modified quasi-chemical viscosity model has been optimized in the SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe to describe the viscosity measurements of the present study.

  1. Elevated temperature dependence of the anisotropic visible-to-ultraviolet dielectric function of monoclinic β-Ga2O3

    NASA Astrophysics Data System (ADS)

    Mock, A.; VanDerslice, J.; Korlacki, R.; Woollam, J. A.; Schubert, M.

    2018-01-01

    We report on the temperature dependence of the dielectric tensor elements of n-type conductive β-Ga2O3 from 22 °C to 550 °C in the spectral range of 1.5 eV-6.4 eV. We present the temperature dependence of the excitonic and band-to-band transition energy parameters using a previously described eigendielectric summation approach [A. Mock et al., Phys. Rev. B 96, 245205 (2017)]. We utilize a Bose-Einstein analysis of the temperature dependence of the observed transition energies and reveal electron coupling with average phonon temperature in excellent agreement with the average over all longitudinal phonon plasmon coupled modes reported previously [M. Schubert et al., Phys. Rev. B 93, 125209 (2016)]. We also report a linear temperature dependence of the wavelength independent Cauchy expansion coefficient for the anisotropic below-band-gap monoclinic dielectric tensor elements.

  2. Enhanced dielectric response of GeO{sub 2}-doped CaCu{sub 3}Ti{sub 4}O{sub 12} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Amaral, F.; School of Technology and Management of Oliveira do Hospital, Oliveira do Hospital, 3400-124 Oliveira; Rubinger, C. P. L.

    2009-02-01

    CaCu{sub 3}Ti{sub 4}O{sub 12} ceramic samples were prepared by solid state conventional route using stoichiometric amounts of CuO, TiO{sub 2}, and CaCO{sub 3}. Afterward the material was doped with GeO{sub 2} with concentrations up to 6% by weight and sintered at 1050 deg. C for 12 h. The influence of doping on the microstructure, vibrational modes, and dielectric properties of the material was investigated by x-ray diffraction, scanning electron microscopy coupled with an energy dispersive spectrometer, and infrared and dielectric measurements between 100 Hz and 30 MHz. The materials presented huge dielectric response, which increases with doping level relative tomore » undoped CaCu{sub 3}Ti{sub 4}O{sub 12}. The main effect of doping on the microstructure is the segregation of Cu-rich phase in the ceramic grain boundaries. Cole-Cole modeling correlates well the effects of this segregation with the relaxation parameters obtained. The intrinsic phonon contributions for the dielectric response were obtained and discussed together with the structural evolution of the system.« less

  3. Understanding the Relationship Between Structure and Thermophysical Properties of CaO-SiO2-MgO-Al2O3 Molten Slags

    NASA Astrophysics Data System (ADS)

    Sun, Yongqi; Wang, Hao; Zhang, Zuotai

    2018-04-01

    In the present work, the relationship between the microscopic structure and macroscopic thermophysical properties in a basic CaO-SiO2-MgO-Al2O3 quaternary system was identified using Fourier transformation infrared, Raman and 27Al magic angular spinning nuclear magnetic resonance (MAS-NMR) techniques. The Raman spectra quantitatively proved that with increasing Al2O3 content, the concentrations of the symmetric units of Q 0(Si) and Q 2(Si) decreased, while those of the asymmetric units of Q 1(Si) and Q 3(Si) increased; consequently, the degree of polymerization of the networks increased, which resulted in an increase in slag viscosity. The 27Al MAS-NMR spectra demonstrated that three structural units of Al atoms, namely, AlO4, AlO5, and AlO6, mainly existed in the networks. With increasing Al2O3 content, the concentration of AlO4 slightly decreased, while those of AlO5 and AlO6 increased; overall, Al2O3 acted as a network former in the present system. The increasing Al2O3 content led to additional AlO6 and Si-NBO-Ca-NBO-Al frameworks, which replaced Si-NBO-Ca-NBO-Si in the networks (NBO: non-bridging oxygen) and induced a change in the primarily precipitated crystalline phase from Ca2MgSi2O7 and Ca2Al2SiO7 to MgAlO4.

  4. Investigation of Ag, Al2O3 and TiO2 nanoparticles effects as impurities in Laser Induced Breakdown in distilled water

    NASA Astrophysics Data System (ADS)

    Mahdieh, Mohammad Hossein; Akbari Jafarabadi, Marzieh; Safari Syahkal, Mehran; Mozaffari, Hossein

    2017-08-01

    In this paper, laser induced optical breakdown in colloidal nanoparticles was studied by using pump- probe beam technique. Colloidal nanoparticles of Ag (as a good conductor), Al2O3 and TiO2 (with good dielectric properties) were used in this investigation. The optical breakdown was induced by an Nd:YAG laser beam (operating at 1064 nm with pulse duration ∼30 ns). A small portion of the beam was taken by an optical splitter and used as probe beam. The time varying transmission of the probe beam transversely through the plasma was measured during the breakdown process. According to the results, the nanoparticles characteristics and pump beam intensity have significant influence in the breakdown process. Our results also show dissimilar dynamic behaviors for conductor and dielectric nanoparticles at different pump intensity. The results are useful for nanoparticle synthesis by laser ablation in distilled water in which the optical breakdown intensity threshold of ambient water influenced by generated nanoparticles.

  5. Effect of bottom electrode on dielectric property of sputtered-(Ba,Sr)TiO{sub 3} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ito, Shinichi; Yamada, Tomoaki; Takahashi, Kenji

    2009-03-15

    (Ba{sub 0.5}Sr{sub 0.5})TiO{sub 3} (BST) films were deposited on (111)Pt/TiO{sub 2}/SiO{sub 2}/Al{sub 2}O{sub 3} substrates by rf sputtering. By inserting a thin layer of SrRuO{sub 3} in between BST film and (111)Pt electrode, the BST films grew fully (111)-oriented without any other orientations. In addition, it enables us to reduce the growth temperature of BST films while keeping the dielectric constant and tunability as high as those of BST films directly deposited on Pt at higher temperatures. The dielectric loss of the films on SrRuO{sub 3}-top substrates was comparable to that on Pt-top substrates for the same level of dielectricmore » constant. The results suggest that the SrRuO{sub 3} thin layer on (111)Pt electrode is an effective approach to growing highly crystalline BST films with (111) orientation at lower deposition temperatures.« less

  6. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    PubMed

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  7. Enhanced Sintering of β"-Al2O3/YSZ with the Sintering Aids of TiO2 and MnO2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xiaochuan; Li, Guosheng; Kim, Jin Yong

    2015-07-11

    β"-Al2O3 has been the dominated choice for the electrolyte materials of sodium batteries because of its high ionic conductivity, excellent stability with the electrode materials, satisfactory mechanical strength, and low material cost. To achieve adequate electrical and mechanical performance, sintering of β"-Al2O3 is typically carried out at temperatures above 1600oC with deliberate efforts on controlling the phase, composition, and microstructure. Here, we reported a simple method to fabricate β"-Al2O3/YSZ electrolyte at relatively lower temperatures. With the starting material of boehmite, single phase of β"-Al2O3 can be achieved at as low as 1200oC. It was found that TiO2 was extremely effectivemore » as a sintering aid for the densification of β"-Al2O3 and similar behavior was observed with MnO2 for YSZ. With the addition of 2 mol% TiO2 and 5 mol% MnO2, the β"-Al2O3/YSZ composite was able to be densified at as low as 1400oC with a fine microstructure and good electrical/mechanical performance. This study demonstrated a new approach of synthesis and sintering of β"-Al2O3/YSZ composite, which represented a simple and low-cost method for fabrication of high-performance β"-Al2O3/YSZ electrolyte.« less

  8. Insights into thermal diffusion of germanium and oxygen atoms in HfO{sub 2}/GeO{sub 2}/Ge gate stacks and their suppressed reaction with atomically thin AlO{sub x} interlayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ogawa, Shingo, E-mail: Shingo-Ogawa@trc.toray.co.jp; Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871; Asahara, Ryohei

    2015-12-21

    The thermal diffusion of germanium and oxygen atoms in HfO{sub 2}/GeO{sub 2}/Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that {sup 18}O-tracers composing the GeO{sub 2} underlayers diffuse within the HfO{sub 2} overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO{sub 2} also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO{sub 2} surfaces, and the reaction was further enhanced at high temperatures withmore » the assistance of GeO desorption. A technique to insert atomically thin AlO{sub x} interlayers between the HfO{sub 2} and GeO{sub 2} layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks.« less

  9. Tensile Strength and Microstructure of Al2O3-ZrO2 Hypo-Eutectic Fibers Studied

    NASA Technical Reports Server (NTRS)

    Farmer, Serene C.; Sayir, Ali

    2001-01-01

    Oxide eutectics offer high-temperature strength retention and creep resistance in oxidizing environments. Al2O3-ZrO2 eutectic strengths have been studied since the 1970's. Directionally solidified oxide eutectics exhibit improved resistance to slow crack growth and excellent strength retention at high temperatures up to 1400 C. Materials studied typically contain Y2O3 to metastably retain the high-temperature cubic and tetragonal polymorphs at room temperature. Al2O3-ZrO2 is of fundamental interest for creep studies because it combines a creep-resistant material, Al2O3, with a very low creep resistance material, ZrO2. Results on mechanical properties and microstructures of these materials will be used to define compositions for creep testing in future work. Substantial variations from the eutectic alumina to zirconia ratio can be tolerated without a loss in room-temperature strength. The effect of increasing Y2O3 addition on the room-temperature tensile strength of an Al2O3-ZrO2 material containing excess Al2O3 was examined at the NASA Glenn Research Center, where the materials were grown using Glenn's world-class laser growth facilities.

  10. Investigation the influences of B2O3 and R2O on the structure and crystallization behaviors of CaO-Al2O3 based F-free mold flux

    NASA Astrophysics Data System (ADS)

    Li, Jiangling; Kong, Bowen; Gao, Xiangyu; Liu, Qingcai; Shu, Qifeng; Chou, Kuochih

    2018-04-01

    The influences of B2O3 and R2O on the structure and crystallization of CaO-Al2O3 based F-free mold flux were investigated by Raman Spectroscopy and Differential Scanning Calorimetry Technique, respectively, for developing a new type of F-free mold flux. The results of structural investigations showed that B3+ is mainly in the form of [BO3]. And [BO3] appears to form BIII-O-Al linkage which will produce a positive effect on forming [AlO4] network. The number of bridging oxygen and the degree of polymerization of [AlO4] network structure for CaO-Al2O3 system were also increased with the increasing of B2O3. On the contrary, with the addition of R2O into CaO-Al2O3-B2O3 system, the number of bridging oxygen and the degree of polymerization of [AlO4] network were decreased. DSC results showed that the crystallization process became more sluggish with the increase of B2O3, which indicated that the crystallization ability was weakened. While the quenched mold fluxes crystallized more rapidly when introducing R2O. In other word, the crystallization rates of CaO-Al2O3 based slags were accelerated by the introduction of R2O. The liquidus temperature and crystallization temperature were decreased with the increasing amount of B2O3 or by addition of R2O into CaO-Al2O3 system. Only one kind of crystal was precipitated in 8% B2O3 and %R2O-containing samples, which was CaAl2O4 identified by SEM-EDS. When the content of B2O3 increased from 8% to 16%, Ca3B2O6 is clearly observed, demonstrating that the crystallization ability of Ca3B2O6 is enhanced by the increasing concentration of B2O3 in mold flux. The Ca/Al ratio of the generated calcium aluminate has been altered from 1:2 to 1:4 with the increasing of B2O3. The size of CaAl2O4 crystal is gradually increased with the addition of R2O. The crystallization ability of CaAl2O4 is promoted by R2O.

  11. Damage evaluation in graphene underlying atomic layer deposition dielectrics

    PubMed Central

    Tang, Xiaohui; Reckinger, Nicolas; Poncelet, Olivier; Louette, Pierre; Ureña, Ferran; Idrissi, Hosni; Turner, Stuart; Cabosart, Damien; Colomer, Jean-François; Raskin, Jean-Pierre; Hackens, Benoit; Francis, Laurent A.

    2015-01-01

    Based on micro-Raman spectroscopy (μRS) and X-ray photoelectron spectroscopy (XPS), we study the structural damage incurred in monolayer (1L) and few-layer (FL) graphene subjected to atomic-layer deposition of HfO2 and Al2O3 upon different oxygen plasma power levels. We evaluate the damage level and the influence of the HfO2 thickness on graphene. The results indicate that in the case of Al2O3/graphene, whether 1L or FL graphene is strongly damaged under our process conditions. For the case of HfO2/graphene, μRS analysis clearly shows that FL graphene is less disordered than 1L graphene. In addition, the damage levels in FL graphene decrease with the number of layers. Moreover, the FL graphene damage is inversely proportional to the thickness of HfO2 film. Particularly, the bottom layer of twisted bilayer (t-2L) has the salient features of 1L graphene. Therefore, FL graphene allows for controlling/limiting the degree of defect during the PE-ALD HfO2 of dielectrics and could be a good starting material for building field effect transistors, sensors, touch screens and solar cells. Besides, the formation of Hf-C bonds may favor growing high-quality and uniform-coverage dielectric. HfO2 could be a suitable high-K gate dielectric with a scaling capability down to sub-5-nm for graphene-based transistors. PMID:26311131

  12. Retardation mechanism of ultrathin Al2O3 interlayer on Y2O3 passivated gallium nitride surface.

    PubMed

    Quah, Hock Jin; Cheong, Kuan Yew

    2014-05-28

    A systematic investigation was carried out by incorporating an ultrathin aluminum oxide (Al2O3) as an interlayer between yttrium oxide (Y2O3) passivation layer and GaN substrate. The sandwiched samples were then subjected to postdeposition annealing in oxygen ambient from 400 to 800 °C. The Al2O3 interlayer was discovered to play a significant role in slowing down inward diffusion of oxygen through the Y2O3 passivation layer as well as in impeding outward diffusion of Ga(3+) and N(3-) from the decomposed GaN surface. These beneficial effects have suppressed subsequent formation of interfacial layer. A mechanism in association with the function of Al2O3 as an interlayer was suggested and discussed. The mechanism was explicitly described on the basis of the obtained results from X-ray diffraction, X-ray photoelectron spectroscopy, energy-filtered transmission electron microscopy (TEM), high resolution TEM, and electron energy loss spectroscopy line scan. A correlation between the proposed mechanism and metal-oxide-semiconductor characteristics of Y2O3/Al2O3/GaN structure has been proposed.

  13. Electron Mobility in γ -Al2O3/SrTiO3

    NASA Astrophysics Data System (ADS)

    Christensen, D. V.; Frenkel, Y.; Schütz, P.; Trier, F.; Wissberg, S.; Claessen, R.; Kalisky, B.; Smith, A.; Chen, Y. Z.; Pryds, N.

    2018-05-01

    One of the key issues in engineering oxide interfaces for electronic devices is achieving high electron mobility. SrTiO3 -based interfaces with high electron mobility have gained a lot of interest due to the possibility of combining quantum phenomena with the many functionalities exhibited by SrTiO3 . To date, the highest electron mobility (140 000 cm2/V s at 2 K) is obtained by interfacing perovskite SrTiO3 with spinel γ -Al2O3 . The origin of the high mobility, however, remains poorly understood. Here, we investigate the scattering mechanisms limiting the mobility in γ -Al2O3/SrTiO3 at temperatures between 2 and 300 K and over a wide range of sheet carrier densities. For T >150 K , we find that the mobility is limited by longitudinal optical phonon scattering. For large sheet carrier densities (>8 ×1013 cm-2 ), the screened electron-phonon coupling leads to room-temperature mobilities up to μ ˜12 cm2/V s . For 5 K 2 , consistent with electron-electron scattering limiting the electron mobility. For T <5 K and at an optimal sheet carrier density of approximately 4 ×1014 cm-2 , the electron mobility is found to exceed 100 000 cm2/V s . At sheet carrier densities less than the optimum, the electron mobility decreases rapidly, and the current flow becomes highly influenced by domain walls and defects in the near-interface region of SrTiO3 . At carrier densities higher than the optimum, the SrTiO3 heterostructure gradually becomes bulk conducting, and the electron mobility decreases to approximately 20 000 cm2/V s . We argue that the high electron mobility observed arises from a spatial separation of donors and electrons with oxygen-vacancy donors preferentially forming at the interface, whereas the itinerant electrons extend deeper into SrTiO3 . Understanding the scattering mechanism in γ -Al2O3/SrTiO3 paves the way for creation of high-mobility nanoscale electronic devices.

  14. Energy band engineering and controlled p-type conductivity of CuAlO2 thin films by nonisovalent Cu-O alloying

    NASA Astrophysics Data System (ADS)

    Yao, Z. Q.; He, B.; Zhang, L.; Zhuang, C. Q.; Ng, T. W.; Liu, S. L.; Vogel, M.; Kumar, A.; Zhang, W. J.; Lee, C. S.; Lee, S. T.; Jiang, X.

    2012-02-01

    The electronic band structure and p-type conductivity of CuAlO2 films were modified via synergistic effects of energy band offset and partial substitution of less-dispersive Cu+ 3d10 with Cu2+ 3d9 orbitals in the valence band maximum by alloying nonisovalent Cu-O with CuAlO2 host. The Cu-O/CuAlO2 alloying films show excellent electronic properties with tunable wide direct bandgaps (˜3.46-3.87 eV); Hall measurements verify the highest hole mobilities (˜11.3-39.5 cm2/Vs) achieved thus far for CuAlO2 thin films and crystals. Top-gate thin film transistors constructed on p-CuAlO2 films were presented, and the devices showed pronounced performance with Ion/Ioff of ˜8.0 × 102 and field effect mobility of 0.97 cm2/Vs.

  15. Microstructural Evolution of Al2O3-ZrO2 (Y2O3) Composites and its Correlation with Toughness

    NASA Astrophysics Data System (ADS)

    Kim, Hee Seung; Seo, Mi Young; Kim, Ik Jin

    2008-02-01

    The microstructure of zirconia (ZrO2) toughened alumina (Al2O3) ceramics was carefully controlled so as to obtain dense and fine-grained ceramics, thereby improving the properties and reliability of the ceramics for capillary applications in semiconductor bonding technology. Al2O3-ZrO2(Y2O3) composite was produced via Ceramic Injection Molding (CIM) technology, followed by Sinter-HIP process. Room temperature strength, hardness, Young's modulus, thermal expansion coefficient and toughness were determined, as well as surface strengthening induced by the fine grained homogenous microstructure and the thermal treatment. The changes in alumina/zirconia grain size, sintering condition and HIP treatment were found to be correlated.

  16. Photochemistry of the α-Al 2O 3-PETN interface

    DOE PAGES

    Tsyshevsky, Roman V.; Zverev, Anton; Mitrofanov, Anatoly; ...

    2016-02-29

    Optical absorption measurements are combined with electronic structure calculations to explore photochemistry of an α-Al 2O 3-PETN interface formed by a nitroester (pentaerythritol tetranitrate, PETN, C 5H 8N 4O 12) and a wide band gap aluminum oxide (α-Al 2O 3) substrate. The first principles modeling is used to deconstruct and interpret the α-Al 2O 3-PETN absorption spectrum that has distinct peaks attributed to surface F 0-centers and surfacePETN transitions. We predict the low energy α-Al 2O 3 F 0-centerPETN transition, producing the excited triplet state, and α-Al 2O 3 F- 0-centerPETN charge transfer, generating the PETN anion radical. This impliesmore » that irradiation by commonly used lasers can easily initiate photodecomposition of both excited and charged PETN at the interface. As a result, the feasible mechanism of the photodecomposition is proposed.« less

  17. Microstructure and dielectric properties of pyrochlore Bi2Ti2O7 thin films

    NASA Astrophysics Data System (ADS)

    Cagnon, Joël; Boesch, Damien S.; Finstrom, Nicholas H.; Nergiz, Saide Z.; Keane, Sean P.; Stemmer, Susanne

    2007-08-01

    Bi2Ti2O7 thin films were grown by radio-frequency magnetron sputtering on bare and Pt-coated sapphire substrates at low substrate temperatures (˜200 °C). Postdeposition anneals were carried out at different temperatures to crystallize the films. Nearly phase-pure Bi2Ti2O7 thin films with the cubic pyrochlore structure were obtained at annealing temperatures up to 800 °C. Impurity phases, in particular Bi4Ti3O12, formed at higher temperatures. At 1 MHz, the dielectric constants were about 140-150 with a very small tunability and the dielectric loss was about 4×10-3. The dielectric loss increased with frequency. The dielectric properties of Bi2Ti2O7 films are compared to those of pyrochlore bismuth zinc niobate films.

  18. Epitaxial GeSn film formed by solid phase epitaxy and its application to Yb{sub 2}O{sub 3}-gated GeSn metal-oxide-semiconductor capacitors with sub-nm equivalent oxide thickness

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Ching-Wei; Wu, Yung-Hsien; Hsieh, Ching-Heng

    2014-11-17

    Through the technique of solid phase epitaxy (SPE), an epitaxial Ge{sub 0.955}Sn{sub 0.045} film was formed on a Ge substrate by depositing an amorphous GeSn film followed by a rapid thermal annealing at 550 °C. A process that uses a SiO{sub 2} capping layer on the amorphous GeSn film during SPE was proposed and it prevents Sn precipitation from occurring while maintaining a smooth surface due to the reduced surface mobility of Sn atoms. The high-quality epitaxial GeSn film was observed to have single crystal structure, uniform thickness and composition, and tiny surface roughness with root mean square of 0.56 nm. Withmore » a SnO{sub x}-free surface, Yb{sub 2}O{sub 3}-gated GeSn metal-oxide-semiconductor (MOS) capacitors with equivalent oxide thickness (EOT) of 0.55 nm were developed. A small amount of traps inside the Yb{sub 2}O{sub 3} was verified by negligible hysteresis in capacitance measurement. Low leakage current of 0.4 A/cm{sup 2} at gate bias of flatband voltage (V{sub FB})-1 V suggests the high quality of the gate dielectric. In addition, the feasibility of using Yb{sub 2}O{sub 3} to well passivate GeSn surface was also evidenced by the small interface trap density (D{sub it}) of 4.02 × 10{sup 11} eV{sup −1} cm{sup −2}, which can be attributed to smooth GeSn surface and Yb{sub 2}O{sub 3} valency passivation. Both leakage current and D{sub it} performance outperform other passivation techniques at sub-nm EOT regime. The proposed epitaxial GeSn film along with Yb{sub 2}O{sub 3} dielectric paves an alternative way to enable high-performance GeSn MOS devices.« less

  19. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    PubMed

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  20. Characterization of SiO{sub 2}/SiN{sub x} gate insulators for graphene based nanoelectromechanical systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tóvári, E.; Csontos, M., E-mail: csontos@dept.phy.bme.hu; Kriváchy, T.

    2014-09-22

    The structural and magnetotransport characterization of graphene nanodevices exfoliated onto Si/SiO{sub 2}/SiN{sub x} heterostructures are presented. Improved visibility of the deposited flakes is achieved by optimal tuning of the dielectric film thicknesses. The conductance of single layer graphene Hall-bar nanostructures utilizing SiO{sub 2}/SiN{sub x} gate dielectrics were characterized in the quantum Hall regime. Our results highlight that, while exhibiting better mechanical and chemical stability, the effect of non-stoichiometric SiN{sub x} on the charge carrier mobility of graphene is comparable to that of SiO{sub 2}, demonstrating the merits of SiN{sub x} as an ideal material platform for graphene based nanoelectromechanical applications.

  1. Magnetic-field-dependent dielectric constant in La2/3Ca1/3MnO3

    NASA Astrophysics Data System (ADS)

    Rivas, J.; Mira, J.; Rivas-Murias, B.; Fondado, A.; Dec, J.; Kleemann, W.; Señarís-Rodríguez, M. A.

    2006-06-01

    We report a rather high dependence of the dielectric permittivity on the magnetic field in La2/3Ca1/3MnO3. The variation is maximum at around 270K, little above the Curie temperature TC, and it reaches a 35% under only 0.5T. We attribute this phenomenon to the space-charge or interfacial polarization produced between the insulator and the metallic regions segregated intrinsically in the material above TC.

  2. Phosphorus oxide gate dielectric for black phosphorus field effect transistors

    NASA Astrophysics Data System (ADS)

    Dickerson, W.; Tayari, V.; Fakih, I.; Korinek, A.; Caporali, M.; Serrano-Ruiz, M.; Peruzzini, M.; Heun, S.; Botton, G. A.; Szkopek, T.

    2018-04-01

    The environmental stability of the layered semiconductor black phosphorus (bP) remains a challenge. Passivation of the bP surface with phosphorus oxide, POx, grown by a reactive ion etch with oxygen plasma is known to improve photoluminescence efficiency of exfoliated bP flakes. We apply phosphorus oxide passivation in the fabrication of bP field effect transistors using a gate stack consisting of a POx layer grown by reactive ion etching followed by atomic layer deposition of Al2O3. We observe room temperature top-gate mobilities of 115 cm2 V-1 s-1 in ambient conditions, which we attribute to the low defect density of the bP/POx interface.

  3. ZrO2 Layer Thickness Dependent Electrical and Dielectric Properties of BST/ZrO2/BST Multilayer Thin Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sahoo, S. K.; Misra, D.; Agrawal, D. C.

    2011-01-01

    Recently, high K materials play an important role in microelectronic devices such as capacitors, memory devices, and microwave devices. Now a days ferroelectric barium strontium titanate [Ba{sub x}Sr{sub 1-x}TiO{sub 3}, (BST)] thin film is being actively investigated for applications in dynamic random access memories (DRAM), field effect transistor (FET), and tunable devices because of its properties such as high dielectric constant, low leakage current, low dielectric loss, and high dielectric breakdown strength. Several approaches have been used to optimize the dielectric and electrical properties of BST thin films such as doping, graded compositions, and multilayer structures. We have found thatmore » inserting a ZrO{sub 2} layer in between two BST layers results in a significant reduction in dielectric constant, loss tangent, and leakage current in the multilayer thin films. Also it is shown that the properties of multilayer structure are found to depend strongly on the sublayer thicknesses. In this work the effect of ZrO{sub 2} layer thickness on the dielectric, ferroelectric as well as electrical properties of BST/ZrO{sub 2}/BST multilayer structure is studied. The multilayer Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3}/ZrO{sub 2}/Ba{sub 0.8}Sr{sub 0.2}TiO{sub 3} film is deposited by a sol-gel process on the platinized Si substrate. The thickness of the middle ZrO{sub 2} layer is varied while keeping the top and bottom BST layer thickness as fixed. It is observed that the dielectric constant, dielectric loss tangent, and leakage current of the multilayer films reduce with the increase of ZrO{sub 2} layer thickness and hence suitable for memory device applications. The ferroelectric properties of the multilayer film also decrease with the ZrO{sub 2} layer thickness.« less

  4. Zn-Al layered double hydroxide prepared at different molar ratios: Preparation, characterization, optical and dielectric properties

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, Abdullah Ahmed Ali; Talib, Zainal Abidin, E-mail: zainalat@science.upm.edu.my; Zobir bin Hussein, Mohd

    2012-07-15

    The co-precipitation method was used to prepare Zn-Al-NO{sub 3}-LDH at different Zn{sup 2+}/Al{sup 3+} molar ratios (2, 3, 4, 5 and 6) and pH value of 7.5. The structure, textural, composition and morphological properties were investigated using powder X-ray diffraction (PXRD), thermogravimetric analysis (TGA), Fourier transform infrared (FT-IR) and scanning electron microscope (SEM), respectively. The crystallinity of LDH samples were found to improve as molar ratio decreased which is attributed to the distortion of the hydroxide layers networks of the LDH crystal by the larger difference in ionic radii of Zn{sup 2+} and Al{sup 3+}. The optical band gap energymore » of LDH samples were evaluated using absorbance data from UV-Vis-NIR Diffuse reflectance spectroscopy. Band gaps were affected by the variation of the Zn{sup 2+}/Al{sup 3+} molar ratio is due to the formation of the low crystalline phases (ZnO and ZnAl{sub 2}O{sub 4}). The water molecules and anionic NO{sub 3}{sup -} in the LDH interlayer were responsible for the generation of the dielectric response. This response can be described by an anomalous low frequency dispersion using the second type of Universal Power Law. The dominance of ZnO dipoles and charge carriers (NO{sub 3}{sup -} ions) in the dielectric relaxation increases with the increasing molar ratio. - Graphical abstract: (a) Schematic diagram of Zn-Al- NO{sub 3}-LDH shows the LDH structure, (b) Kubelka-Munk transformed reflectance spectra and c. The dielectric constant versus frequency of Zn-Al- NO{sub 3}-LDH samples. Highlights: Black-Right-Pointing-Pointer Zn-Al-NO{sub 3}-LDH was prepared at different Zn{sup 2+}/Al{sup 3+} molar ratios (2, 3, 4, 5 and 6). Black-Right-Pointing-Pointer The crystallinity of LDH phase decreased with increase of Zn{sup 2+}/Al{sup 3+} molar ratio. Black-Right-Pointing-Pointer The optical band gaps of LDH samples have been measured. Black-Right-Pointing-Pointer Dielectric response of LDH can be described by

  5. Effect of SiO2/B2O3 Ratio on the Crystallization Behavior and Dielectric Properties of Barium Strontium Titanate Glass-Ceramics Prepared by Sol-Gel Process

    NASA Astrophysics Data System (ADS)

    Chen, Yongzhou; Zhang, Yong; Song, Xiaozhen; Shen, Ziqin; Zhang, Tianyuan

    2018-05-01

    Ferroelectric glass-ceramics, with a basic composition 90 wt.% (Ba0.65Sr0.35)TiO3-10 wt.% (B2O3-nSiO2) (n = 0.5, 1, 3, 5) were synthesized by the sol-gel method and their phase development and dielectric properties were investigated by differential thermal analysis, x-ray diffraction, field emission scanning electron microscopy, dielectric temperature curves and impedance spectroscopy. From the differential thermal analysis, glass transition and crystallization behavior can be observed. From the x-ray diffraction study, two crystalline phases (Ba,Sr)TiO3 and Ba2TiSi2O8 were formed over the entire composition range of the glass-ceramics. In addition, the main crystal phase has undergone a transformation from (Ba,Sr)TiO3 to Ba2TiSi2O8 with the increase of n. A typical structure in which the crystal phase was surrounded by a glassy matrix has been observed in the scanning electron microscope images. As a result of temperature dependent dielectric property measurements, the dielectric constant increased obviously with the increase of n from 0.5 to 1. Further increasing n led to a reduction of the dielectric constant, which is in coincidence with the variation of the intensity of (Ba,Sr)TiO3 phase with n. According to the impedance spectroscopy analysis and the activation energy calculation, the relaxation peak in both Z″ and M″ data should be attributed to the crystal-glass interface, and the change of conduction mechanism with the increase of SiO2/B2O3 ratio may be attributed to the corresponding transition of the main crystal phase.

  6. Preparation of MgO-SnO2-TiO2 Materials and Their Corrosion in Na3AlF6-AlF3-K3AlF6 Bath

    NASA Astrophysics Data System (ADS)

    Xu, Yibiao; Li, Yawei; Sang, Shaobai; Ren, Bo; Qin, Qingwei; Yang, Jianhong

    2015-01-01

    New types of refractory materials need to be developed for designing the so-called ledge-free sidewalls of the Hall-Héroult cell for aluminum extraction, which are currently constructed using Si3N4 bonded SiC refractories. In the present paper, MgO-based materials as potential candidate sidewalls were prepared using fused magnesia, tin dioxide, and anatase powder as starting materials. The reaction sintering process of the MgO-SnO2-TiO2 materials was investigated by means of X-ray diffraction and scanning electron microscope (SEM). All the specimens were corroded in a Na3AlF6-AlF3-K3AlF6 bath to assess the electrolyte corrosion resistance. The results show that reaction sintering occurs in the MgO-SnO2-TiO2 system in the range of 1373 K to 1873 K (1100 °C to 1600 °C). Firstly, MgO reacts separately with TiO2 and SnO2 to produce the Mg2TiO4 and Mg2SnO4 phases at 1373 K (1100 °C), which in turn react to form the Mg2Ti x Sn1-x O4 composite spinel at temperatures above 1373 K (1100 °C). All the specimens prepared are composed of the composite spinel and periclase phases. Increasing the SnO2 addition from 2 to 10 wt pct enhances densification of the specimens, which is accompanied by the formation of homogeneously distributed composite spinels in the MgO matrix, but the density of the specimen decreases when the amount of SnO2 added is higher than 10 wt pct due to larger volume expansion and agglomeration of the composite spinel. The MgO-SnO2-TiO2 refractories prepared exhibit good corrosion resistance to the electrolyte melts owing to their high density and formation of the composite spinel in the specimens. Their corrosion resistance increases progressively with the increase in the SnO2 addition owing to the formation of more chemically stable composite spinel.

  7. Anomalous dielectric behavior in nanoparticle Eu2O3 : SiO2 glass composite system

    NASA Astrophysics Data System (ADS)

    Mukherjee, S.; Chen, C. H.; Chou, C. C.; Yang, H. D.

    2010-12-01

    Eu2O3 (0.5 mol%) nanoparticles have been synthesized in a silica glass matrix by the sol-gel method at calcination temperatures of 700 °C and above. Compared with the parent material SiO2, this nano-glass composite system shows enhancement of dielectric constant and diffuse phase transition along with magnetodielectric effect around room temperature (~270 K). The observed conduction mechanism is found to be closely related to the thermally activated oxygen vacancies. Magnetodielectric behavior is strongly associated with magnetoresistance changes, depending on the nanoparticle size and separation. Such a material might be treated as a potential candidate for device miniaturization.

  8. Modification of FN tunneling provoking gate-leakage current in ZTO (zinc-tin oxide) TFT by regulating the ZTO/SiO2 area ratio

    NASA Astrophysics Data System (ADS)

    Li, Jeng-Ting; Tsai, Ho-Lin; Lai, Wei-Yao; Hwang, Weng-Sing; Chen, In-Gann; Chen, Jen-Sue

    2018-04-01

    This study addresses the variation in gate-leakage current due to the Fowler-Nordheim (FN) tunneling of electrons through a SiO2 dielectric layer in zinc-tin oxide (ZTO) thin film transistors. It is shown that the gate-leakage current is not related to the absolute area of the ZTO active layer, but it is reduced by reducing the ZTO/SiO2 area ratio. The ZTO/SiO2 area ratio modulates the ZTO-SiO2 interface dipole strength as well as the ZTO-SiO2 conduction band offset and subsequently affects the FN tunneling current through the SiO2 layer, which provides a route that modifies the gate-leakage current.

  9. Effect of Er3+ concentration on the luminescence properties of Al2O3-ZrO2 powder

    NASA Astrophysics Data System (ADS)

    Clabel H., J. L.; Rivera, V. A. G.; Nogueira, I. C.; Leite, E. R.; Siu Li, M.; Marega, E.

    2016-12-01

    This manuscript reports on the effects of the luminescence properties of Er3+ on Al2O3-ZrO2 powder synthesized by the conventional solid-state method. The best conditions found for the calcinations were 1500 °C and 4 h. The structural dependence of the luminescence on Er3+:Al2O3-ZrO2 is associated with phase transformations of the Al2O3-ZrO2 host and presence of the OH group. Green and red emissions at room temperature from the 2H11/2, 4S3/2 → 4I15/2 and 4F9/2 → 4I15/2 levels of Er3+ ions were observed under 482 nm pumping. The green-to-red emission intensity ratios and CIE chromaticity coordinates were determined from emission spectra for the evaluation of light emitted as a function of the Er3+ concentration. The Er3+ luminescence quenching due to group OH and variation in the Er3+ concentration plays an important role in the definition of the luminescent response.

  10. Enhanced Energy-Storage Density and High Efficiency of Lead-Free CaTiO3-BiScO3 Linear Dielectric Ceramics.

    PubMed

    Luo, Bingcheng; Wang, Xiaohui; Tian, Enke; Song, Hongzhou; Wang, Hongxian; Li, Longtu

    2017-06-14

    A novel lead-free (1 - x)CaTiO 3 -xBiScO 3 linear dielectric ceramic with enhanced energy-storage density was fabricated. With the composition of BiScO 3 increasing, the dielectric constant of (1 - x)CaTiO 3 -xBiScO 3 ceramics first increased and then decreased after the composition x > 0.1, while the dielectric loss decreased first and increased. For the composition x = 0.1, the polarization was increased into 12.36 μC/cm 2 , 4.6 times higher than that of the pure CaTiO 3 . The energy density of 0.9CaTiO 3 -0.1BiScO 3 ceramic was 1.55 J/cm 3 with the energy-storage efficiency of 90.4% at the breakdown strength of 270 kV/cm, and the power density was 1.79 MW/cm 3 . Comparison with other lead-free dielectric ceramics confirmed the superior potential of CaTiO 3 -BiScO 3 ceramics for the design of ceramics capacitors for energy-storage applications. First-principles calculations revealed that Sc subsitution of Ti-site induced the atomic displacement of Ti ions in the whole crystal lattice, and lattice expansion was caused by variation of the bond angles and lenghths. Strong hybridization between O 2p and Ti 3d was observed in both valence band and conduction band; the hybridization between O 2p and Sc 3d at high conduction band was found to enlarge the band gap, and the static dielectric tensors were increased, which was the essential for the enhancement of polarization and dielectric properties.

  11. Effect of Al2O3sf addition on the friction and wear properties of (SiCp+Al2O3sf)/Al2024 composites fabricated by pressure infiltration

    NASA Astrophysics Data System (ADS)

    Xu, Hui; Zhang, Gong-zhen; Cui, Wei; Ren, Shu-bin; Wang, Qian-jin; Qu, Xuan-hui

    2018-03-01

    Aluminum (Al) 2024 matrix composites reinforced with alumina short fibers (Al2O3sf) and silicon carbide particles (SiCp) as wear-resistant materials were prepared by pressure infiltration in this study. Further, the effect of Al2O3sf on the friction and wear properties of the as-synthesized composites was systematically investigated, and the relationship between volume fraction and wear mechanism was discussed. The results showed that the addition of Al2O3sf, characterized by the ratio of Al2O3sf to SiCp, significantly affected the properties of the composites and resulted in changes in wear mechanisms. When the volume ratio of Al2O3sf to SiCp was increased from 0 to 1, the rate of wear mass loss ( K m) and coefficients of friction (COFs) of the composites decreased, and the wear mechanisms were abrasive wear and furrow wear. When the volume ratio was increased from 1 to 3, the COF decreased continuously; however, the K m increased rapidly and the wear mechanism became adhesive wear.

  12. Dielectric properties of (CuO, CaO2, and BaO)y/CuTl-1223 composites

    NASA Astrophysics Data System (ADS)

    Mumtaz, M.; Kamran, M.; Nadeem, K.; Jabbar, Abdul; Khan, Nawazish A.; Saleem, Abida; Tajammul Hussain, S.; Kamran, M.

    2013-07-01

    We synthesized (CuO, CaO2, and BaO)y/Cu0.5Tl0.5Ba2Ca2Cu3O10-δ (y = 0, 5%, 10%, 15%) composites by solid-state reaction and characterized them by x-ray diffraction, scanning electron microscopy, dc-resistivity, and Fourier transform infrared spectroscopy. Frequency and temperature dependent dielectric properties, such as real and imaginary parts of the dielectric constant, dielectric loss, and ac-conductivity of these composites were studied by capacitance and conductance measurements as a function of frequency (10 kHz to 10 MHz) and temperature (78 to 300 K). X-ray diffraction analysis reveals that the characteristic behavior of the superconductor phase and the structure of Cu0.5Tl0.5Ba2Ca2Cu3O10-δ are nearly undisturbed by doping with nanoparticles. Scanning electron microscopy images show the improvement in the intergranular linking between the superconducting grains occurring with increasing nanoparticle concentration. Microcracks are healed up with these nanoparticles, and superconducting volume fraction is also increased. Dielectric properties of these composites strongly depend on the frequency and temperature. Zero resistivity critical temperature and dielectric properties show opposite trends with the addition of nanoparticles to the Cu0.5Tl0.5Ba2Ca2Cu3O10-δ superconductor matrix.

  13. Ion-beam irradiation of lanthanum compounds in the systems La{sub 2}O{sub 3}-Al{sub 2}O{sub 3} and La{sub 2}O{sub 3}-TiO{sub 2}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Whittle, Karl R., E-mail: karl.whittle@ansto.gov.a; Lumpkin, Gregory R.; Blackford, Mark G.

    2010-10-15

    Thin crystals of La{sub 2}O{sub 3}, LaAlO{sub 3}, La{sub 2/3}TiO{sub 3}, La{sub 2}TiO{sub 5}, and La{sub 2}Ti{sub 2}O{sub 7} have been irradiated in situ using 1 MeV Kr{sup 2+} ions at the Intermediate Voltage Electron Microscope-Tandem User Facility (IVEM-Tandem), Argonne National Laboratory (ANL). We observed that La{sub 2}O{sub 3} remained crystalline to a fluence greater than 3.1x10{sup 16} ions cm{sup -2} at a temperature of 50 K. The four binary oxide compounds in the two systems were observed through the crystalline-amorphous transition as a function of ion fluence and temperature. Results from the ion irradiations give critical temperatures for amorphisationmore » (T{sub c}) of 647 K for LaAlO{sub 3}, 840 K for La{sub 2}Ti{sub 2}O{sub 7}, 865 K for La{sub 2/3}TiO{sub 3}, and 1027 K for La{sub 2}TiO{sub 5}. The T{sub c} values observed in this study, together with previous data for Al{sub 2}O{sub 3} and TiO{sub 2}, are discussed with reference to the melting points for the La{sub 2}O{sub 3}-Al{sub 2}O{sub 3} and La{sub 2}O{sub 3}-TiO{sub 2} systems and the different local environments within the four crystal structures. Results suggest that there is an observable inverse correlation between T{sub c} and melting temperature (T{sub m}) in the two systems. More complex relationships exist between T{sub c} and crystal structure, with the stoichiometric perovskite LaAlO{sub 3} being the most resistant to amorphisation. - Graphical abstract: La{sub 2}TiO{sub 5} with atypical co-ordination for Ti, TiO{sub 5} is found to be different in radiation resistance to La{sub 2}Ti{sub 2}O{sub 7} and La{sub 2/3}TiO{sub 3}. Irradiation of La-Ti-O, and La-Al-O based systems has found that radiation damage resistance is related to the ability of the system to disorder.« less

  14. The phase compositions and microwave dielectric properties of Li2Zn(Ti1-xSnx)3O8 ceramics

    NASA Astrophysics Data System (ADS)

    Lu, Xuepeng; Hu, Jie; Chen, Haoyuan; Xu, Wensheng; Li, Shuai

    2017-08-01

    The Li2Zn(Ti1-xSnx)3O8 (0.02≤x≤0.20) ceramics were prepared by the conventional solid-state ceramic route. The sintering behavior, phase compositions, microstructures and microwave dielectric properties of Li2Zn(Ti1-xSnx)3O8 ceramics were thoroughly investigated. The XRD patterns of Li2Zn(Ti1-xSnx)3O8 ceramics exhibited a single spinel as the main phase in the x value range of 0.02-0.08. The dielectric constants decreased linearly with increasing the substitution of Sn, which was mainly controlled by dielectric polarizabilities and secondary phase. The variation of Q×f values was dependent on average grain sizes and secondary phase. The τf values of Li2Zn(Ti1-xSnx)3O8 ceramics became more negative with higher substitution of Sn, which was related to the variations of their cell volumes. Typically, the Li2Zn(Ti0.92Sn0.08)3O8 ceramic sintered at 1075 °C for 4h exhibited good microwave dielectric properties: ɛr= 24.4, Q×f=89300 GHz, τf= -16.0 ppm/°C.

  15. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    NASA Astrophysics Data System (ADS)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was <2% of that of the matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  16. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  17. Investigation of interface property in Al/SiO2/ n-SiC structure with thin gate oxide by illumination

    NASA Astrophysics Data System (ADS)

    Chang, P. K.; Hwu, J. G.

    2017-04-01

    The reverse tunneling current of Al/SiO2/ n-SiC structure employing thin gate oxide is introduced to examine the interface property by illumination. The gate current at negative bias decreases under blue LED illumination, yet increases under UV lamp illumination. Light-induced electrons captured by interface states may be emitted after the light sources are off, leading to the recovery of gate currents. Based on transient characteristics of gate current, the extracted trap level is close to the light energy for blue LED, indicating that electron capture induced by lighting may result in the reduction of gate current. Furthermore, bidirectional C- V measurements exhibit a positive voltage shift caused by electron trapping under blue LED illumination, while a negative voltage shift is observed under UV lamp illumination. Distinct trapping and detrapping behaviors can be observed from variations in I- V and C- V curves utilizing different light sources for 4H-SiC MOS capacitors with thin insulators.

  18. Enhanced charge storage capability of Ge/GeO(2) core/shell nanostructure.

    PubMed

    Yuan, C L; Lee, P S

    2008-09-03

    A Ge/GeO(2) core/shell nanostructure embedded in an Al(2)O(3) gate dielectrics matrix was produced. A larger memory window with good data retention was observed in the fabricated metal-insulator-semiconductor (MIS) capacitor for Ge/GeO(2) core/shell nanoparticles compared to Ge nanoparticles only, which is due to the high percentage of defects located on the surface and grain boundaries of the GeO(2) shell. We believe that the findings presented here provide physical insight and offer useful guidelines to controllably modify the charge storage properties of indirect semiconductors through defect engineering.

  19. Density Measurements of Low Silica CaO-SiO2-Al2O3 Slags

    NASA Astrophysics Data System (ADS)

    Muhmood, Luckman; Seetharaman, Seshadri

    2010-08-01

    Density measurements of a low-silica CaO-SiO2-Al2O3 system were carried out using the Archimedes principle. A Pt 30 pct Rh bob and wire arrangement was used for this purpose. The results obtained were in good agreement with those obtained from the model developed in the current group as well as with other results reported earlier. The density for the CaO-SiO2 and the CaO-Al2O3 binary slag systems also was estimated from the ternary values. The extrapolation of density values for high-silica systems also showed good agreement with previous works. An estimation for the density value of CaO was made from the current experimental data. The density decrease at high temperatures was interpreted based on the silicate structure. As the mole percent of SiO2 was below the 33 pct required for the orthosilicate composition, discrete {text{SiO}}4^{4 - } tetrahedral units in the silicate melt would exist along with O2- ions. The change in melt expansivity may be attributed to the ionic expansions in the order of {text{Al}}^{ 3+ } - {text{O}}^{ 2- } < {text{Ca}}^{ 2+ } - {text{O}}^{ 2- } < {text{Ca}}^{ 2+ } - {text{O}}^{ - } Structural changes in the ternary slag also could be correlated to a drastic change in the value of enthalpy of mixing.

  20. Analyzing the application of silicon-silver-2D nanomaterial-Al2O3 heterojunction in plasmonic sensor and its performance evaluation

    NASA Astrophysics Data System (ADS)

    Sharma, Anuj K.

    2018-03-01

    A semiconductor-metal-dielectric heterojunction system, generally useful in enhancing the efficiency of solar cells, is explored to design a high performance optical sensor based on surface plasmon resonance in near infrared (NIR). Silicon is considered as light coupling material and different 2D nanomaterials such as graphene, MoS2, and MoSe2 are explored to enhance the sensor's performance in terms of its figure of merit (FOM). An Al2O3 interlayer with a few nanometers of thickness is introduced, which acts as a critical component to significantly enhance the sensor's FOM. It is observed that an Al2O3 interlayer of around 9 nm thickness is able to many-fold upturn the sensor's FOM. As another important finding, silver layer thickness of around 60 nm is found to be highly useful to achieve high values of FOM. It is established through results that operating at longer NIR wavelength leads to greater FOM for any choice of 2D nanomaterial and any thickness of Al2O3 interlayer. Proposed sensor provides significantly greater FOM than previous works on SPR sensors.

  1. Effects of nano-YAG (Y 3Al 5O 12) crystallization on the structure and photoluminescence properties of Nd 3+-doped K 2O-SiO 2-Y 2O 3-Al 2O 3 glasses

    NASA Astrophysics Data System (ADS)

    Tarafder, Anal; Molla, Atiar Rahaman; Karmakar, Basudeb

    2010-10-01

    Nd 3+-doped precursor glass in the K 2O-SiO 2-Y 2O 3-Al 2O 3 (KSYA) system was prepared by the melt-quench technique. The transparent Y 3Al 5O 12 (YAG) glass-ceramics were derived from this glass by a controlled crystallization process at 750 °C for 5-100 h. The formation of YAG crystal phase, size and morphology with progress of heat-treatment was examined by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Fourier transformed infrared reflectance spectroscopy (FT-IRRS). The crystallite sizes obtained from XRD are found to increase with heat-treatment time and vary in the range 25-40 nm. The measured photoluminescence spectra have exhibited emission transitions of 4F 3/2 → 4I J ( J = 9/2, 11/2 and 13/2) from Nd 3+ ions upon excitation at 829 nm. It is observed that the photoluminescence intensity and excited state lifetime of Nd 3+ ions decrease with increase in heat-treatment time. The present study indicates that the incorporation of Nd 3+ ions into YAG crystal lattice enhance the fluorescence performance of the glass-ceramic nanocomposites.

  2. Dielectric properties of BaMg1/3Nb2/3O3 doped Ba0.45Sr0.55Tio3 thin films for tunable microwave applications

    NASA Astrophysics Data System (ADS)

    Alema, Fikadu; Pokhodnya, Konstantin

    2015-11-01

    Ba(Mg1/3Nb2/3)O3 (BMN) doped and undoped Ba0.45Sr0.55TiO3 (BST) thin films were deposited via radio frequency magnetron sputtering on Pt/TiO2/SiO2/Al2O3 substrates. The surface morphology and chemical state analyses of the films have shown that the BMN doped BST film has a smoother surface with reduced oxygen vacancy, resulting in an improved insulating properties of the BST film. Dielectric tunability, loss, and leakage current (LC) of the undoped and BMN doped BST thin films were studied. The BMN dopant has remarkably reduced the dielectric loss (˜38%) with no significant effect on the tunability of the BST film, leading to an increase in figure of merit (FOM). This is attributed to the opposing behavior of large Mg2+ whose detrimental effect on tunability is partially compensated by small Nb5+ as the two substitute Ti4+ in the BST. The coupling between MgTi″ and VO•• charged defects suppresses the dielectric loss in the film by cutting electrons from hopping between Ti ions. The LC of the films was investigated in the temperature range of 300-450K. A reduced LC measured for the BMN doped BST film was correlated to the formation of defect dipoles from MgTi″, VO•• and NbTi• charged defects. The carrier transport properties of the films were analyzed in light of Schottky thermionic emission (SE) and Poole-Frenkel (PF) emission mechanisms. The result indicated that while the carrier transport mechanism in the undoped film is interface limited (SE), the conduction in the BMN doped film was dominated by bulk processes (PF). The change of the conduction mechanism from SE to PF as a result of BMN doping is attributed to the presence of uncoupled NbTi• sitting as a positive trap center at the shallow donor level of the BST.

  3. Energy-loss return gate via liquid dielectric polarization.

    PubMed

    Kim, Taehun; Yong, Hyungseok; Kim, Banseok; Kim, Dongseob; Choi, Dukhyun; Park, Yong Tae; Lee, Sangmin

    2018-04-12

    There has been much research on renewable energy-harvesting techniques. However, owing to increasing energy demands, significant energy-related issues remain to be solved. Efforts aimed at reducing the amount of energy loss in electric/electronic systems are essential for reducing energy consumption and protecting the environment. Here, we design an energy-loss return gate system that reduces energy loss from electric/electronic systems by utilizing the polarization of liquid dielectrics. The use of a liquid dielectric material in the energy-loss return gate generates electrostatic potential energy while reducing the dielectric loss of the electric/electronic system. Hence, an energy-loss return gate can make breakthrough impacts possible by amplifying energy-harvesting efficiency, lowering the power consumption of electronics, and storing the returned energy. Our study indicates the potential for enhancing energy-harvesting technologies for electric/electronics systems, while increasing the widespread development of these systems.

  4. Development and Application of Binary Suspensions in the Ternary System Cr2O3-TiO2-Al2O3 for S-HVOF Spraying

    NASA Astrophysics Data System (ADS)

    Potthoff, Annegret; Kratzsch, Robert; Barbosa, Maria; Kulissa, Nick; Kunze, Oliver; Toma, Filofteia-Laura

    2018-04-01

    Compositions in the system Cr2O3-TiO2-Al2O3 are among the most used ceramic materials for thermally sprayed coating solutions. Cr2O3 coatings present good sliding wear resistance; Al2O3 coatings show excellent insulation behavior and TiO2 striking corrosion properties. In order to combine these properties, coatings containing more than one oxide are highly interesting. The conventional spraying process is limited to the availability of binary feedstock powders with defined compositions. The use of suspensions offers the opportunity for tailor-made chemical compositions: within the triangle of Cr2O3-TiO2-Al2O3, each mixture of oxides can be created. Criteria for the selection of raw materials as well as the relevant aspects for the development of binary suspensions in the Cr2O3-TiO2-Al2O3 system to be used as feedstock for thermal spraying are presented. This formulation of binary suspensions required the development of water-based single-oxide suspensions with suitable behavior; otherwise, the interaction between the particles while mixing could lead up to a formation of agglomerates, which affect both the stability of the spray process and the coating properties. For the validation of this formulation procedure, binary Cr2O3-TiO2 and Al2O3-TiO2 suspensions were developed and sprayed using the S-HVOF process. The binary coatings were characterized and discussed in terms of microstructure and microhardness.

  5. Study of the structure and dielectric relaxation behavior of Pb(Ni 1/3Nb 2/3)-PbTiO 3 ferroelectric ceramics

    NASA Astrophysics Data System (ADS)

    Lei, Chao; Chen, Kepi; Zhang, Xiaowen; Wang, Jun

    2002-08-01

    Relaxor-type ferroelectric ceramics, (1- x)Pb(Ni 1/3Nb 2/3)O 3- xPbTiO 3 ( x=0.28-0.42) were synthesized by the columbite precursor method. The phase structure and dielectric properties were investigated. X-ray diffraction results demonstrate that a region with both pseudocubic and tetragonal phase in existence lies in the composition range x=0.34-0.38, which is the morphotropic phase boundary (MPB). Examination of the dielectric behavior indicates that the ceramics exhibit abnormal high dielectric constant near the MPB composition. In addition, the transformation of (1- x)PNN- xPT from relaxor to normal ferroelectric behavior with the PT content increasing is successive.

  6. Catalytic activity of CuOn-La2O3/gamma-Al2O3 for microwave assisted ClO2 catalytic oxidation of phenol wastewater.

    PubMed

    Bi, Xiaoyi; Wang, Peng; Jiang, Hong

    2008-06-15

    In order to develop a catalyst with high activity and stability for microwave assisted ClO2 catalytic oxidation, we prepared CuOn-La2O3/gamma-Al2O3 by impregnation-deposition method, and determined its properties using BET, XRF, XPS and chemical analysis techniques. The test results show that, better thermal ability of gamma-Al2O3 and high loading of Cu in the catalyst can be achieved by adding La2O3. The microwave assisted ClO2 catalytic oxidation process with CuOn-La2O3/gamma-Al2O3 used as catalyst was also investigated, and the results show that the catalyst has an excellent catalytic activity in treating synthetic wastewater containing 100 mg/L phenol, and 91.66% of phenol and 50.35% of total organic carbon (TOC) can be removed under the optimum process conditions. Compared with no catalyst process, CuOn-La2O3/gamma-Al2O3 can effectively degrade contaminants in short reaction time and with low oxidant dosage, extensive pH range. The comparison of phenol removal efficiency in the different process indicates that microwave irradiation and catalyst work together to oxidize phenol effectively. It can therefore be concluded from results and discussion that CuOn-La2O3/gamma-Al2O3 is a suitable catalyst in microwave assisted ClO2 catalytic oxidation process.

  7. Dielectric performance of high permitivity nanocomposites: impact of polystyrene grafting on BaTiO 3 and TiO 2

    DOE PAGES

    Grabowski, Christopher A.; Fillery, Scott P.; Koerner, Hilmar; ...

    2016-09-22

    Polymer nanocomposites are a promising concept to improve energy storage density of capacitors, but realizing their hypothetical gains has proved challenging. The introduction of high permittivity fillers often leads to reduction in breakdown strength due to field exclusion, which intensifies the applied electric field within the polymer matrix near nanoparticle interfaces. This has prompted research in developing new nanoparticle functionalization chemistries and processing concepts to maximize particle separation. Herein, we compare the dielectric performance of blended nanocomposites to matrix free assemblies of hairy (polymer-grafted) nanoparticles (HNPs) that exhibit comparable overall morphology. The dielectric breakdown strength of polystyrene-grafted BaTiO3 (PS@BaTiO3) systemsmore » was over 40% greater than a blended nanocomposite with similar loading (~25% v/v BaTiO3). Hairy nanoparticles with TiO2 cores followed similar trends in breakdown strength as a function of inorganic loading up to 40% v/v. Dielectric loss for PS@BaTiO3 HNPs was 2-5 times lower than analogous blended films for a wide frequency spectrum (1 Hz to 100 kHz). For BaTiO3 content above 7% v/v, grafting the polymer chains to the nanoparticle significantly improved energy storage density and efficiency, likely due to the polymer canopy mitigating interfacial transport and restricting particle-particle hot-spots by establishing a finite minimum particle separation.« less

  8. Dielectric performance of high permitivity nanocomposites: impact of polystyrene grafting on BaTiO 3 and TiO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Grabowski, Christopher A.; Fillery, Scott P.; Koerner, Hilmar

    Polymer nanocomposites are a promising concept to improve energy storage density of capacitors, but realizing their hypothetical gains has proved challenging. The introduction of high permittivity fillers often leads to reduction in breakdown strength due to field exclusion, which intensifies the applied electric field within the polymer matrix near nanoparticle interfaces. This has prompted research in developing new nanoparticle functionalization chemistries and processing concepts to maximize particle separation. Herein, we compare the dielectric performance of blended nanocomposites to matrix free assemblies of hairy (polymer-grafted) nanoparticles (HNPs) that exhibit comparable overall morphology. The dielectric breakdown strength of polystyrene-grafted BaTiO3 (PS@BaTiO3) systemsmore » was over 40% greater than a blended nanocomposite with similar loading (~25% v/v BaTiO3). Hairy nanoparticles with TiO2 cores followed similar trends in breakdown strength as a function of inorganic loading up to 40% v/v. Dielectric loss for PS@BaTiO3 HNPs was 2-5 times lower than analogous blended films for a wide frequency spectrum (1 Hz to 100 kHz). For BaTiO3 content above 7% v/v, grafting the polymer chains to the nanoparticle significantly improved energy storage density and efficiency, likely due to the polymer canopy mitigating interfacial transport and restricting particle-particle hot-spots by establishing a finite minimum particle separation.« less

  9. Critical temperatures of 70%Pb(Mg1/3Nb2/3)O3-30%PbTiO3 thin films investigated by dielectric, ferroelectric, and structural measurements

    NASA Astrophysics Data System (ADS)

    Meng, X. J.; Rémiens, D.; Detalle, M.; Dkhil, B.; Sun, J. L.; Chu, J. H.

    2007-03-01

    The authors have investigated the temperature dependence of the ferroelectric, dielectric, and structural properties of 70%Pb(Mg1/3Nb2/3)O3-30%PbTiO3 thin films. Two critical temperatures were evidenced. The first one occurring around 410K corresponds to the bulk paraelectric-ferroelectric phase transition and the second one around 200K is rather related to a self-arrangement of small domains into macrodomains in order to minimize elastic energies. A multiscale domainlike structure is induced and the temperature evolution of such complex structure can be revealed through pronounced changes occurring in the nonlinear dielectric susceptibility.

  10. A comparison of the doppler-broadened positron annihilation spectra of neutron irradiated Al 2O 3 and MgAl 2O 3

    NASA Astrophysics Data System (ADS)

    Jones, P. L.; Schaffer, J. P.; Cocks, F. H.; Clinard, F. W.; Hurley, G. F.

    1985-01-01

    Radiation damage studies of oxides and ceramics have become of increasing importance due to the projected use of these materials in thermonuclear fusion reactors as electronic insulators and first wall materials. In addition these materials are important in RAD waste disposal. As part of a study of the defect structure in radiation damaged ceramics Doppler-broadened positron annihilation spectra have been obtained for a series of single crystal sapphire (α-Al 2O 3) and polycrystal (1:1) and (1:2) magnesium aluminate spinel (MgO·Al 2O 3 and MgO-2Al 2O 3) samples. These samples were irradiated in EBR-II to a fluence of 3 × 10 25 n/m 2 (E > 0.1 MeV) at 740°C, and 2 × 10 26 n/m 2 (E > 0.1 MeV) at ~ 550°C respectively. Positron annihilation spectra lineshapes for the irradiated, annealed, and as-received samples of both materials were compared using S parameter analysis. These calculations were made on deconvoluted gamma ray spectra that were free of any instrumental broadening effects. In this way, absolute S parameter changes could be calculated. The observed changes in the S parameter are consistent with independent volume swelling measurements for both the α-A1 2O 3 and the (1:2) MgAl 2O 4 samples. However, the change in S parameter measured for the (1:1) spinel is contrary to the measured volume change. This apparent anomaly indicates a predominence of interstitial as opposed to vacancy type defects in this material.

  11. Modulation-doped β-(Al0.2Ga0.8)2O3/Ga2O3 field-effect transistor

    NASA Astrophysics Data System (ADS)

    Krishnamoorthy, Sriram; Xia, Zhanbo; Joishi, Chandan; Zhang, Yuewei; McGlone, Joe; Johnson, Jared; Brenner, Mark; Arehart, Aaron R.; Hwang, Jinwoo; Lodha, Saurabh; Rajan, Siddharth

    2017-07-01

    Modulation-doped heterostructures are a key enabler for realizing high mobility and better scaling properties for high performance transistors. We report the realization of a modulation-doped two-dimensional electron gas (2DEG) at the β-(Al0.2Ga0.8)2O3/Ga2O3 heterojunction by silicon delta doping. The formation of a 2DEG was confirmed using capacitance voltage measurements. A modulation-doped 2DEG channel was used to realize a modulation-doped field-effect transistor. The demonstration of modulation doping in the β-(Al0.2Ga0.8)2O3/Ga2O3 material system could enable heterojunction devices for high performance electronics.

  12. Effect of surface moisture on dielectric behavior of ultrafine BaTiO3 particulates.

    NASA Technical Reports Server (NTRS)

    Mountvala, A. J.

    1971-01-01

    The effects of adsorbed H2O on the dielectric properties of ultrafine BaTiO3 particulates of varying particle size and environmental history were determined. The dielectric behavior depends strongly on surface hydration. No particle size dependence of dielectric constant was found for dehydroxylated surfaces in ultrafine particulate (unsintered) BaTiO3 materials. For equivalent particle sizes, the ac conductivity is sensitive to surface morphology. Reactions with H2O vapor appear to account for the variations in dielectric properties. Surface dehydration was effectively accomplished by washing as-received powders in isopropanol.

  13. Decay channels of Al L sub 2,3 excitons and the absence of O K excitons in. alpha. -Al sub 2 O sub 3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Brien, W.L.; Jia, J.; Dong, Q.

    1991-12-15

    The Al {ital L}{sub 2,3} and O {ital K} thresholds for single-crystal {alpha}-Al{sub 2}O{sub 3} have been studied by photoemission. Energy-distribution curves, constant-initial-state (CIS), and constant-final-state (CFS) spectra are reported and compared to the absorption spectrum reported previously. An exciton appears as a doublet at threshold in the Al {ital L}{sub 2,3} CFS, CIS, and absorption spectra. The details of the Al {ital L}{sub 2,3} CFS spectrum and absorption spectrum are similar, while the exciton is the only feature present in the CIS spectrum. Comparisons of the various Al {ital L}{sub 2,3} spectra allow the probabilities of different exciton decaymore » channels to be determined. The probability for nonradiative direct recombination of the exciton is found to be (8{plus minus}1)% and the probability for Auger decay of the exciton is found to be (72{plus minus}20)%. Comparisons of the O {ital K} CIS and CFS spectra suggest that no O {ital K} exciton is formed.« less

  14. Influence of Al3+ substitution on the electrical resistivity and dielectric behavior of Ni0.25Cu0.20Zn0.55AlxFe2-xO4 ferrites synthesized by solid state reaction technique

    NASA Astrophysics Data System (ADS)

    Rahman, K. R.; Chowdhury, F.-U.-Z.; Khan, M. N. I.

    2017-12-01

    In this paper, the effect of Al3+ substitution on the electrical and dielectric properties of Ni0.25Cu0.20Zn0.55AlxFe2-xO4 ferrites with x = 0.0, 0.05. 0.10, 0.15 and 0.20, synthesized by solid state reaction has been reported. Using two probe method, the DC resistivity has been investigated in the temperature range from 30 °C to 300 °C. Activation energy was calculated from the Arrhenius plot. The electrical conduction is explained on the basis of the hopping mechanism. The frequency dependent dielectric properties of these spinel ferrites have been studied at room temperature by measuring AC resistivity, conductivity (σac), dielectric constant and dielectric loss tangent (tan δ) in the frequency range between 1 kHz and 120 MHz. The study of dielectric properties showed that the dielectric constant and dielectric loss increased with increasing non-magnetic Al ions. The dependence of dielectric constant with frequency has been explained by Maxwell-Wagner interfacial polarization. Cole-Cole plots show semicircular arc(s) for the samples, and equivalent RC circuits have been proposed to clarify the phenomena involved therein. The analysis of complex impedance spectroscopy has been used to distinguish between the grain and grain boundary contribution to the total resistance.

  15. The effect of pre-dose on thermally and optically stimulated luminescence from α-Al2O3:C,Mg and α-Al2O3:C.

    PubMed

    Kalita, J M; Chithambo, M L

    2018-06-15

    We report the effect of pre-dose on the thermoluminescence (TL) and optically stimulated luminescence (OSL) dose response of α-Al 2 O 3 :C,Mg and α-Al 2 O 3 :C. Before any luminescence measurement, the samples were irradiated with different doses, namely 100, 500 and 1000 Gy to populate the deep electron traps. This is the pre-dose. The results from TL and OSL studies are compared with results from samples used without any pre-measurement dose. The TL glow curves and OSL decay curves of α-Al 2 O 3 :C,Mg recorded after pre-doses of 100, 500 and 1000 Gy are identical to those from a sample used without any pre-dose. Further, the TL and OSL dose response of all α-Al 2 O 3 :C,Mg samples are similar regardless of pre-dose. In comparison, the TL glow curves and OSL decay curves of α-Al 2 O 3 :C are influenced by pre-dose. We conclude that the differences in the TL and OSL dose response of various pre-dosed samples of α-Al 2 O 3 :C are due to the concentration of charge in the deep traps. On the other hand, owing to the lower concentration of such deep traps in α-Al 2 O 3 :C,Mg, the TL or OSL dose responses are not affected by pre-dose in this material. Copyright © 2018 Elsevier Ltd. All rights reserved.

  16. Improved dielectric properties of CaCu3Ti4O12 films with a CaTiO3 interlayer on Pt/TiO2/SiO2/Si substrates prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sung-Yun; Kim, Hui Eun; Jo, William; Kim, Young-Hwan; Yoo, Sang-Im

    2015-11-01

    We report the greatly improved dielectric properties of CaCu3Ti4O12 (CCTO) films with a 60 nm-thick CaTiO3 (CTO) interlayer on Pt/TiO2/SiO2/Si substrates. Both CCTO films and CTO interlayers were prepared by pulsed laser deposition (PLD). With increasing the thickness of CCTO from 200 nm to 1.3 μm, the dielectric constants ( ɛ r ) at 10 kHz in both CCTO single-layered and CCTO/CTO double-layered films increased from ˜260 to ˜6000 and from ˜630 to ˜3700, respectively. Compared with CCTO single-layered films, CCTO/CTO double-layered films irrespective of CCTO film thickness exhibited a remarkable decrease in their dielectric losses ( tanδ) (<0.1 at the frequency region of 1 - 100 kHz) and highly reduced leakage current density at room temperature. The reduced leakage currents in CCTO/CTO double-layered films are attributable to relatively higher trap ionization energies in the Poole-Frenkel conduction model. [Figure not available: see fulltext.

  17. Electric Properties of Pb(Sb1/2Nb1/2)O3 PbTiO3 PbZrO3 Ceramics

    NASA Astrophysics Data System (ADS)

    Kawamura, Yasushi; Ohuchi, Hiromu

    1994-09-01

    Solid-solution ceramics of ternary system xPb(Sb1/2Nb1/2)O3 yPbTiO3 zPbZrO3 were prepared by the solid-state reaction of powder materials. Ceramic, electric, dielectric and piezoelectric properties and crystal structures of the system were studied. Sintering of the system xPb(Sb1/2Nb1/2)O3 yPbTiO3 zPbZrO3 is much easier than that of each end composition, and well-sintered high-density ceramics were obtained for the compositions near the morphotropic transformation. Piezoelectric ceramics with high relative dielectric constants, high radial coupling coefficient and low resonant resistance were obtained for the composition near the morphotropic transformation. The composition Pb(Sb1/2Nb1/2)0.075Ti0.45Zr0.475O3 showed the highest dielectric constant (ɛr=1690), and the composition Pb(Sb1/2Nb1/2)0.05Ti0.45Zr0.5O3 showed the highest radial coupling coefficient (kp=64%).

  18. The Co-60 gamma-ray irradiation effects on the Al/HfSiO4/p-Si/Al MOS capacitors

    NASA Astrophysics Data System (ADS)

    Lok, R.; Kaya, S.; Karacali, H.; Yilmaz, E.

    2017-12-01

    In this work, the initial interface trap density (Nit) to examine device compability for microelectronics and then the Co-60 gamma irradiation responses of Al/HfSiO4/p-Si/Al (MOS) capacitors were investigated in various dose ranges up to 70 Gy. Pre-irradiation response of the devices was evaluated from high frequency (HF) and low frequency (LF) capacitance method and the Nit was calculated as 9.91 × 1011 cm-2 which shows that the HfSiO4/p-Si interface quality is convenient for microelectronics applications. The irradiation responses of the devices were carried out from flat-band and mid-gap voltage shifts obtained from stretch of capacitance characteristics prior to and after irradiation. The results show that the flat band voltages very slightly shifted to positive voltage values demonstrating the enhancement of negative charge trapping in device structure. The sensitivity of the Al/HfSiO4/p-Si/Al MOS capacitors was found to be 4.41 mV/Gy for 300 nm-thick HfSiO4 gate dielectrics. This value approximately 6.5 times smaller compared to the same thickness conventional SiO2 based MOS devices. Therefore, HfSiO4 exhibits crucial irradiation tolerance in gamma irradiation environment. Consequently, HfSiO4 dielectrics may have significant usage for microelectronic technology as a radiation hard material where radiation field exists such as in space applications.

  19. Effect of solution combusted TiO2 nanopowder within commercial BaTiO3 dielectric layer on the photoelectric properties for AC powder electroluminescence devices.

    PubMed

    Park, Sung; Choi, Gil Rak; Kim, Youn Cheol; Lee, Jae Chun; Lee, Ju Hyeon

    2013-05-01

    A unique synthesis method was developed, which is called solution combustion method (SCM). TiO2 nanopowder was synthesized by this method. This SCM TiO2 nanopowder (-35 nm) was added to the dielectric layer of AC powder electroluminescence (EL) device. The dielectric layer was made of commercial BaTiO3 powder (-1.2 microm) and binding polymer. 0, 5, 10 and 15 wt% of SCM TiO2 nanopowder was added to the dielectric layer during fabrication of AC powder EL device respectively. Dielectric constant of these four kinds of dielectric layers was measured. The brightness and current density of AC powder EL device were also measured. When 10 wt% of SCM TiO2 nanopowder was added, dielectric constant and brightness were increased by 30% and 101% respectively. Furthermore, the current density was decreased by 71%. This means that the brightness was double and the power consumption was one third.

  20. Influence of Gate Dielectrics, Electrodes and Channel Width on OFET Characteristics

    NASA Astrophysics Data System (ADS)

    Liyana, V. P.; Stephania, A. M.; Shiju, K.; Predeep, P.

    2015-06-01

    Organic Field Effect Transistors (OFET) possess wide applications in large area electronics owing to their attractive features like easy fabrication process, light weight, flexibility, cost effectiveness etc. But instability, high operational voltages and low carrier mobility act as inhibitors to commercialization of OFETs and various approaches were tried on a regular basis so as to make it viable. In this work, Poly 3-hexylthiophene-2,5diyl (P3HT) based OFETs with bottom-contact top-gate configuration using Poly vinyl alcohol (PVA) and Poly (methyl methacrylate) (PMMA) as gate dielectrics, aluminium and copper as source-drain electrodes are investigated. An effort is made to compare the effect of these dielectric materials and electrodes on the performance of OFET. Also, an attempt has been made to optimize the channel width of the device. These devices are characterised with mobility (μ), threshold voltage (VT), on-off ratio (Ion/Ioff) and their comparative analysis is reported.

  1. Pushing the Material Limits in High Kappa Dielectrics on High Carrier Mobility Semiconductors for Science/Technology Beyond Si CMOS and More

    DTIC Science & Technology

    2014-01-28

    In0.53Ga0.47As, with an Al2O3 cap, were employed as a gate dielectric. 15. SUBJECT TERMS CMOS, Magneto-optical imaging , Nanotechnology, Indium Gallium ...2012. 2. “ Thermodynamic stability of MBE-HfO2 on In0.53Ga0.47As”, T. D. Lin, P. Chang, W. C. Lee, M. L. Huang, C. A. Lin, J. Kwo, and M. Hong

  2. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  3. Sintering characteristic and microwave dielectric properties of 0.45Ca0.6Nd0.267TiO3-0.55Li0.5Nd0.5TiO3 ceramics with La2O3-B2O3-ZnO additive

    NASA Astrophysics Data System (ADS)

    Chen, Yawei; Zhang, Shuren; Li, Enzhu; Niu, Na; Yang, Hongcheng

    2018-02-01

    The La2O3-B2O3-ZnO (LBZ) glass was proved to be an effective sintering aid of the 0.45Ca0.6Nd0.26TiO3-0.55Li0.5Nd0.5TiO3 (CNT-LNT) ceramics. The influence of LBZ glass on the phase composition, low temperature sintering process, microstructure, activation energy, and dielectric properties of CNT-LNT ceramics was investigated in detail. The LBZ glass induced an obvious decrease of the CNT-LNT ceramics sintering temperature from 1350 to 1000 °C due to the liquid phase formation, which reduced the activation energy ( E a) of the CNT-LNT ceramics. In addition, the near zero temperature coefficient of resonant frequency (τƒ) value was obtained by adding moderate quantity of LBZ glass. CNT-LNT + 5 wt% LBZ (CNT-LNT + 5L) ceramics sintered at 1000°C/4 h displayed good microwave dielectric properties of: ɛ r = 101.7, Q × f = 1560 GHz ( f = 3.25 GHz) and τ ƒ = 2.3 ppm °C-1.

  4. Fabrication of hierarchical porous ZnO-Al2O3 microspheres with enhanced adsorption performance

    NASA Astrophysics Data System (ADS)

    Lei, Chunsheng; Pi, Meng; Xu, Difa; Jiang, Chuanjia; Cheng, Bei

    2017-12-01

    Hierarchical porous ZnO-Al2O3 microspheres were fabricated through a simple hydrothermal route. The as-prepared hierarchical porous ZnO-Al2O3 composites were utilized as adsorbents to remove organic dye Congo red (CR) from water. The ZnO-Al2O3 composites had morphology of microspheres with diameters in the range of 12-16 μm, which were assembled by nanosheets with thicknesses of approximately 60 nm. The adsorption kinetics of CR onto the ZnO-Al2O3 composites was properly fitted by the pseudo-second-order kinetic model. The equilibrium adsorption data were perfectly described by the Langmuir isotherm and had a maximum adsorption capacity that reached 397 mg/g, which was significantly higher than the value of the pure alumina (Al2O3) and zinc oxide (ZnO) samples. The superior CR removal efficiency of the ZnO-Al2O3 composites was attributed to its well-developed hierarchical porous structures and larger specific surface area (201 m2/g), which were conducive to the diffusion and adsorption of CR molecules. Moreover, the regeneration study reveals that the ZnO-Al2O3 composites have suitable stability and reusability. The results also indicate that the as-prepared sample can act as a highly effective adsorbent in anionic dye removal from wastewater.

  5. A study on electrical conductivity of chemosynthetic Al 2O 3-2SiO 2 geoploymer materials

    NASA Astrophysics Data System (ADS)

    Cui, Xue-Min; Zheng, Guang-Jian; Han, Yao-Cong; Su, Feng; Zhou, Ji

    Al 2O 3-2SiO 2 amorphous powders are synthesized by sol-gel method with tetraethoxysilane (TEOS) and aluminum nitrate (ANN) as the starting materials. The microstructure and phase structure of the powders are investigated by SEM and XRD analysis. Geopolymer materials samples are prepared by mechanically mixing stoichiometric amounts of calcined Al 2O 3-2SiO 2 powders and sodium silicate solutions to allow a mass ratio of Na 2O/Al 2O 3 = 0.4, 0.375, 0.35, 0.325, 0.288, 0.26, 0.23 or 0.2 separately, and finally to form a homogenous slurry at a fixed H 2O/Na 2O mole ratio = 11.7. The results show that the synthetic Al 2O 3-2SiO 2 powders have polycondensed property and their compressive strengthes are similar to that of nature metakaolin geopolymer materials. The results also show that the water consumption is not the main influencing factor on electrical conductivity of harden geopolymer materials but it can intensively affect the microstructure of geopolymer materials. In addition, the electrical conductivity of harden geopolymer sample is investigated, and the results show that the geopolymer materials have a high ionic electrical conductivity of about 1.5 × 10 -6 S cm -1 in air at room temperature.

  6. Investigation on structural, optical and electrical properties of polythiophene-Al2O3 composites

    NASA Astrophysics Data System (ADS)

    Vijeth, H.; Yesappa, L.; Niranjana, M.; Ashokkumar, S. P.; Devendrappa, H.

    2018-05-01

    The polythiophene (PTH) and polythiophene-Al2O3 composites prepared by in situ chemical polymerisation in the presence of anionic surfactant camphor sulfonic acid (CSA). The formation of composite is confirmed by X-ray Diffraction (XRD) and Energy Dispersive X-ray spectroscopy (EDX) analysis. The surface morphology was studied using Field Emission Electron Microscopy (FESEM). Optical properties was studied using UV-visible spectroscopy, it observed decrease in the band gap reveals material has potential application in optical devices. The dielectric constant and AC conductivity of composite have been studied for different temperature in the frequency range 1 kHz -1 MHz.

  7. Electronic, Optical and Thermoelectric Properties of 2H-CuAlO2: A First Principles Study

    NASA Astrophysics Data System (ADS)

    Bhamu, K. C.; Khenata, R.; Khan, Saleem Ayaz; Singh, Mangej; Priolkar, K. R.

    2016-01-01

    The electronic and optical properties of 2H-CuAlO2, including energy bands, density of states (DOS), optical dielectric behaviour, refractive index, absorption coefficient and optical conductivity, have been investigated within the framework of a full-potential linearized augmented plane wave scheme using different potentials. The direct and indirect band gaps for CuAlO2, computed using the Becke-Johnson potential, are estimated at 3.53 eV and 2.48 eV, respectively, which are in better agreement with the experimentally reported band gaps than those previously computed. The origin of energy bands is elucidated in terms of DOS, while the behaviour of the imaginary part of the dielectric constant is explained in terms of electronic transitions from valence bands to conduction bands. The computed value of the refractive index is 2.25 (1.94) for light perpendicular (parallel) to the c axis, in concordance with the available values. The overall shape of the spectral distribution for absorption coefficient and optical conductivity is also in accord with the reported data. The investigated thermoelectric properties indicate that CuAlO2 is a p-type semiconductor showing high effectiveness at low temperatures.

  8. High- k Gate Dielectrics for Emerging Flexible and Stretchable Electronics.

    PubMed

    Wang, Binghao; Huang, Wei; Chi, Lifeng; Al-Hashimi, Mohammed; Marks, Tobin J; Facchetti, Antonio

    2018-05-22

    Recent advances in flexible and stretchable electronics (FSE), a technology diverging from the conventional rigid silicon technology, have stimulated fundamental scientific and technological research efforts. FSE aims at enabling disruptive applications such as flexible displays, wearable sensors, printed RFID tags on packaging, electronics on skin/organs, and Internet-of-things as well as possibly reducing the cost of electronic device fabrication. Thus, the key materials components of electronics, the semiconductor, the dielectric, and the conductor as well as the passive (substrate, planarization, passivation, and encapsulation layers) must exhibit electrical performance and mechanical properties compatible with FSE components and products. In this review, we summarize and analyze recent advances in materials concepts as well as in thin-film fabrication techniques for high- k (or high-capacitance) gate dielectrics when integrated with FSE-compatible semiconductors such as organics, metal oxides, quantum dot arrays, carbon nanotubes, graphene, and other 2D semiconductors. Since thin-film transistors (TFTs) are the key enablers of FSE devices, we discuss TFT structures and operation mechanisms after a discussion on the needs and general requirements of gate dielectrics. Also, the advantages of high- k dielectrics over low- k ones in TFT applications were elaborated. Next, after presenting the design and properties of high- k polymers and inorganic, electrolyte, and hybrid dielectric families, we focus on the most important fabrication methodologies for their deposition as TFT gate dielectric thin films. Furthermore, we provide a detailed summary of recent progress in performance of FSE TFTs based on these high- k dielectrics, focusing primarily on emerging semiconductor types. Finally, we conclude with an outlook and challenges section.

  9. Effect of the Molar Ratio of B2O3 to Bi2O3 in Al Paste with Bi2O3-B2O3-ZnO Glass on Screen Printed Contact Formation and Si Solar Cell Performance

    NASA Astrophysics Data System (ADS)

    Kim, Bit-Na; Kim, Hyeong Jun; Chang, Hyo Sik; Hong, Hyun Seon; Ryu, Sung-Soo; Lee, Heon

    2013-10-01

    In this study, eco-friendly Pb-free Bi2O3-B2O3-ZnO glass frits were chosen as an inorganic additive for the Al paste used in Si solar cells. The effects of the molar ratio of Bi2O3 to B2O3 in the glass composition on the electrical resistance of the Al electrode and on the cell performance were investigated. The results showed that as the molar ratio of Bi2O3 to B2O3 increased, the glass transition temperature and softening temperature decreased because of the reduced glass viscosity. In Al screen-printed Si solar cells, as the molar ratio of Bi2O3 to B2O3 increased, the sheet electrical resistance of the Al electrode decreased and the cell efficiency increased. The uniformity and thickness of the back-surface field was significantly influenced by the glass composition.

  10. Bimetallic Pt-Au Nanocatalysts on ZnO/Al2O3/Monolith for Air Pollution Control.

    PubMed

    Kim, Ki-Joong; Ahn, Ho-Geun

    2015-08-01

    The catalytic activity of a monolithic catalyst with nanosized Pt and Au particles on ZnO/Al2O3 (Pt-Au/ZnO/Al2O3/M) prepared by a wash-coat method was examined, specifically for toluene oxidation. Scanning electron microscopy image showed clearly the formation of a ZnO/Al2O3 layer on the monolith. Nanosized Pt-Au particles on ZnO/Al2O3/M with different sizes could be found in the Pt-Au/ZnO/Al2O3/M catalyst. The conversion of toluene decreased with increasing toluene concentration and was also largely affected by the feed flow rate. The Pt-Au/ZnO/Al2O3/M catalysts prepared in this work have almost the same activity (molecules of toluene per second) compared with a powder Pt-Au/ZnO/Al2O3 catalyst with the same loadings of Pt and Au components; thus this catalyst could be used in controlling air pollution with very low concentrations and high flow rate.

  11. Al203 thin films on Silicon and Germanium substrates for CMOS and flash memory applications

    NASA Astrophysics Data System (ADS)

    Gopalan, Sundararaman; Dutta, Shibesh; Ramesh, Sivaramakrishnan; Prathapan, Ragesh; Sreehari G., S.

    2017-07-01

    As scaling of device dimensions has continued, it has become necessary to replace traditional SiO2 with high dielectric constant materials in the conventional CMOS devices. In addition, use of metal gate electrodes and Germanium substrates may have to be used in order to address leakage and mobility issues. Al2O3 is one of the potential candidates both for CMOS and as a blocking dielectric for Flash memory applications owing to its low leakage. In this study, the effects of sputtering conditions and post-deposition annealing conditions on the electrical and reliability characteristics of MOS capacitors using Al2O3 films on Si and Ge substrates with Aluminium gate electrodes have been presented. It was observed that higher sputtering power resulted in larger flat-band voltage (Vfb) shifts, more hysteresis, higher interface state density (Dit) and a poorer reliability. Wit was also found that while a short duration high temperature annealing improves film characteristics, a long duration anneal even at 800C was found to be detrimental to MOS characteristics. Finally, the electronic conduction mechanism in Al2O3 films was also studied. It was observed that the conduction mechanism varied depending on the annealing condition, thickness of film and electric field.

  12. Atomically Thin Al2O3 Films for Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Wilt, Jamie; Gong, Youpin; Gong, Ming; Su, Feifan; Xu, Huikai; Sakidja, Ridwan; Elliot, Alan; Lu, Rongtao; Zhao, Shiping; Han, Siyuan; Wu, Judy Z.

    2017-06-01

    Metal-insulator-metal tunnel junctions are common throughout the microelectronics industry. The industry standard AlOx tunnel barrier, formed through oxygen diffusion into an Al wetting layer, is plagued by internal defects and pinholes which prevent the realization of atomically thin barriers demanded for enhanced quantum coherence. In this work, we employ in situ scanning tunneling spectroscopy along with molecular-dynamics simulations to understand and control the growth of atomically thin Al2O3 tunnel barriers using atomic-layer deposition. We find that a carefully tuned initial H2O pulse hydroxylated the Al surface and enabled the creation of an atomically thin Al2O3 tunnel barrier with a high-quality M -I interface and a significantly enhanced barrier height compared to thermal AlOx . These properties, corroborated by fabricated Josephson junctions, show that atomic-layer deposition Al2O3 is a dense, leak-free tunnel barrier with a low defect density which can be a key component for the next generation of metal-insulator-metal tunnel junctions.

  13. Strong electroluminescence from SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} mixed layers fabricated by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rebohle, L., E-mail: l.rebohle@hzdr.de; Braun, M.; Wutzler, R.

    2014-06-23

    We report on the bright green electroluminescence (EL) with power efficiencies up to 0.15% of SiO{sub 2}-Tb{sub 2}O{sub 3}-mixed layers fabricated by atomic layer deposition and partly co-doped with Al{sub 2}O{sub 3}. The electrical, EL, and breakdown behavior is investigated as a function of the Tb and the Al concentration. Special attention has been paid to the beneficial role of Al{sub 2}O{sub 3} co-doping which improves important device parameters. In detail, it increases the maximum EL power efficiency and EL decay time, it nearly doubles the fraction of excitable Tb{sup 3+} ions, it shifts the region of high EL powermore » efficiencies to higher injection currents, and it reduces the EL quenching over the device lifetime by an approximate factor of two. It is assumed that the presence of Al{sub 2}O{sub 3} interferes the formation of Tb clusters and related defects. Therefore, the system SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} represents a promising alternative for integrated, Si-based light emitters.« less

  14. Comparisons of switching characteristics between Ti/Al2O3/Pt and TiN/Al2O3/Pt RRAM devices with various compliance currents

    NASA Astrophysics Data System (ADS)

    Qi, Yanfei; Zhao, Ce Zhou; Liu, Chenguang; Fang, Yuxiao; He, Jiahuan; Luo, Tian; Yang, Li; Zhao, Chun

    2018-04-01

    In this study, the influence of the Ti and TiN top electrodes on the switching behaviors of the Al2O3/Pt resistive random access memory devices with various compliance currents (CCs, 1-15 mA) has been compared. Based on the similar statistical results of the resistive switching (RS) parameters such as V set/V reset, R HRS/R LRS (measured at 0.10 V) and resistance ratio with various CCs for both devices, the Ti/Al2O3/Pt device differs from the TiN/Al2O3/Pt device mainly in the forming process rather than in the following switching cycles. Apart from the initial isolated state, the Ti/Al2O3/Pt device has the initial intermediate state as well. In addition, its forming voltage is relatively lower. The conduction mechanisms of the ON and OFF state for both devices are demonstrated as ohmic conduction and Frenkel-Poole emission, respectively. Therefore, with the combined modulations of the CCs and the stop voltages, the TiN/Al2O3/Pt device is more stable for nonvolatile memory applications to further improve the RS performance.

  15. Low toxicity of HfO2, SiO2, Al2O3 and CeO2 nanoparticles to the yeast, Saccharomyces cerevisiae.

    PubMed

    García-Saucedo, Citlali; Field, James A; Otero-Gonzalez, Lila; Sierra-Álvarez, Reyes

    2011-09-15

    Increasing use of nanomaterials necessitates an improved understanding of their potential impact on environment health. This study evaluated the cytotoxicity of nanosized HfO(2), SiO(2), Al(2)O(3) and CeO(2) towards the eukaryotic model organism Saccharomyces cerevisiae, and characterized their state of dispersion in bioassay medium. Nanotoxicity was assessed by monitoring oxygen consumption in batch cultures and by analysis of cell membrane integrity. CeO(2), Al(2)O(3), and HfO(2) nanoparticles were highly unstable in yeast medium and formed micron-sized, settleable agglomerates. A non-toxic polyacrylate dispersant (Dispex A40) was used to improve nanoparticle stability and determine the impact of enhanced dispersion on toxicity. None of the NPs tested without dispersant inhibited O(2) uptake by yeast at concentrations as high as 1000 mg/L. Dispersant supplementation only enhanced the toxicity of CeO(2) (47% at 1000 mg/L). Dispersed SiO(2) and Al(2)O(3) (1000 mg/L) caused cell membrane damage, whereas dispersed HfO(2) and CeO(2) did not cause significant disruption of membrane integrity at the same concentration. These results suggest that the O(2) uptake inhibition observed with dispersed CeO(2) NPs was not due to reduced cell viability. This is the first study evaluating toxicity of nanoscale HfO(2), SiO(2), Al(2)O(3) and CeO(2) to S. cerevisiae. Overall the results obtained demonstrate that these nanomaterials display low or no toxicity to yeast. Copyright © 2011 Elsevier B.V. All rights reserved.

  16. Electrical and band structural analyses of Ti1-x Al x O y films grown by atomic layer deposition on p-type GaAs

    NASA Astrophysics Data System (ADS)

    An, Youngseo; Mahata, Chandreswar; Lee, Changmin; Choi, Sungho; Byun, Young-Chul; Kang, Yu-Seon; Lee, Taeyoon; Kim, Jiyoung; Cho, Mann-Ho; Kim, Hyoungsub

    2015-10-01

    Amorphous Ti1-x Al x O y films in the Ti-oxide-rich regime (x  <  0.5) were deposited on p-type GaAs via atomic layer deposition with titanium isopropoxide, trimethylaluminum, and H2O precursor chemistry. The electrical properties and energy band alignments were examined for the resulting materials with their underlying substrates, and significant frequency dispersion was observed in the accumulation region of the Ti-oxide-rich Ti1-x Al x O y films. Although a further reduction in the frequency dispersion and leakage current (under gate electron injection) could be somewhat achieved through a greater addition of Al-oxide in the Ti1-x Al x O y film, the simultaneous decrease in the dielectric constant proved problematic in finding an optimal composition for application as a gate dielectric on GaAs. The spectroscopic band alignment measurements of the Ti-oxide-rich Ti1-x Al x O y films indicated that the band gaps had a rather slow increase with the addition of Al-oxide, which was primarily compensated for by an increase in the valance band offset, while a nearly-constant conduction band offset with a negative electron barrier height was maintained.

  17. Size dependent anomalous dielectric behavior in nanoparticle Gd2 O 3 : SiO2 glass composite system

    NASA Astrophysics Data System (ADS)

    Mukherjee, Sudip; Lin, Yu-Hsing; Kao, Ting-Hui; Chou, C. C.; Yang, H. D.

    2011-03-01

    Gd 2 O3 (0.5 mol%) nanoparticles have been synthesized in a silica glass matrix by the sol-gel method at calcination temperatures of 700& circ; C and above. Compared with the parent material Si O2 , this nano-glass composite system shows enhancement of dielectric constant and diffuse phase transition along with magnetodielectric effect around room temperature. Observed conduction mechanism is found to be closely related to the thermally activated oxygen vacancies. Magnetodielectric behavior is strongly associated with magnetoresistance changes, depending on the nanoparticle size and separation. Such a material might be treated as a potential candidate for device miniaturization.

  18. A study of nitrogen behavior in the formation of Ta/TaN and Ti/TaN alloyed metal electrodes on SiO2 and HfO2 dielectrics

    NASA Astrophysics Data System (ADS)

    Gassilloud, R.; Maunoury, C.; Leroux, C.; Piallat, F.; Saidi, B.; Martin, F.; Maitrejean, S.

    2014-04-01

    We studied Ta, TaN, and sub-stoichiometric TaNx electrodes (obtained by nitrogen redistribution in Ta/TaN or Ti/TaN bilayers) deposited on thermal SiO2 and HfO2/IL (0.8 nm SiO2 IL, i.e., interlayer) stacks. Effective work-functions (WF) were extracted on MOS capacitor structures on SiO2 bevelled insulator of 4.2 eV for pure Ta, 4.6 eV for TaN, and 4.3 eV for sub-stoichiometric TaNx. This intermediate WF value is explained by TaN nitrogen redistribution with reactive Ta or Ti elements shifting the gate work-function toward the Si conduction band. The same electrodes deposited on an HfO2/IL dielectric showed different behavior: First, the Ta/HfO2/IL stack shows a +200 meV WF increase (towards the Si valence band) compared to the SiO2 dielectric stack. This increase is explained by the well-known HfO2/IL dipole formation. Second, in contrast to electrodes deposited on SiO2, sub-stoichiometric TaNx/HfO2 is found to have a lower WF (4.3 eV), than pure Ta on HfO2 (4.4 eV). This inversion in work-function behavior measured on SiO2 vs. HfO2 is explained by the nitrogen redistribution in Ta/TaN bilayer together with diffusion of nitrogen through the HfO2 layer, leading to Si-N formation which prevents dipole formation at the HfO2/IL interface.

  19. Characteristics of multilevel storage and switching dynamics in resistive switching cell of Al2O3/HfO2/Al2O3 sandwich structure

    NASA Astrophysics Data System (ADS)

    Liu, Jian; Yang, Huafeng; Ma, Zhongyuan; Chen, Kunji; Zhang, Xinxin; Huang, Xinfan; Oda, Shunri

    2018-01-01

    We reported an Al2O3/HfO2/Al2O3 sandwich structure resistive switching device with significant improvement of multilevel cell (MLC) operation capability, which exhibited that four stable and distinct resistance states (one low resistance state and three high resistance states) can be achieved by controlling the Reset stop voltages (V Reset-stop) during the Reset operation. The improved MLC operation capability can be attributed to the R HRS/R LRS ratio enhancement resulting from increasing of the series resistance and decreasing of leakage current by inserting two Al2O3 layers. For the high-speed switching applications, we studied the initial switching dynamics by using the measurements of the pulse width and amplitude dependence of Set and Reset switching characteristics. The results showed that under the same pulse amplitude conditions, the initial Set progress is faster than the initial Reset progress, which can be explained by thermal-assisted electric field induced rupture model in the oxygen vacancies conductive filament. Thus, proper combination of varying pulse amplitude and width can help us to optimize the device operation parameters. Moreover, the device demonstrated ultrafast program/erase speed (10 ns) and good pulse switching endurance (105 cycles) characteristics, which are suitable for high-density and fast-speed nonvolatile memory applications.

  20. Growth and patterning of laser ablated superconducting YBa2Cu3O7 films on LaAlO3 substrates

    NASA Technical Reports Server (NTRS)

    Warner, J. D.; Bhasin, K. B.; Varaljay, N. C.; Bohman, D. Y.; Chorey, C. M.

    1989-01-01

    A high quality superconducting film on a substrate with a low dielectric constant is desired for passive microwave circuit applications. In addition, it is essential that the patterning process does not effect the superconducting properties of the thin films to achieve the highest circuit operating temperatures. YBa2Cu3O7 superconducting films were grown on lanthanum aluminate substrates using laser ablation with resulting maximum transition temperature (T sub c) of 90 K. The films were grown on a LaAlO3 which was at 775 C and in 170 mtorr of oxygen and slowly cooled to room temperature in 1 atm of oxygen. These films were then processed using photolithography and a negative photoresist with an etch solution of bromine and ethanol. Results are presented on the effect of the processing on T(sub c) of the film and the microwave properties of the patterned films.

  1. Investigations on FCAM-III (Ca2.38Mg2.09Fe3+10.61Fe2+1.59Al9.33O36): A new homologue of the aenigmatite structure-type in the system CaO-MgO-Fe2O3-Al2O3

    NASA Astrophysics Data System (ADS)

    Zöll, Klaus; Kahlenberg, Volker; Krüger, Hannes; Tropper, Peter

    2018-02-01

    In the course of a systematic study of a part of the quaternary system Fe2O3-CaO-Al2O3-MgO (FCAM) the previously unknown compound Ca2.38Mg2.09Fe3+10.61Fe2+1.59Al9.33O36 (FCAM-III) has been synthesized. By analogy with the so-called SFCA series [1-5], our investigation in the system of FCAM shows the existence of a stoichiometric homologous series M14+6nO20+8n, where M = Fe, Ca, Al, Mg and n = 1 or 2. In air, we can prove the formation of coexisting FCAM-III and FCAM-I solid solutions at 1400 °C. By increasing the temperature up to 1425 °C FCAM-I disappears completely and FCAM-III co-exists with magnesiumferrite and a variety of calcium iron oxides. At 1450 °C FCAM-III breaks down to a mixture of FCAM-I again as well as magnesioferrite and melt. Small single-crystals of FCAM-III up to 35 μm in size could be retrieved from the 1425 °C experiment and were subsequently characterized using electron microprobe analysis and synchroton X-ray single-crystal diffraction. Finally the Fe2+/Fetot ratio was calculated from the total iron content based on the crystal-chemical formula obtained from EMPA measurements and charge balance considerations. FCAM-III or Ca2.38Mg2.09Fe3+10.61Fe2+1.59Al9.33O36 has a triclinic crystal structure (space group P 1 ̅). The basic crystallographic data are: a = 10.223(22) Å, b = 10.316(21) Å, c = 14.203(15) Å, α = 93.473(50)°, β = 107.418(67)°, γ = 109.646(60)°, V = 1323.85(2) ų, Z = 1. Using Schreinemaker's technique to analyze the phase relations in the system Fe2O3-CaO-Al2O3-MgO it was possible to obtain the semi-quantitative stability relations between the participating phases and construct a topologically correct phase sequence as a function of T and fO2. The analysis shows that Ca2Al0.5Fe1.5O5 (C2A0.25F0.75) and CaAl1.5Fe2.5O7 (CA0.75F1.25) with higher calculated Fe2+ contents are preferably formed at lower oxygen fugacity and react to CaAl0.5Fe1.5O4 (CA0.25F0.75) by increasing fO2. Spinel-type magnesium

  2. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window.

    PubMed

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-15

    SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO 2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV-vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 samples fabricated by the containerless process and SPS between 852 °C-857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  3. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window

    NASA Astrophysics Data System (ADS)

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-01

    SrAl2O4-Sr3Al2O6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV–vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl2O4-Sr3Al2O6 samples fabricated by the containerless process and SPS between 852 °C–857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl2O4-Sr3Al2O6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  4. Bulk Crystallization in a SiO2/Al2O3/Y2O3/AlF3/B2O3/Na2O Glass: Fivefold Pseudo Symmetry due to Monoclinic Growth in a Glassy Matrix Containing Growth Barriers

    PubMed Central

    Wisniewski, Wolfgang; Seyring, Martin; Patzig, Christian; Höche, Thomas; Keshavarzi, Ashkan; Rüssel, Christian

    2016-01-01

    A glass with the mol% composition 17 Y2O3·33 Al2O3·40 SiO2·2 AlF3·3 Na22 CeF3·3 B2O3 is heat treated at 1000 °C for 6–24 h. This results in the surface nucleation and growth of YAG. Nucleation and growth of star-shaped alumina and later of monoclinic β-Y2Si2O7 and orthorhombic δ-Y2Si2O7 are additionally observed in the bulk. Phase identification and localization are performed by electron backscatter diffraction (EBSD) as well as TEM analysis. The monoclinic β-Y2Si2O7 observed in the bulk occurs in the form of large, crystal agglomerates which range from 50 to 120 μm in size. The individual crystals are aligned along the c-axis which is the fastest growing axis. Ten probability maxima are observed in the pole-figures illustrating the rotation of orientations around the c-axes indicating a fivefold symmetry. This symmetry is caused by multiple twinning which results in a high probability of specific orientation relationships with rotation angles of ~36°, ~108° (also referred to as the pentagon angle) and ~144° around the c-axis. All these rotation angles are close to the multiples of 36° which are required for an ideal fivefold symmetry. This is the first report of a fivefold symmetry triggered by the presence of barriers hindering crystal growth. PMID:26813152

  5. Kinetics of NiO and NiCl2 Hydrogen Reduction as Precursors and Properties of Produced Ni/Al2O3 and Ni-Pd/Al2O3 Catalysts

    PubMed Central

    Sokić, Miroslav; Kamberović, Željko; Nikolić, Vesna; Marković, Branislav; Korać, Marija; Anđić, Zoran; Gavrilovski, Milorad

    2015-01-01

    The objects of this investigation were the comparative kinetic analysis of the NiO and NiCl2 reduction by hydrogen during an induction period and elimination of the calcination during the synthesis of Ni/Al2O3 catalysts. The effect of temperature and time on NiO and NiCl2 reduction degrees was studied. Avrami I equation was selected as the most favorable kinetic model and used to determine activation energy of the NiO and NiCl2 reduction for the investigated temperature range (623–923 K) and time intervals (1–5 minutes). The investigation enabled reaching conclusions about the reaction ability and rate of the reduction processes. Afterward, Ni/Al2O3 catalysts were obtained by using oxide and chloride precursor for Ni. The catalysts were supported on alumina-based foam and prepared via aerosol route. Properties of the samples before and after low-temperature hydrogen reduction (633 K) were compared. Obtained results indicated that the synthesis of Ni/Al2O3 catalysts can be more efficient if chloride precursor for Ni is directly reduced by hydrogen during the synthesis process, without the calcination step. In addition, Ni-Pd/Al2O3 catalysts with different metal content were prepared by using chloride precursors. Lower reduction temperature was utilized and the chlorides were almost completely reduced at 533 K. PMID:25789335

  6. Organic-Free, ZnO-Assisted Synthesis of Zeolite FAU with Tunable SiO2 /Al2 O3 Molar Ratio.

    PubMed

    Guo, Ya; Sun, Tianjun; Gu, Yiming; Liu, Xiaowei; Ke, Quanli; Wang, Shudong

    2018-05-04

    Zeolite FAU with tunable SiO 2 /Al 2 O 3 molar ratio has been successfully synthesized in the absence of organic structure-directing agents (OSDA). Specifically, the addition of zinc species contributes to the feasible and effective adjustment of the framework SiO 2 /Al 2 O 3 molar ratio between about 4 and 6 depending on the amount of zinc species added in the batch composition. In contrast, a typical OSDA such as tetramethylammonium hydroxide (TMAOH) has a limited effect on the SiO 2 /Al 2 O 3 molar ratio of the zeolite. The role of zinc species is essential for the crystallization of zeolite FAU with a higher SiO 2 /Al 2 O 3 molar ratio under the particular synthesis conditions. It is speculated that zinc species may suppress the incorporation of aluminum into the aluminosilicate framework, which is due to the Coulombic repulsive interaction. A higher SiO 2 /Al 2 O 3 molar ratio is also found to be accompanied by a lower CO 2 adsorption heat for CO 2 /CH 4 separation. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Nature of superconductor-insulator transition at LaAlO{sub 3}/SrTiO{sub 3} interface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohanta, N., E-mail: nmohanta@phy.iitkgp.ernet.in; Taraphder, A.; Centre for Theoretical Studies, Indian Institute of Technology Kharagpur, W. B. 721302

    2015-05-15

    The two-dimensional electron liquid, at the interface between two band insulators LaAlO{sub 3} and SrTiO{sub 3}, exhibits novel, unconventional superconductivity below 200 mK. One of the remarkable properties of the two-dimensional superconductor is its fantastic tunability by external parameters such as gate-voltage or magnetic field. We study the superconductor to insulator transition induced by gate-voltage by employing a self-consistent, mean-field Bogoliubov-de Gennes treatment based on an effective model. We show that the non-monotonic behaviour of the superconductivity with respect to gate-voltage is intrinsically due to the Rashba spin-orbit coupling. With increasing gate-voltage both the electron concentration and Rashba spin-orbit splittingmore » increases. Elevated electron filling boosts superconductivity whereas enhanced spin-orbit splitting annihilates electron-pairing. The non-monotonicity is a result of this competition. The device application of the superconductor-insulator transition in this interface is discussed.« less

  8. Microstructural and mechanical properties of Al2O3/ZrO2 nanomultilayer thin films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Balakrishnan, G.; Sastikumar, D.; Kuppusami, P.; Babu, R. Venkatesh; Song, Jung Il

    2018-02-01

    Single layer aluminium oxide (Al2O3), zirconium oxide (ZrO2) and Al2O3/ZrO2 nano multilayer films were deposited on Si (100) substrates at room temperature by pulsed laser deposition. The development of Al2O3/ZrO2 nanolayered structure is an important method used to stabilize the high temperature phase (tetragonal and cubic) of ZrO2 at room temperature. In the Al2O3/ZrO2 multilayer structure, the Al2O3 layer was kept constant at 5 nm, while the ZrO2 layer thickness varied from 5 to 20 nm (5/5, 5/10, 5/15 and 5/20 nm) with a total of 40 bilayers. The X-ray diffraction studies of single layer Al2O3 indicated the γ-Al2O3 of cubic structure, while the single layer ZrO2 indicated both monoclinic and tetragonal phases. The 5/5 and 5/10 nm multilayer films showed the nanocrystalline nature of ZrO2 with tetragonal phase. The high resolution transmission electron microscopy studies indicated the formation of well-defined Al2O3 and ZrO2 layers and that they are of uniform thickness. The atomic force microscopy studies revealed the uniform and dense distribution of nanocrystallites. The nanoindentation studies indicated the hardness of 20.8 ± 1.10 and 10 ± 0.60 GPa, for single layer Al2O3 and ZrO2, respectively, and the hardness of multilayer films varied with bilayer thickness.

  9. Kinetics of dissolution of sapphire in melts in the CaO-Al2O3-SiO2 system

    NASA Astrophysics Data System (ADS)

    Shaw, Cliff S. J.; Klausen, Kim B.; Mao, Huahai

    2018-05-01

    The dissolution rate of sapphire in melts in the CAS system of varying silica activity, viscosity and degree of alumina saturation has been determined at 1600 °C and 1.5 GPa. After an initiation period of up to 1800 s, dissolution is controlled by diffusion of cations through the boundary layer adjacent to the dissolving sapphire. The dissolution rate decreases with increasing silica activity, viscosity and molar Al2O3/CaO. The calculated diffusion matrix for each solvent melt shows that CAS 1 and 9 which have molar Al2O3/CaO of 0.33 and 0.6 and dissolution rate constants of 0.65 × 10-6 and 0.59 × 10-6 m/s0.5 have similar directions and magnitudes of diffusive coupling: DCaO-Al2O3 and DAl2O3-CaO are both negative are approximately equal. The solvent with the fastest dissolution rate: CAS 4, which has a rate constant of 1.5 × 10-6 m/s0.5 and Al2O3/CaO of 0.31 has positive DCaO-Al2O3 and negative DAl2O3-CaO and the absolute values vary by a factor of 4. Although many studies show that aluminium is added to the melts via the reaction: Si4+ =Al3+ + 0.5Ca2+ the compositional profiles show that this reaction is not the only one involved in accommodating the aluminium added during sapphire dissolution. Rather, aluminium is incorporated as both tetrahedrally coordinated Al charge balanced by Ca and as aluminium not charge balanced by Ca (termed Alxs). This reaction: AlIV -Ca =Alxs +CaNBO where CaNBO is a non-bridging oxygen associated with calcium, may involve the formation of aluminium triclusters. The shape of the compositional profiles and oxide-oxide composition paths is controlled by the aluminium addition reaction. When Alxs exceeds 2%, CaO diffusion becomes increasingly anomalous and since the bond strength of Alxs correlates with CaO/CaO + Al2O3, the presence of more than 2% Alxs leads to significantly slower dissolution than when Alxs is absent or at low concentration. Thus, dissolution is controlled by diffusion of cations through the boundary layer, but this

  10. Implementation of atomic layer deposition-based AlON gate dielectrics in AlGaN/GaN MOS structure and its physical and electrical properties

    NASA Astrophysics Data System (ADS)

    Nozaki, Mikito; Watanabe, Kenta; Yamada, Takahiro; Shih, Hong-An; Nakazawa, Satoshi; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    Alumina incorporating nitrogen (aluminum oxynitride; AlON) for immunity against charge injection was grown on a AlGaN/GaN substrate through the repeated atomic layer deposition (ALD) of AlN layers and in situ oxidation in ozone (O3) ambient under optimized conditions. The nitrogen distribution was uniform in the depth direction, the composition was controllable over a wide range (0.5–32%), and the thickness could be precisely controlled. Physical analysis based on synchrotron radiation X-ray photoelectron spectroscopy (SR-XPS) revealed that harmful intermixing at the insulator/AlGaN interface causing Ga out-diffusion in the gate stack was effectively suppressed by this method. AlON/AlGaN/GaN MOS capacitors were fabricated, and they had excellent electrical properties and immunity against electrical stressing as a result of the improved interface stability.

  11. Band Offset Measurements in Atomic-Layer-Deposited Al2O3/Zn0.8Al0.2O Heterojunction Studied by X-ray Photoelectron Spectroscopy.

    PubMed

    Yan, Baojun; Liu, Shulin; Heng, Yuekun; Yang, Yuzhen; Yu, Yang; Wen, Kaile

    2017-12-01

    Pure aluminum oxide (Al 2 O 3 ) and zinc aluminum oxide (Zn x Al 1-x O) thin films were deposited by atomic layer deposition (ALD). The microstructure and optical band gaps (E g ) of the Zn x Al 1-x O (0.2 ≤ x ≤ 1) films were studied by X-ray diffractometer and Tauc method. The band offsets and alignment of atomic-layer-deposited Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction were investigated in detail using charge-corrected X-ray photoelectron spectroscopy. In this work, different methodologies were adopted to recover the actual position of the core levels in insulator materials which were easily affected by differential charging phenomena. Valence band offset (ΔE V ) and conduction band offset (ΔE C ) for the interface of the Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction have been constructed. An accurate value of ΔE V  = 0.82 ± 0.12 eV was obtained from various combinations of core levels of heterojunction with varied Al 2 O 3 thickness. Given the experimental E g of 6.8 eV for Al 2 O 3 and 5.29 eV for Zn 0.8 Al 0.2 O, a type-I heterojunction with a ΔE C of 0.69 ± 0.12 eV was found. The precise determination of the band alignment of Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction is of particular importance for gaining insight to the design of various electronic devices based on such heterointerface.

  12. Selective hydrodesulfurization of 4,6-dimethyldibenzothiophene in the dominant presence of naphthalene over hybrid CoMo/A{sub 2}O{sub 3} and Ru/Al{sub 2}Al{sub 2}O{sub 3} catalyst

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Isoda, T.; Nagao, S.; Ma, X.

    1995-12-31

    Hydrodesulfurization of 4,6-dimethyldibenzothiophene (4,6-DMDBT) in decane containing significant amount of naphthalene was examined over a hybrid of CoMo/Al{sub 2}O{sub 3} and Ru/Al{sub 2}O{sub 3} to design the selective hydrogenation and successive desulfurization of 4,6-DMDBT in aromatic moiety, and its activity was compared to those of CoMo/Al{sub 2}O{sub 3}, NiMo/Al{sub 2}O{sub 3} and Ru/Al{sub 2}O{sub 3} in their single use. HDS activity of 4,6-DMDBT over NiMo/Al{sub 2}O{sub 3} was inferior to CoMo/Al{sub 2}O{sub 3}, although that of highest hydrogenation activity for naphthalene. The hybrid showed the highest activity for HDS of 4,6-DMDBT among these catalysts without excess hydrogenation of nahthalene.

  13. Catalytic performance of V2O5-MoO3/γ-Al2O3 catalysts for partial oxidation of n-hexane1

    NASA Astrophysics Data System (ADS)

    Mahmoudian, R.; Khodadadi, Z.; Mahdavi, Vahid; Salehi, Mohammed

    2016-01-01

    In the current study, a series of V2O5-MoO3 catalyst supported on γ-Al2O3 with various V2O5 and MoO3 loadings was prepared by wet impregnation technique. The characterization of prepared catalysts includes BET surface area, powder X-ray diffraction (XRD), and oxygen chemisorptions. The partial oxidation of n-hexane by air over V2O5-MoO3/γ-Al2O3 catalysts was carried out under flow condition in a fixed bed glass reactor. The effect of V2O5 loading, temperature, MoO3 loading, and n-hexane LHSV on the n-hexane conversion and the product selectivity were investigated. The partial oxygenated products of n-hexane oxidation were ethanol, acetic anhydride, acetic acid, and acetaldehyde. The 10% V2O5-1%MoO3/γ-Al2O3 was found in most active and selective catalyst during partial oxidation of n-hexane. The results indicated that by increasing the temperature, the n-hexane conversion increases as well, although the selectivity of the products passes through a maximum by increasing the temperature.

  14. Thermoluminescence and optically stimulated luminescence properties of Dy3+-doped CaO-Al2O3-B2O3-based glasses

    NASA Astrophysics Data System (ADS)

    Yahaba, T.; Fujimoto, Y.; Yanagida, T.; Koshimizu, M.; Tanaka, H.; Saeki, K.; Asai, K.

    2017-02-01

    We developed Dy3+-doped CaO-Al2O3-B2O3 based glasses with Dy concentrations of 0.5, 1.0, and 2.0 mol% using a melt-quenching technique. The as-synthesized glasses were applicable as materials exhibiting thermoluminescence (TL) and optically stimulated luminescence (OSL). The optical and radiation response properties of the glasses were characterized. In the photoluminescence (PL) spectra, two emission bands due to the 4F9/2 → 6H15/2 and 4F9/2 → 6H13/2 transitions of Dy3+ were observed at 480 and 580 nm. In the OSL spectra, the emission band due to the 4F9/2 → 6H15/2 transition of Dy3+ was observed. Excellent TL and OSL responses were observed for dose ranges of 0.1-90 Gy. In addition, TL fading behavior was better than that of OSL in term of the long-time storage. These results indicate that the Dy3+-doped CaO-Al2O3-B2O3-based glasses are applicable as TL materials.

  15. Interface band alignment in high-k gate stacks

    NASA Astrophysics Data System (ADS)

    Eric, Bersch; Hartlieb, P.

    2005-03-01

    In order to successfully implement alternate high-K dielectric materials into MOS structures, the interface properties of MOS gate stacks must be better understood. Dipoles that may form at the metal/dielectric and dielectric/semiconductor interfaces make the band offsets difficult to predict. We have measured the conduction and valence band densities of states for a variety MOS stacks using in situ using inverse photoemission (IPE) and photoemission spectroscopy (PES), respectively. Results obtained from clean and metallized (with Ru or Al) HfO2/Si, SiO2/Si and mixed silicate films will be presented. IPE indicates a shift of the conduction band minimum (CBM) to higher energy (i.e. away from EF) with increasing SiO2. The effect of metallization on the location of band edges depends upon the metal species. The addition of N to the dielectrics shifts the CBM in a way that is thickness dependent. Possible mechanisms for these observed effects will be discussed.

  16. Surface morphology of Al0.3Ga0.7N/Al2O3-high electron mobility transistor structure.

    PubMed

    Cörekçi, S; Usanmaz, D; Tekeli, Z; Cakmak, M; Ozçelik, S; Ozbay, E

    2008-02-01

    We present surface properties of buffer films (AIN and GaN) and Al0.3Gao.zN/Al2O3-High Electron Mobility Transistor (HEMT) structures with/without AIN interlayer grown on High Temperature (HT)-AIN buffer/Al2O3 substrate and Al2O3 substrate. We have found that the GaN surface morphology is step-flow in character and the density of dislocations was about 10(8)-10(9) cm(-2). The AFM measurements also exhibited that the presence of atomic steps with large lateral step dimension and the surface of samples was smooth. The lateral step sizes are in the range of 100-250 nm. The typical rms values of HEMT structures were found as 0.27, 0.30, and 0.70 nm. HT-AIN buffer layer can have a significant impact on the surface morphology of Al0.3Ga0.7N/Al2O3-HEMT structures.

  17. Chemical trend of superconducting transition temperature in hole-doped delafossite of CuAlO2, AgAlO2 and AuAlO2

    NASA Astrophysics Data System (ADS)

    Nakanishi, Akitaka; Katayama-Yoshida, Hiroshi

    2012-12-01

    We have performed the first-principles calculations about the superconducting transition temperature Tc of hole-doped delafossite CuAlO2, AgAlO2 and AuAlO2. Calculated Tc are about 50 K (CuAlO2), 40 K (AgAlO2) and 3 K(AuAlO2) at maximum in the optimum hole-doping concentration. The low Tc of AuAlO2 is attributed to the weak electron-phonon interaction caused by the low covalency and heavy atomic mass.

  18. Structural, dielectric and magnetic properties of ZnFe2O4-Na0.5Bi0.5TiO3 multiferroic composites

    NASA Astrophysics Data System (ADS)

    Bhasin, Tanvi; Agarwal, Ashish; Sanghi, Sujata; Yadav, Manisha; Tuteja, Muskaan; Singh, Jogender; Rani, Sonia

    2018-04-01

    Multiferroic xNa0.5Bi0.5TiO3-(1-x)ZnFe2O4 (x=0.10, 0.20) composites were prepared by conventional solid state reaction method. Rietveld analysis of XRD data shows that samples exhibit both cubic (Fd-3m) and rhombohedral (R3c) crystal structure. Structural parameters and unit cell volume of samples vary with composition. The dielectric constant and dielectric loss (tanδ) display dispersion at low frequency due to space charge polarization and inhomogeneity in the composites. Magnetic analysis depicts the antiferromagnetic behavior of composites and magnetization is enhanced with the introduction of ferrite (ZnFe2O4) phase.

  19. Gate-tunable polarized phase of two-dimensional electrons at the LaAlO3/SrTiO3 interface.

    PubMed

    Joshua, Arjun; Ruhman, Jonathan; Pecker, Sharon; Altman, Ehud; Ilani, Shahal

    2013-06-11

    Controlling the coupling between localized spins and itinerant electrons can lead to exotic magnetic states. A novel system featuring local magnetic moments and extended 2D electrons is the interface between LaAlO3 and SrTiO3. The magnetism of the interface, however, was observed to be insensitive to the presence of these electrons and is believed to arise solely from extrinsic sources like oxygen vacancies and strain. Here we show the existence of unconventional electronic phases in the LaAlO3/SrTiO3 system pointing to an underlying tunable coupling between itinerant electrons and localized moments. Using anisotropic magnetoresistance and anomalous Hall effect measurements in a unique in-plane configuration, we identify two distinct phases in the space of carrier density and magnetic field. At high densities and fields, the electronic system is strongly polarized and shows a response, which is highly anisotropic along the crystalline directions. Surprisingly, below a density-dependent critical field, the polarization and anisotropy vanish whereas the resistivity sharply rises. The unprecedented vanishing of the easy axes below a critical field is in sharp contrast with other coupled magnetic systems and indicates strong coupling with the moments that depends on the symmetry of the itinerant electrons. The observed interplay between the two phases indicates the nature of magnetism at the LaAlO3/SrTiO3 interface as both having an intrinsic origin and being tunable.

  20. Gate-tunable polarized phase of two-dimensional electrons at the LaAlO3/SrTiO3 interface

    PubMed Central

    Joshua, Arjun; Ruhman, Jonathan; Pecker, Sharon; Altman, Ehud; Ilani, Shahal

    2013-01-01

    Controlling the coupling between localized spins and itinerant electrons can lead to exotic magnetic states. A novel system featuring local magnetic moments and extended 2D electrons is the interface between LaAlO3 and SrTiO3. The magnetism of the interface, however, was observed to be insensitive to the presence of these electrons and is believed to arise solely from extrinsic sources like oxygen vacancies and strain. Here we show the existence of unconventional electronic phases in the LaAlO3/SrTiO3 system pointing to an underlying tunable coupling between itinerant electrons and localized moments. Using anisotropic magnetoresistance and anomalous Hall effect measurements in a unique in-plane configuration, we identify two distinct phases in the space of carrier density and magnetic field. At high densities and fields, the electronic system is strongly polarized and shows a response, which is highly anisotropic along the crystalline directions. Surprisingly, below a density-dependent critical field, the polarization and anisotropy vanish whereas the resistivity sharply rises. The unprecedented vanishing of the easy axes below a critical field is in sharp contrast with other coupled magnetic systems and indicates strong coupling with the moments that depends on the symmetry of the itinerant electrons. The observed interplay between the two phases indicates the nature of magnetism at the LaAlO3/SrTiO3 interface as both having an intrinsic origin and being tunable. PMID:23708121

  1. Reduction Mechanisms of Cu2+-Doped Na2O-Al2O3-SiO2 Glasses during Heating in H2 Gas.

    PubMed

    Nogami, Masayuki; Quang, Vu Xuan; Ohki, Shinobu; Deguchi, Kenzo; Shimizu, Tadashi

    2018-01-25

    Controlling valence state of metal ions that are doped in materials has been widely applied for turning optical properties. Even though hydrogen has been proven effective to reduce metal ions because of its strong reducing capability, few comprehensive studies focus on practical applications because of the low diffusion rate of hydrogen in solids and the limited reaction near sample surfaces. Here, we investigated the reactions of hydrogen with Cu 2+ -doped Na 2 O-Al 2 O 3 -SiO 2 glass and found that a completely different reduction from results reported so far occurs, which is dominated by the Al/Na concentration ratio. For Al/Na < 1, Cu 2+ ions were reduced via hydrogen to metallic Cu, distributing in glass body. For Al/Na > 1, on the other hand, the reduction of Cu 2+ ions occurred simultaneously with the formation of OH bonds, whereas the reduced Cu metal moved outward and formed a metallic film on glass surface. The NMR and Fourier transform infrared results indicated that the Cu 2+ ions were surrounded by Al 3+ ions that formed AlO 4 , distorted AlO 4 , and AlO 5 units. The diffused H 2 gas reacted with the Al-O - ···Cu + units, forming Al-OH and metallic Cu, the latter of which moved freely toward glass surface and in return enhanced H 2 diffusion.

  2. Monolayer dispersion of CoO on Al2O3 probed by positronium atom

    NASA Astrophysics Data System (ADS)

    Liu, Z. W.; Zhang, H. J.; Chen, Z. Q.

    2014-02-01

    CoO/Al2O3 catalysts were prepared by wet impregnation method with CoO contents ranging from 0 wt% to 24 wt%. X-ray diffraction and X-ray photoelectron spectroscopy measurements suggest formation of CoO after calcined in N2. Quantitative X-ray diffraction analysis indicates monolayer dispersion capacity of CoO in CoO/Al2O3 catalysts to be about 3 wt%. Positron annihilation lifetime and coincidence Doppler broadening measurements were performed to study the dispersion state of CoO on Al2O3. The positron lifetime measurements reveal two long lifetime components τ3 and τ4, which correspond to ortho-positronium annihilation lifetime in microvoids and large pores, respectively. It was found that the positronium atom is very sensitive to the dispersion state of CoO on Al2O3. The presence of CoO significantly decreases both the lifetime and the intensity of τ4. Detailed analysis of the coincidence Doppler broadening measurements suggests that with the CoO content lower than the monolayer dispersion, spin conversion reaction of positronium is induced by CoO. When the cobalt content is higher than the monolayer dispersion capacity, inhibition of positronium formation becomes the dominate effect.

  3. Comparative Study on Graded-Barrier AlxGa1‑xN/AlN/GaN/Si Metal-Oxide-Semiconductor Heterostructure Field-Effect Transistor by Using Ultrasonic Spray Pyrolysis Deposition Technique

    NASA Astrophysics Data System (ADS)

    Lee, Ching-Sung; Hsu, Wei-Chou; Huang, Yi-Ping; Liu, Han-Yin; Yang, Wen-Luh; Yang, Shen-Tin

    2018-06-01

    Comparative study on a novel Al2O3-dielectric graded-barrier (GB) AlxGa1‑xN/AlN/GaN/Si (x = 0.22 ∼ 0.3) metal-oxide-semiconductor heterostructure field-effect transistor (MOS-HFET) formed by using the ultrasonic spray pyrolysis deposition (USPD) technique has been made with respect to a conventional-barrier (CB) Al0.26Ga0.74N/AlN/GaN/Si MOS-HFET and the reference Schottky-gate HFET devices. The GB AlxGa1‑xN was devised to improve the interfacial quality and enhance the Schottky barrier height at the same time. A cost-effective ultrasonic spray pyrolysis deposition (USPD) method was used to form the high-k Al2O3 gate dielectric and surface passivation on the AlGaN barrier of the present MOS-HFETs. Comprehensive device performances, including maximum extrinsic transconductance (g m,max), maximum drain-source current density (I DS,max), gate-voltage swing (GVS) linearity, breakdown voltages, subthreshold swing (SS), on/off current ratio (I on /I off ), high frequencies, and power performance are investigated.

  4. Intrinsic and extrinsic dielectric responses of CaCu3Ti4O12 thin films

    NASA Astrophysics Data System (ADS)

    Rubinger, C. P. L.; Moreira, R. L.; Ribeiro, G. M.; Matinaga, F. M.; Autier Laurent, S.; Mercey, B.; Lobo, R. P. S. M.

    2011-10-01

    CaCu3Ti4O12 thin films were epitaxially grown on (001) LaAlO3 substrates by pulsed laser deposition under optimized growth conditions. The crystal structure and sample morphology were characterized by x-ray diffraction, AFM, TEM, ellipsometry, and Raman spectroscopy. The dielectric responses of the films were investigated in a large temperature range (5 to 375 K) by infrared reflectivity and impedance spectroscopies. The films exhibited a colossal dielectric response, with the dielectric permittivity reaching 104 at 100 Hz. The results obtained in a broad frequency range allowed us to investigate the behavior of intrinsic and extrinsic dielectric responses of this material. The room temperature centrosymmetrical cubic structure remains stable down to 5 K, though softening of the lower frequency infrared phonon modes indicates an incipient ferroelectric character. The radio frequency dielectric response reveals two relaxations of extrinsic origin, a primary higher frequency relaxation responsible for the colossal dielectric behavior and a secondary one of lower frequency. The activation energies of these processes are compatible with the presence of shallow defect levels created by oxygen vacancies.

  5. Interfacial contribution to the dielectric response in semiconducting LaBiMn4/3Co2/3O6

    NASA Astrophysics Data System (ADS)

    Filippi, M.; Kundys, B.; Ranjith, R.; Kundu, Asish K.; Prellier, W.

    2008-05-01

    Impedance measurements have been performed on a sintered polycrystalline sample of the perovskite LaBiMn4/3Co2/3O6. Colossal dielectric permittivity is often measured in this class of semiconducting materials as a result of extrinsic factors. Our results show that a large offset in the capacitance, measured on a series of samples with different thickness, is due to the interfacial polarization. This contribution can then be removed from the data, creating a general procedure for dielectric measurements in semiconducting samples.

  6. Zn-Al layered double hydroxide prepared at different molar ratios: Preparation, characterization, optical and dielectric properties

    NASA Astrophysics Data System (ADS)

    Ahmed, Abdullah Ahmed Ali; Talib, Zainal Abidin; bin Hussein, Mohd Zobir; Zakaria, Azmi

    2012-07-01

    The co-precipitation method was used to prepare Zn-Al-NO3-LDH at different Zn2+/Al3+ molar ratios (2, 3, 4, 5 and 6) and pH value of 7.5. The structure, textural, composition and morphological properties were investigated using powder X-ray diffraction (PXRD), thermogravimetric analysis (TGA), Fourier transform infrared (FT-IR) and scanning electron microscope (SEM), respectively. The crystallinity of LDH samples were found to improve as molar ratio decreased which is attributed to the distortion of the hydroxide layers networks of the LDH crystal by the larger difference in ionic radii of Zn2+ and Al3+. The optical band gap energy of LDH samples were evaluated using absorbance data from UV-Vis-NIR Diffuse reflectance spectroscopy. Band gaps were affected by the variation of the Zn2+/Al3+ molar ratio is due to the formation of the low crystalline phases (ZnO and ZnAl2O4). The water molecules and anionic NO3- in the LDH interlayer were responsible for the generation of the dielectric response. This response can be described by an anomalous low frequency dispersion using the second type of Universal Power Law. The dominance of ZnO dipoles and charge carriers (NO3- ions) in the dielectric relaxation increases with the increasing molar ratio.

  7. Device Performance and Reliability Improvements of AlGaBN/GaN/Si MOSFET

    DTIC Science & Technology

    2016-02-04

    Metal insulator semiconductor AlGaN /GaN high electron mobility transistors (MISHEMTs) are promising for power device applications due to a lower leakage...current than the conventional Schottky AlGaN/GaN HEMTs.1–3 Among a large number of insulator materials, an Al2O3 dielectric layer, deposited by...atomic layer deposition (ALD), is often employed as the gate insulator because of a large band gap (and the resultant high conduction band offset on

  8. A solid dielectric gated graphene nanosensor in electrolyte solutions.

    PubMed

    Zhu, Yibo; Wang, Cheng; Petrone, Nicholas; Yu, Jaeeun; Nuckolls, Colin; Hone, James; Lin, Qiao

    2015-03-23

    This letter presents a graphene field effect transistor (GFET) nanosensor that, with a solid gate provided by a high- κ dielectric, allows analyte detection in liquid media at low gate voltages. The gate is embedded within the sensor and thus is isolated from a sample solution, offering a high level of integration and miniaturization and eliminating errors caused by the liquid disturbance, desirable for both in vitro and in vivo applications. We demonstrate that the GFET nanosensor can be used to measure pH changes in a range of 5.3-9.3. Based on the experimental observations and quantitative analysis, the charging of an electrical double layer capacitor is found to be the major mechanism of pH sensing.

  9. Synthesis, integration, and characterization of metal oxide films as alternative gate dielectric materials

    NASA Astrophysics Data System (ADS)

    Lin, You-Sheng

    ZrO2 and HfO2 were investigated in this study to replace SiO2 as the potential gate dielectric materials in metal-oxide-semiconductor field effect transistors. ZrO2 and HfO2 films were deposited on p-type Si (100) wafers by an atomic layer chemical vapor deposition (ALCVD) process using zirconium (IV) t-butoxide and hafnium (IV) t-butoxide as the metal precursors, respectively. Oxygen was used alternatively with these metal alkoxide precursors into the reactor with purging and evacuation in between. The as-deposited ZrO2 and HfO2 films were stoichiometric and uniform based on X-ray photoemission spectroscopy and ellipsometry measurements. X-ray diffraction analysis indicated that the deposited films were amorphous, however, the high-resolution transmission electron microscopy showed an interfacial layer formation on the silicon substrate. Time-of-flight secondary ion mass spectrometry and medium energy ion scattering analysis showed significant intermixing between metal oxides and Si, indicating the formation of metal silicates, which were confirmed by their chemical etching resistance in HF solutions. The thermal stability of ZrO2 and HfO2 thin films on silicon was examined by monitoring their decomposition temperatures in ultra-high vacuum, using in-situ synchrotron radiation ultra-violet photoemission spectroscopy. The as-deposited ZrO2 and HfO2 thin films were thermally stable up to 880°C and 950°C in vacuum, respectively. The highest achieveable dielectric constants of as-deposited ZrO 2 and HfO2 were 21 and 24, respectively, which were slightly lower than the reported dielectric constants of bulk ZrO2 and HfO 2. These slight reductions in dielectric constants were attributed to the formation of the interfacial metal silicate layers. Very small hysteresis and interface state density were observed for both metal oxide films. Their leakage currents were a few orders of magnitude lower than that of SiO 2 at the same equivalent oxide thickness. NMOSFETs were

  10. The role of cleaning conditions and epitaxial layer structure on reliability of Sc 2O 3 and MgO passivation on AlGaN/GaN HEMTS

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R. M.; Kim, Jihyun; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Fitch, R. C.; Gillespie, J.; Dellmer, R.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2002-12-01

    The effect of layer structure (GaN versus AlGaN cap) and cleaning procedure prior to Sc 2O 3 or MgO deposition at 100 °C were examined for their effects on the long-term bias-stress stability of AlGaN/GaN high electron mobility transistors (HEMTs). Surface cleaning by itself was not sufficient to prevent current collapse in the devices. The forward and reverse gate leakage currents were decreased under most conditions upon deposition of the oxide passivation layers. After ≈13 h of bias-stressing, the MgO-passivated HEMTs retain ⩾90% their initial drain-source current. The Sc 2O 3-passivated devices retained ˜80% recovery of the current under the same conditions.

  11. Some TEM observations of Al2O3 scales formed on NiCrAl alloys

    NASA Technical Reports Server (NTRS)

    Smialek, J.; Gibala, R.

    1979-01-01

    The microstructural development of Al2O3 scales on NiCrAl alloys has been examined by transmission electron microscopy. Voids were observed within grains in scales formed on a pure NiCrAl alloy. Both voids and oxide grains grew measurably with oxidation time at 1100 C. The size and amount of porosity decreased towards the oxide-metal growth interface. The voids resulted from an excess number of oxygen vacancies near the oxidemetal interface. Short-circuit diffusion paths were discussed in reference to current growth stress models for oxide scales. Transient oxidation of pure, Y-doped, and Zr-doped NiCrAl was also examined. Oriented alpha-(Al, Cr)2O3 and Ni(Al, Cr)2O4 scales often coexisted in layered structures on all three alloys. Close-packed oxygen planes and directions in the corundum and spinel layers were parallel. The close relationship between oxide layers provided a gradual transition from initial transient scales to steady state Al2O3 growth.

  12. Synthesis, microstructure and magnetic properties of Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Jian, E-mail: snove418562@163.com; Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081; Fan, Xi’an, E-mail: groupfxa@163.com

    2015-11-15

    Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core have been synthesized via a modified stöber method combined with following high temperature sintering process. Most of conductive Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by insulating SiO{sub 2} using the modified stöber method. The Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles exhibited good soft magnetic properties with low coercivity and high saturation magnetization. The reaction 4Al+3SiO{sub 2}=2α-Al{sub 2}O{sub 3}+3Si took place during the sintering process. As a result the new Fe{sub 3}Si/Al{sub 2}O{sub 3} composite was formed. The Fe{sub 3}Si/Al{sub 2}O{submore » 3} composite core displayed more excellent soft magnetic properties, better frequency stability at high frequencies, much higher electrical resistivity and lower core loss than the pure Fe{sub 3}Si{sub 0.7}Al{sub 0.3} core. The method of introducing insulating layers surrounding magnetic particles provides a promising route to develop new and high compact soft magnetic materials with good magnetic and electric properties. - Graphical abstract: In Fe{sub 3}Si/Al{sub 2}O{sub 3} composite, Fe{sub 3}Si phases are separated by Al{sub 2}O{sub 3} layers and the eddy currents are confined in Fe{sub 3}Si phases, thus increasing resistivity and reducing core loss. - Highlights: • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores were prepared. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by nano-sized SiO{sub 2} clusters. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores showed good soft magnetic properties. • Fe{sub 3}Si/Al{sub 2}O{sub 3} had lower core loss and better frequency stability than Fe{sub 3}Si{sub 0.7}Al{sub 0.3} cores.« less

  13. 27Al, 47,49Ti, 31P, and 13C MAS NMR Study of VX, GD, and HD Reactions with Nanosize Al2O3, Conventional Al2O3 and TiO2, and Aluminum and Titanium Metal

    DTIC Science & Technology

    2007-01-01

    The alumina was used as received. Anatase, rutile, aluminum, and titania metal powders, titanium (IV) isopropoxide , and pinacolyl methylphosphonate...Synthesis. Titanophosphonate synthesis was adapted from Mutin et al.4 using titanium (IV) isopropoxide (TIP) and pinacolyl methylphosphonate (PMPA...REPORT 27Al, 47,49Ti, 31P, and 13C MAS NMR Study of VX, GD, and HD Reactions with Nanosize Al2O3, Conventional Al2O3 and TiO2, and Aluminum and Titanium

  14. Ethanol Sensor of CdO/Al2O3/CeO2 Obtained from Ce-DOPED Layered Double Hydroxides with High Response and Selectivity

    NASA Astrophysics Data System (ADS)

    Xu, Dongmei; Guan, Meiyu; Xu, Qinghong; Guo, Ying; Wang, Yao

    2013-04-01

    In this paper, Ce-doped CdAl layered double hydroxide (LDH) was first synthesized and the derivative CdO/Al2O3/CeO2 composite oxide was prepared by calcining Ce-doped CdAl LDH. The structure, morphology and chemical state of the Ce doped CdAl LDH and CdO/Al2O3/CeO2 were also investigated by X-ray diffraction (XRD), Fourier transform infrared (FT-IR), solid state nuclear magnetic resonance (SSNMR), scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). The gas sensing properties of CdO/Al2O3/CeO2 to ethanol were further studied and compared with CdO/Al2O3 prepared from CdAl LDH, CeO2 powder as well as the calcined Ce salt. It turns out that CdO/Al2O3/CeO2 sensor shows best performance in ethanol response. Besides, CdO/Al2O3/CeO2 possesses short response/recovery time (12/72 s) as well as remarkable selectivity in ethanol sensing, which means composite oxides prepared from LDH are very promising in gas sensing application.

  15. Structural and electrical properties of atomic layer deposited Al-doped ZrO2 films and of the interface with TaN electrode

    NASA Astrophysics Data System (ADS)

    Spiga, S.; Rao, R.; Lamagna, L.; Wiemer, C.; Congedo, G.; Lamperti, A.; Molle, A.; Fanciulli, M.; Palma, F.; Irrera, F.

    2012-07-01

    Al-doped ZrO2 (Al-ZrO2) films deposited by atomic layer deposition onto silicon substrates and the interface with the TaN metal gate are investigated. In particular, structural properties of as-grown and annealed films in the 6-26 nm thickness range, as well as leakage and capacitive behavior of metal-oxide-semiconductor stacks are characterized. As-deposited Al-ZrO2 films in the mentioned thickness range are amorphous and crystallize in the ZrO2 cubic phase after thermal treatment at 900 °C. Correspondingly, the dielectric constant (k) value increases from 20 ± 1 to 27 ± 2. The Al-ZrO2 layers exhibit uniform composition through the film thickness and are thermally stable on Si, whereas chemical reactions take place at the TaN/Al-ZrO2 interface. A transient capacitance technique is adopted for monitoring charge trapping and flat band instability at short and long time scales. The role of traps nearby the TaN/Al-ZrO2 interface is discussed and compared with other metal/high-k oxide films. Further, analytical modeling of the flat band voltage shift with a power-law dependence on time allows extracting features of bulk traps close to the silicon/oxide interface, which exhibit energy levels in the 1.4-1.9 eV range above the valence band of the Al-ZrO2.

  16. Effect of V2O5 Addition on the Phase Composition of Bi5FeTi3O15 Ceramic and RF/Microwave Dielectric Properties

    NASA Astrophysics Data System (ADS)

    Aguiar, F. A. A.; Sales, A. J. M.; Araújo, B. S.; Sabóia, K. D. A.; Filho, M. C. Campos; Sombra, A. S. B.; Ayala, A. P.; Fechine, P. B. A.

    2017-04-01

    Bi5FeTi3O15 (BFT) polycrystalline ceramic with the addition of different concentrations of V2O5 was obtained by a solid-state method. X-ray powder diffraction, Raman spectroscopy and scanning electron microscopy (SEM) were used to study the microstructure and crystalline phases of the ceramics. SEM images showed plate-like morphology with dimensions between 0.32 μm and 3.07 μm (grain size, average around 1.3 μm). For samples with V2O5 concentration below 5%, Raman spectra were mainly determined by the vibrational modes from BFT. Impedance spectroscopy was also performed to evaluate the dielectric properties at microwave and radio frequencies (RF). Two extra phases (Bi4V1.5Fe0.5O10.5 and Bi2Ti2O7) were found due to the chemical reaction between BFT and V2O5. These phases were responsible for the changes in the grain morphology and dielectric response. V2O5 addition increased the real part of the dielectric permittivity ( ɛ') and reduced the dielectric loss tangent (tan δ) values at the RF range of 10 Hz to 1 MHz. For microwave frequencies of 3-3.5 GHz, ɛ' and temperature coefficient of resonant frequency ( τ f) values ranged from 66.52 ppm/°C to 88.60 ppm/°C and -304.3 ppm/°C to -192.6 ppm/°C, respectively. Thereby, BFT ceramics with added V2O5 are good candidates to be used for microwave devices (e.g., cell phones).

  17. Phase Compositions of Self Reinforcement Al2O3/CaAl12O19 Composite using X-ray Diffraction Data and Rietveld Technique

    NASA Astrophysics Data System (ADS)

    Asmi, D.; Low, I. M.; O'Connor, B.

    2008-03-01

    The analysis of x-ray diffraction (XRD) patterns by the Rietveld technique was tested to the quantitatively phase compositions of self reinforcement Al2O3/CaAl12O19 composite. Room-temperature XRD patterns revealed that α-Al2O3 was the only phase presence in the CA0 sample, whereas the α-Al2O3 and CaAl12O19 phases were found for CA5, CA15, CA30, and CA50 samples. The peak intensity of CA6 in the self reinforcement Al2O3/CaAl12O19 composites increased in proportion with increase in CaAl12O19 content in contrast to α-Al2O3. The diffraction patterns for CA100 sample shows minor traces of α-Al2O3 even in relatively low peak intensity. It is suggesting that the in-situ reaction sintering of raw materials were not react completely to form 100 wt% CaAl12O19 at temperature 1650 °C. Quantitative phase compositions of self reinforcement Al2O3/CaAl12O19 composites by Rietveld analysis with XRD data has been well demonstrated. The results showed that the GOF values are relatively low and the fluctuation in the difference plots shows a reasonable fit between the observed and the calculated plot.

  18. Development of AlN and TiB2 Composites with Nb2O5, Y2O3 and ZrO2 as Sintering Aids

    PubMed Central

    González, José C.; Rodríguez, Miguel Á.; Figueroa, Ignacio A.; Villafuerte-Castrejón, María-Elena; Díaz, Gerardo C.

    2017-01-01

    The synthesis of AlN and TiB2 by spark plasma sintering (SPS) and the effect of Nb2O5, Y2O3 and ZrO2 additions on the mechanical properties and densification of the produced composites is reported and discussed. After the SPS process, dense AlN and TiB2 composites with Nb2O5, Y2O3 and ZrO2 were successfully prepared. X-ray diffraction analysis showed that in the AlN composites, the addition of Nb2O5 gives rise to Nb4N3 during sintering. The compound Y3Al5O12 (YAG) was observed as precipitate in the sample with Y2O3. X-ray diffraction analysis of the TiB2 composites showed TiB2 as a single phase in these materials. The maximum Vickers and toughness values were 14.19 ± 1.43 GPa and 27.52 ± 1.75 GPa for the AlN and TiB2 composites, respectively. PMID:28772681

  19. Analysis of Al2O3—parylene C bilayer coatings and impact of microelectrode topography on long term stability of implantable neural arrays

    NASA Astrophysics Data System (ADS)

    Caldwell, Ryan; Mandal, Himadri; Sharma, Rohit; Solzbacher, Florian; Tathireddy, Prashant; Rieth, Loren

    2017-08-01

    Objective. Performance of many dielectric coatings for neural electrodes degrades over time, contributing to loss of neural signals and evoked percepts. Studies using planar test substrates have found that a novel bilayer coating of atomic-layer deposited (ALD) Al2O3 and parylene C is a promising candidate for neural electrode applications, exhibiting superior stability to parylene C alone. However, initial results from bilayer encapsulation testing on non-planar devices have been less positive. Our aim was to evaluate ALD Al2O3-parylene C coatings using novel test paradigms, to rigorously evaluate dielectric coatings for neural electrode applications by incorporating neural electrode topography into test structure design. Approach. Five test devices incorporated three distinct topographical features common to neural electrodes, derived from the utah electrode array (UEA). Devices with bilayer (52 nm Al2O3  +  6 µm parylene C) were evaluated against parylene C controls (N  ⩾  6 per device type). Devices were aged in phosphate buffered saline at 67 °C for up to 311 d, and monitored through: (1) leakage current to evaluate encapsulation lifetimes (>1 nA during 5VDC bias indicated failure), and (2) wideband (1-105 Hz) impedance. Main results. Mean-times-to-failure (MTTFs) ranged from 12 to 506 d for bilayer-coated devices, versus 10 to  >2310 d for controls. Statistical testing (log-rank test, α  =  0.05) of failure rates gave mixed results but favored the control condition. After failure, impedance loss for bilayer devices continued for months and manifested across the entire spectrum, whereas the effect was self-limiting after several days, and restricted to frequencies  <100 Hz for controls. These results correlated well with observations of UEAs encapsulated with bilayer and control films. Significance. We observed encapsulation failure modes and behaviors comparable to neural electrode performance which were undetected in

  20. X-ray and optical crystallographic parameters investigations of high frequency induction melted Al-(alpha-Al(2)O(3)) alloys.

    PubMed

    Bourbia, A; Draissia, M; Bedboudi, H; Boulkhessaim, S; Debili, M Y

    2010-01-01

    This article deals with the microstructural strengthening mechanisms of aluminium by means of hard alpha-Al(2)O(3) alumina fine particles. A broad of understanding views covering materials preparations, elaboration process, characterization techniques and associated microstructural characteristic parameters measurements is given. In order to investigate the microstructural characteristic parameters and the mechanical strengthening mechanisms of pure aluminium by hard fine particles, a set of Al-(alpha-Al(2)O(3)) alloys samples were made under vacuum by high fusion temperature melting, the high frequency (HF) process, and rapidly solidified under ambient temperature from a mixture of cold-compacted high-pure fine Al and alpha-Al(2)O(3) powders. The as-solidified Al-(alpha-Al(2)O(3)) alloys were characterized by means of X-ray diffraction (XRD) analyses, optical microscopy observations and Vickers microhardness tests in both brut and heat-treated states. It was found that the as-solidified HF Al-(alpha-Al(2)O(3)) alloys with compositions below 4 wt.% (alpha-Al(2)O(3)) are single-phase microstructures of the solid solution FCC Al phase and over two-phase microstructures of the solid solution FCC Al and the Rhombohedral alpha-Al(2)O(3) phases. The optical micrographs reveal the presence of a grain size refinement in these alloys. Vickers microhardness of the as-solidified Al-(alpha-Al(2)O(3)) is increased by means of pure fine alpha-Al(2)O(3) alumina particles. These combined effects of strengthening and grain size refinement observed in the as-solidified Al-(alpha-Al(2)O(3)) alloys are essentially due to a strengthening of Al by the alpha-Al(2)O(3) alumina particles insertion in the (HF) melted and rapidly solidified alloys.