Sample records for al2o3 gate insulator

  1. Insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor devices with Al2O3 or AlTiO gate dielectrics

    NASA Astrophysics Data System (ADS)

    Le, Son Phuong; Nguyen, Duong Dai; Suzuki, Toshi-kazu

    2018-01-01

    We have investigated insulator-semiconductor interface fixed charges in AlGaN/GaN metal-insulator-semiconductor (MIS) devices with Al2O3 or AlTiO (an alloy of Al2O3 and TiO2) gate dielectrics obtained by atomic layer deposition on AlGaN. Analyzing insulator-thickness dependences of threshold voltages for the MIS devices, we evaluated positive interface fixed charges, whose density at the AlTiO/AlGaN interface is significantly lower than that at the Al2O3/AlGaN interface. This and a higher dielectric constant of AlTiO lead to rather shallower threshold voltages for the AlTiO gate dielectric than for Al2O3. The lower interface fixed charge density also leads to the fact that the two-dimensional electron concentration is a decreasing function of the insulator thickness for AlTiO, whereas being an increasing function for Al2O3. Moreover, we discuss the relationship between the interface fixed charges and interface states. From the conductance method, it is shown that the interface state densities are very similar at the Al2O3/AlGaN and AlTiO/AlGaN interfaces. Therefore, we consider that the lower AlTiO/AlGaN interface fixed charge density is not owing to electrons trapped at deep interface states compensating the positive fixed charges and can be attributed to a lower density of oxygen-related interface donors.

  2. Investigating compositional effects of atomic layer deposition ternary dielectric Ti-Al-O on metal-insulator-semiconductor heterojunction capacitor structure for gate insulation of InAlN/GaN and AlGaN/GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Colon, Albert; Stan, Liliana; Divan, Ralu

    Gate insulation/surface passivation in AlGaN/GaN and InAlN/GaN heterojunction field-effect transistors is a major concern for passivation of surface traps and reduction of gate leakage current. However, finding the most appropriate gate dielectric materials is challenging and often involves a compromise of the required properties such as dielectric constant, conduction/valence band-offsets, or thermal stability. Creating a ternary compound such as Ti-Al-O and tailoring its composition may result in a reasonably good gate material in terms of the said properties. To date, there is limited knowledge of the performance of ternary dielectric compounds on AlGaN/GaN and even less on InAlN/GaN. To approachmore » this problem, the authors fabricated metal-insulator-semiconductor heterojunction (MISH) capacitors with ternary dielectrics Ti-Al-O of various compositions, deposited by atomic layer deposition (ALD). The film deposition was achieved by alternating cycles of TiO2 and Al2O3 using different ratios of ALD cycles. TiO2 was also deposited as a reference sample. The electrical characterization of the MISH capacitors shows an overall better performance of ternary compounds compared to the pure TiO2. The gate leakage current density decreases with increasing Al content, being similar to 2-3 orders of magnitude lower for a TiO2:Al2O3 cycle ratio of 2:1. Although the dielectric constant has the highest value of 79 for TiO2 and decreases with increasing the number of Al2O3 cycles, it is maintaining a relatively high value compared to an Al2O3 film. Capacitance voltage sweeps were also measured in order to characterize the interface trap density. A decreasing trend in the interface trap density was found while increasing Al content in the film. In conclusion, our study reveals that the desired high-kappa properties of TiO2 can be adequately maintained while improving other insulator performance factors. The ternary compounds may be an excellent choice as a gate material

  3. TiN/Al2O3/ZnO gate stack engineering for top-gate thin film transistors by combination of post oxidation and annealing

    NASA Astrophysics Data System (ADS)

    Kato, Kimihiko; Matsui, Hiroaki; Tabata, Hitoshi; Takenaka, Mitsuru; Takagi, Shinichi

    2018-04-01

    Control of fabrication processes for a gate stack structure with a ZnO thin channel layer and an Al2O3 gate insulator has been examined for enhancing the performance of a top-gate ZnO thin film transistor (TFT). The Al2O3/ZnO interface and the ZnO layer are defective just after the Al2O3 layer formation by atomic layer deposition. Post treatments such as plasma oxidation, annealing after the Al2O3 deposition, and gate metal formation (PMA) are promising to improve the interfacial and channel layer qualities drastically. Post-plasma oxidation effectively reduces the interfacial defect density and eliminates Fermi level pinning at the Al2O3/ZnO interface, which is essential for improving the cut-off of the drain current of TFTs. A thermal effect of post-Al2O3 deposition annealing at 350 °C can improve the crystalline quality of the ZnO layer, enhancing the mobility. On the other hand, impacts of post-Al2O3 deposition annealing and PMA need to be optimized because the annealing can also accompany the increase in the shallow-level defect density and the resulting electron concentration, in addition to the reduction in the deep-level defect density. The development of the interfacial control technique has realized the excellent TFT performance with a large ON/OFF ratio, steep subthreshold characteristics, and high field-effect mobility.

  4. Synthesis and electron storage characteristics of isolated silver nanodots on/embedded in Al 2O 3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Q.; Song, Z. T.; Liu, W. L.; Lin, C. L.; Wang, T. H.

    2004-05-01

    Monolayer-isolated silver (Ag) nanodots with the average diameter down to 7 nm are synthesized on Al 2O 3/Si substrate by vacuum electron-beam evaporation followed by annealing at 400 °C in N 2 ambient. Metal-insulator-silicon (MIS) structures with Ag nanodots embedded in Al 2O 3 gate dielectric are fabricated. Clear electron storage effect with the flatband voltage shift of 1.3 eV is observed through capacitance-conductance and conductance-voltage measurements. Our results demonstrate the feasibility of applying Ag nanodots for nanocrystal floating-gate memory devices.

  5. Effects of HfO2/Al2O3 gate stacks on electrical performance of planar In x Ga1- x As tunneling field-effect transistors

    NASA Astrophysics Data System (ADS)

    Ahn, Dae-Hwan; Yoon, Sang-Hee; Takenaka, Mitsuru; Takagi, Shinichi

    2017-08-01

    We study the impact of gate stacks on the electrical characteristics of Zn-diffused source In x Ga1- x As tunneling field-effect transistors (TFETs) with Al2O3 or HfO2/Al2O3 gate insulators. Ta and W gate electrodes are compared in terms of the interface trap density (D it) of InGaAs MOS interfaces. It is found that D it is lower at the W/HfO2/Al2O3 InGaAs MOS interface than at the Ta/HfO2/Al2O3 interface. The In0.53Ga0.47As TFET with a W/HfO2 (2.7 nm)/Al2O3 (0.3 nm) gate stack of 1.4-nm-thick capacitance equivalent thickness (CET) has a steep minimum subthreshold swing (SS) of 57 mV/dec, which is attributed to the thin CET and low D it. Also, the In0.53Ga0.47As (2.6 nm)/In0.67Ga0.33As (3.2 nm)/In0.53Ga0.47As (96.5 nm) quantum-well (QW) TFET supplemented with this 1.4-nm-thick CET gate stack exhibits a steeper minimum SS of 54 mV/dec and a higher on-current (I on) than those of the In0.53Ga0.47As TFET.

  6. Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics for metal-insulator-metal capacitor applications

    NASA Astrophysics Data System (ADS)

    Ding, Shi-Jin; Zhu, Chunxiang; Li, Ming-Fu; Zhang, David Wei

    2005-08-01

    Atomic-layer-deposited Al2O3-HfO2-Al2O3 dielectrics have been investigated to replace conventional silicon oxide and nitride for radio frequency and analog metal-insulator-metal capacitors applications. In the case of 1-nm-Al2O3, sufficiently good electrical performances are achieved, including a high dielectric constant of ˜17, a small dissipation factor of 0.018 at 100kHz, an extremely low leakage current of 7.8×10-9A/cm2 at 1MV/cm and 125°C, perfect voltage coefficients of capacitance (74ppm/V2 and 10ppm/V). The quadratic voltage coefficient of capacitance decreases with the applied frequency due to the change of relaxation time with different carrier mobility in insulator, and correlates with the dielectric composition and thickness, which is of intrinsic property owing to electric field polarization. Furthermore, the conduction mechanism of the AHA dielectrics is also discussed, indicating the Schottky emission dominated at room temperature.

  7. Nature of superconductor-insulator transition at LaAlO{sub 3}/SrTiO{sub 3} interface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mohanta, N., E-mail: nmohanta@phy.iitkgp.ernet.in; Taraphder, A.; Centre for Theoretical Studies, Indian Institute of Technology Kharagpur, W. B. 721302

    2015-05-15

    The two-dimensional electron liquid, at the interface between two band insulators LaAlO{sub 3} and SrTiO{sub 3}, exhibits novel, unconventional superconductivity below 200 mK. One of the remarkable properties of the two-dimensional superconductor is its fantastic tunability by external parameters such as gate-voltage or magnetic field. We study the superconductor to insulator transition induced by gate-voltage by employing a self-consistent, mean-field Bogoliubov-de Gennes treatment based on an effective model. We show that the non-monotonic behaviour of the superconductivity with respect to gate-voltage is intrinsically due to the Rashba spin-orbit coupling. With increasing gate-voltage both the electron concentration and Rashba spin-orbit splittingmore » increases. Elevated electron filling boosts superconductivity whereas enhanced spin-orbit splitting annihilates electron-pairing. The non-monotonicity is a result of this competition. The device application of the superconductor-insulator transition in this interface is discussed.« less

  8. Interfacial Cation-Defect Charge Dipoles in Stacked TiO2/Al2O3 Gate Dielectrics.

    PubMed

    Zhang, Liangliang; Janotti, Anderson; Meng, Andrew C; Tang, Kechao; Van de Walle, Chris G; McIntyre, Paul C

    2018-02-14

    Layered atomic-layer-deposited and forming-gas-annealed TiO 2 /Al 2 O 3 dielectric stacks, with the Al 2 O 3 layer interposed between the TiO 2 and a p-type germanium substrate, are found to exhibit a significant interface charge dipole that causes a ∼-0.2 V shift of the flat-band voltage and suppresses the leakage current density for gate injection of electrons. These effects can be eliminated by the formation of a trilayer dielectric stack, consistent with the cancellation of one TiO 2 /Al 2 O 3 interface dipole by the addition of another dipole of opposite sign. Density functional theory calculations indicate that the observed interface-dependent properties of TiO 2 /Al 2 O 3 dielectric stacks are consistent in sign and magnitude with the predicted behavior of Al Ti and Ti Al point-defect dipoles produced by local intermixing of the Al 2 O 3 /TiO 2 layers across the interface. Evidence for such intermixing is found in both electrical and physical characterization of the gate stacks.

  9. Atomic layer deposited Ta2O5 gate insulation for enhancing breakdown voltage of AlN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Deen, D. A.; Storm, D. F.; Bass, R.; Meyer, D. J.; Katzer, D. S.; Binari, S. C.; Lacis, J. W.; Gougousi, T.

    2011-01-01

    AlN/GaN heterostructures with a 3.5 nm AlN cap have been grown by molecular beam epitaxy followed by a 6 nm thick atomic layer deposited Ta2O5 film. Transistors fabricated with 150 nm length gates showed drain current density of 1.37 A/mm, transconductance of 315 mS/mm, and sustained drain-source biases up to 96 V while in the off-state before destructive breakdown as a result of the Ta2O5 gate insulator. Terman's method has been modified for the multijunction capacitor and allowed the measurement of interface state density (˜1013 cm-2 eV-1). Small-signal frequency performance of 75 and 115 GHz was obtained for ft and fmax, respectively.

  10. Top gating control of superconductivity at the LaAlO3 /SrTiO3 interfaces

    NASA Astrophysics Data System (ADS)

    Jouan, Alexis; Hurand, Simon; Feuillet-Palma, Cheryl; Singh, Gyanendra; Lesueur, Jerome; Bergeal, Nicolas; Lesne, Edouard; Reyren, Nicolas

    2015-03-01

    Transition metal oxides display a great variety of quantum electronic behaviors. Epitaxial interfaces involving such materials give a unique opportunity to engineer artificial materials where new electronic orders take place. It has been shown that a superconducting two-dimensional electron gas could form at the interface of two insulators such as LaAlO3 and SrTiO3 [1], or LaTiO3 and SrTiO3 [2]. An important feature of these interfaces lies in the possibility to control their electronic properties, including superconductivity and spin-orbit coupling (SOC) with field effect [3-5]. However, experiments have been performed almost exclusively with a metallic gate on the back of the sample. In this presentation, we will report on the realization of a top-gated LaAlO3/SrTiO3 device whose physical properties, including superconductivity and SOC, can be tuned over a wide range of electrostatic doping. In particular, we will present a phase diagram of the interface and compare the effect of the top-gate and back-gate. Finally, we will discuss the field-effect modulation of the Rashba spin-splitting energy extracted from the analysis of magneto-transport measurements. Our result paves the way for the realization of mesoscopic devices where both superconductivity and SOC can be tuned locally.

  11. Compositional and gate tuning of the interfacial conductivity in LaAlO3/LaTiO3/SrTiO3 heterostructures

    NASA Astrophysics Data System (ADS)

    Hosoda, Masayuki; Bell, Christopher; Hikita, Yasuyuki; Hwang, Harold Y.

    2013-03-01

    We investigate the effect of LaTiO3 insertion at the interface between LaAlO3 and TiO2 terminated {100} SrTiO3 for a series of LaAlO3 and LaTiO3 thicknesses. A clear increase of the carrier density was observed while the Hall mobility was largely unchanged. In structures with LaAlO3 thickness ˜3 unit cells, close to the critical thickness for conductivity, as little as 0.25 unit cells of LaTiO3 drives an insulator-to-metal transition. These samples show a strong dependence of the conductivity on voltage with electrostatic back-gating, which can be understood in a two-carrier picture, and dominated by the change in carrier density at the interface.

  12. Fabrication of amorphous InGaZnO thin-film transistor with solution processed SrZrO3 gate insulator

    NASA Astrophysics Data System (ADS)

    Takahashi, Takanori; Oikawa, Kento; Hoga, Takeshi; Uraoka, Yukiharu; Uchiyama, Kiyoshi

    2017-10-01

    In this paper, we describe a method of fabrication of thin film transistors (TFTs) with high dielectric constant (high-k) gate insulator by a solution deposition. We chose a solution processed SrZrO3 as a gate insulator material, which possesses a high dielectric constant of 21 with smooth surface. The IGZO-TFT with solution processed SrZrO3 showed good switching property and enough saturation features, i.e. field effect mobility of 1.7cm2/Vs, threshold voltage of 4.8V, sub-threshold swing of 147mV/decade, and on/off ratio of 2.3×107. Comparing to the TFTs with conventional SiO2 gate insulator, the sub-threshold swing was improved by smooth surface and high field effect due to the high dielectric constant of SrZrO3. These results clearly showed that use of solution processed high-k SrZrO3 gate insulator could improve sub-threshold swing. In addition, the residual carbon originated from organic precursors makes TFT performances degraded.

  13. SiO2/AlON stacked gate dielectrics for AlGaN/GaN MOS heterojunction field-effect transistors

    NASA Astrophysics Data System (ADS)

    Watanabe, Kenta; Terashima, Daiki; Nozaki, Mikito; Yamada, Takahiro; Nakazawa, Satoshi; Ishida, Masahiro; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    Stacked gate dielectrics consisting of wide bandgap SiO2 insulators and thin aluminum oxynitride (AlON) interlayers were systematically investigated in order to improve the performance and reliability of AlGaN/GaN metal–oxide–semiconductor (MOS) devices. A significantly reduced gate leakage current compared with that in a single AlON layer was achieved with these structures, while maintaining the superior thermal stability and electrical properties of the oxynitride/AlGaN interface. Consequently, distinct advantages in terms of the reliability of the gate dielectrics, such as an improved immunity against electron injection and an increased dielectric breakdown field, were demonstrated for AlGaN/GaN MOS capacitors with optimized stacked structures having a 3.3-nm-thick AlON interlayer.

  14. Top-gated field-effect LaAlO{sub 3}/SrTiO{sub 3} devices made by ion-irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hurand, S.; Jouan, A.; Feuillet-Palma, C.

    2016-02-01

    We present a method to fabricate top-gated field-effect devices in a LaAlO{sub 3}/SrTiO{sub 3} two-dimensional electron gas (2-DEG). Prior to the gate deposition, the realisation of micron size conducting channels in the 2-DEG is achieved by an ion-irradiation with high-energy oxygen ions. After identifying the ion fluence as the key parameter that determines the electrical transport properties of the channels, we demonstrate the field-effect operation. At low temperature, the normal state resistance and the superconducting T{sub c} can be tuned over a wide range by a top-gate voltage without any leakage. A superconductor-to-insulator quantum phase transition is observed for amore » strong depletion of the 2-DEG.« less

  15. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2.

    PubMed

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-15

    Top-gated and bottom-gated transistors with multilayer MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on-off current ratio of 10 8 , high field-effect mobility of 10 2 cm 2 V -1 s -1 , and low subthreshold swing of 93 mV dec -1 . Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10 -3 -10 -2 V MV -1 cm -1 after 6 MV cm -1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS 2 channel fully encapsulated by stacked Al 2 O 3 /HfO 2 is a promising way to fabricate high-performance ML MoS 2 field-effect transistors for practical electron device applications.

  16. A comparative study on top-gated and bottom-gated multilayer MoS2 transistors with gate stacked dielectric of Al2O3/HfO2

    NASA Astrophysics Data System (ADS)

    Zou, Xiao; Xu, Jingping; Huang, Hao; Zhu, Ziqang; Wang, Hongjiu; Li, Borui; Liao, Lei; Fang, Guojia

    2018-06-01

    Top-gated and bottom-gated transistors with multilayer MoS2 channel fully encapsulated by stacked Al2O3/HfO2 (9 nm/6 nm) were fabricated and comparatively studied. Excellent electrical properties are demonstrated for the TG transistors with high on–off current ratio of 108, high field-effect mobility of 102 cm2 V‑1 s‑1, and low subthreshold swing of 93 mV dec–1. Also, enhanced reliability has been achieved for the TG transistors with threshold voltage shift of 10‑3–10‑2 V MV–1 cm–1 after 6 MV cm‑1 gate-biased stressing. All improvement for the TG device can be ascribed to the formed device structure and dielectric environment. Degradation of the performance for the BG transistors should be attributed to reduced gate capacitance density and deteriorated interface properties related to vdW gap with a thickness about 0.4 nm. So, the TG transistor with MoS2 channel fully encapsulated by stacked Al2O3/HfO2 is a promising way to fabricate high-performance ML MoS2 field-effect transistors for practical electron device applications.

  17. Nanostructured bilayer anodic TiO2/Al2O3 metal-insulator-metal capacitor.

    PubMed

    Karthik, R; Kannadassan, D; Baghini, Maryam Shojaei; Mallick, P S

    2013-10-01

    This paper presents the fabrication of high performance bilayer TiO2/Al2O3 Metal-Insulator-Metal capacitor using anodization technique. A high capacitance density of 7 fF/microm2, low quadratic voltage coefficient of capacitance of 150 ppm/V2 and a low leakage current density of 9.1 nA/cm2 at 3 V are achieved which are suitable for Analog and Mixed signal applications. The influence of anodization voltage on structural and electrical properties of dielectric stack is studied in detail. At higher anodization voltages, we have observed the transformation of amorphous to crystalline state of TiO2/Al2O3 and improvement of electrical properties.

  18. Effect of atomic layer deposition temperature on current conduction in Al2O3 films formed using H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Kawarada, Hiroshi

    2016-08-01

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al2O3 films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al2O3 metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO2 capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al2O3 capacitors are found to outperform the SiO2 capacitors in the cases where the capacitors are negatively biased and the gate material is adequately selected to reduce virtual dipoles at the gate/Al2O3 interface. The Al2O3 electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al2O3 capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al2O3. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al2O3 capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al2O3/underlying SiO2 interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al2O3 films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering, a 450 °C ALD process is presently the most promising technology for growing high-reliability Al2O3 films.

  19. Impact of gate work-function on memory characteristics in Al2O3/HfOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Kim, Sungmin; Seo, David H.; Seo, Sunae; Won Kang, Tae; Wang, Kang L.

    2012-01-01

    Graphene-based non-volatile memory devices composed of a single-layer graphene channel and an Al2O3/HfOx/Al2O3 charge-storage layer exhibit memory functionality. The impact of the gate material's work-function (Φ) on the memory characteristics is investigated using different types of metals [Ti (ΦTi = 4.3 eV) and Ni (ΦNi = 5.2 eV)]. The ambipolar carrier conduction of graphene results in an enlargement of memory window (ΔVM), which is ˜4.5 V for the Ti-gate device and ˜9.1 V for the Ni-gate device. The increase in ΔVM is attributed to the change in the flat-band condition and the suppression of electron back-injection within the gate stack.

  20. Determining oxide trapped charges in Al2O3 insulating films on recessed AlGaN/GaN heterostructures by gate capacitance transients measurements

    NASA Astrophysics Data System (ADS)

    Fiorenza, Patrick; Greco, Giuseppe; Schilirò, Emanuela; Iucolano, Ferdinando; Lo Nigro, Raffaella; Roccaforte, Fabrizio

    2018-05-01

    This letter presents time-dependent gate-capacitance transient measurements (C–t) to determine the oxide trapped charges (N ot) in Al2O3 films deposited on recessed AlGaN/GaN heterostructures. The C–t transients acquired at different temperatures under strong accumulation allowed to accurately monitor the gradual electron trapping, while hindering the re-emission by fast traps that may affect conventional C–V hysteresis measurements. Using this method, an increase of N ot from 2 to 6 × 1012 cm‑2 was estimated between 25 and 150 °C. The electron trapping is ruled by an Arrhenius dependence with an activation energy of 0.12 eV which was associated to points defects present in the Al2O3 films.

  1. Effect of atomic layer deposition temperature on current conduction in Al{sub 2}O{sub 3} films formed using H{sub 2}O oxidant

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Matsumura, Daisuke; Kawarada, Hiroshi, E-mail: kawarada@waseda.jp

    To develop high-performance, high-reliability gate insulation and surface passivation technologies for wide-bandgap semiconductor devices, the effect of atomic layer deposition (ALD) temperature on current conduction in Al{sub 2}O{sub 3} films is investigated based on the recently proposed space-charge-controlled field emission model. Leakage current measurement shows that Al{sub 2}O{sub 3} metal-insulator-semiconductor capacitors formed on the Si substrates underperform thermally grown SiO{sub 2} capacitors at the same average field. However, using equivalent oxide field as a more practical measure, the Al{sub 2}O{sub 3} capacitors are found to outperform the SiO{sub 2} capacitors in the cases where the capacitors are negatively biased andmore » the gate material is adequately selected to reduce virtual dipoles at the gate/Al{sub 2}O{sub 3} interface. The Al{sub 2}O{sub 3} electron affinity increases with the increasing ALD temperature, but the gate-side virtual dipoles are not affected. Therefore, the leakage current of negatively biased Al{sub 2}O{sub 3} capacitors is approximately independent of the ALD temperature because of the compensation of the opposite effects of increased electron affinity and permittivity in Al{sub 2}O{sub 3}. By contrast, the substrate-side sheet of charge increases with increasing ALD temperature above 210 °C and hence enhances the current of positively biased Al{sub 2}O{sub 3} capacitors more significantly at high temperatures. Additionally, an anomalous oscillatory shift of the current-voltage characteristics with ALD temperature was observed in positively biased capacitors formed by low-temperature (≤210 °C) ALD. This shift is caused by dipoles at the Al{sub 2}O{sub 3}/underlying SiO{sub 2} interface. Although they have a minimal positive-bias leakage current, the low-temperature-grown Al{sub 2}O{sub 3} films cause the so-called blisters problem when heated above 400 °C. Therefore, because of the absence of blistering

  2. Effect of incorporation of nitrogen atoms in Al2O3 gate dielectric of wide-bandgap-semiconductor MOSFET on gate leakage current and negative fixed charge

    NASA Astrophysics Data System (ADS)

    Kojima, Eiji; Chokawa, Kenta; Shirakawa, Hiroki; Araidai, Masaaki; Hosoi, Takuji; Watanabe, Heiji; Shiraishi, Kenji

    2018-06-01

    We performed first-principle calculations to investigate the effect of incorporation of N atoms into Al2O3 gate dielectrics. Our calculations show that the defect levels generated by VO in Al2O3 are the origin of the stress-induced gate leakage current and that VOVAl complexes in Al2O3 cause negative fixed charge. We revealed that the incorporation of N atoms into Al2O3 eliminates the VO defect levels, reducing the stress-induced gate leakage current. Moreover, this suppresses the formation of negatively charged VOVAl complexes. Therefore, AlON can reduce both stress-induced gate leakage current and negative fixed charge in wide-bandgap-semiconductor MOSFETs.

  3. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE PAGES

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.; ...

    2014-10-15

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  4. Atomic layer deposition TiO 2-Al 2O 3 stack: An improved gate dielectric on Ga-polar GaN metal oxide semiconductor capacitors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Daming; Edgar, James H.; Briggs, Dayrl P.

    This research focuses on the benefits and properties of TiO 2-Al 2O 3 nano-stack thin films deposited on Ga 2O 3/GaN by plasma-assisted atomic layer deposition (PA-ALD) for gate dielectric development. This combination of materials achieved a high dielectric constant, a low leakage current, and a low interface trap density. Correlations were sought between the films’ structure, composition, and electrical properties. The gate dielectrics were approximately 15 nm thick and contained 5.1 nm TiO 2, 7.1 nm Al 2O 3 and 2 nm Ga 2O 3 as determined by spectroscopic ellipsometry. The interface carbon concentration, as measured by x-ray photoelectronmore » spectroscopy (XPS) depth profile, was negligible for GaN pretreated by thermal oxidation in O 2 for 30 minutes at 850°C. The RMS roughness slightly increased after thermal oxidation and remained the same after ALD of the nano-stack, as determined by atomic force microscopy. The dielectric constant of TiO 2-Al 2O 3 on Ga2O3/GaN was increased to 12.5 compared to that of pure Al 2O 3 (8~9) on GaN. In addition, the nano-stack's capacitance-voltage (C-V) hysteresis was small, with a total trap density of 8.74 × 10 11 cm -2. The gate leakage current density (J=2.81× 10 -8 A/cm 2) was low at +1 V gate bias. These results demonstrate the promising potential of plasma ALD deposited TiO 2/Al 2O 3 for serving as the gate oxide on Ga 2O 3/GaN based MOS devices.« less

  5. Field-effect control of superconductivity and Rashba spin-orbit coupling in top-gated LaAlO3/SrTiO3 devices

    PubMed Central

    Hurand, S.; Jouan, A.; Feuillet-Palma, C.; Singh, G.; Biscaras, J.; Lesne, E.; Reyren, N.; Barthélémy, A.; Bibes, M.; Villegas, J. E.; Ulysse, C.; Lafosse, X.; Pannetier-Lecoeur, M.; Caprara, S.; Grilli, M.; Lesueur, J.; Bergeal, N.

    2015-01-01

    The recent development in the fabrication of artificial oxide heterostructures opens new avenues in the field of quantum materials by enabling the manipulation of the charge, spin and orbital degrees of freedom. In this context, the discovery of two-dimensional electron gases (2-DEGs) at LaAlO3/SrTiO3 interfaces, which exhibit both superconductivity and strong Rashba spin-orbit coupling (SOC), represents a major breakthrough. Here, we report on the realisation of a field-effect LaAlO3/SrTiO3 device, whose physical properties, including superconductivity and SOC, can be tuned over a wide range by a top-gate voltage. We derive a phase diagram, which emphasises a field-effect-induced superconductor-to-insulator quantum phase transition. Magneto-transport measurements show that the Rashba coupling constant increases linearly with the interfacial electric field. Our results pave the way for the realisation of mesoscopic devices, where these two properties can be manipulated on a local scale by means of top-gates. PMID:26244916

  6. Field effect transistor with HfO2/Parylene-C bilayer hybrid gate insulator

    NASA Astrophysics Data System (ADS)

    Kumar, Neeraj; Kito, Ai; Inoue, Isao

    2015-03-01

    We have investigated the electric field control of the carrier density and the mobility at the surface of SrTiO3, a well known transition-metal oxide, in a field effect transistor (FET) geometry. We have used a Parylene-C (8 nm)/HfO2 (20 nm) double-layer gate insulator (GI), which can be a potential candidate for a solid state GI for the future Mott FETs. So far, only examples of the Mott FET used liquid electrolyte or ferroelectric oxides for the GI. However, possible electrochemical reaction at the interface causes damage to the surface of the Mott insulator. Thus, an alternative GI has been highly desired. We observed that even an ultra thin Parylene-C layer is effective for keeping the channel surface clean and free from oxygen vacancies. The 8 nm Parylene-C film has a relatively low resistance and consequentially its capacitance does not dominate the total capacitance of the Parylene-C/HfO2 GI. The breakdown gate voltage at 300 K is usually more than 10 V (~ 3.4 MV/cm). At gate voltage of 3 V the carrier density measured by the Hall effect is about 3 ×1013 cm-2, competent to cause the Mott transition. Moreover, the field effect mobility reaches in the range of 10 cm2/Vs indicating the Parylene-C passivated surface is actually very clean.

  7. Probing charge transfer during metal-insulator transitions in graphene-LaAlO3/SrTiO3 systems

    NASA Astrophysics Data System (ADS)

    Aliaj, I.; Sambri, A.; Miseikis, V.; Stornaiuolo, D.; di Gennaro, E.; Coletti, C.; Pellegrini, V.; Miletto Granozio, F.; Roddaro, S.

    2018-06-01

    Two-dimensional electron systems (2DESs) at the interface between LaAlO3 (LAO) and SrTiO3 (STO) perovskite oxides display a wide class of tunable phenomena ranging from superconductivity to metal-insulator transitions. Most of these effects are strongly sensitive to surface physics and often involve charge transfer mechanisms, which are, however, hard to detect. In this work, we realize hybrid field-effect devices where graphene is used to modulate the transport properties of the LAO/STO 2DES. Different from a conventional gate, graphene is semimetallic and allows us to probe charge transfer with the oxide structure underneath the field-effect electrode. In LAO/STO samples with a low initial carrier density, graphene-covered regions turn insulating when the temperature is lowered to 3 K, but conduction can be restored in the oxide structure by increasing the temperature or by field effect. The evolution of graphene's electron density is found to be inconsistent with a depletion of LAO/STO, but it rather points to a localization of interfacial carriers in the oxide structure.

  8. Comparison of Multilayer Dielectric Thin Films for Future Metal-Insulator-Metal Capacitors: Al2O3/HfO2/Al2O3 versus SiO2/HfO2/SiO2

    NASA Astrophysics Data System (ADS)

    Park, Sang-Uk; Kwon, Hyuk-Min; Han, In-Shik; Jung, Yi-Jung; Kwak, Ho-Young; Choi, Woon-Il; Ha, Man-Lyun; Lee, Ju-Il; Kang, Chang-Yong; Lee, Byoung-Hun; Jammy, Raj; Lee, Hi-Deok

    2011-10-01

    In this paper, two kinds of multilayered metal-insulator-metal (MIM) capacitors using Al2O3/HfO2/Al2O3 (AHA) and SiO2/HfO2/SiO2 (SHS) were fabricated and characterized for radio frequency (RF) and analog mixed signal (AMS) applications. The experimental results indicate that the AHA MIM capacitor (8.0 fF/µm2) is able to provide a higher capacitance density than the SHS MIM capacitor (5.1 fF/µm2), while maintaining a low leakage current of about 50 nA/cm2 at 1 V. The quadratic voltage coefficient of capacitance, α gradually decreases as a function of stress time under constant voltage stress (CVS). The parameter variation of SHS MIM capacitors is smaller than that of AHA MIM capacitors. The effects of CVS on voltage linearity and time-dependent dielectric breakdown (TDDB) characteristics were also investigated.

  9. Improvement in top-gate MoS2 transistor performance due to high quality backside Al2O3 layer

    NASA Astrophysics Data System (ADS)

    Bolshakov, Pavel; Zhao, Peng; Azcatl, Angelica; Hurley, Paul K.; Wallace, Robert M.; Young, Chadwin D.

    2017-07-01

    A high quality Al2O3 layer is developed to achieve high performance in top-gate MoS2 transistors. Compared with top-gate MoS2 field effect transistors on a SiO2 layer, the intrinsic mobility and subthreshold slope were greatly improved in high-k backside layer devices. A forming gas anneal is found to enhance device performance due to a reduction in the charge trap density of the backside dielectric. The major improvements in device performance are ascribed to the forming gas anneal and the high-k dielectric screening effect of the backside Al2O3 layer. Top-gate devices built upon these stacks exhibit a near-ideal subthreshold slope of ˜69 mV/dec and a high Y-Function extracted intrinsic carrier mobility (μo) of 145 cm2/V.s, indicating a positive influence on top-gate device performance even without any backside bias.

  10. Top and Split Gating Control of the Electrical Characteristics of a Two-dimensional Electron Gas in a LaAlO3/SrTiO3 Perovskite

    NASA Astrophysics Data System (ADS)

    Kwak, Yongsu; Song, Jonghyun; Kim, Jihwan; Kim, Jinhee

    2018-04-01

    A top gate field effect transistor was fabricated using polymethyl methacrylate (PMMA) as a gate insulator on a LaAlO3 (LAO)/SrTiO3 (STO) hetero-interface. It showed n-type behavior, and a depletion mode was observed at low temperature. The electronic properties of the 2-dimensional electron gas at the LAO/STO hetero-interface were not changed by covering LAO with PMMA following the Au top gate electrode. A split gate device was also fabricated to construct depletion mode by using a narrow constriction between the LAO/STO conduction interface. The depletion mode, as well as superconducting critical current, could be controlled by applying a split gate voltage. Noticeably, the superconducting critical current tended to decrease with decreasing the split gate voltage and finally became zero. These results indicate that a weak-linked Josephson junction can be constructed and destroyed by split gating. This observation opens the possibility of gate-voltage-adjustable quantum devices.

  11. Time-dependent dielectric breakdown of atomic-layer-deposited Al2O3 films on GaN

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Sasaki, Toshio; Okubo, Satoshi; Horikawa, Kiyotaka; Kawarada, Hiroshi

    2018-04-01

    Atomic-layer-deposited (ALD) Al2O3 films are the most promising surface passivation and gate insulation layers in non-Si semiconductor devices. Here, we carried out an extensive study on the time-dependent dielectric breakdown characteristics of ALD-Al2O3 films formed on homo-epitaxial GaN substrates using two different oxidants at two different ALD temperatures. The breakdown times were approximated by Weibull distributions with average shape parameters of 8 or larger. These values are reasonably consistent with percolation theory predictions and are sufficiently large to neglect the wear-out lifetime distribution in assessing the long-term reliability of the Al2O3 films. The 63% lifetime of the Al2O3 films increases exponentially with a decreasing field, as observed in thermally grown SiO2 films at low fields. This exponential relationship disproves the correlation between the lifetime and the leakage current. Additionally, the lifetime decreases with measurement temperature with the most remarkable reduction observed in high-temperature (450 °C) O3-grown films. This result agrees with that from a previous study, thereby ruling out high-temperature O3 ALD as a gate insulation process. When compared at 200 °C under an equivalent SiO2 field of 4 MV/cm, which is a design guideline for thermal SiO2 on Si, high-temperature H2O-grown Al2O3 films have the longest lifetimes, uniquely achieving the reliability target of 20 years. However, this target is accomplished by a relatively narrow margin and, therefore, improvements in the lifetime are expected to be made, along with efforts to decrease the density of extrinsic Al2O3 defects, if any, to promote the practical use of ALD Al2O3 films.

  12. Electron Trap Energy Distribution in ALD Al2O3, LaAl4Ox, and GdyAl2-yO3 Layers on Silicon

    NASA Astrophysics Data System (ADS)

    Wang, W. C.; Badylevich, M.; Adelmann, C.; Swerts, J.; Kittl, J. A.; Afanas'ev, V. V.

    2012-12-01

    The energy distribution of electron trap density in atomic layer deposited Al2O3, LaAl4Ox and GdyAl2-yO3 insulating layers was studied by using the exhaustive photodepopulation spectroscopy. Upon filling the traps by electron tunneling from Si substrate, a broad energy distribution of trap levels in the energy range 2-4 eV is found in all studied insulators with trap densities in the range of 1012 cm-2eV-1. The incorporation of La and Gd cations reduces the trap density in aluminate layers as compared to Al2O3. Crystallization of the insulator by the post-deposition annealing is found to increase the trap density while the energy distribution remains unchanged. The similar trap spectra in the Al2O3 and La or Gd aluminate layers suggest the common nature of the traps, probably originating from imperfections in the AlOx sub-network.

  13. Energy-band alignment of (HfO2)x(Al2O3)1-x gate dielectrics deposited by atomic layer deposition on β-Ga2O3 (-201)

    NASA Astrophysics Data System (ADS)

    Yuan, Lei; Zhang, Hongpeng; Jia, Renxu; Guo, Lixin; Zhang, Yimen; Zhang, Yuming

    2018-03-01

    Energy band alignments between series band of Al-rich high-k materials (HfO2)x(Al2O3)1-x and β-Ga2O3 are investigated using X-Ray Photoelectron Spectroscopy (XPS). The results exhibit sufficient conduction band offsets (1.42-1.53 eV) in (HfO2)x(Al2O3)1-x/β-Ga2O3. In addition, it is also obtained that the value of Eg, △Ec, and △Ev for (HfO2)x(Al2O3)1-x/β-Ga2O3 change linearly with x, which can be expressed by 6.98-1.27x, 1.65-0.56x, and 0.48-0.70x, respectively. The higher dielectric constant and higher effective breakdown electric field of (HfO2)x(Al2O3)1-x compared with Al2O3, coupled with sufficient barrier height and lower gate leakage makes it a potential dielectric for high voltage β-Ga2O3 power MOSFET, and also provokes interest in further investigation of HfAlO/β-Ga2O3 interface properties.

  14. Gate-Variable Mid-Infrared Optical Transitions in a (Bi1-xSbx)2Te3 Topological Insulator.

    PubMed

    Whitney, William S; Brar, Victor W; Ou, Yunbo; Shao, Yinming; Davoyan, Artur R; Basov, D N; He, Ke; Xue, Qi-Kun; Atwater, Harry A

    2017-01-11

    We report mid-infrared spectroscopy measurements of ultrathin, electrostatically gated (Bi 1-x Sb x ) 2 Te 3 topological insulator films in which we observe several percent modulation of transmittance and reflectance as gating shifts the Fermi level. Infrared transmittance measurements of gated films were enabled by use of an epitaxial lift-off method for large-area transfer of topological insulator films from infrared-absorbing SrTiO 3 growth substrates to thermal oxidized silicon substrates. We combine these optical experiments with transport measurements and angle-resolved photoemission spectroscopy to identify the observed spectral modulation as a gate-driven transfer of spectral weight between both bulk and 2D topological surface channels and interband and intraband channels. We develop a model for the complex permittivity of gated (Bi 1-x Sb x ) 2 Te 3 and find a good match to our experimental data. These results open the path for layered topological insulator materials as a new candidate for tunable, ultrathin infrared optics and highlight the possibility of switching topological optoelectronic phenomena between bulk and spin-polarized surface regimes.

  15. Electrical Double Layer Capacitance in a Graphene-embedded Al2O3 Gate Dielectric

    PubMed Central

    Ki Min, Bok; Kim, Seong K.; Jun Kim, Seong; Ho Kim, Sung; Kang, Min-A; Park, Chong-Yun; Song, Wooseok; Myung, Sung; Lim, Jongsun; An, Ki-Seok

    2015-01-01

    Graphene heterostructures are of considerable interest as a new class of electronic devices with exceptional performance in a broad range of applications has been realized. Here, we propose a graphene-embedded Al2O3 gate dielectric with a relatively high dielectric constant of 15.5, which is about 2 times that of Al2O3, having a low leakage current with insertion of tri-layer graphene. In this system, the enhanced capacitance of the hybrid structure can be understood by the formation of a space charge layer at the graphene/Al2O3 interface. The electrical properties of the interface can be further explained by the electrical double layer (EDL) model dominated by the diffuse layer. PMID:26530817

  16. Engineering epitaxial γ-Al2O3 gate dielectric films on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Tanner, Carey M.; Toney, Michael F.; Lu, Jun; Blom, Hans-Olof; Sawkar-Mathur, Monica; Tafesse, Melat A.; Chang, Jane P.

    2007-11-01

    The formation of epitaxial γ-Al2O3 thin films on 4H-SiC was found to be strongly dependent on the film thickness. An abrupt interface was observed in films up to 200 Å thick with an epitaxial relationship of γ-Al2O3(111)‖4H-SiC(0001) and γ-Al2O3(44¯0)‖4H-SiC(112¯0). The in-plane alignment between the film and the substrate is nearly complete for γ-Al2O3 films up to 115 Å thick, but quickly diminishes in thicker films. The films are found to be slightly strained laterally in tension; the strain increases with thickness and then decreases in films thicker than 200 Å, indicating strain relaxation which is accompanied by increased misorientation. By controlling the structure of ultrathin Al2O3 films, metal-oxide-semiconductor capacitors with Al2O3 gate dielectrics on 4H-SiC were found to have a very low leakage current density, suggesting suitability of Al2O3 for SiC device integration.

  17. La interstitial defect-induced insulator-metal transition in the oxide heterostructures LaAl O3 /SrTi O3

    NASA Astrophysics Data System (ADS)

    Zhou, Jun; Yang, Ming; Feng, Yuan Ping; Rusydi, Andrivo

    2017-11-01

    Perovskite oxide interfaces have attracted tremendous research interest for their fundamental physics and promising all-oxide electronic applications. Here, based on first-principles calculations, we propose a surface La interstitial promoted interface insulator-metal transition in LaAl O3 /SrTi O3 (110). Compared with surface oxygen vacancies, which play a determining role on the insulator-metal transition of LaAl O3 /SrTi O3 (001) interfaces, we find that surface La interstitials can be more experimentally realistic and accessible for manipulation and more stable in an ambient atmospheric environment. Interestingly, these surface La interstitials also induce significant spin-splitting states with a Ti dy z/dx z character at a conducting LaAl O3 /SrTi O3 (110) interface. On the other hand, for insulating LaAl O3 /SrTi O3 (110) (<4 unit cells LaAl O3 thickness), a distortion between La (Al) and O atoms is found at the LaAl O3 side, partially compensating the polarization divergence. Our results reveal the origin of the metal-insulator transition in LaAl O3 /SrTi O3 (110) heterostructures, and also shed light on the manipulation of the superior properties of LaAl O3 /SrTi O3 (110) for different possibilities in electronic and magnetic applications.

  18. Remote interfacial dipole scattering and electron mobility degradation in Ge field-effect transistors with GeO x /Al2O3 gate dielectrics

    NASA Astrophysics Data System (ADS)

    Wang, Xiaolei; Xiang, Jinjuan; Wang, Shengkai; Wang, Wenwu; Zhao, Chao; Ye, Tianchun; Xiong, Yuhua; Zhang, Jing

    2016-06-01

    Remote Coulomb scattering (RCS) on electron mobility degradation is investigated experimentally in Ge-based metal-oxide-semiconductor field-effect-transistors (MOSFETs) with GeO x /Al2O3 gate stacks. It is found that the mobility increases with greater GeO x thickness (7.8-20.8 Å). The physical origin of this mobility dependence on GeO x thickness is explored. The following factors are excluded: Coulomb scattering due to interfacial traps at GeO x /Ge, phonon scattering, and surface roughness scattering. Therefore, the RCS from charges in gate stacks is studied. The charge distributions in GeO x /Al2O3 gate stacks are evaluated experimentally. The bulk charges in Al2O3 and GeO x are found to be negligible. The density of the interfacial charge is  +3.2  ×  1012 cm-2 at the GeO x /Ge interface and  -2.3  ×  1012 cm-2 at the Al2O3/GeO x interface. The electric dipole at the Al2O3/GeO x interface is found to be  +0.15 V, which corresponds to an areal charge density of 1.9  ×  1013 cm-2. The origin of this mobility dependence on GeO x thickness is attributed to the RCS due to the electric dipole at the Al2O3/GeO x interface. This remote dipole scattering is found to play a significant role in mobility degradation. The discovery of this new scattering mechanism indicates that the engineering of the Al2O3/GeO x interface is key for mobility enhancement and device performance improvement. These results are helpful for understanding and engineering Ge mobility enhancement.

  19. Thickness engineering of atomic layer deposited Al2O3 films to suppress interfacial reaction and diffusion of Ni/Au gate metal in AlGaN/GaN HEMTs up to 600 °C in air

    NASA Astrophysics Data System (ADS)

    Suria, Ateeq J.; Yalamarthy, Ananth Saran; Heuser, Thomas A.; Bruefach, Alexandra; Chapin, Caitlin A.; So, Hongyun; Senesky, Debbie G.

    2017-06-01

    In this paper, we describe the use of 50 nm atomic layer deposited (ALD) Al2O3 to suppress the interfacial reaction and inter-diffusion between the gate metal and semiconductor interface, to extend the operation limit up to 600 °C in air. Suppression of diffusion is verified through Auger electron spectroscopy (AES) depth profiling and X-ray diffraction (XRD) and is further supported with electrical characterization. An ALD Al2O3 thin film (10 nm and 50 nm), which functions as a dielectric layer, was inserted between the gate metal (Ni/Au) and heterostructure-based semiconductor material (AlGaN/GaN) to form a metal-insulator-semiconductor high electron mobility transistor (MIS-HEMT). This extended the 50 nm ALD Al2O3 MIS-HEMT (50-MIS) current-voltage (Ids-Vds) and gate leakage (Ig,leakage) characteristics up to 600 °C. Both, the 10 nm ALD Al2O3 MIS-HEMT (10-MIS) and HEMT, failed above 350 °C, as evidenced by a sudden increase of approximately 50 times and 5.3 × 106 times in Ig,leakage, respectively. AES on the HEMT revealed the formation of a Ni-Au alloy and Ni present in the active region. Additionally, XRD showed existence of metal gallides in the HEMT. The 50-MIS enables the operation of AlGaN/GaN based electronics in oxidizing high-temperature environments, by suppressing interfacial reaction and inter-diffusion of the gate metal with the semiconductor.

  20. Optimization of a Solution-Processed SiO2 Gate Insulator by Plasma Treatment for Zinc Oxide Thin Film Transistors.

    PubMed

    Jeong, Yesul; Pearson, Christopher; Kim, Hyun-Gwan; Park, Man-Young; Kim, Hongdoo; Do, Lee-Mi; Petty, Michael C

    2016-01-27

    We report on the optimization of the plasma treatment conditions for a solution-processed silicon dioxide gate insulator for application in zinc oxide thin film transistors (TFTs). The SiO2 layer was formed by spin coating a perhydropolysilazane (PHPS) precursor. This thin film was subsequently thermally annealed, followed by exposure to an oxygen plasma, to form an insulating (leakage current density of ∼10(-7) A/cm(2)) SiO2 layer. Optimized ZnO TFTs (40 W plasma treatment of the gate insulator for 10 s) possessed a carrier mobility of 3.2 cm(2)/(V s), an on/off ratio of ∼10(7), a threshold voltage of -1.3 V, and a subthreshold swing of 0.2 V/decade. In addition, long-term exposure (150 min) of the pre-annealed PHPS to the oxygen plasma enabled the maximum processing temperature to be reduced from 180 to 150 °C. The resulting ZnO TFT exhibited a carrier mobility of 1.3 cm(2)/(V s) and on/off ratio of ∼10(7).

  1. Reduced electron back-injection in Al2O3/AlOx/Al2O3/graphene charge-trap memory devices

    NASA Astrophysics Data System (ADS)

    Lee, Sejoon; Song, Emil B.; Min Kim, Sung; Lee, Youngmin; Seo, David H.; Seo, Sunae; Wang, Kang L.

    2012-12-01

    A graphene charge-trap memory is devised using a single-layer graphene channel with an Al2O3/AlOx/Al2O3 oxide stack, where the ion-bombarded AlOx layer is intentionally added to create an abundance of charge-trap sites. The low dielectric constant of AlOx compared to Al2O3 reduces the potential drop in the control oxide Al2O3 and suppresses the electron back-injection from the gate to the charge-storage layer, allowing the memory window of the device to be further extended. This shows that the usage of a lower dielectric constant in the charge-storage layer compared to that of the control oxide layer improves the memory performance for graphene charge-trap memories.

  2. Admittance of MIS-Structures Based on HgCdTe with a Double-Layer CdTe/Al2O3 Insulator

    NASA Astrophysics Data System (ADS)

    Dzyadukh, S. M.; Voitsekhovskii, A. V.; Nesmelov, S. N.; Sidorov, G. Yu.; Varavin, V. S.; Vasil'ev, V. V.; Dvoretsky, S. A.; Mikhailov, N. N.; Yakushev, M. V.

    2018-03-01

    Admittance of MIS structures based on n( p)- Hg1-xCdxTe (at x from 0.22 to 0.40) with SiO2/Si3N4, Al2O3, and CdTe/Al2O3 insulators is studied experimentally at 77 K. Growth of an intermediate CdTe layer during epitaxy results in the almost complete disappearance of the hysteresis of electrophysical characteristics of MIS structures based on graded-gap n-HgCdTe for a small range of the voltage variation. For a wide range of the voltage variation, the hysteresis of the capacitance-voltage characteristics appears for MIS structures based on n-HgCdTe with the CdTe/Al2O3 insulator. However, the hysteresis mechanism differs from that in case of a single-layer Al2O3 insulator. For MIS structures based on p-HgCdTe, introduction of an additional CdTe layer does not lead to a significant decrease of the hysteresis phenomena, which may be due to the degradation of the interface properties when mercury leaves the film as a result of low-temperature annealing changing the conductivity type of the semiconductor.

  3. Metal-oxide assisted surface treatment of polyimide gate insulators for high-performance organic thin-film transistors.

    PubMed

    Kim, Sohee; Ha, Taewook; Yoo, Sungmi; Ka, Jae-Won; Kim, Jinsoo; Won, Jong Chan; Choi, Dong Hoon; Jang, Kwang-Suk; Kim, Yun Ho

    2017-06-14

    We developed a facile method for treating polyimide-based organic gate insulator (OGI) surfaces with self-assembled monolayers (SAMs) by introducing metal-oxide interlayers, called the metal-oxide assisted SAM treatment (MAST). To create sites for surface modification with SAM materials on polyimide-based OGI (KPI) surfaces, the metal-oxide interlayer, here amorphous alumina (α-Al 2 O 3 ), was deposited on the KPI gate insulator using spin-coating via a rapid sol-gel reaction, providing an excellent template for the formation of a high-quality SAM with phosphonic acid anchor groups. The SAM of octadecylphosphonic acid (ODPA) was successfully treated by spin-coating onto the α-Al 2 O 3 -deposited KPI film. After the surface treatment by ODPA/α-Al 2 O 3 , the surface energy of the KPI thin film was remarkably decreased and the molecular compatibility of the film with an organic semiconductor (OSC), 2-decyl-7-phenyl-[1]benzothieno[3,2-b][1]benzothiophene (Ph-BTBT-C 10 ), was increased. Ph-BTBT-C 10 molecules were uniformly deposited on the treated gate insulator surface and grown with high crystallinity, as confirmed by atomic force microscopy (AFM) and X-ray diffraction (XRD) analysis. The mobility of Ph-BTBT-C 10 thin-film transistors (TFTs) was approximately doubled, from 0.56 ± 0.05 cm 2 V -1 s -1 to 1.26 ± 0.06 cm 2 V -1 s -1 , after the surface treatment. The surface treatment of α-Al 2 O 3 and ODPA significantly decreased the threshold voltage from -21.2 V to -8.3 V by reducing the trap sites in the OGI and improving the interfacial properties with the OSC. We suggest that the MAST method for OGIs can be applied to various OGI materials lacking reactive sites using SAMs. It may provide a new platform for the surface treatment of OGIs, similar to that of conventional SiO 2 gate insulators.

  4. Suppression of Leakage Current of Metal-Insulator-Semiconductor Ta2O5 Capacitors with Al2O3/SiON Buffer Layer

    NASA Astrophysics Data System (ADS)

    Tonomura, Osamu; Miki, Hiroshi; Takeda, Ken-ichi

    2011-10-01

    An Al2O3/SiO buffer layer was incorporated in a metal-insulator-semiconductor (MIS) Ta2O5 capacitor for dynamic random access memory (DRAM) application. Al2O3 was chosen for the buffer layer owing to its high band offset against silicon and oxidation resistance against increase in effective oxide thickness (EOT). It was clarified that post-deposition annealing in nitrogen at 800 °C for 600 s increased the band offset between Al2O3 and the lower electrode and decreased leakage current by two orders of magnitude at 1 V. Furthermore, we predicted and experimentally confirmed that there was an optimized value of y in (Si3N4)y(SiO2)(1-y), which is 0.58, for minimizing the leakage current and EOT of SiON. To clarify the oxidation resistance and appropriate thickness of Al2O3, a TiN/Ta2O5/Al2O3/SiON/polycrystalline-silicon capacitor was fabricated. It was confirmed that the lower electrode was not oxidized during the crystallization annealing of Ta2O5. By setting the Al2O3 thickness to 3.4 nm, the leakage current is lowered below the required value with an EOT of 3.6 nm.

  5. Low voltage operation of IGZO thin film transistors enabled by ultrathin Al2O3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Ma, Pengfei; Du, Lulu; Wang, Yiming; Jiang, Ran; Xin, Qian; Li, Yuxiang; Song, Aimin

    2018-01-01

    An ultrathin, 5 nm, Al2O3 film grown by atomic-layer deposition was used as a gate dielectric for amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). The Al2O3 layer showed a low surface roughness of 0.15 nm, a low leakage current, and a high breakdown voltage of 6 V. In particular, a very high gate capacitance of 720 nF/cm2 was achieved, making it possible for the a-IGZO TFTs to not only operate at a low voltage of 1 V but also exhibit desirable properties including a low threshold voltage of 0.3 V, a small subthreshold swing of 100 mV/decade, and a high on/off current ratio of 1.2 × 107. Furthermore, even under an ultralow operation voltage of 0.6 V, well-behaved transistor characteristics were still observed with an on/off ratio as high as 3 × 106. The electron transport through the Al2O3 layer has also been analyzed, indicating the Fowler-Nordheim tunneling mechanism.

  6. Enhanced two dimensional electron gas transport characteristics in Al2O3/AlInN/GaN metal-oxide-semiconductor high-electron-mobility transistors on Si substrate

    NASA Astrophysics Data System (ADS)

    Freedsman, J. J.; Watanabe, A.; Urayama, Y.; Egawa, T.

    2015-09-01

    The authors report on Al2O3/Al0.85In0.15N/GaN Metal-Oxide-Semiconductor High-Electron-Mobility Transistor (MOS-HEMT) on Si fabricated by using atomic layer deposited Al2O3 as gate insulator and passivation layer. The MOS-HEMT with the gate length of 2 μm exhibits excellent direct-current (dc) characteristics with a drain current maximum of 1270 mA/mm at a gate bias of 3 V and an off-state breakdown voltage of 180 V for a gate-drain spacing of 4 μm. Also, the 1 μm-gate MOS-HEMT shows good radio-frequency (rf) response such as current gain and maximum oscillation cut-off frequencies of 10 and 34 GHz, respectively. The capacitance-voltage characteristics at 1 MHz revealed significant increase in two-dimensional electron gas (2DEG) density for the MOS-HEMT compared to conventional Schottky barrier HEMTs. Analyses using drain-source conductivity measurements showed improvements in 2DEG transport characteristics for the MOS-HEMT. The enhancements in dc and rf performances of the Al2O3/Al0.85In0.15N/GaN MOS-HEMT are attributed to the improvements in 2DEG characteristics.

  7. High-performance enhancement-mode Al2O3/InAlGaN/GaN MOS high-electron mobility transistors with a self-aligned gate recessing technology

    NASA Astrophysics Data System (ADS)

    Zhang, Kai; Kong, Cen; Zhou, Jianjun; Kong, Yuechan; Chen, Tangsheng

    2017-02-01

    The paper reports high-performance enhancement-mode MOS high-electron mobility transistors (MOS-HEMTs) based on a quaternary InAlGaN barrier. Self-aligned gate technology is used for gate recessing, dielectric deposition, and gate electrode formation. An improved digital recessing process is developed, and an Al2O3 gate dielectric grown with O2 plasma is used. Compared to results with AlGaN barrier, the fabricated E-mode MOS-HEMT with InAlGaN barrier delivers a record output current density of 1.7 A/mm with a threshold voltage (V TH) of 1.5 V, and a small on-resistance (R on) of 2.0 Ω·mm. Excellent V TH hysteresis and greatly improved gate leakage characteristics are also demonstrated.

  8. Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors with atomic layer deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Lin, H. C.; Yang, T.; Sharifi, H.; Kim, S. K.; Xuan, Y.; Shen, T.; Mohammadi, S.; Ye, P. D.

    2007-11-01

    Enhancement-mode GaAs metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) with ex situ atomic-layer-deposited Al2O3 as gate dielectrics are studied. Maximum drain currents of 211 and 263mA/mm are obtained for 1μm gate-length Al2O3 MOS-HEMTs with 3 and 6nm thick gate oxide, respectively. C-V characteristic shows negligible hysteresis and frequency dispersion. The gate leakage current density of the MOS-HEMTs is 3-5 orders of magnitude lower than the conventional HEMTs under similar bias conditions. The drain current on-off ratio of MOS-HEMTs is ˜3×103 with a subthreshold swing of 90mV/decade. A maximum cutoff frequency (fT) of 27.3GHz and maximum oscillation frequency (fmax) of 39.9GHz and an effective channel mobility of 4250cm2/Vs are measured for the 1μm gate-length Al2O3 MOS-HEMT with 6nm gate oxide. Hooge's constant measured by low frequency noise spectral density characterization is 3.7×10-5 for the same device.

  9. Direct current performance and current collapse in AlGaN/GaN insulated gate high-electron mobility transistors on Si (1 1 1) substrate with very thin SiO2 gate dielectric

    NASA Astrophysics Data System (ADS)

    Lachab, M.; Sultana, M.; Fatima, H.; Adivarahan, V.; Fareed, Q.; Khan, M. A.

    2012-12-01

    This work reports on the dc performance of AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) grown on Si (1 1 1) substrate and the study of current dispersion in these devices using various widely adopted methods. The MOSHEMTs were fabricated using a very thin (4.2 nm) SiO2 film as the gate insulator and were subsequently passivated with about 30 nm thick Si3N4 layer. For devices with 2.5 µm long gates and a 4 µm drain-to-source spacing, the maximum saturation drain current density was 822 mA mm-1 at + 4 V gate bias and the peak external transconductance was ˜100 mS mm-1. Furthermore, the oxide layer successfully suppressed the drain and gate leakage currents with the subthreshold current and the gate diode current levels exceeding by more than three orders of magnitude the levels found in their Schottky gate counterparts. Capacitance-voltage and dynamic current-voltage measurements were carried out to assess the oxide quality as well as the devices’ surface properties after passivation. The efficacy of each of these characterization techniques to probe the presence of interface traps and oxide charge in the nitride-based transistors is also discussed.

  10. Temperature dependence of trapping effects in metal gates/Al2O3/InGaAs stacks

    NASA Astrophysics Data System (ADS)

    Palumbo, F.; Pazos, S.; Aguirre, F.; Winter, R.; Krylov, I.; Eizenberg, M.

    2017-06-01

    The influence of the temperature on Metal Gate/Al2O3/n-InGaAs stacks has been studied by means of capacitance-voltage (C-V) hysteresis and flat band voltage as function of both negative and positive stress fields. It was found that the de-trapping effect decreases at low-temperature, indicating that the de-trapping of trapped electrons from oxide traps may be performed via Al2O3/InGaAs interface defects. The dependence of the C-V hysteresis on the stress field at different temperatures in our InGaAs stacks can be explained in terms of the defect spatial distribution. An oxide defect distribution can be found very close to the metal gate/Al2O3 interface. On the other side, the Al2O3/InGaAs interface presents defects distributed from the interface into the bulk of the oxide, showing the influence of InGaAs on Al2O3 in terms of the spatial defect distribution. At the present, he is a research staff of the National Council of Science and Technology (CONICET), working in the National Commission of Atomic Energy (CNEA) in Buenos Aires, Argentina, well embedded within international research collaboration. Since 2008, he is Professor at the National Technological University (UTN) in Buenos Aires, Argentina. Dr. Palumbo has received research fellowships from: Marie Curie Fellowship within the 7th European Community Framework Programme, Abdus Salam International Centre for Theoretical Physics (ICTP) Italy, National Council of Science and Technology (CONICET) Argentina, and Consiglio Nazionale delle Ricerche (CNR) Italy. He is also a frequent scientific visitor of academic institutions as IMM-CNR-Italy, Minatec Grenoble-France, the Autonomous University of Barcelona-Spain, and the Israel Institute of Technology-Technion. He has authored and co-authored more than 50 papers in international conferences and journals.

  11. Temperature dependence of the dielectric response of anodized Al-Al2O3-metal capacitors

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2003-03-01

    The temperature dependence of capacitance, CM, and conductance, GM, of Al-Al2O3-metal capacitors with Cu, Ag, and Au electrodes has been measured between 100 and 340 K at seven frequencies between 10 kHz and 1 MHz. Al2O3 films between 15 and 64 nm thick were formed by anodizing evaporated Al films in borate-glycol or borate-H2O electrolyte. The interface capacitance at the Al2O3-metal interface, CI, which is in series with the capacitance CD due to the Al2O3 dielectric, is determined from plots of 1/CM versus insulator thickness. CI is not fixed for a given metal-insulator interface but depends on the vacuum system used to deposit the metal electrode. CI is nearly temperature independent. When CI is taken into account the dielectric constant of Al2O3 determined from capacitance measurements is ˜8.3 at 295 K. The dielectric constant does not depend on anodizing electrolyte, insulator thickness, metal electrode, deposition conditions for the metal electrode or measurement frequency. By contrast, GM of Al-Al2O3-metal capacitors depends on both the deposition conditions of the metal and on the metal. For Al-Al2O3-Cu capacitors, GM is larger for capacitors with large values of 1/CI that result when Cu is evaporated in an oil-pumped vacuum system. For Al-Al2O3-Ag capacitors, GM does not depend on the Ag deposition conditions.

  12. Fully patterned p-channel SnO TFTs using transparent Al2O3 gate insulator and ITO as source and drain contacts

    NASA Astrophysics Data System (ADS)

    Guzmán-Caballero, D. E.; Quevedo-López, M. A.; De la Cruz, W.; Ramírez-Bon, R.

    2018-03-01

    SnO p-type was used as active layer to fabricate thin film transistors (TFTs) through photolithography and dry etching processes. The SnO p-type thin films (25 nm) were deposited by DC reactive sputtering with variable oxygen (O2) flow rate to then be annealed in air at 250 ◦C. Al2O3 gate dielectric (15 nm) was deposited by atomic layer deposition. Hall measurements showed p-type carrier concentration (N h ) of around 1 × 1018 cm-3 and Hall mobilities (μ Hall) between 0.35 and 2.64 cm2 V-1 s-1, depending on the O2 flow rate during deposition. The hole transport was dominated by variable-range hopping conduction. A change in the preferred crystalline orientation in the SnO films from (101) to (110) was associated with the increase in μ Hall. In addition, Raman vibrational modes at 110 and 209 cm-1 of polycrystalline SnO films showed certain dependence with the grain orientation. The SnO-based TFTs showed p-type behavior with low threshold voltages (V T ) and low sub threshold swing (SS) in the range from 1.76 to 3.50 V and 1.63 to 3.24 V/dec., respectively. The TFTs mobilities in the saturation regime (μ sat) were in the range of 0.12 and 1.32 cm2 V-1 s-1. The current on/off ratio (I ON/I OFF) was in the order of 102, approximately. The large values of the interface trap density (D IT) contributed to the high I OFF and the low I ON/I OFF of the TFTs.

  13. Al{sub 2}O{sub 3}/GeO{sub x} gate stack on germanium substrate fabricated by in situ cycling ozone oxidation method

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yang, Xu; Zeng, Zhen-Hua; Microwave Device and IC Department, Institute of Microelectronics of Chinese Academy of Sciences, Beijing 100029

    2014-09-01

    Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stack fabricated by an in situ cycling ozone oxidation (COO) method in the atomic layer deposition (ALD) system at low temperature is systematically investigated. Excellent electrical characteristics such as minimum interface trap density as low as 1.9 × 10{sup 11 }cm{sup −2 }eV{sup −1} have been obtained by COO treatment. The impact of COO treatment against the band alignment of Al{sub 2}O{sub 3} with respect to Ge is studied by x-ray photoelectron spectroscopy (XPS) and spectroscopic ellipsometry (SE). Based on both XPS and SE studies, the origin of gate leakage in the ALD-Al{sub 2}O{sub 3} is attributed to themore » sub-gap states, which may be correlated to the OH-related groups in Al{sub 2}O{sub 3} network. It is demonstrated that the COO method is effective in repairing the OH-related defects in high-k dielectrics as well as forming superior high-k/Ge interface for high performance Ge MOS devices.« less

  14. Tuning the metal-insulator crossover and magnetism in SrRuO 3 by ionic gating

    DOE PAGES

    Yi, Hee Taek; Gao, Bin; Xie, Wei; ...

    2014-10-13

    Reversible control of charge transport and magnetic properties without degradation is a key for device applications of transition metal oxides. Chemical doping during the growth of transition metal oxides can result in large changes in physical properties, but in most of the cases irreversibility is an inevitable constraint. We report a reversible control of charge transport, metal-insulator crossover and magnetism in field-effect devices based on ionically gated archetypal oxide system - SrRuO 3. In these thin-film devices, the metal-insulator crossover temperature and the onset of magnetoresistance can be continuously and reversibly tuned in the range 90–250 K and 70–100 K,more » respectively, by application of a small gate voltage. We infer that a reversible diffusion of oxygen ions in the oxide lattice dominates the response of these materials to the gate electric field. These findings provide critical insights into both the understanding of ionically gated oxides and the development of novel applications.« less

  15. Diamond field effect transistors with a high-dielectric constant Ta2O5 as gate material

    NASA Astrophysics Data System (ADS)

    Liu, J.-W.; Liao, M.-Y.; Imura, M.; Watanabe, E.; Oosato, H.; Koide, Y.

    2014-06-01

    A Ta2O5/Al2O3 bilayer gate oxide with a high-dielectric constant (high-k) has been successfully applied to a hydrogenated-diamond (H-diamond) metal-insulator-semiconductor field effect transistor (MISFET). The Ta2O5 layer is prepared by a sputtering-deposition (SD) technique on the Al2O3 buffer layer fabricated by an atomic layer deposition (ALD) technique. The ALD-Al2O3 plays an important role to eliminate plasma damage for the H-diamond surface during SD-Ta2O5 deposition. The dielectric constants of the SD-Ta2O5/ALD-Al2O3 bilayer and single SD-Ta2O5 are as large as 12.7 and 16.5, respectively. The k value of the single SD-Ta2O5 in this study is in good agreement with that of the SD-Ta2O5 on oxygen-terminated diamond. The capacitance-voltage characteristic suggests low interfacial trapped charge density for the SD-Ta2O5/ALD-Al2O3/H-diamond MIS diode. The MISFET with a gate length of 4 µm has a drain current maximum and an extrinsic transconductance of -97.7 mA mm-1 (normalized by gate width) and 31.0 ± 0.1 mS mm-1, respectively. The effective mobility in the H-diamond channel layer is found to be 70.1 ± 0.5 cm2 V-1 s-1.

  16. Improvement of Self-Heating of Indium Gallium Zinc Aluminum Oxide Thin-Film Transistors Using Al2O3 Barrier Layer

    NASA Astrophysics Data System (ADS)

    Jian, Li-Yi; Lee, Hsin-Ying; Lin, Yung-Hao; Lee, Ching-Ting

    2018-02-01

    To study the self-heating effect, aluminum oxide (Al2O3) barrier layers of various thicknesses have been inserted between the channel layer and insulator layer in bottom-gate-type indium gallium zinc aluminum oxide (IGZAO) thin-film transistors (TFTs). Each IGZAO channel layer was deposited on indium tin oxide (ITO)-coated glass substrate by using a magnetron radiofrequency cosputtering system with dual targets composed of indium gallium zinc oxide (IGZO) and Al. The 3 s orbital of Al cation provided an extra transport pathway and widened the conduction-band bottom, thus increasing the electron mobility of the IGZAO films. The Al-O bonds were able to sustain the oxygen stability of the IGZAO films. The self-heating behavior of the resulting IGZAO TFTs was studied by Hall measurements on the IGZAO films as well as the electrical performance of the IGZAO TFTs with Al2O3 barrier layers of various thicknesses at different temperatures. IGZAO TFTs with 50-nm-thick Al2O3 barrier layer were stressed by positive gate bias stress (PGBS, at gate-source voltage V GS = 5 V and drain-source voltage V DS = 0 V); at V GS = 5 V and V DS = 10 V, the threshold voltage shifts were 0.04 V and 0.2 V, respectively, much smaller than for the other IGZAO TFTs without Al2O3 barrier layer, which shifted by 0.2 V and 1.0 V when stressed under the same conditions.

  17. Impact of La{sub 2}O{sub 3} interfacial layers on InGaAs metal-oxide-semiconductor interface properties in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks deposited by atomic-layer-deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, C.-Y., E-mail: cychang@mosfet.t.u-tokyo.ac.jp; Takenaka, M.; Takagi, S.

    We examine the electrical properties of atomic layer deposition (ALD) La{sub 2}O{sub 3}/InGaAs and Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs metal-oxide-semiconductor (MOS) capacitors. It is found that the thick ALD La{sub 2}O{sub 3}/InGaAs interface provides low interface state density (D{sub it}) with the minimum value of ∼3 × 10{sup 11} cm{sup −2} eV{sup −1}, which is attributable to the excellent La{sub 2}O{sub 3} passivation effect for InGaAs surfaces. It is observed, on the other hand, that there are a large amount of slow traps and border traps in La{sub 2}O{sub 3}. In order to simultaneously satisfy low D{sub it} and small hysteresis, the effectivenessmore » of Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks with ultrathin La{sub 2}O{sub 3} interfacial layers is in addition evaluated. The reduction of the La{sub 2}O{sub 3} thickness to 0.4 nm in Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs gate stacks leads to the decrease in hysteresis. On the other hand, D{sub it} of the Al{sub 2}O{sub 3}/La{sub 2}O{sub 3}/InGaAs interfaces becomes higher than that of the La{sub 2}O{sub 3}/InGaAs ones, attributable to the diffusion of Al{sub 2}O{sub 3} through La{sub 2}O{sub 3} into InGaAs and resulting modification of the La{sub 2}O{sub 3}/InGaAs interface structure. As a result of the effective passivation effect of La{sub 2}O{sub 3} on InGaAs, however, the Al{sub 2}O{sub 3}/10 cycle (0.4 nm) La{sub 2}O{sub 3}/InGaAs gate stacks can realize still lower D{sub it} with maintaining small hysteresis and low leakage current than the conventional Al{sub 2}O{sub 3}/InGaAs MOS interfaces.« less

  18. Effect of Al gate on the electrical behaviour of Al-doped Ta2O5 stacks

    NASA Astrophysics Data System (ADS)

    Skeparovski, A.; Novkovski, N.; Atanassova, E.; Paskaleva, A.; Lazarov, V. K.

    2011-06-01

    The electrical behaviour of Al-doped Ta2O5 films on nitrided silicon and implemented in Al-gated MIS capacitors has been studied. The dopant was introduced into the Ta2O5 through its surface by deposing a thin Al layer on the top of Ta2O5 followed by an annealing process. The HRTEM images reveal that the initial double-layer structure of the stacks composed of doped Ta2O5 and interfacial SiON layer undergoes changes during the formation of the Al gate and transforms into a three-layer structure with an additional layer between the Al electrode and the doped Ta2O5. This layer, being a result of reaction between the Al gate and the Al-doped Ta2O5, affects the overall electrical properties of the stacks. Strong charge trapping/detrapping processes have been established in the vicinity of the doped Ta2O5/SiON interface resulting in a large C-V hysteresis effect. The charge trapping also influences the current conduction in the layers keeping the current density level rather low even at high electric fields (J < 10-6 A cm-2 at 7 MV cm-1). By employing a three-layer model of the stack, the permittivity of both, the Al-doped Ta2O5 and the additional layer, has been estimated and the corresponding conduction mechanisms identified.

  19. In operando evidence of deoxygenation in ionic liquid gating of YBa2Cu3O7-X

    PubMed Central

    Perez-Muñoz, Ana M.; Schio, Pedro; Poloni, Roberta; Fernandez-Martinez, Alejandro; Rivera-Calzada, Alberto; Salas-Colera, Eduardo; Kinney, Joseph; Leon, Carlos; Santamaria, Jacobo; Garcia-Barriocanal, Javier; Goldman, Allen M.

    2017-01-01

    Field-effect experiments on cuprates using ionic liquids have enabled the exploration of their rich phase diagrams [Leng X, et al. (2011) Phys Rev Lett 107(2):027001]. Conventional understanding of the electrostatic doping is in terms of modifications of the charge density to screen the electric field generated at the double layer. However, it has been recently reported that the suppression of the metal to insulator transition induced in VO2 by ionic liquid gating is due to oxygen vacancy formation rather than to electrostatic doping [Jeong J, et al. (2013) Science 339(6126):1402–1405]. These results underscore the debate on the true nature, electrostatic vs. electrochemical, of the doping of cuprates with ionic liquids. Here, we address the doping mechanism of the high-temperature superconductor YBa2Cu3O7-X (YBCO) by simultaneous ionic liquid gating and X-ray absorption experiments. Pronounced spectral changes are observed at the Cu K-edge concomitant with the superconductor-to-insulator transition, evidencing modification of the Cu coordination resulting from the deoxygenation of the CuO chains, as confirmed by first-principles density functional theory (DFT) simulations. Beyond providing evidence of the importance of chemical doping in electric double-layer (EDL) gating experiments with superconducting cuprates, our work shows that interfacing correlated oxides with ionic liquids enables a delicate control of oxygen content, paving the way to novel electrochemical concepts in future oxide electronics. PMID:28028236

  20. In operando evidence of deoxygenation in ionic liquid gating of YBa2Cu3O7-X.

    PubMed

    Perez-Muñoz, Ana M; Schio, Pedro; Poloni, Roberta; Fernandez-Martinez, Alejandro; Rivera-Calzada, Alberto; Cezar, Julio C; Salas-Colera, Eduardo; Castro, German R; Kinney, Joseph; Leon, Carlos; Santamaria, Jacobo; Garcia-Barriocanal, Javier; Goldman, Allen M

    2017-01-10

    Field-effect experiments on cuprates using ionic liquids have enabled the exploration of their rich phase diagrams [Leng X, et al. (2011) Phys Rev Lett 107(2):027001]. Conventional understanding of the electrostatic doping is in terms of modifications of the charge density to screen the electric field generated at the double layer. However, it has been recently reported that the suppression of the metal to insulator transition induced in VO 2 by ionic liquid gating is due to oxygen vacancy formation rather than to electrostatic doping [Jeong J, et al. (2013) Science 339(6126):1402-1405]. These results underscore the debate on the true nature, electrostatic vs. electrochemical, of the doping of cuprates with ionic liquids. Here, we address the doping mechanism of the high-temperature superconductor YBa 2 Cu 3 O 7-X (YBCO) by simultaneous ionic liquid gating and X-ray absorption experiments. Pronounced spectral changes are observed at the Cu K-edge concomitant with the superconductor-to-insulator transition, evidencing modification of the Cu coordination resulting from the deoxygenation of the CuO chains, as confirmed by first-principles density functional theory (DFT) simulations. Beyond providing evidence of the importance of chemical doping in electric double-layer (EDL) gating experiments with superconducting cuprates, our work shows that interfacing correlated oxides with ionic liquids enables a delicate control of oxygen content, paving the way to novel electrochemical concepts in future oxide electronics.

  1. Structure and magnetic properties of FeSiAl-based soft magnetic composite with AlN and Al2O3 insulating layer prepared by selective nitridation and oxidation

    NASA Astrophysics Data System (ADS)

    Zhong, Xiaoxi; Liu, Ying; Li, Jun; Wang, Yiwei

    2012-08-01

    FeSiAl is widely used in switching power supply, filter inductors and pulse transformers. But when used under higher frequencies in some particular condition, it is required to reduce its high-frequency loss. Preparing a homogeneous insulating coating with good heat resistance and high resistivity, such as AlN and Al2O3, is supposed to be an effective way to reduce eddy current loss, which is less focused on. In this project, mixed AlN and Al2O3 insulating layers were prepared on the surface of FeSiAl powders after 30 min exposure at 1100 °C in high purity nitrogen atmosphere, by means of surface nitridation and oxidation. The results revealed that the insulating layers increase the electrical resistivity, and hence decrease the loss factor, improve the frequency stability and increase the quality factor, especially in the high-frequency range. The morphologies, microstructure and compositions of the oxidized and nitrided products on the surface were characterized by Scanning Electron Microscopy/Energy Disperse Spectroscopy, X-Ray Diffraction, Transmission Electron Microscopy, Selected Area Electron Diffraction and X-ray Photoelectron Spectroscopy.

  2. High Temperature Aerogels in the Al2O3-SiO2 System

    NASA Technical Reports Server (NTRS)

    Hurwitz, Frances I.; Aranda, Denisse V.; Gallagher, Meghan E.

    2008-01-01

    Al2O3-SiO2 aerogels are of interest as constituents of thermal insulation systems for use at high temperatures. Al2O3 and mullite aerogels are expected to crystallize at higher temperatures than their SiO2 counterparts, hence avoiding the shrinkages that accompany the formation of lower temperature SiO2 phases and preserving pore structures into higher temperature regimes. The objective of this work is to determine the influence of processing parameters on shrinkage, gel structure (including surface area, pore size and distribution) and pyrolysis behavior.

  3. Solid-gate control of insulator to 2D metal transition at SrTiO3 surface

    NASA Astrophysics Data System (ADS)

    Schulman, Alejandro; Stoliar, Pablo; Kitoh, Ai; Rozenberg, Marcelo; Inoue, Isao H.

    As miniaturization of the semiconductor transistor approaches its limit, semiconductor industries are facing a major challenge to extend information processing beyond what can be attainable by conventional Si-based transistors. Innovative combinations of new materials and new processing platforms are desired. Recent discovery of the 2D electron gas (2DEG) at the surface of SrTiO3 (STO) and its electrostatic control, have carried it to the top of promising materials to be utilized in innovative devices. We report an electrostatic control of the carrier density of the 2DEG formed at the channel of bilayer-gated STO field-effect devices. By applying a gate electric field at room temperature, its highly insulating channel exhibits a transition to metallic one. This transition is accompanied by non-monotonic voltage-gain transfer characteristic with both negative and positive slope regions and unexpected enhancement of the sheet carrier density. We will introduce a numerical model to rationalize the observed features in terms of the established physics of field-effect transistors and the physics of percolation. Furthermore, we have found a clear signature of a Kondo effect that arises due to the interaction between the dilute 2DEG and localized Ti 3d orbitals originated by oxygen vacancies near the channel. On leave from CIC nanoGUNE, Spain.

  4. Atomically Thin Al2O3 Films for Tunnel Junctions

    NASA Astrophysics Data System (ADS)

    Wilt, Jamie; Gong, Youpin; Gong, Ming; Su, Feifan; Xu, Huikai; Sakidja, Ridwan; Elliot, Alan; Lu, Rongtao; Zhao, Shiping; Han, Siyuan; Wu, Judy Z.

    2017-06-01

    Metal-insulator-metal tunnel junctions are common throughout the microelectronics industry. The industry standard AlOx tunnel barrier, formed through oxygen diffusion into an Al wetting layer, is plagued by internal defects and pinholes which prevent the realization of atomically thin barriers demanded for enhanced quantum coherence. In this work, we employ in situ scanning tunneling spectroscopy along with molecular-dynamics simulations to understand and control the growth of atomically thin Al2O3 tunnel barriers using atomic-layer deposition. We find that a carefully tuned initial H2O pulse hydroxylated the Al surface and enabled the creation of an atomically thin Al2O3 tunnel barrier with a high-quality M -I interface and a significantly enhanced barrier height compared to thermal AlOx . These properties, corroborated by fabricated Josephson junctions, show that atomic-layer deposition Al2O3 is a dense, leak-free tunnel barrier with a low defect density which can be a key component for the next generation of metal-insulator-metal tunnel junctions.

  5. AlGaN/GaN metal-oxide-semiconductor high electron mobility transistors using Sc2O3 as the gate oxide and surface passivation

    NASA Astrophysics Data System (ADS)

    Mehandru, R.; Luo, B.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2003-04-01

    We demonstrated that Sc2O3 thin films deposited by plasma-assisted molecular-beam epitaxy can be used simultaneously as a gate oxide and as a surface passivation layer on AlGaN/GaN high electron mobility transistors (HEMTs). The maximum drain source current, IDS, reaches a value of over 0.8 A/mm and is ˜40% higher on Sc2O3/AlGaN/GaN transistors relative to conventional HEMTs fabricated on the same wafer. The metal-oxide-semiconductor HEMTs (MOS-HEMTs) threshold voltage is in good agreement with the theoretical value, indicating that Sc2O3 retains a low surface state density on the AlGaN/GaN structures and effectively eliminates the collapse in drain current seen in unpassivated devices. The MOS-HEMTs can be modulated to +6 V of gate voltage. In particular, Sc2O3 is a very promising candidate as a gate dielectric and surface passivant because it is more stable on GaN than is MgO.

  6. Highly Mobile Two-Dimensional Electron Gases with a Strong Gating Effect at the Amorphous LaAlO3/KTaO3 Interface.

    PubMed

    Zhang, Hui; Zhang, Hongrui; Yan, Xi; Zhang, Xuejing; Zhang, Qinghua; Zhang, Jing; Han, Furong; Gu, Lin; Liu, Banggui; Chen, Yuansha; Shen, Baogen; Sun, Jirong

    2017-10-18

    Two-dimensional electron gas (2DEG) at the perovskite oxide interface exhibits a lot of exotic properties, presenting a promising platform for the exploration of emergent phenomena. While most of the previous works focused on SrTiO 3 -based 2DEG, here we report on the fabrication of high-quality 2DEGs by growing an amorphous LaAlO 3 layer on a (001)-orientated KTaO 3 substrate, which is a 5d metal oxide with a polar surface, at a high temperature that is usually adopted for crystalline LaAlO 3 . Metallic 2DEGs with a Hall mobility as high as ∼2150 cm 2 /(V s) and a sheet carrier density as low as 2 × 10 12 cm -2 are obtained. For the first time, the gating effect on the transport process is studied, and its influence on spin relaxation and inelastic and elastic scattering is determined. Remarkably, the spin relaxation time can be strongly tuned by a back gate. It is reduced by a factor of ∼69 while the gate voltage is swept from -25 to +100 V. The mechanism that dominates the spin relaxation is elucidated.

  7. Temperature- and frequency-dependent dielectric behaviors of insulator/semiconductor (Al2O3/ZnO) nanolaminates with various ZnO thicknesses

    NASA Astrophysics Data System (ADS)

    Li, Jin; Bi, Xiaofang

    2016-07-01

    Al2O3/ZnO nanolaminates (NLs) with various ZnO sublayer thicknesses were prepared by atomic layer deposition. The Al2O3 sublayers are characterized as amorphous and the ZnO sublayers have an oriented polycrystalline structure. As the ZnO thickness decreases to a certain value, each NL exhibits a critical temperature at which its dielectric constant starts to rise quickly. Moreover, this temperature increases as the ZnO thickness is decreased further. On the other hand, the permittivity demonstrates a large value of several hundred at a frequency  ⩽1000 Hz, followed by a steplike decrease at a higher frequency. The change in the cut-off frequency with ZnO thickness is characterized by a hook function. It is revealed that the Coulomb confinement effect becomes predominant in the dielectric behaviors of the NLs with very thin ZnO. As the ZnO thickness decreases to about the same as or even smaller than the Bohr radius of ZnO, a great change in the carrier concentration and effective mass of ZnO is induced, which is shown to be responsible for the peculiar dielectric behaviors of Al2O3/ZnO with very thin ZnO. These findings provide insight into the prevailing mechanisms to optimize the dielectric properties of semiconductor/insulator laminates with nanoscale sublayer thickness.

  8. Solution-derived SiO2 gate insulator formed by CO2 laser annealing for polycrystalline silicon thin-film transistors

    NASA Astrophysics Data System (ADS)

    Hishitani, Daisuke; Horita, Masahiro; Ishikawa, Yasuaki; Ikenoue, Hiroshi; Uraoka, Yukiharu

    2017-05-01

    The formation of perhydropolysilazane (PHPS)-based SiO2 films by CO2 laser annealing is proposed. Irradiation with a CO2 laser with optimum fluence transformed a prebaked PHPS film into a SiO2 film with uniform composition in the thickness direction. Polycrystalline silicon thin-film transistors (poly-Si TFTs) with a SiO2 film as the gate insulator were fabricated. When the SiO2 film was formed by CO2 laser annealing (CO2LA) at the optimum fluence of 20 mJ/cm2, the film had fewer OH groups which was one-twentieth that of the furnace annealed PHPS film and one-hundredth that of the SiO2 film deposited by plasma-enhanced chemical vapor deposition (PECVD) using tetraethyl orthosilicate (TEOS). The resulting TFTs using PHPS showed a clear transistor operation with a field-effect mobility of 37.9 ± 1.2 cm2 V-1 s-1, a threshold voltage of 9.8 ± 0.2 V, and a subthreshold swing of 0.76 ± 0.02 V/decade. The characteristics of such TFTs were as good as those of a poly-Si TFT with a SiO2 gate insulator prepared by PECVD using TEOS.

  9. Magneto-transport study of top- and back-gated LaAlO{sub 3}/SrTiO{sub 3} heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, W., E-mail: W.Liu@unige.ch; Gariglio, S.; Fête, A.

    2015-06-01

    We report a detailed analysis of magneto-transport properties of top- and back-gated LaAlO{sub 3}/SrTiO{sub 3} heterostructures. Efficient modulation in magneto-resistance, carrier density, and mobility of the two-dimensional electron liquid present at the interface is achieved by sweeping top and back gate voltages. Analyzing those changes with respect to the carrier density tuning, we observe that the back gate strongly modifies the electron mobility while the top gate mainly varies the carrier density. The evolution of the spin-orbit interaction is also followed as a function of top and back gating.

  10. An “ohmic-first” self-terminating gate-recess technique for normally-off Al2O3/GaN MOSFET

    NASA Astrophysics Data System (ADS)

    Wang, Hongyue; Wang, Jinyan; Li, Mengjun; He, Yandong; Wang, Maojun; Yu, Min; Wu, Wengang; Zhou, Yang; Dai, Gang

    2018-04-01

    In this article, an ohmic-first AlGaN/GaN self-terminating gate-recess etching technique was demonstrated where ohmic contact formation is ahead of gate-recess-etching/gate-dielectric-deposition (GRE/GDD) process. The ohmic contact exhibits few degradations after the self-terminating gate-recess process. Besides, when comparing with that using the conventional fabrication process, the fabricated device using the ohmic-first fabrication process shows a better gate dielectric quality in terms of more than 3 orders lower forward gate leakage current, more than twice higher reverse breakdown voltage as well as better stability. Based on this proposed technique, the normally-off Al2O3/GaN MOSFET exhibits a threshold voltage (V th) of ˜1.8 V, a maximum drain current of ˜328 mA/mm, a forward gate leakage current of ˜10-6 A/mm and an off-state breakdown voltage of 218 V at room temperature. Meanwhile, high temperature characteristics of the device was also evaluated and small variations (˜7.6%) of the threshold voltage was confirmed up to 300 °C.

  11. Fixed interface charges between AlGaN barrier and gate stack composed of in situ grown SiN and Al{sub 2}O{sub 3} in AlGaN/GaN high electron mobility transistors with normally off capability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Capriotti, M., E-mail: mattia.capriotti@tuwien.ac.at; Alexewicz, A.; Fleury, C.

    2014-03-17

    Using a generalized extraction method, the fixed charge density N{sub int} at the interface between in situ deposited SiN and 5 nm thick AlGaN barrier is evaluated by measurements of threshold voltage V{sub th} of an AlGaN/GaN metal insulator semiconductor high electron mobility transistor as a function of SiN thickness. The thickness of the originally deposited 50 nm thick SiN layer is reduced by dry etching. The extracted N{sub int} is in the order of the AlGaN polarization charge density. The total removal of the in situ SiN cap leads to a complete depletion of the channel region resulting in V{sub th} = +1 V.more » Fabrication of a gate stack with Al{sub 2}O{sub 3} as a second cap layer, deposited on top of the in situ SiN, is not introducing additional fixed charges at the SiN/Al{sub 2}O{sub 3} interface.« less

  12. An Al2O3 Gating Substrate for the Greater Performance of Field Effect Transistors Based on Two-Dimensional Materials

    PubMed Central

    Zheng, Xiaoming; Wang, Guang; Tan, Yuan; Zhang, Xueao

    2017-01-01

    We fabricated 70 nm Al2O3 gated field effect transistors based on two-dimensional (2D) materials and characterized their optical and electrical properties. Studies show that the optical contrast of monolayer graphene on an Al2O3/Si substrate is superior to that on a traditional 300 nm SiO2/Si substrate (2.4 times). Significantly, the transconductance of monolayer graphene transistors on the Al2O3/Si substrate shows an approximately 10-fold increase, due to a smaller dielectric thickness and a higher dielectric constant. Furthermore, this substrate is also suitable for other 2D materials, such as WS2, and can enhance the transconductance remarkably by 61.3 times. These results demonstrate a new and ideal substrate for the fabrication of 2D materials-based electronic logic devices. PMID:28937619

  13. MOCVD of HfO2 and ZrO2 high-k gate dielectrics for InAlN/AlN/GaN MOS-HEMTs

    NASA Astrophysics Data System (ADS)

    Abermann, S.; Pozzovivo, G.; Kuzmik, J.; Strasser, G.; Pogany, D.; Carlin, J.-F.; Grandjean, N.; Bertagnolli, E.

    2007-12-01

    We apply metal organic chemical vapour deposition (MOCVD) of HfO2 and of ZrO2 from β-diketonate precursors to grow high-k gate dielectrics for InAlN/AlN/GaN metal oxide semiconductor (MOS)-high electron mobility transistors (HEMTs). High-k oxides of about 12 nm-14 nm are deposited for the MOS-HEMTs incorporating Ni/Au gates, whereas as a reference, Ni-contact-based 'conventional' Schottky-barrier (SB)-HEMTs are processed. The processed dielectrics decrease the gate current leakage of the HEMTs by about four orders of magnitude if compared with the SB-gated HEMTs and show superior device characteristics in terms of IDS and breakdown.

  14. A new high-κ Al2O3 based metal-insulator-metal antifuse

    NASA Astrophysics Data System (ADS)

    Tian, Min; Zhong, Huicai; Li, Li; Wang, Zhigang

    2018-06-01

    In this paper, a new metal-insulator-metal (MIM) antifuse was fabricated with the high κ Al2O3 deposited by atomic layer deposition (ALD) as the dielectric. On this high κ antifuse structure, the very low on-state resistance was obtained under certain programming conditions. It is the first time that the antifuse on-state resistance has been found decreasing along with the increase of dielectric film thickness, which is attributed to a large current overshoot during breakdown. For the device with a dielectric thickness of 12 nm, very large overshoot current (∼60 mA) was observed and extremely low on-state resistance (∼10 Ω) was achieved.

  15. Electrical properties of GaN-based metal-insulator-semiconductor structures with Al2O3 deposited by atomic layer deposition using water and ozone as the oxygen precursors

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Freedsman, Joseph J.; Iwata, Yasuhiro; Egawa, Takashi

    2014-04-01

    Al2O3 deposited by atomic layer deposition (ALD) was used as an insulator in metal-insulator-semiconductor (MIS) structures for GaN-based MIS-devices. As the oxygen precursors for the ALD process, water (H2O), ozone (O3), and both H2O and O3 were used. The chemical characteristics of the ALD-Al2O3 surfaces were investigated by x-ray photoelectron spectroscopy. After fabrication of MIS-diodes and MIS-high-electron-mobility transistors (MIS-HEMTs) with the ALD-Al2O3, their electrical properties were evaluated by current-voltage (I-V) and capacitance-voltage (C-V) measurements. The threshold voltage of the C-V curves for MIS-diodes indicated that the fixed charge in the Al2O3 layer is decreased when using both H2O and O3 as the oxygen precursors. Furthermore, MIS-HEMTs with the H2O + O3-based Al2O3 showed good dc I-V characteristics without post-deposition annealing of the ALD-Al2O3, and the drain leakage current in the off-state region was suppressed by seven orders of magnitude.

  16. Positive Bias Instability of Bottom-Gate Zinc Oxide Thin-Film Transistors with a SiOx/SiNx-Stacked Gate Insulator

    NASA Astrophysics Data System (ADS)

    Furuta, Mamoru; Kamada, Yudai; Hiramatsu, Takahiro; Li, Chaoyang; Kimura, Mutsumi; Fujita, Shizuo; Hirao, Takashi

    2011-03-01

    The positive bias instabilities of the zinc oxide thin-film transistors (ZnO TFTs) with a SiOx/SiNx-stacked gate insulator have been investigated. The film quality of a gate insulator of SiOx, which forms an interface with the ZnO channel, was varied by changing the gas mixture ratio of SiH4/N2O/N2 during plasma-enhanced chemical vapor deposition. The positive bias stress endurance of ZnO TFT strongly depended on the deposition condition of the SiOx gate insulator. From the relaxations of the transfer curve shift after imposition of positive bias stress, transfer curves could not be recovered completely without any thermal annealing. A charge trapping in a gate insulator rather than that in bulk ZnO and its interface with a gate insulator is a dominant instability mechanism of ZnO TFTs under positive bias stress.

  17. Plasma Deposited SiO2 for Planar Self-Aligned Gate Metal-Insulator-Semiconductor Field Effect Transistors on Semi-Insulating InP

    NASA Technical Reports Server (NTRS)

    Tabory, Charles N.; Young, Paul G.; Smith, Edwyn D.; Alterovitz, Samuel A.

    1994-01-01

    Metal-insulator-semiconductor (MIS) field effect transistors were fabricated on InP substrates using a planar self-aligned gate process. A 700-1000 A gate insulator of Si02 doped with phosphorus was deposited by a direct plasma enhanced chemical vapor deposition at 400 mTorr, 275 C, 5 W, and power density of 8.5 MW/sq cm. High frequency capacitance-voltage measurements were taken on MIS capacitors which have been subjected to a 700 C anneal and an interface state density of lxl0(exp 11)/eV/cq cm was found. Current-voltage measurements of the capacitors show a breakdown voltage of 107 V/cm and a insulator resistivity of 10(exp 14) omega cm. Transistors were fabricated on semi-insulating InP using a standard planar self-aligned gate process in which the gate insulator was subjected to an ion implantation activation anneal of 700 C. MIS field effect transistors gave a maximum extrinsic transconductance of 23 mS/mm for a gate length of 3 microns. The drain current drift saturated at 87.5% of the initial current, while reaching to within 1% of the saturated value after only 1x10(exp 3). This is the first reported viable planar InP self-aligned gate transistor process reported to date.

  18. Post-deposition-annealing effect on current conduction in Al2O3 films formed by atomic layer deposition with H2O oxidant

    NASA Astrophysics Data System (ADS)

    Hiraiwa, Atsushi; Matsumura, Daisuke; Okubo, Satoshi; Kawarada, Hiroshi

    2017-02-01

    Atomic-layer-deposition (ALD) Al2O3 films are promising as gate insulators of non-Si semiconductor devices. Although they allow relatively small leakage currents just after deposition, ALD Al2O3 films formed at low temperatures are subject to high temperature during fabrication or operation of devices. Therefore, the effect of post-deposition annealing (PDA) on the properties of Al2O3 films is investigated in this study. ALD Al2O3 films formed using H2O oxidant at low temperatures are compacted by PDA, but their mass density and dielectric constant remain approximately unchanged or slightly decrease owing to the desorption of methyl groups contained in the films as impurities. In accordance with these results, the wet etching rate of Al2O3 films is not much reduced by PDA. The conduction current in ALD Al2O3 films formed on Si is reduced by PDA and becomes smaller than that in films formed at the same ALD temperatures as those of PDA. The conduction current for PDA temperatures above 250 °C, however, increases and, accordingly, spoils the merit of low-temperature ALD. Therefore, given that the dielectric constant of annealed films remains low, high-temperature ALD is practically more significant than applying PDA to low-temperature ALD Al2O3 films from the viewpoint of leakage current under the same thermal budget. Space-charge-controlled field emission analysis revealed that, at the aforementioned threshold temperature, PDA abruptly increases the Al2O3/SiO2 interfacial dipoles and simultaneously reduces the amount of the positive charge near the interface. The so-called negative-charge buildup by PDA might be caused by this decrease in the positive charge.

  19. Titanium-tungsten nanocrystals embedded in a SiO(2)/Al(2)O(3) gate dielectric stack for low-voltage operation in non-volatile memory.

    PubMed

    Yang, Shiqian; Wang, Qin; Zhang, Manhong; Long, Shibing; Liu, Jing; Liu, Ming

    2010-06-18

    Titanium-tungsten nanocrystals (NCs) were fabricated by a self-assembly rapid thermal annealing (RTA) process. Well isolated Ti(0.46)W(0.54) NCs were embedded in the gate dielectric stack of SiO(2)/Al(2)O(3). A metal-oxide-semiconductor (MOS) capacitor was fabricated to investigate its application in a non-volatile memory (NVM) device. It demonstrated a large memory window of 6.2 V in terms of flat-band voltage (V(FB)) shift under a dual-directional sweeping gate voltage of - 10 to 10 V. A 1.1 V V(FB) shift under a low dual-directional sweeping gate voltage of - 4 to 4 V was also observed. The retention characteristic of this MOS capacitor was demonstrated by a 0.5 V memory window after 10(4) s of elapsed time at room temperature. The endurance characteristic was demonstrated by a program/erase cycling test.

  20. Surface modification of a polyimide gate insulator with an yttrium oxide interlayer for aqueous-solution-processed ZnO thin-film transistors.

    PubMed

    Jang, Kwang-Suk; Wee, Duyoung; Kim, Yun Ho; Kim, Jinsoo; Ahn, Taek; Ka, Jae-Won; Yi, Mi Hye

    2013-06-11

    We report a simple approach to modify the surface of a polyimide gate insulator with an yttrium oxide interlayer for aqueous-solution-processed ZnO thin-film transistors. It is expected that the yttrium oxide interlayer will provide a surface that is more chemically compatible with the ZnO semiconductor than is bare polyimde. The field-effect mobility and the on/off current ratio of the ZnO TFT with the YOx/polyimide gate insulator were 0.456 cm(2)/V·s and 2.12 × 10(6), respectively, whereas the ZnO TFT with the polyimide gate insulator was inactive.

  1. Improved dc and power performance of AlGaN/GaN high electron mobility transistors with Sc 2O 3 gate dielectric or surface passivation

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R.; Kim, Jihyun; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R. C.; Moser, N.; Gillespie, J. K.; Jessen, G. H.; Jenkins, T. J.; Yannuzi, M. J.; Via, G. D.; Crespo, A.

    2003-10-01

    The dc and power characteristics of AlGaN/GaN MOS-HEMTs with Sc 2O 3 gate dielectrics were compared with that of conventional metal-gate HEMTs fabricated on the same material. The MOS-HEMT shows higher saturated drain-source current (˜0.75 A/mm) and significantly better power-added efficiency (PAE, 27%) relative to the HEMT (˜0.6 A/mm and ˜5%). The Sc 2O 3 also provides effective surface passivation, with higher drain current, lower leakage currents and higher three-terminal breakdown voltage in passivated devices relative to unpassivated devices. The PAE also increases (from ˜5% to 12%) on the surface passivated HEMTs, showing that Sc 2O 3 is an attractive option for reducing gate and surface leakage in AlGaN/GaN heterostructure transistors.

  2. Demonstration of large field effect in topological insulator films via a high-κ back gate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, C. Y.; Lin, H. Y.; Yang, S. R.

    2016-05-16

    The spintronics applications long anticipated for topological insulators (TIs) has been hampered due to the presence of high density intrinsic defects in the bulk states. In this work we demonstrate the back-gating effect on TIs by integrating Bi{sub 2}Se{sub 3} films 6–10 quintuple layer (QL) thick with amorphous high-κ oxides of Al{sub 2}O{sub 3} and Y{sub 2}O{sub 3}. Large gating effect of tuning the Fermi level E{sub F} to very close to the band gap was observed, with an applied bias of an order of magnitude smaller than those of the SiO{sub 2} back gate, and the modulation of filmmore » resistance can reach as high as 1200%. The dependence of the gating effect on the TI film thickness was investigated, and ΔN{sub 2D}/ΔV{sub g} varies with TI film thickness as ∼t{sup −0.75}. To enhance the gating effect, a Y{sub 2}O{sub 3} layer thickness 4 nm was inserted into Al{sub 2}O{sub 3} gate stack to increase the total κ value to 13.2. A 1.4 times stronger gating effect is observed, and the increment of induced carrier numbers is in good agreement with additional charges accumulated in the higher κ oxides. Moreover, we have reduced the intrinsic carrier concentration in the TI film by doping Te to Bi{sub 2}Se{sub 3} to form Bi{sub 2}Te{sub x}Se{sub 1−x}. The observation of a mixed state of ambipolar field that both electrons and holes are present indicates that we have tuned the E{sub F} very close to the Dirac Point. These results have demonstrated that our capability of gating TIs with high-κ back gate to pave the way to spin devices of tunable E{sub F} for dissipationless spintronics based on well-established semiconductor technology.« less

  3. Atomic-layer-deposited Al2O3 and HfO2 on InAlAs: A comparative study of interfacial and electrical characteristics

    NASA Astrophysics Data System (ADS)

    Wu, Li-Fan; Zhang, Yu-Ming; Lv, Hong-Liang; Zhang, Yi-Men

    2016-10-01

    Al2O3 and HfO2 thin films are separately deposited on n-type InAlAs epitaxial layers by using atomic layer deposition (ALD). The interfacial properties are revealed by angle-resolved x-ray photoelectron spectroscopy (AR-XPS). It is demonstrated that the Al2O3 layer can reduce interfacial oxidation and trap charge formation. The gate leakage current densities are 1.37 × 10-6 A/cm2 and 3.22 × 10-6 A/cm2 at +1 V for the Al2O3/InAlAs and HfO2/InAlAs MOS capacitors respectively. Compared with the HfO2/InAlAs metal-oxide-semiconductor (MOS) capacitor, the Al2O3/InAlAs MOS capacitor exhibits good electrical properties in reducing gate leakage current, narrowing down the hysteresis loop, shrinking stretch-out of the C-V characteristics, and significantly reducing the oxide trapped charge (Q ot) value and the interface state density (D it). Project supported by the National Basic Research Program of China (Grant No. 2010CB327505), the Advanced Research Foundation of China (Grant No. 914xxx803-051xxx111), the National Defense Advance Research Project, China (Grant No. 513xxxxx306), the National Natural Science Foundation of China (Grant No. 51302215), the Scientific Research Program Funded by Shaanxi Provincial Education Department, China (Grant No. 14JK1656), and the Science and Technology Project of Shaanxi Province, China (Grant No. 2016KRM029).

  4. Development of Al2O3 fiber-reinforced Al2O3-based ceramics.

    PubMed

    Tanimoto, Yasuhiro; Nemoto, Kimiya

    2004-09-01

    The purpose of this study was to use a tape casting technique to develop an Al2O3 fiber-reinforced Al2O3-based ceramic material (Al2O3-fiber/Al2O3 composite) into a new type of dental ceramic. The Al2O3-based ceramic used a matrix consisting of 60 wt% Al2O3 powder and 40 wt% SiO2-B2O3 powder. The prepreg sheets of Al2O3-fiber/Al2O3 composite (in which uniaxially aligned Al2O3 fibers were infiltrated with the Al2O3-based matrix) were fabricated continuously using tape casting technique with a doctor blade system. Multilayer preforms of Al2O3-fiber/Al2O3 composite sheets were then sintered at a maximum temperature of 1000 degrees C under an atmospheric pressure in a furnace. The results showed that the shrinkage and bending properties of Al2O3-fiber/Al2O3 composite exceeded those of unreinforced Al2O3--hence demonstrating the positive effects of fiber reinforcement. In conclusion, the tape casting technique has been utilized to successfully develop a new type of dental ceramic material.

  5. Quasi-Two-Dimensional h-BN/β-Ga2O3 Heterostructure Metal-Insulator-Semiconductor Field-Effect Transistor.

    PubMed

    Kim, Janghyuk; Mastro, Michael A; Tadjer, Marko J; Kim, Jihyun

    2017-06-28

    β-gallium oxide (β-Ga 2 O 3 ) and hexagonal boron nitride (h-BN) heterostructure-based quasi-two-dimensional metal-insulator-semiconductor field-effect transistors (MISFETs) were demonstrated by integrating mechanical exfoliation of (quasi)-two-dimensional materials with a dry transfer process, wherein nanothin flakes of β-Ga 2 O 3 and h-BN were utilized as the channel and gate dielectric, respectively, of the MISFET. The h-BN dielectric, which has an extraordinarily flat and clean surface, provides a minimal density of charged impurities on the interface between β-Ga 2 O 3 and h-BN, resulting in superior device performances (maximum transconductance, on/off ratio, subthreshold swing, and threshold voltage) compared to those of the conventional back-gated configurations. Also, double-gating of the fabricated device was demonstrated by biasing both top and bottom gates, achieving the modulation of the threshold voltage. This heterostructured wide-band-gap nanodevice shows a new route toward stable and high-power nanoelectronic devices.

  6. ZnO thin-film transistors with a polymeric gate insulator built on a polyethersulfone substrate

    NASA Astrophysics Data System (ADS)

    Hyung, Gun Woo; Park, Jaehoon; Koo, Ja Ryong; Choi, Kyung Min; Kwon, Sang Jik; Cho, Eou Sik; Kim, Yong Seog; Kim, Young Kwan

    2012-03-01

    Zinc oxide (ZnO) thin-film transistors (TFTs) with a cross-linked poly(vinyl alcohol) (c-PVA) insulator are fabricated on a polyethersulfone substrate. The ZnO film, formed by atomic layer deposition, shows a polycrystalline hexagonal structure with a band gap energy of about 3.37 eV. The fabricated ZnO TFT exhibits a field-effect mobility of 0.38 cm2/Vs and a threshold voltage of 0.2 V. The hysteresis of the device is mainly caused by trapped electrons at the c-PVA/ZnO interface, whereas the positive threshold voltage shift occurs as a consequence of constant positive gate bias stress after 5000 s due to an electron injection from the ZnO film into the c-PVA insulator.

  7. Dependence of electrical and time stress in organic field effect transistor with low temperature forming gas treated Al2O3 gate dielectrics.

    PubMed

    Lee, Sunwoo; Chung, Keum Jee; Park, In-Sung; Ahn, Jinho

    2009-12-01

    We report the characteristics of the organic field effect transistor (OFET) after electrical and time stress. Aluminum oxide (Al2O3) was used as a gate dielectric layer. The surface of the gate oxide layer was treated with hydrogen (H2) and nitrogen (N2) mixed gas to minimize the dangling bond at the interface layer of gate oxide. According to the two stress parameters of electrical and time stress, threshold voltage shift was observed. In particular, the mobility and subthreshold swing of OFET were significantly decreased due to hole carrier localization and degradation of the channel layer between gate oxide and pentacene by electrical stress. Electrical stress is a more critical factor in the degradation of mobility than time stress caused by H2O and O2 in the air.

  8. Investigation of interface property in Al/SiO2/ n-SiC structure with thin gate oxide by illumination

    NASA Astrophysics Data System (ADS)

    Chang, P. K.; Hwu, J. G.

    2017-04-01

    The reverse tunneling current of Al/SiO2/ n-SiC structure employing thin gate oxide is introduced to examine the interface property by illumination. The gate current at negative bias decreases under blue LED illumination, yet increases under UV lamp illumination. Light-induced electrons captured by interface states may be emitted after the light sources are off, leading to the recovery of gate currents. Based on transient characteristics of gate current, the extracted trap level is close to the light energy for blue LED, indicating that electron capture induced by lighting may result in the reduction of gate current. Furthermore, bidirectional C- V measurements exhibit a positive voltage shift caused by electron trapping under blue LED illumination, while a negative voltage shift is observed under UV lamp illumination. Distinct trapping and detrapping behaviors can be observed from variations in I- V and C- V curves utilizing different light sources for 4H-SiC MOS capacitors with thin insulators.

  9. Nonvolatile memory thin-film transistors using biodegradable chicken albumen gate insulator and oxide semiconductor channel on eco-friendly paper substrate.

    PubMed

    Kim, So-Jung; Jeon, Da-Bin; Park, Jung-Ho; Ryu, Min-Ki; Yang, Jong-Heon; Hwang, Chi-Sun; Kim, Gi-Heon; Yoon, Sung-Min

    2015-03-04

    Nonvolatile memory thin-film transistors (TFTs) fabricated on paper substrates were proposed as one of the eco-friendly electronic devices. The gate stack was composed of chicken albumen gate insulator and In-Ga-Zn-O semiconducting channel layers. All the fabrication processes were performed below 120 °C. To improve the process compatibility of the synthethic paper substrate, an Al2O3 thin film was introduced as adhesion and barrier layers by atomic layer deposition. The dielectric properties of biomaterial albumen gate insulator were also enhanced by the preparation of Al2O3 capping layer. The nonvolatile bistabilities were realized by the switching phenomena of residual polarization within the albumen thin film. The fabricated device exhibited a counterclockwise hysteresis with a memory window of 11.8 V, high on/off ratio of approximately 1.1 × 10(6), and high saturation mobility (μsat) of 11.5 cm(2)/(V s). Furthermore, these device characteristics were not markedly degraded even after the delamination and under the bending situration. When the curvature radius was set as 5.3 cm, the ION/IOFF ratio and μsat were obtained to be 5.9 × 10(6) and 7.9 cm(2)/(V s), respectively.

  10. Fabrication of Ta2O5/GeNx gate insulator stack for Ge metal-insulator-semiconductor structures by electron-cyclotron-resonance plasma nitridation and sputtering deposition techniques

    NASA Astrophysics Data System (ADS)

    Otani, Yohei; Itayama, Yasuhiro; Tanaka, Takuo; Fukuda, Yukio; Toyota, Hiroshi; Ono, Toshiro; Mitsui, Minoru; Nakagawa, Kiyokazu

    2007-04-01

    The authors have fabricated germanium (Ge) metal-insulator-semiconductor (MIS) structures with a 7-nm-thick tantalum pentaoxide (Ta2O5)/2-nm-thick germanium nitride (GeNx) gate insulator stack by electron-cyclotron-resonance plasma nitridation and sputtering deposition. They found that pure GeNx ultrathin layers can be formed by the direct plasma nitridation of the Ge surface without substrate heating. X-ray photoelectron spectroscopy revealed no oxidation of the GeNx layer after the Ta2O5 sputtering deposition. The fabricated MIS capacitor with a capacitance equivalent thickness of 4.3nm showed excellent leakage current characteristics. The interface trap density obtained by the modified conductance method was 4×1011cm-2eV-1 at the midgap.

  11. Processing of Al2O3/SrTiO3/PDMS Composites With Low Dielectric Loss

    NASA Astrophysics Data System (ADS)

    Yao, J. L.; Guo, M. J.; Qi, Y. B.; Zhu, H. X.; Yi, R. Y.; Gao, L.

    2018-05-01

    Polydimethylsiloxane (PDMS) is widely used in the electrical and electronic industries due to its excellent electrical insulation and biocompatible characteristics. However, the dielectric constant of pure PDMS is very low which restricts its applications. Herein, we report a series of PDMS/Al2O3/strontium titanate (ST) composites with high dielectric constant and low loss prepared by a simple experimental method. The composites exhibit high dielectric constant (relative dielectric constant is 4) after the composites are coated with insulated Al2O3 particles, and the dielectric constant gets further improved for composites with ST particles (dielectric constant reaches 15.5); a lower dielectric loss (tanδ= 0.05) is also found at the same time which makes co-filler composites suitable for electrical insulation products, and makes the experimental method more interesting in modern teaching.

  12. Novel Quantum Dot Gate FETs and Nonvolatile Memories Using Lattice-Matched II-VI Gate Insulators

    NASA Astrophysics Data System (ADS)

    Jain, F. C.; Suarez, E.; Gogna, M.; Alamoody, F.; Butkiewicus, D.; Hohner, R.; Liaskas, T.; Karmakar, S.; Chan, P.-Y.; Miller, B.; Chandy, J.; Heller, E.

    2009-08-01

    This paper presents the successful use of ZnS/ZnMgS and other II-VI layers (lattice-matched or pseudomorphic) as high- k gate dielectrics in the fabrication of quantum dot (QD) gate Si field-effect transistors (FETs) and nonvolatile memory structures. Quantum dot gate FETs and nonvolatile memories have been fabricated in two basic configurations: (1) monodispersed cladded Ge nanocrystals (e.g., GeO x -cladded-Ge quantum dots) site-specifically self-assembled over the lattice-matched ZnMgS gate insulator in the channel region, and (2) ZnTe-ZnMgTe quantum dots formed by self-organization, using metalorganic chemical vapor-phase deposition (MOCVD), on ZnS-ZnMgS gate insulator layers grown epitaxially on Si substrates. Self-assembled GeO x -cladded Ge QD gate FETs, exhibiting three-state behavior, are also described. Preliminary results on InGaAs-on-InP FETs, using ZnMgSeTe/ZnSe gate insulator layers, are presented.

  13. Preparation and electrical properties of Cr 2O 3 gate insulator embedded with Fe dot

    NASA Astrophysics Data System (ADS)

    Yokota, Takeshi; Kuribayashi, Takaaki; Murata, Shotaro; Gomi, Manabu

    2008-09-01

    We investigated the electrical properties of a metal (Au)/insulator (magneto-electric materials: Cr 2O 3)/magnetic materials (Fe)/tunnel layer (Cr 2O 3)/semiconductor (Si) capacitor. This capacitor shows the typical capacitance-voltage ( C- V) properties of an Si-MIS capacitor with hysteresis depending on the Fe dispersibility which is determined by the deposition condition. The C- V curve of the only sample having a 0.5 nm Fe layer was seen to have a hysteresis window with a clockwise trace, indicating that electrons have been injected into the ultra-thin Fe layer. The samples having Fe layers of other thicknesses show a counterclockwise trace, which indicates that the film has mobile ionic charges due to the dispersed Fe. These results indicated that the charge-injection site, which works as a memory, in the Cr 2O 3 can be prepared by Fe insertion, which is deposited using well-controlled conditions. The results also revealed the possibility of an MIS capacitor containing both ferromagnetic materials and an ME insulating layer in a single system.

  14. Evolution of subband structure with gate-tuning at LaAlO3/SrTiO3 interfaces

    NASA Astrophysics Data System (ADS)

    Tang, Lucas; Smink, Sander; van Heeringen, Linde; Geessinck, Jaap; Rana, Abimanuya; Rastogi, Ankur; Maan, Jan Kees; Brinkman, Alexander; Zeitler, Uli; Hilgenkamp, Hans; McCollam, Alix

    The outstanding characteristic of LaAlO3/SrTiO3 heterostructures is the formation of a high mobility 2D electron gas (2DEG) at the interface. The additional presence of superconductivity, magnetism and large spin-orbit coupling in these systems suggests that strong correlations play an important role in the electronic properties, in contrast to conventional semiconductor-based 2DEGs. Knowledge of the electronic bandstructure, and the interdependence of conduction electron density and properties is therefore essential for our understanding of these materials. We present new results of low temperature transport measurements in a high mobility LaAlO3/SrTiO3-based heterostructure, in magnetic fields up to 33 T. Shubnikov de-Haas oscillations are observed, revealing several subbands with different carrier densities. By application of an electric field in the back gate geometry, the Fermi level is tuned and thus we are able to map the smooth evolution of the subbands and their properties with carrier density. These results are in good agreement with recent theoretical work, such that we can disentangle the complex band structure, and quantify aspects such as Rashba spin-splitting and the mixing of orbital character.

  15. Gate insulator effects on the electrical performance of ZnO thin film transistor on a polyethersulphone substrate.

    PubMed

    Lee, Jae-Kyu; Choi, Duck-Kyun

    2012-07-01

    Low temperature processing for fabrication of transistor backplane is a cost effective solution while fabrication on a flexible substrate offers a new opportunity in display business. Combination of both merits is evaluated in this investigation. In this study, the ZnO thin film transistor on a flexible Polyethersulphone (PES) substrate is fabricated using RF magnetron sputtering. Since the selection and design of compatible gate insulator is another important issue to improve the electrical properties of ZnO TFT, we have evaluated three gate insulator candidates; SiO2, SiNx and SiO2/SiNx. The SiO2 passivation on both sides of PES substrate prior to the deposition of ZnO layer was effective to enhance the mechanical and thermal stability. Among the fabricated devices, ZnO TFT employing SiNx/SiO2 stacked gate exhibited the best performance. The device parameters of interest are extracted and the on/off current ratio, field effect mobility, threshold voltage and subthreshold swing are 10(7), 22 cm2/Vs, 1.7 V and 0.4 V/decade, respectively.

  16. Investigation of pentacene growth on SiO2 gate insulator after photolithography for nitrogen-doped LaB6 bottom-contact electrode formation

    NASA Astrophysics Data System (ADS)

    Maeda, Yasutaka; Hiroki, Mizuha; Ohmi, Shun-ichiro

    2018-04-01

    Nitrogen-doped (N-doped) LaB6 is a candidate material for the bottom-contact electrode of n-type organic field-effect transistors (OFETs). However, the formation of a N-doped LaB6 electrode affects the surface morphology of a pentacene film. In this study, the effects of surface treatments and a N-doped LaB6 interfacial layer (IL) were investigated to improve the pentacene film quality after N-doped LaB6 electrode patterning with diluted HNO3, followed by resist stripping with acetone and methanol. It was found that the sputtering damage during N-doped LaB6 deposition on a SiO2 gate insulator degraded the crystallinity of pentacene. The H2SO4 and H2O2 (SPM) and diluted HF treatments removed the damaged layer on the SiO2 gate insulator surface. Furthermore, the N-doped LaB6 IL improved the crystallinity of pentacene and realized dendritic grain growth. Owing to these surface treatments, the hole mobility improved from 2.8 × 10-3 to 0.11 cm2/(V·s), and a steep subthreshold swing of 78 mV/dec for the OFET with top-contact configuration was realized in air even after bottom-contact electrode patterning.

  17. Photolithographically Patterned TiO2 Films for Electrolyte-Gated Transistors.

    PubMed

    Valitova, Irina; Kumar, Prajwal; Meng, Xiang; Soavi, Francesca; Santato, Clara; Cicoira, Fabio

    2016-06-15

    Metal oxides constitute a class of materials whose properties cover the entire range from insulators to semiconductors to metals. Most metal oxides are abundant and accessible at moderate cost. Metal oxides are widely investigated as channel materials in transistors, including electrolyte-gated transistors, where the charge carrier density can be modulated by orders of magnitude upon application of relatively low electrical bias (2 V). Electrolyte gating offers the opportunity to envisage new applications in flexible and printed electronics as well as to improve our current understanding of fundamental processes in electronic materials, e.g. insulator/metal transitions. In this work, we employ photolithographically patterned TiO2 films as channels for electrolyte-gated transistors. TiO2 stands out for its biocompatibility and wide use in sensing, electrochromics, photovoltaics and photocatalysis. We fabricated TiO2 electrolyte-gated transistors using an original unconventional parylene-based patterning technique. By using a combination of electrochemical and charge carrier transport measurements we demonstrated that patterning improves the performance of electrolyte-gated TiO2 transistors with respect to their unpatterned counterparts. Patterned electrolyte-gated (EG) TiO2 transistors show threshold voltages of about 0.9 V, ON/OFF ratios as high as 1 × 10(5), and electron mobility above 1 cm(2)/(V s).

  18. Nonvolatile Memories Using Quantum Dot (QD) Floating Gates Assembled on II-VI Tunnel Insulators

    NASA Astrophysics Data System (ADS)

    Suarez, E.; Gogna, M.; Al-Amoody, F.; Karmakar, S.; Ayers, J.; Heller, E.; Jain, F.

    2010-07-01

    This paper presents preliminary data on quantum dot gate nonvolatile memories using nearly lattice-matched ZnS/Zn0.95Mg0.05S/ZnS tunnel insulators. The GeO x -cladded Ge and SiO x -cladded Si quantum dots (QDs) are self-assembled site-specifically on the II-VI insulator grown epitaxially over the Si channel (formed between the source and drain region). The pseudomorphic II-VI stack serves both as a tunnel insulator and a high- κ dielectric. The effect of Mg incorporation in ZnMgS is also investigated. For the control gate insulator, we have used Si3N4 and SiO2 layers grown by plasma- enhanced chemical vapor deposition.

  19. SnO2-gated AlGaN/GaN high electron mobility transistors based oxygen sensors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hung, S.T.; Chung, Chi-Jung; Chen, Chin Ching

    2012-01-01

    Hydrothermally grown SnO2 was integrated with AlGaN/GaN high electron mobility transistor (HEMT) sensor as the gate electrode for oxygen detection. The crystalline of the SnO2 was improved after annealing at 400 C. The grain growth kinetics of the SnO2 nanomaterials, together with the O2 gas sensing properties and sensing mechanism of the SnO2 gated HEMT sensors were investigated. Detection of 1% oxygen in nitrogen at 100 C was possible. A low operation temperature and low power consumption oxygen sensor can be achieved by combining the SnO2 films with the AlGaN/GaN HEMT structure

  20. Tuning metal-insulator transitions in epitaxial V2O3 thin films

    NASA Astrophysics Data System (ADS)

    Thorsteinsson, Einar B.; Shayestehaminzadeh, Seyedmohammad; Arnalds, Unnar B.

    2018-04-01

    We present a study of the synthesis of epitaxial V2O3 films on c-plane Al2O3 substrates by reactive dc-magnetron sputtering. The results reveal a temperature window, at substantially lower values than previously reported, wherein epitaxial films can be obtained when deposited on [0001] oriented surfaces. The films display a metal-insulator transition with a change in the resistance of up to four orders of magnitude, strongly dependent on the O2 partial pressure during deposition. While the electronic properties of the films show sensitivity to the amount of O2 present during deposition of the films, their crystallographic structure and surface morphology of atomically flat terraced structures with up to micrometer dimensions are maintained. The transition temperature, as well as the scale of the metal-insulator transition, is correlated with the stoichiometry and local strain in the films controllable by the deposition parameters.

  1. Investigation of the fabrication processes of AlGaN/AlN/GaN HEMTs with in situ Si{sub 3}N{sub 4} passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tomosh, K. N., E-mail: sky77781@mail.ru; Pavlov, A. Yu.; Pavlov, V. Yu.

    2016-10-15

    The optimum mode of the in situ plasma-chemical etching of a Si{sub 3}N{sub 4} passivating layer in C{sub 3}F{sub 8}/O{sub 2} medium is chosen for the case of fabricating AlGaN/AlN/GaN HEMTs. It is found that a bias of 40–50 V at a high-frequency electrode provides anisotropic etching of the insulator through a resist mask and introduces no appreciable radiation-induced defects upon overetching of the insulator films in the region of gate-metallization formation. To estimate the effect of in situ Si{sub 3}N{sub 4} growth together with the heterostructure in one process on the AlGaN/AlN/GaN HEMT characteristics, transistors with gates without themore » insulator and with gates through Si{sub 3}N{sub 4} slits are fabricated. The highest drain current of the AlGaN/AlN/GaN HEMT at 0 V at the gate is shown to be 1.5 times higher in the presence of Si{sub 3}N{sub 4} than without it.« less

  2. Impacts of oxidants in atomic layer deposition method on Al2O3/GaN interface properties

    NASA Astrophysics Data System (ADS)

    Taoka, Noriyuki; Kubo, Toshiharu; Yamada, Toshikazu; Egawa, Takashi; Shimizu, Mitsuaki

    2018-01-01

    The electrical interface properties of GaN metal-oxide-semiconductor (MOS) capacitors with an Al2O3 gate insulator formed by atomic layer deposition method using three kinds of oxidants were investigated by the capacitance-voltage technique, Terman method, and conductance method. We found that O3 and the alternate supply of H2O and O3 (AS-HO) are effective for reducing the interface trap density (D it) at the energy range of 0.15 to 0.30 eV taking from the conduction band minimum. On the other hand, we found that surface potential fluctuation (σs) induced by interface charges for the AS-HO oxidant is much larger than that for a Si MOS capacitor with a SiO2 layer formed by chemical vapor deposition despite the small D it values for the AS-HO oxidant compared with the Si MOS capacitor. This means that the total charged center density including the fixed charge density, charged slow trap density, and charged interface trap density for the GaN MOS capacitor is higher than that for the Si MOS capacitor. Therefore, σs has to be reduced to improve the performances and reliability of GaN devices with the Al2O3/GaN interfaces.

  3. Piezo-tunnel effect in Al/Al2O3/Al junctions elaborated by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Rafael, R.; Puyoo, E.; Malhaire, C.

    2017-11-01

    In this work, the electrical transport in Al/Al2O3/Al junctions under mechanical stress is investigated in the perspective to use them as strain sensors. The metal/insulator/metal junctions are elaborated with a low temperature process (≤200 °C) fully compatible with CMOS back-end-of-line. The conduction mechanism in the structure is found to be Fowler-Nordheim tunneling, and efforts are made to extract the relevant physical parameters. Gauge factors up to -32.5 were found in the fabricated devices under tensile stress. Finally, theoretical mechanical considerations give strong evidence that strain sensitivity in Al/Al2O3/Al structures originates not only from geometrical deformations but also from the variation of interface barrier height and/or effective electronic mass in the tunneling oxide layer.

  4. Synthesis, microstructure and magnetic properties of Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Jian, E-mail: snove418562@163.com; Key Laboratory for Ferrous Metallurgy and Resources Utilization of Ministry of Education, Wuhan University of Science and Technology, Wuhan, Hubei 430081; Fan, Xi’an, E-mail: groupfxa@163.com

    2015-11-15

    Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} soft magnetic composite core have been synthesized via a modified stöber method combined with following high temperature sintering process. Most of conductive Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by insulating SiO{sub 2} using the modified stöber method. The Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles exhibited good soft magnetic properties with low coercivity and high saturation magnetization. The reaction 4Al+3SiO{sub 2}=2α-Al{sub 2}O{sub 3}+3Si took place during the sintering process. As a result the new Fe{sub 3}Si/Al{sub 2}O{sub 3} composite was formed. The Fe{sub 3}Si/Al{sub 2}O{submore » 3} composite core displayed more excellent soft magnetic properties, better frequency stability at high frequencies, much higher electrical resistivity and lower core loss than the pure Fe{sub 3}Si{sub 0.7}Al{sub 0.3} core. The method of introducing insulating layers surrounding magnetic particles provides a promising route to develop new and high compact soft magnetic materials with good magnetic and electric properties. - Graphical abstract: In Fe{sub 3}Si/Al{sub 2}O{sub 3} composite, Fe{sub 3}Si phases are separated by Al{sub 2}O{sub 3} layers and the eddy currents are confined in Fe{sub 3}Si phases, thus increasing resistivity and reducing core loss. - Highlights: • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} core–shell particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores were prepared. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3} particles could be uniformly coated by nano-sized SiO{sub 2} clusters. • Fe{sub 3}Si{sub 0.7}Al{sub 0.3}@SiO{sub 2} particles and Fe{sub 3}Si/Al{sub 2}O{sub 3} cores showed good soft magnetic properties. • Fe{sub 3}Si/Al{sub 2}O{sub 3} had lower core loss and better frequency stability than Fe{sub 3}Si{sub 0.7}Al{sub 0.3} cores.« less

  5. Polarization and Fowler-Nordheim tunneling in anodized Al-Al2O3-Au diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2000-06-01

    Polarization in anodic Al2O3 films is measured by using quasi-dc current-voltage (I-V) curves of Al-Al2O3-Au diodes. A reproducible polarization state is established by applying a negative voltage to the Au electrode of a rectifying Al-Al2O3-Au diode. The difference between subsequent I-V curves with Au positive is a measure of polarization in the sample. The magnitude of polarization charge in Al2O3 depends on the anodizing electrolyte. Al2O3 films formed in H2O-based electrolytes have approximately ten times the polarization charge of Al2O3 films formed in ethylene glycol-based electrolyte. Anodizing conditions that produce greater polarizing charge in anodic Al2O3 result in voltage-time curves during anodization under galvanostatic conditions that are nonlinear. Anodic films with greater polarizing charge also have a greater apparent interface capacitance which is independent of Al2O3 thickness. I-V curves of Al-Al2O3-Au diodes for increasing voltage are dominated by polarization. I-V curves for decreasing voltage are reproducible and parallel but depend on the maximum current and voltage reached during the measurement. There is no single current corresponding to a given voltage. I-V curves for decreasing voltage are analyzed assuming that the conduction mechanism is Fowler-Nordheim (FN) tunneling. There is a qualitative difference between the FN tunneling parameters for Al2O3 films formed in H2O-based electrolytes and those formed in ethylene glycol-based electrolyte. For the former the value of the exponential term in the FN analysis increases as the value of maximum voltage and current in an I-V characteristic increases, while the value of the pre-exponential term is nearly constant. For the latter, the exponential term is nearly constant as maximum voltage and current increase, but the pre-exponential term decreases by about 5 decades. Thus polarization charge incorporated during formation of anodized Al2O3 strongly affects the formation of the insulating

  6. Development and Application of Binary Suspensions in the Ternary System Cr2O3-TiO2-Al2O3 for S-HVOF Spraying

    NASA Astrophysics Data System (ADS)

    Potthoff, Annegret; Kratzsch, Robert; Barbosa, Maria; Kulissa, Nick; Kunze, Oliver; Toma, Filofteia-Laura

    2018-04-01

    Compositions in the system Cr2O3-TiO2-Al2O3 are among the most used ceramic materials for thermally sprayed coating solutions. Cr2O3 coatings present good sliding wear resistance; Al2O3 coatings show excellent insulation behavior and TiO2 striking corrosion properties. In order to combine these properties, coatings containing more than one oxide are highly interesting. The conventional spraying process is limited to the availability of binary feedstock powders with defined compositions. The use of suspensions offers the opportunity for tailor-made chemical compositions: within the triangle of Cr2O3-TiO2-Al2O3, each mixture of oxides can be created. Criteria for the selection of raw materials as well as the relevant aspects for the development of binary suspensions in the Cr2O3-TiO2-Al2O3 system to be used as feedstock for thermal spraying are presented. This formulation of binary suspensions required the development of water-based single-oxide suspensions with suitable behavior; otherwise, the interaction between the particles while mixing could lead up to a formation of agglomerates, which affect both the stability of the spray process and the coating properties. For the validation of this formulation procedure, binary Cr2O3-TiO2 and Al2O3-TiO2 suspensions were developed and sprayed using the S-HVOF process. The binary coatings were characterized and discussed in terms of microstructure and microhardness.

  7. Electrically programmable-erasable In-Ga-Zn-O thin-film transistor memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qian, Shi-Bing; Zhang, Wen-Peng; Liu, Wen-Jun

    Amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistor (TFT) memory is very promising for transparent and flexible system-on-panel displays; however, electrical erasability has always been a severe challenge for this memory. In this article, we demonstrated successfully an electrically programmable-erasable memory with atomic-layer-deposited Al{sub 2}O{sub 3}/Pt nanocrystals/Al{sub 2}O{sub 3} gate stack under a maximal processing temperature of 300 {sup o}C. As the programming voltage was enhanced from 14 to 19 V for a constant pulse of 0.2 ms, the threshold voltage shift increased significantly from 0.89 to 4.67 V. When the programmed device was subjected to an appropriate pulse under negative gatemore » bias, it could return to the original state with a superior erasing efficiency. The above phenomena could be attributed to Fowler-Nordheim tunnelling of electrons from the IGZO channel to the Pt nanocrystals during programming, and inverse tunnelling of the trapped electrons during erasing. In terms of 0.2-ms programming at 16 V and 350-ms erasing at −17 V, a large memory window of 3.03 V was achieved successfully. Furthermore, the memory exhibited stable repeated programming/erasing (P/E) characteristics and good data retention, i.e., for 2-ms programming at 14 V and 250-ms erasing at −14 V, a memory window of 2.08 V was still maintained after 10{sup 3} P/E cycles, and a memory window of 1.1 V was retained after 10{sup 5} s retention time.« less

  8. Magnetic gating of a 2D topological insulator

    NASA Astrophysics Data System (ADS)

    Dang, Xiaoqian; Burton, J. D.; Tsymbal, Evgeny Y.

    2016-09-01

    Deterministic control of transport properties through manipulation of spin states is one of the paradigms of spintronics. Topological insulators offer a new playground for exploring interesting spin-dependent phenomena. Here, we consider a ferromagnetic ‘gate’ representing a magnetic adatom coupled to the topologically protected edge state of a two-dimensional (2D) topological insulator to modulate the electron transmission of the edge state. Due to the locked spin and wave vector of the transport electrons the transmission across the magnetic gate depends on the mutual orientation of the adatom magnetic moment and the current. If the Fermi energy matches an exchange-split bound state of the adatom, the electron transmission can be blocked due to the full back scattering of the incident wave. This antiresonance behavior is controlled by the adatom magnetic moment orientation so that the transmission of the edge state can be changed from 1 to 0. Expanding this consideration to a ferromagnetic gate representing a 1D chain of atoms shows a possibility to control the spin-dependent current of a strip of a 2D topological insulator by magnetization orientation of the ferromagnetic gate.

  9. Nanoindentation investigation of HfO2 and Al2O3 films grown by atomic layer deposition

    Treesearch

    K. Tapily; Joseph E. Jakes; D. S. Stone; P. Shrestha; D. Gu; H. Baumgart; A. A. Elmustafa

    2008-01-01

    The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node have shifted engineers’ attention from the traditional and proven dielectric SiO2 to materials of higher dielectric constant also known as high-k materials such as hafnium oxide (HfO2) and aluminum oxide (Al2O3). These high-k materials are projected to...

  10. Correlation between border traps and exposed surface properties in gate recessed normally-off Al2O3/GaN MOSFET

    NASA Astrophysics Data System (ADS)

    Yin, Ruiyuan; Li, Yue; Sun, Yu; Wen, Cheng P.; Hao, Yilong; Wang, Maojun

    2018-06-01

    We report the effect of the gate recess process and the surface of as-etched GaN on the gate oxide quality and first reveal the correlation between border traps and exposed surface properties in normally-off Al2O3/GaN MOSFET. The inductively coupled plasma (ICP) dry etching gate recess with large damage presents a rough and active surface that is prone to form detrimental GaxO validated by atomic force microscopy and X-ray photoelectron spectroscopy. Lower drain current noise spectral density of the 1/f form and less dispersive ac transconductance are observed in GaN MOSFETs fabricated with oxygen assisted wet etching compared with devices based on ICP dry etching. One decade lower density of border traps is extracted in devices with wet etching according to the carrier number fluctuation model, which is consistent with the result from the ac transconductance method. Both methods show that the density of border traps is skewed towards the interface, indicating that GaxO is of higher trap density than the bulk gate oxide. GaxO located close to the interface is the major location of border traps. The damage-free oxidation assisted wet etching gate recess technique presents a relatively smooth and stable surface, resulting in lower border trap density, which would lead to better MOS channel quality and improved device reliability.

  11. A comparison of the doppler-broadened positron annihilation spectra of neutron irradiated Al 2O 3 and MgAl 2O 3

    NASA Astrophysics Data System (ADS)

    Jones, P. L.; Schaffer, J. P.; Cocks, F. H.; Clinard, F. W.; Hurley, G. F.

    1985-01-01

    Radiation damage studies of oxides and ceramics have become of increasing importance due to the projected use of these materials in thermonuclear fusion reactors as electronic insulators and first wall materials. In addition these materials are important in RAD waste disposal. As part of a study of the defect structure in radiation damaged ceramics Doppler-broadened positron annihilation spectra have been obtained for a series of single crystal sapphire (α-Al 2O 3) and polycrystal (1:1) and (1:2) magnesium aluminate spinel (MgO·Al 2O 3 and MgO-2Al 2O 3) samples. These samples were irradiated in EBR-II to a fluence of 3 × 10 25 n/m 2 (E > 0.1 MeV) at 740°C, and 2 × 10 26 n/m 2 (E > 0.1 MeV) at ~ 550°C respectively. Positron annihilation spectra lineshapes for the irradiated, annealed, and as-received samples of both materials were compared using S parameter analysis. These calculations were made on deconvoluted gamma ray spectra that were free of any instrumental broadening effects. In this way, absolute S parameter changes could be calculated. The observed changes in the S parameter are consistent with independent volume swelling measurements for both the α-A1 2O 3 and the (1:2) MgAl 2O 4 samples. However, the change in S parameter measured for the (1:1) spinel is contrary to the measured volume change. This apparent anomaly indicates a predominence of interstitial as opposed to vacancy type defects in this material.

  12. Spectra of surface plasmon polariton enhanced electroluminescence from electroformed Al-Al{sub 2}O{sub 3}-Ag diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hickmott, T. W.

    Narrow band-pass filters have been used to measure the spectral distribution of electroluminescent photons with energies between 1.8 eV and 3.0 eV from electroformed Al-Al{sub 2}O{sub 3}-Ag diodes with anodic Al{sub 2}O{sub 3} thicknesses between 12 nm and 18 nm. Electroforming of metal-insulator-metal (MIM) diodes is a non-destructive dielectric breakdown that results in a conducting channel in the insulator and changes the initial high resistance of the MIM diode to a low resistance state. It is a critical step in the development of resistive-switching memories that utilize MIM diodes as the active element. Electroforming of Al-Al{sub 2}O{sub 3}-Ag diodes in vacuum results in voltage-controlledmore » negative resistance (VCNR) in the current-voltage (I-V) characteristics. Electroluminescence (EL) and electron emission into vacuum (EM) develop simultaneously with the current increase that results in VCNR in the I-V characteristics. EL is due to recombination of electrons injected at the Al-Al{sub 2}O{sub 3} interface with radiative defect centers in Al{sub 2}O{sub 3}. Measurements of EL photons between 1.8 eV and 3.0 eV using a wide band-pass filter showed that EL intensity is exponentially dependent on Al{sub 2}O{sub 3} thickness for Al-Al{sub 2}O{sub 3}-Ag diodes between 12 nm and 20 nm thick. Enhanced El intensity in the thinnest diodes is attributed to an increase in the spontaneous emission rate of recombination centers due to high electromagnetic fields generated in Al{sub 2}O{sub 3} when EL photons interact with electrons in Ag or Al to form surface plasmon polaritons at the Al{sub 2}O{sub 3}-Ag or Al{sub 2}O{sub 3}-Al interface. El intensity is a maximum at 2.0–2.2 eV for Al-Al{sub 2}O{sub 3}-Ag diodes with Al{sub 2}O{sub 3} thicknesses between 12 nm and 18 nm. EL in diodes with 12 nm or 14 nm of Al{sub 2}O{sub 3} is enhanced by factors of 8–10 over EL from a diode with 18 nm of Al{sub 2}O{sub 3}. The extent of EL

  13. Millimeter distance effects of surface plasmon polaritons in electroformed Al-Al2O3-Ag diodes

    NASA Astrophysics Data System (ADS)

    Hickmott, T. W.

    2017-02-01

    Electroforming of metal-insulator-metal diodes is a soft dielectric breakdown that changes the high resistance of as-prepared diodes to a low resistance state. Electroforming of Al-Al2O3-metal diodes with anodic Al2O3 results in voltage-controlled negative resistance in the current-voltage (I-V) characteristics, electroluminescence (EL), and electron emission into vacuum (EM). EL is due to electrons injected at the Al-Al2O3 interface combining with radiative defects in Al2O3. Surface plasmon polaritons (SPPs) are electromagnetic waves that can be excited by photons or electrons. SPPs are confined to a metal-dielectric interface, cause large electric fields in the metal and dielectric, and have ranges of micrometers. The temperature dependence of I-V curves, EL, and EM of a group of electroformed Al-Al2O3-Ag diodes with Al2O3 thicknesses between 12 nm and 20 nm, group A, was measured between 200 K and 300 K. After a sequence of temperature measurements, the Al-Al2O3-Ag diodes, the Al-Al2O3 regions between diodes, and portions of the Ag on the glass region that provides contacts to the diodes are darkened. The range of darkening is >7 mm in a diode with 12 nm of Al2O3 and 2.0-3.5 mm in diodes with Al2O3 thicknesses between 14 nm and 20 nm. Darkening is attributed to the occurrence of SPPs generated by EL photons at the Ag-Al2O3 and Al-Al2O3 interfaces. The results are compared to a second group of Al-Al2O3-Ag diodes with identical Al2O3 thicknesses, group B, that were prepared in the same way as the diodes of group A except for a difference in the deposition of Al films for the two groups. Al-Al2O3-Ag diodes of group B exhibit enhanced EL, which is attributed to spontaneous emission of recombination centers in Al2O3 being enhanced by large electromagnetic fields that are due to SPPs that are generated by EL photons.

  14. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta2O5)x(Al2O3)1-x as potential gate dielectrics for GaN/AlxGa1-xN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Partida-Manzanera, T.; Roberts, J. W.; Bhat, T. N.; Zhang, Z.; Tan, H. R.; Dolmanan, S. B.; Sedghi, N.; Tripathy, S.; Potter, R. J.

    2016-01-01

    This paper describes a method to optimally combine wide band gap Al2O3 with high dielectric constant (high-κ) Ta2O5 for gate dielectric applications. (Ta2O5)x(Al2O3)1-x thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped AlxGa1-xN/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta2O5 molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al2O3 to 4.6 eV for pure Ta2O5. The dielectric constant calculated from capacitance-voltage measurements also increases linearly from 7.8 for Al2O3 up to 25.6 for Ta2O5. The effect of post-deposition annealing in N2 at 600 °C on the interfacial properties of undoped Al2O3 and Ta-doped (Ta2O5)0.12(Al2O3)0.88 films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al2O3/GaN-HEMT and (Ta2O5)0.16(Al2O3)0.84/GaN-HEMT samples increased by ˜1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al2O3 can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.

  15. Electrical characterization of amorphous Al2O3 dielectric films on n-type 4H-SiC

    NASA Astrophysics Data System (ADS)

    Khosa, R. Y.; Thorsteinsson, E. B.; Winters, M.; Rorsman, N.; Karhu, R.; Hassan, J.; Sveinbjörnsson, E. Ö.

    2018-02-01

    We report on the electrical properties of Al2O3 films grown on 4H-SiC by successive thermal oxidation of thin Al layers at low temperatures (200°C - 300°C). MOS capacitors made using these films contain lower density of interface traps, are more immune to electron injection and exhibit higher breakdown field (5MV/cm) than Al2O3 films grown by atomic layer deposition (ALD) or rapid thermal processing (RTP). Furthermore, the interface state density is significantly lower than in MOS capacitors with nitrided thermal silicon dioxide, grown in N2O, serving as the gate dielectric. Deposition of an additional SiO2 film on the top of the Al2O3 layer increases the breakdown voltage of the MOS capacitors while maintaining low density of interface traps. We examine the origin of negative charges frequently encountered in Al2O3 films grown on SiC and find that these charges consist of trapped electrons which can be released from the Al2O3 layer by depletion bias stress and ultraviolet light exposure. This electron trapping needs to be reduced if Al2O3 is to be used as a gate dielectric in SiC MOS technology.

  16. Top-gate pentacene-based organic field-effect transistor with amorphous rubrene gate insulator

    NASA Astrophysics Data System (ADS)

    Hiroki, Mizuha; Maeda, Yasutaka; Ohmi, Shun-ichiro

    2018-02-01

    The scaling of organic field-effect transistors (OFETs) is necessary for high-density integration and for this, OFETs with a top-gate configuration are required. There have been several reports of damageless lithography processes for organic semiconductor or insulator layers. However, it is still difficult to fabricate scaled OFETs with a top-gate configuration. In this study, the lift-off process and the device characteristics of the OFETs with a top-gate configuration utilizing an amorphous (α) rubrene gate insulator were investigated. We have confirmed that α-rubrene shows an insulating property, and its extracted linear mobility was 2.5 × 10-2 cm2/(V·s). The gate length and width were 10 and 60 µm, respectively. From these results, the OFET with a top-gate configuration utilizing an α-rubrene gate insulator is promising for the high-density integration of scaled OFETs.

  17. The effect of Al segregation on Schottky barrier height and effective work function in TiAl/TiN/HfO2 gate stacks

    NASA Astrophysics Data System (ADS)

    Kim, Geun-Myeong; Oh, Young Jun; Chang, K. J.

    2016-07-01

    We perform first-principles density functional calculations to investigate the effects of Al incorporation on the p-type Schottky barrier height ≤ft({φ\\text{p}}\\right) and the effective work function for various high-k/metal gate stacks, such as TiN/HfO2 with interface Al impurities, Ti1-x Al x N/HfO2, and TiAl/TiN/HfO2. When Al atoms substitute for the interface Ti atoms at TiN/HfO2 interface, interface dipole fields become stronger, leading to the increase of {φ\\text{p}} and thereby the n-type shift of effective work function. In Ti1-x Al x N/HfO2 interface, {φ\\text{p}} linearly increases with the Al content, attributed to the presence of interface Al atoms. On the other hand, in TiAl/TiN/HfO2 interface, where Al is assumed not to segregate from TiAl to TiN, {φ\\text{p}} is nearly independent of the thickness of TiAl. Our results indicate that Al impurities at the metal/dielectric interface play an important role in controlling the effective work function, and provide a clue to understanding the n-type shift of the effective work function observed in TiAl/TiN/HfO2 gate stacks fabricated by using thegate-last process.

  18. Understanding Metal-Insulator transitions in ultra-thin films of LaNiO3

    NASA Astrophysics Data System (ADS)

    Ravichandran, Jayakanth; King, Philip D. C.; Schlom, Darrell G.; Shen, Kyle M.; Kim, Philip

    2014-03-01

    LaNiO3 (LNO) is a bulk paramagnetic metal and a member of the family of RENiO3 Nickelates (RE = Rare Earth Metals), which is on the verge of the metal-insulator transition. Ultra-thin films of LNO has been studied extensively in the past and due to its sensitivity to disorder, the true nature of the metal-insulator transition in these films have been hard to decipher. We grow high quality ultra-thin films of LNO using reactive molecular beam epitaxy (MBE) and use a combination of ionic liquid gating and magneto-transport measurements to understand the nature and tunability of metal-insulator transition as a function of thickness for LNO. The underlying mechanisms for the transition are discussed in the framework of standard transport models. These results are discussed in the light of other Mott insulators such as Sr2IrO4, where we have performed similar measurements around the insulating state.

  19. Enhancement of the physical properties of novel (1- x) NiFe2O4 + ( x) Al2O3 nanocomposite

    NASA Astrophysics Data System (ADS)

    Mansour, S. F.; Ahmed, M. A.; El-Dek, S. I.; Abdo, M. A.; Kora, H. H.

    2017-07-01

    NiFe2O4, Al2O3 and their nanocomposites; (1- x) NiFe2O4 + ( x) Al2O3, 0.0 ≤ x ≤ 1; were synthesized using the citrate-nitrate technique. The crystal structure was examined by X-ray diffraction, the microstructure was observed by transmission electron microscopy. The Curie temperature T C grows until reaching more than 1100 K with increasing alumina content ( x), while the saturation magnetization ( M s) decreased. The large improvement of room temperature resistivity which achieved two orders of magnitude from x = 0 to x = 70% was interpreted from the fact that the NiFe2O4 grains become electrically isolated and the conduction path is broken by the insulating Al2O3 nanoparticulates in the composite. The electrical properties of the nanocomposite could thus be tuned easily by adjusting the Al2O3 ratio to realize the targeted value of losses and resistivity at any temperature and frequency.

  20. A comparative study of photoconductivity in LaTiO3/SrTiO3 and LaAlO3/SrTiO3 2-DEG heterostructures

    NASA Astrophysics Data System (ADS)

    Rastogi, A.; Hossain, Z.; Budhani, R. C.

    2013-02-01

    Here we compare the growth temperature dependence of the response of LaTiO3/SrTiO3 and LaAlO3/SrTiO3 2D-electron gas (2-DEG) field effect structure to the optical radiation of near ultraviolet frequency and electrostatic gate field. For both the films the resistance of the channel increases significantly as growth temperature is lowered from 800 to 700 °C. These heterostructures show the photoconductivity (PC) simulated by UV light of λ ≤ 400 nm. The PC follows the stretched exponential dynamics. It is found that photo-response of the LaTiO3 films is prominent and has larger decay time constant as compare to LaAlO3 films. The effect of electric field on the photo-induced conducting state is also studied.

  1. Band Offset Measurements in Atomic-Layer-Deposited Al2O3/Zn0.8Al0.2O Heterojunction Studied by X-ray Photoelectron Spectroscopy.

    PubMed

    Yan, Baojun; Liu, Shulin; Heng, Yuekun; Yang, Yuzhen; Yu, Yang; Wen, Kaile

    2017-12-01

    Pure aluminum oxide (Al 2 O 3 ) and zinc aluminum oxide (Zn x Al 1-x O) thin films were deposited by atomic layer deposition (ALD). The microstructure and optical band gaps (E g ) of the Zn x Al 1-x O (0.2 ≤ x ≤ 1) films were studied by X-ray diffractometer and Tauc method. The band offsets and alignment of atomic-layer-deposited Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction were investigated in detail using charge-corrected X-ray photoelectron spectroscopy. In this work, different methodologies were adopted to recover the actual position of the core levels in insulator materials which were easily affected by differential charging phenomena. Valence band offset (ΔE V ) and conduction band offset (ΔE C ) for the interface of the Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction have been constructed. An accurate value of ΔE V  = 0.82 ± 0.12 eV was obtained from various combinations of core levels of heterojunction with varied Al 2 O 3 thickness. Given the experimental E g of 6.8 eV for Al 2 O 3 and 5.29 eV for Zn 0.8 Al 0.2 O, a type-I heterojunction with a ΔE C of 0.69 ± 0.12 eV was found. The precise determination of the band alignment of Al 2 O 3 /Zn 0.8 Al 0.2 O heterojunction is of particular importance for gaining insight to the design of various electronic devices based on such heterointerface.

  2. Al2O3 Passivation Effect in HfO2·Al2O3 Laminate Structures Grown on InP Substrates.

    PubMed

    Kang, Hang-Kyu; Kang, Yu-Seon; Kim, Dae-Kyoung; Baik, Min; Song, Jin-Dong; An, Youngseo; Kim, Hyoungsub; Cho, Mann-Ho

    2017-05-24

    The passivation effect of an Al 2 O 3 layer on the electrical properties was investigated in HfO 2 -Al 2 O 3 laminate structures grown on indium phosphide (InP) substrate by atomic-layer deposition. The chemical state obtained using high-resolution X-ray photoelectron spectroscopy showed that interfacial reactions were dependent on the presence of the Al 2 O 3 passivation layer and its sequence in the HfO 2 -Al 2 O 3 laminate structures. Because of the interfacial reaction, the Al 2 O 3 /HfO 2 /Al 2 O 3 structure showed the best electrical characteristics. The top Al 2 O 3 layer suppressed the interdiffusion of oxidizing species into the HfO 2 films, whereas the bottom Al 2 O 3 layer blocked the outdiffusion of In and P atoms. As a result, the formation of In-O bonds was more effectively suppressed in the Al 2 O 3 /HfO 2 /Al 2 O 3 /InP structure than that in the HfO 2 -on-InP system. Moreover, conductance data revealed that the Al 2 O 3 layer on InP reduces the midgap traps to 2.6 × 10 12 eV -1 cm -2 (compared to that of HfO 2 /InP, that is, 5.4 × 10 12 eV -1 cm -2 ). The suppression of gap states caused by the outdiffusion of In atoms significantly controls the degradation of capacitors caused by leakage current through the stacked oxide layers.

  3. Gate-Induced Metal–Insulator Transition in MoS 2 by Solid Superionic Conductor LaF 3

    DOE PAGES

    Wu, Chun-Lan; Yuan, Hongtao; Li, Yanbin; ...

    2018-03-23

    Electric-double-layer (EDL) gating with liquid electrolyte has been a powerful tool widely used to explore emerging interfacial electronic phenomena. Due to the large EDL capacitance, a high carrier density up to 10 14 cm –2 can be induced, directly leading to the realization of field-induced insulator to metal (or superconductor) transition. However, the liquid nature of the electrolyte has created technical issues including possible side electrochemical reactions or intercalation, and the potential for huge strain at the interface during cooling. In addition, the liquid coverage of active devices also makes many surface characterizations and in situ measurements challenging. Here, wemore » demonstrate an all solid-state EDL device based on a solid superionic conductor LaF 3, which can be used as both a substrate and a fluorine ionic gate dielectric to achieve a wide tunability of carrier density without the issues of strain or electrochemical reactions and can expose the active device surface for external access. Based on LaF 3 EDL transistors (EDLTs), we observe the metal–insulator transition in MoS 2. Interestingly, the well-defined crystal lattice provides a more uniform potential distribution in the substrate, resulting in less interface electron scattering and therefore a higher mobility in MoS 2 transistors. Finally, this result shows the powerful gating capability of LaF 3 solid electrolyte for new possibilities of novel interfacial electronic phenomena.« less

  4. Mechanisms of charge transfer and redistribution in LaAlO3/SrTiO3 revealed by high-energy optical conductivity.

    PubMed

    Asmara, T C; Annadi, A; Santoso, I; Gogoi, P K; Kotlov, A; Omer, H M; Motapothula, M; Breese, M B H; Rübhausen, M; Venkatesan, T; Ariando; Rusydi, A

    2014-04-14

    In condensed matter physics the quasi two-dimensional electron gas at the interface of two different insulators, polar LaAlO3 on nonpolar SrTiO3 (LaAlO3/SrTiO3) is a spectacular and surprising observation. This phenomenon is LaAlO3 film thickness dependent and may be explained by the polarization catastrophe model, in which a charge transfer of 0.5e(-) from the LaAlO3 film into the LaAlO3/SrTiO3 interface is expected. Here we show that in conducting samples (≥ 4 unit cells of LaAlO3) there is indeed a ~0.5e(-) transfer from LaAlO3 into the LaAlO3/SrTiO3 interface by studying the optical conductivity in a broad energy range (0.5-35 eV). Surprisingly, in insulating samples (≤ 3 unit cells of LaAlO3) a redistribution of charges within the polar LaAlO3 sublayers (from AlO2 to LaO) as large as ~0.5e(-) is observed, with no charge transfer into the interface. Hence, our results reveal the different mechanisms for the polarization catastrophe compensation in insulating and conducting LaAlO3/SrTiO3 interfaces.

  5. Impact of process parameters on the structural and electrical properties of metal/PZT/Al2O3/silicon gate stack for non-volatile memory applications

    NASA Astrophysics Data System (ADS)

    Singh, Prashant; Jha, Rajesh Kumar; Singh, Rajat Kumar; Singh, B. R.

    2018-02-01

    In this paper, we present the structural and electrical properties of the Al2O3 buffer layer on non-volatile memory behavior using Metal/PZT/Al2O3/Silicon structures. Metal/PZT/Silicon and Metal/Al2O3/Silicon structures were also fabricated and characterized to obtain capacitance and leakage current parameters. Lead zirconate titanate (PZT::35:65) and Al2O3 films were deposited by sputtering on the silicon substrate. Memory window, PUND, endurance, breakdown voltage, effective charges, flat-band voltage and leakage current density parameters were measured and the effects of process parameters on the structural and electrical characteristics were investigated. X-ray data show dominant (110) tetragonal phase of the PZT film, which crystallizes at 500 °C. The sputtered Al2O3 film annealed at different temperatures show dominant (312) orientation and amorphous nature at 425 °C. Multiple angle laser ellipsometric analysis reveals the temperature dependence of PZT film refractive index and extinction coefficient. Electrical characterization shows the maximum memory window of 3.9 V and breakdown voltage of 25 V for the Metal/Ferroelectric/Silicon (MFeS) structures annealed at 500 °C. With 10 nm Al2O3 layer in the Metal/Ferroelectric/Insulator/Silicon (MFeIS) structure, the memory window and breakdown voltage was improved to 7.21 and 35 V, respectively. Such structures show high endurance with no significant reduction polarization charge for upto 2.2 × 109 iteration cycles.

  6. Nanoscale control of an interfacial metal-insulator transition at room temperature.

    PubMed

    Cen, C; Thiel, S; Hammerl, G; Schneider, C W; Andersen, K E; Hellberg, C S; Mannhart, J; Levy, J

    2008-04-01

    Experimental and theoretical investigations have demonstrated that a quasi-two-dimensional electron gas (q-2DEG) can form at the interface between two insulators: non-polar SrTiO3 and polar LaTiO3 (ref. 2), LaAlO3 (refs 3-5), KTaO3 (ref. 7) or LaVO3 (ref. 6). Electronically, the situation is analogous to the q-2DEGs formed in semiconductor heterostructures by modulation doping. LaAlO3/SrTiO3 heterostructures have recently been shown to exhibit a hysteretic electric-field-induced metal-insulator quantum phase transition for LaAlO3 thicknesses of 3 unit cells. Here, we report the creation and erasure of nanoscale conducting regions at the interface between two insulating oxides, LaAlO3 and SrTiO3. Using voltages applied by a conducting atomic force microscope (AFM) probe, the buried LaAlO3/SrTiO3 interface is locally and reversibly switched between insulating and conducting states. Persistent field effects are observed using the AFM probe as a gate. Patterning of conducting lines with widths of approximately 3 nm, as well as arrays of conducting islands with densities >10(14) inch(-2), is demonstrated. The patterned structures are stable for >24 h at room temperature.

  7. Abnormal positive bias stress instability of In–Ga–Zn–O thin-film transistors with low-temperature Al{sub 2}O{sub 3} gate dielectric

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, Yu-Hong; Yu, Ming-Jiue; Lin, Ruei-Ping

    2016-01-18

    Low-temperature atomic layer deposition (ALD) was employed to deposit Al{sub 2}O{sub 3} as a gate dielectric in amorphous In–Ga–Zn–O thin-film transistors fabricated at temperatures below 120 °C. The devices exhibited a negligible threshold voltage shift (ΔV{sub T}) during negative bias stress, but a more pronounced ΔV{sub T} under positive bias stress with a characteristic turnaround behavior from a positive ΔV{sub T} to a negative ΔV{sub T}. This abnormal positive bias instability is explained using a two-process model, including both electron trapping and hydrogen release and migration. Electron trapping induces the initial positive ΔV{sub T}, which can be fitted using the stretchedmore » exponential function. The breakage of residual AlO-H bonds in low-temperature ALD Al{sub 2}O{sub 3} is triggered by the energetic channel electrons. The hydrogen atoms then diffuse toward the In–Ga–Zn–O channel and induce the negative ΔV{sub T} through electron doping with power-law time dependence. A rapid partial recovery of the negative ΔV{sub T} after stress is also observed during relaxation.« less

  8. Depolarization currents in Al 2O 3 and MgAl 2O 4 oxides

    NASA Astrophysics Data System (ADS)

    Carvalhaes, R. P. M.; Rocha, M. S.; de Souza, S. S.; Blak, A. R.

    2004-06-01

    In the present work, dipole defects in γ-irradiated and thermally treated samples of Al 2O 3 and MgAl 2O 4 oxides are investigated, applying the thermally stimulated depolarisation currents technique (TSDC). The TSDC spectra of MgAl 2O 4 doped with Fe 2+, Fe 3+, Co 2+, Cr 3+ and Mn 2+ show four bands at 130 K, 160 K, 250 K and 320 K, and the spectra of Al 2O 3 doped with Mg 2+, Cr 3+ and Fe 3+ show bands between 230 K and 260 K. It has been observed that the bands at 130 K, 160 K and 250 K in MgAl 2O 4 spinel and that the 230 K and 240 K bands in Al 2O 3 are related to dipole defects. The other bands are possibly related to different types of charge storage mechanisms (space-charge and interfacial polarisation) or deal with distributions in activation energies and/or in relaxation times. A thermal decrease of the TSDC bands for heat treatments above 1000 K has been observed. In MgAl 2O 4 spinel, the 250 K band could be recovered after γ-irradiation and the two dipole peaks in Al 2O 3 were partially recovered. Thermal treatments affect the dipole aggregation processes in both oxides. Optical absorption (AO) results indicate that the presence of bands of water molecules in the infrared region obstructs the appearance of the TSDC bands in both Al 2O 3 and MgAl 2O 4. The 250 K peak in MgAl 2O 4 was correlated to V-type centres and the 250 K peak in Al 2O 3 to a substitutional Mg 2+ ion near a trapped hole localised on an adjacent oxygen ion.

  9. Comparative analysis of the effects of tantalum doping and annealing on atomic layer deposited (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} as potential gate dielectrics for GaN/Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Partida-Manzanera, T., E-mail: sgtparti@liv.ac.uk; Institute of Materials Research and Engineering, A*STAR; Roberts, J. W.

    2016-01-14

    This paper describes a method to optimally combine wide band gap Al{sub 2}O{sub 3} with high dielectric constant (high-κ) Ta{sub 2}O{sub 5} for gate dielectric applications. (Ta{sub 2}O{sub 5}){sub x}(Al{sub 2}O{sub 3}){sub 1−x} thin films deposited by thermal atomic layer deposition (ALD) on GaN-capped Al{sub x}Ga{sub 1−x}N/GaN high electron mobility transistor (HEMT) structures have been studied as a function of the Ta{sub 2}O{sub 5} molar fraction. X-ray photoelectron spectroscopy shows that the bandgap of the oxide films linearly decreases from 6.5 eV for pure Al{sub 2}O{sub 3} to 4.6 eV for pure Ta{sub 2}O{sub 5}. The dielectric constant calculated from capacitance-voltage measurementsmore » also increases linearly from 7.8 for Al{sub 2}O{sub 3} up to 25.6 for Ta{sub 2}O{sub 5}. The effect of post-deposition annealing in N{sub 2} at 600 °C on the interfacial properties of undoped Al{sub 2}O{sub 3} and Ta-doped (Ta{sub 2}O{sub 5}){sub 0.12}(Al{sub 2}O{sub 3}){sub 0.88} films grown on GaN-HEMTs has been investigated. These conditions are analogous to the conditions used for source/drain contact formation in gate-first HEMT technology. A reduction of the Ga-O to Ga-N bond ratios at the oxide/HEMT interfaces is observed after annealing, which is attributed to a reduction of interstitial oxygen-related defects. As a result, the conduction band offsets (CBOs) of the Al{sub 2}O{sub 3}/GaN-HEMT and (Ta{sub 2}O{sub 5}){sub 0.16}(Al{sub 2}O{sub 3}){sub 0.84}/GaN-HEMT samples increased by ∼1.1 eV to 2.8 eV and 2.6 eV, respectively, which is advantageous for n-type HEMTs. The results demonstrate that ALD of Ta-doped Al{sub 2}O{sub 3} can be used to control the properties of the gate dielectric, allowing the κ-value to be increased, while still maintaining a sufficient CBO to the GaN-HEMT structure for low leakage currents.« less

  10. Improvement in negative bias illumination stress stability of In-Ga-Zn-O thin film transistors using HfO2 gate insulators by controlling atomic-layer-deposition conditions

    NASA Astrophysics Data System (ADS)

    Na, So-Yeong; Kim, Yeo-Myeong; Yoon, Da-Jeong; Yoon, Sung-Min

    2017-12-01

    The effects of atomic layer deposition (ALD) conditions for the HfO2 gate insulators (GI) on the device characteristics of the InGaZnO (IGZO) thin film transistors (TFTs) were investigated when the ALD temperature and Hf precursor purge time were varied to 200, 225, and 250 °C, and 15 and 30 s, respectively. The HfO2 thin films showed low leakage current density of 10-8 A cm-2, high dielectric constant of over 20, and smooth surface roughness at all ALD conditions. The IGZO TFTs using the HfO2 GIs showed good device characteristics such as a saturation mobility as high as 11 cm2 V-1 s-1, a subthreshold swing as low as 0.10 V/dec, and all the devices could be operated at a gate voltage as low as  ±3 V. While there were no marked differences in transfer characteristics and PBS stabilities among the fabricated devices, the NBIS instabilities could be improved by increasing the ALD temperature for the formation of HfO2 GIs by reducing the oxygen vacancies within the IGZO channel.

  11. Formation of gamma'-Ni3Al via the Peritectoid Reaction: gamma plus beta (+Al2O3) equals gamma'(+Al2O3)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8 - 32 at.%Al and temperature range T = 1400 - 1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma'-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3) = gamma + beta (+ Al2O3), at 1640 plus or minus 1 K and a liquid composition of 24.8 plus or minus 0.2 at.%Al (at an unknown oxygen content). The {gamma + beta + Al2O3} phase field is stable over the temperature range 1633 - 1640 K, and gamma'-Ni3Al forms via the peritectiod, gamma + beta (+ Al2O3) = gamma'(+ Al2O3), at 1633 plus or minus 1 K. This behavior is inconsistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma'-Ni3Al phase field.

  12. Small signal measurement of Sc 2O 3 AlGaN/GaN moshemts

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R.; Kang, B. S.; Kim, J.; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Gotthold, D.; Birkhahn, R.; Peres, B.; Fitch, R.; Gillespie, J. K.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2004-02-01

    The rf performance of 1 × 200 μm 2 AlGaN/GaN MOS-HEMTs with Sc 2O 3 used as both the gate dielectric and as a surface passivation layer is reported. A maximum fT of ˜11 GHz and fMAX of 19 GHz were obtained. The equivalent device parameters were extracted by fitting this data to obtain the transconductance, drain resistance, drain-source resistance, transfer time and gate-drain and gate-source capacitance as a function of gate voltage. The transfer time is in the order 0.5-1 ps and decreases with increasing gate voltage.

  13. Effect of Al2O3 encapsulation on multilayer MoSe2 thin-film transistors

    NASA Astrophysics Data System (ADS)

    Lee, Hyun Ah; Yeoul Kim, Seong; Kim, Jiyoung; Choi, Woong

    2017-03-01

    We report the effect of Al2O3 encapsulation on the device performance of multilayer MoSe2 thin-film transistors based on statistical investigation of 29 devices with a SiO2 bottom-gate dielectric. On average, Al2O3 encapsulation by atomic layer deposition increased the field-effect mobility from 10.1 cm2 V-1 s-1 to 14.8 cm2 V-1 s-1, decreased the on/off-current ratio from 8.5  ×  105 to 2.3  ×  105 and negatively shifted the threshold voltage from  -1.1 V to  -8.1 V. Calculation based on the Y-function method indicated that the enhancement of intrinsic carrier mobility occurred independently of the reduction of contact resistance after Al2O3 encapsulation. Furthermore, contrary to previous reports in the literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method for improving the carrier mobility of multilayer MoSe2 transistors, providing important implications on the application of MoSe2 and other 2D materials into high-performance transistors.

  14. Dielectric collapse at the LaAlO 3/SrTiO 3 (001) heterointerface under applied electric field

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Minohara, M.; Hikita, Y.; Bell, C.

    The fascinating interfacial transport properties at the LaAlO 3/SrTiO 3 heterointerface have led to intense investigations of this oxide system. Exploiting the large dielectric constant of SrTiO 3 at low temperatures, tunability in the interfacial conductivity over a wide range has been demonstrated using a back-gate device geometry. In order to understand the effect of back-gating, it is crucial to assess the interface band structure and its evolution with external bias. In this study, we report measurements of the gate-bias dependent interface band alignment, especially the confining potential profile, at the conducting LaAlO 3/SrTiO 3 (001) heterointerface using soft andmore » hard x-ray photoemission spectroscopy in conjunction with detailed model simulations. Depth-profiling analysis incorporating the electric field dependent dielectric constant in SrTiO 3 reveals that a significant potential drop on the SrTiO 3 side of the interface occurs within ~2 nm of the interface under negative gate-bias. These results demonstrate gate control of the collapse of the dielectric permittivity at the interface, and explain the dramatic loss of electron mobility with back-gate depletion.« less

  15. Dielectric collapse at the LaAlO 3/SrTiO 3 (001) heterointerface under applied electric field

    DOE PAGES

    Minohara, M.; Hikita, Y.; Bell, C.; ...

    2017-08-25

    The fascinating interfacial transport properties at the LaAlO 3/SrTiO 3 heterointerface have led to intense investigations of this oxide system. Exploiting the large dielectric constant of SrTiO 3 at low temperatures, tunability in the interfacial conductivity over a wide range has been demonstrated using a back-gate device geometry. In order to understand the effect of back-gating, it is crucial to assess the interface band structure and its evolution with external bias. In this study, we report measurements of the gate-bias dependent interface band alignment, especially the confining potential profile, at the conducting LaAlO 3/SrTiO 3 (001) heterointerface using soft andmore » hard x-ray photoemission spectroscopy in conjunction with detailed model simulations. Depth-profiling analysis incorporating the electric field dependent dielectric constant in SrTiO 3 reveals that a significant potential drop on the SrTiO 3 side of the interface occurs within ~2 nm of the interface under negative gate-bias. These results demonstrate gate control of the collapse of the dielectric permittivity at the interface, and explain the dramatic loss of electron mobility with back-gate depletion.« less

  16. Optical properties of the Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings

    NASA Astrophysics Data System (ADS)

    Marszałek, Konstanty; Winkowski, Paweł; Jaglarz, Janusz

    2014-01-01

    Investigations of bilayer and trilayer Al2O3/SiO2 and Al2O3/HfO2/SiO2 antireflective coatings are presented in this paper. The oxide films were deposited on a heated quartz glass by e-gun evaporation in a vacuum of 5 × 10-3 [Pa] in the presence of oxygen. Depositions were performed at three different temperatures of the substrates: 100 °C, 200 °C and 300 °C. The coatings were deposited onto optical quartz glass (Corning HPFS). The thickness and deposition rate were controlled with Inficon XTC/2 thickness measuring system. Deposition rate was equal to 0.6 nm/s for Al2O3, 0.6 nm - 0.8 nm/s for HfO2 and 0.6 nm/s for SiO2. Simulations leading to optimization of the thin film thickness and the experimental results of optical measurements, which were carried out during and after the deposition process, have been presented. The optical thickness values, obtained from the measurements performed during the deposition process were as follows: 78 nm/78 nm for Al2O3/SiO2 and 78 nm/156 nm/78 nm for Al2O3/HfO2/SiO2. The results were then checked by ellipsometric technique. Reflectance of the films depended on the substrate temperature during the deposition process. Starting from 240 nm to the beginning of visible region, the average reflectance of the trilayer system was below 1 % and for the bilayer, minima of the reflectance were equal to 1.6 %, 1.15 % and 0.8 % for deposition temperatures of 100 °C, 200 °C and 300 °C, respectively.

  17. A flexible amorphous Bi(5)Nb(3)O(15) film for the gate insulator of the low-voltage operating pentacene thin-film transistor fabricated at room temperature.

    PubMed

    Cho, Kyung-Hoon; Seong, Tae-Geun; Choi, Joo-Young; Kim, Jin-Seong; Kwon, Jae-Hong; Shin, Sang-Il; Chung, Myung-Ho; Ju, Byeong-Kwon; Nahm, Sahn

    2009-10-20

    The amorphous Bi(5)Nb(3)O(15) film grown at room temperature under an oxygen-plasma sputtering ambient (BNRT-O(2) film) has a hydrophobic surface with a surface energy of 35.6 mJ m(-2), which is close to that of the orthorhombic pentacene (38 mJ m(-2)), resulting in the formation of a good pentacene layer without the introduction of an additional polymer layer. This film was very flexible, maintaining a high capacitance of 145 nF cm(-2) during and after 10(5) bending cycles with a small curvature radius of 7.5 mm. This film was optically transparent. Furthermore, the flexible, pentacene-based, organic thin-film transistors (OTFTs) fabricated on the poly(ether sulfone) substrate at room temperature using a BNRT-O(2) film as a gate insulator exhibited a promising device performance with a high field effect mobility of 0.5 cm(2) V(-1) s(-1), an on/off current modulation of 10(5), and a small subthreshold slope of 0.2 V decade(-1) under a low operating voltage of -5 V. This device also maintained a high carrier mobility of 0.45 cm(2) V(-1 )s(-1) during the bending with a small curvature radius of 9 mm. Therefore, the BNRT-O(2) film is considered a promising material for the gate insulator of the flexible, pentacene-based OTFT.

  18. High-k dielectric Al2O3 nanowire and nanoplate field effect sensors for improved pH sensing

    PubMed Central

    Reddy, Bobby; Dorvel, Brian R.; Go, Jonghyun; Nair, Pradeep R.; Elibol, Oguz H.; Credo, Grace M.; Daniels, Jonathan S.; Chow, Edmond K. C.; Su, Xing; Varma, Madoo; Alam, Muhammad A.

    2011-01-01

    Over the last decade, field-effect transistors (FETs) with nanoscale dimensions have emerged as possible label-free biological and chemical sensors capable of highly sensitive detection of various entities and processes. While significant progress has been made towards improving their sensitivity, much is yet to be explored in the study of various critical parameters, such as the choice of a sensing dielectric, the choice of applied front and back gate biases, the design of the device dimensions, and many others. In this work, we present a process to fabricate nanowire and nanoplate FETs with Al2O3 gate dielectrics and we compare these devices with FETs with SiO2 gate dielectrics. The use of a high-k dielectric such as Al2O3 allows for the physical thickness of the gate dielectric to be thicker without losing sensitivity to charge, which then reduces leakage currents and results in devices that are highly robust in fluid. This optimized process results in devices stable for up to 8 h in fluidic environments. Using pH sensing as a benchmark, we show the importance of optimizing the device bias, particularly the back gate bias which modulates the effective channel thickness. We also demonstrate that devices with Al2O3 gate dielectrics exhibit superior sensitivity to pH when compared to devices with SiO2 gate dielectrics. Finally, we show that when the effective electrical silicon channel thickness is on the order of the Debye length, device response to pH is virtually independent of device width. These silicon FET sensors could become integral components of future silicon based Lab on Chip systems. PMID:21203849

  19. Chemical vapor deposited monolayer MoS2 top-gate MOSFET with atomic-layer-deposited ZrO2 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Hu, Yaoqiao; Jiang, Huaxing; Lau, Kei May; Li, Qiang

    2018-04-01

    For the first time, ZrO2 dielectric deposition on pristine monolayer MoS2 by atomic layer deposition (ALD) is demonstrated and ZrO2/MoS2 top-gate MOSFETs have been fabricated. ALD ZrO2 overcoat, like other high-k oxides such as HfO2 and Al2O3, was shown to enhance the MoS2 channel mobility. As a result, an on/off current ratio of over 107, a subthreshold slope of 276 mV dec-1, and a field-effect electron mobility of 12.1 cm2 V-1 s-1 have been achieved. The maximum drain current of the MOSFET with a top-gate length of 4 μm and a source/drain spacing of 9 μm is measured to be 1.4 μA μm-1 at V DS = 5 V. The gate leakage current is below 10-2 A cm-2 under a gate bias of 10 V. A high dielectric breakdown field of 4.9 MV cm-1 is obtained. Gate hysteresis and frequency-dependent capacitance-voltage measurements were also performed to characterize the ZrO2/MoS2 interface quality, which yielded an interface state density of ˜3 × 1012 cm-2 eV-1.

  20. Comparing the Thermodynamic Behaviour of Al(1)+ZrO2(s) to Al(1)+Al2O3(s)

    NASA Technical Reports Server (NTRS)

    Copland, Evan

    2004-01-01

    In an effort to better determine the thermodynamic properties of Al(g) and Al2O(g). the vapor in equilibrium with Al(l)+ZrO2(s) was compared to the vapor in equilibrium with Al(l)+Al2O3(s) over temperature range 1197-to-1509K. The comparison was made directly by Knudsen effusion-cell mass spectrometry with an instrument configured for a multiple effusion-cell vapor source (multi-cell KEMS). Second law enthalpies of vaporization of Al(g) and Al2O(g) together with activity measurements show that Al(l)+ZrO2(s) is thermodynamically equivalent to Al(l)+Al2O3(s), indicating Al(l) remained pure and Al2O3(s) was present in the ZrO2-cell. Subsequent observation of the Al(l)/ZrO2 and vapor/ZrO2 interfaces revealed a thin Al2O3-layer had formed, separating the ZrO2-cell from Al(l) and Al(g)+Al2O(g), effectively transforming it into an Al2O3 effusion-cell. This behavior agrees with recent observations made for Beta-NiAl(Pt) alloys measured in ZrO2 effusion-cell.

  1. Optimization of Al2O3/TiO2/Al 2O3 Multilayer Antireflection Coating With X-Ray Scattering Techniques

    NASA Astrophysics Data System (ADS)

    Li, Chao

    Broadband multilayer antireflection coatings (ARCs) are keys to improving solar cell efficiencies. The goal of this dissertation is to optimize the multilayer Al2O3/TiO2/Al2O 3 ARC designed for a III-V space multi-junction solar cell with understanding influences of post-annealing and varying deposition parameters on the optical properties. Accurately measuring optical properties is important in accessing optical performances of ARCs. The multilayer Al2O3/TiO 2/Al2O3 ARC and individual Al2O 3 and TiO2 layers were characterized by a novel X-ray reflectivity (XRR) method and a combined method of grazing-incidence small angle X-ray scattering (GISAXS), atomic force microscopy (AFM), and XRR developed in this study. The novel XRR method combining an enhanced Fourier analysis with specular XRR simulation effectively determines layer thicknesses and surface and interface roughnesses and/or grading with sub-nanometer precision, and densities less than three percent uncertainty. Also, the combined method of GISAXS, AFM, and XRR characterizes the distribution of pore size with one-nanometer uncertainty. Unique to this method, the diffuse scattering from surface and interface roughnesses is estimated with surface parameters (root mean square roughness sigma, lateral correlation length ξ, and Hurst parameter h) obtained from AFM, and layer densities, surface grading and interface roughness/grading obtained from specular XRR. It is then separated from pore scattering. These X-ray scattering techniques obtained consistent results and were validated by other techniques including optical reflectance, spectroscopic ellipsometry (SE), glancing incidence X-ray diffraction, transmission electron microscopy and energy dispersive X-ray spectroscopy. The ARCs were deposited by atomic layer deposition with standard parameters at 200 °C. The as-deposited individual Al2O3 layer on Si is porous and amorphous as indicated by the combined methods of GISAXS, AFM, and XRR. Both post

  2. Enhanced carrier mobility of multilayer MoS2 thin-film transistors by Al2O3 encapsulation

    NASA Astrophysics Data System (ADS)

    Kim, Seong Yeoul; Park, Seonyoung; Choi, Woong

    2016-10-01

    We report the effect of Al2O3 encapsulation on the carrier mobility and contact resistance of multilayer MoS2 thin-film transistors by statistically investigating 70 devices with SiO2 bottom-gate dielectric. After Al2O3 encapsulation by atomic layer deposition, calculation based on Y-function method indicates that the enhancement of carrier mobility from 24.3 cm2 V-1 s-1 to 41.2 cm2 V-1 s-1 occurs independently from the reduction of contact resistance from 276 kΩ.μm to 118 kΩ.μm. Furthermore, contrary to the previous literature, we observe a negligible effect of thermal annealing on contact resistance and carrier mobility during the atomic layer deposition of Al2O3. These results demonstrate that Al2O3 encapsulation is a useful method of improving the carrier mobility of multilayer MoS2 transistors, providing important implications on the application of MoS2 and other two-dimensional materials into high-performance transistors.

  3. [Influences of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite ceramics].

    PubMed

    Wang, Zhiqiang; Chen, Xiaoxu; Cai, Yingji; Lü, Bingling

    2003-06-01

    The effects of R2O-Al2O3-B2O3-SiO2 system glass and superfine alpha-Al2O3 on the sintering and phase transition of hydroxyapatite (HAP) ceramics were assessed. The results showed that alpha-Al2O3 impeded the sintering of HAP and raised the sintering temperature. When glass and alpha-Al2O3 were used together to reinforce HAP ceramics, better results could be obtained; the bending strength of multiphase HAP ceramics approached 106 MPa when 10% (wt) alpha-Al2O3 and 20%(wt) glass were used and sintered at 1200 for 1 h.

  4. An ab initio investigation of Bi2Se3 topological insulator deposited on amorphous SiO2.

    PubMed

    de Oliveira, I S S; Scopel, W L; Miwa, R H

    2017-02-01

    We use first-principles simulations to investigate the topological properties of Bi 2 Se 3 thin films deposited on amorphous SiO 2 , Bi 2 Se 3 /a-SiO 2 , which is a promising substrate for topological insulator (TI) based device applications. The Bi 2 Se 3 films are bonded to a-SiO 2 mediated by van der Waals interactions. Upon interaction with the substrate, the Bi 2 Se 3 topological surface and interface states remain present, however the degeneracy between the Dirac-like cones is broken. The energy separation between the two Dirac-like cones increases with the number of Bi 2 Se 3 quintuple layers (QLs) deposited on the substrate. Such a degeneracy breaking is caused by (i) charge transfer from the TI to the substrate and charge redistribution along the Bi 2 Se 3 QLs, and (ii) by deformation of the QL in contact with the a-SiO 2 substrate. We also investigate the role played by oxygen vacancies ([Formula: see text]) on the a-SiO 2 , which increases the energy splitting between the two Dirac-like cones. Finally, by mapping the electronic structure of Bi 2 Se 3 /a-SiO 2 , we found that the a-SiO 2 surface states, even upon the presence of [Formula: see text], play a minor role on gating the electronic transport properties of Bi 2 Se 3 .

  5. Isotope analysis of diamond-surface passivation effect of high-temperature H{sub 2}O-grown atomic layer deposition-Al{sub 2}O{sub 3} films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hiraiwa, Atsushi, E-mail: hiraiwa@aoni.waseda.jp, E-mail: qs4a-hriw@asahi-net.or.jp; Saito, Tatsuya; Matsumura, Daisuke

    2015-06-07

    The Al{sub 2}O{sub 3} film formed using an atomic layer deposition (ALD) method with trimethylaluminum as Al precursor and H{sub 2}O as oxidant at a high temperature (450 °C) effectively passivates the p-type surface conduction (SC) layer specific to a hydrogen-terminated diamond surface, leading to a successful operation of diamond SC field-effect transistors at 400 °C. In order to investigate this excellent passivation effect, we carried out an isotope analysis using D{sub 2}O instead of H{sub 2}O in the ALD and found that the Al{sub 2}O{sub 3} film formed at a conventional temperature (100 °C) incorporates 50 times more CH{sub 3} groups thanmore » the high-temperature film. This CH{sub 3} is supposed to dissociate from the film when heated afterwards at a higher temperature (550 °C) and causes peeling patterns on the H-terminated surface. The high-temperature film is free from this problem and has the largest mass density and dielectric constant among those investigated in this study. The isotope analysis also unveiled a relatively active H-exchange reaction between the diamond H-termination and H{sub 2}O oxidant during the high-temperature ALD, the SC still being kept intact. This dynamic and yet steady H termination is realized by the suppressed oxidation due to the endothermic reaction with H{sub 2}O. Additionally, we not only observed the kinetic isotope effect in the form of reduced growth rate of D{sub 2}O-oxidant ALD but found that the mass density and dielectric constant of D{sub 2}O-grown Al{sub 2}O{sub 3} films are smaller than those of H{sub 2}O-grown films. This is a new type of isotope effect, which is not caused by the presence of isotopes in the films unlike the traditional isotope effects that originate from the presence of isotopes itself. Hence, the high-temperature ALD is very effective in forming Al{sub 2}O{sub 3} films as a passivation and/or gate-insulation layer of high-temperature-operation diamond SC devices, and the

  6. Surface modification of polyimide gate insulators for solution-processed 2,7-didecyl[1]benzothieno[3,2-b][1]benzothiophene (C10-BTBT) thin-film transistors.

    PubMed

    Jang, Kwang-Suk; Kim, Won Soo; Won, Jong-Myung; Kim, Yun-Ho; Myung, Sung; Ka, Jae-Won; Kim, Jinsoo; Ahn, Taek; Yi, Mi Hye

    2013-01-21

    The surface property of a polyimide gate insulator was successfully modified with an n-octadecyl side-chain. Alkyl chain-grafted poly(amic acid), the polyimide precursor, was synthesized using the diamine comonomer with an alkyl side-chain. By adding a base catalyst to the poly(amic acid) coating solution, the imidization temperature of the spin-coated film could be reduced to 200 °C. The 350 nm-thick polyimide film had a dielectric constant of 3.3 at 10 kHz and a leakage current density of less than 8.7 × 10(-10) A cm(-2), while biased from 0 to 100 V. To investigate the potential of the alkyl chain-grafted polyimide film as a gate insulator for solution-processed organic thin-film transistors (TFTs), we fabricated C(10)-BTBT TFTs. C(10)-BTBT was deposited on the alkyl chain-grafted polyimide gate insulator by spin-coating, forming a well-ordered crystal structure. The field-effect mobility and the on/off current ratio of the TFT device were measured to be 0.20-0.56 cm(2) V(-1) s(-1) and >10(5), respectively.

  7. Tunable Electron-Electron Interactions in LaAlO 3 / SrTiO 3 Nanostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cheng, Guanglei; Tomczyk, Michelle; Tacla, Alexandre B.

    The interface between the two complex oxides LaAlO 3 and SrTiO 3 has remarkable properties that can be locally reconfigured between conducting and insulating states using a conductive atomic force microscope. Prior investigations of “sketched” quantum dot devices revealed a phase in which electrons form pairs, implying a strongly attractive electron-electron interaction. Here, we show that these devices with strong electron-electron interactions can exhibit a gate-tunable transition from a pair-tunneling regime to a single-electron (Andreev bound state) tunneling regime where the interactions become repulsive. The electron-electron interaction sign change is associated with a Lifshitz transition where the d xz andmore » d yz bands start to become occupied. This electronically tunable electron-electron interaction, combined with the nanoscale reconfigurability of this system, provides an interesting starting point towards solid-state quantum simulation.« less

  8. Tunable Electron-Electron Interactions in LaAlO 3 / SrTiO 3 Nanostructures

    DOE PAGES

    Cheng, Guanglei; Tomczyk, Michelle; Tacla, Alexandre B.; ...

    2016-12-01

    The interface between the two complex oxides LaAlO 3 and SrTiO 3 has remarkable properties that can be locally reconfigured between conducting and insulating states using a conductive atomic force microscope. Prior investigations of “sketched” quantum dot devices revealed a phase in which electrons form pairs, implying a strongly attractive electron-electron interaction. Here, we show that these devices with strong electron-electron interactions can exhibit a gate-tunable transition from a pair-tunneling regime to a single-electron (Andreev bound state) tunneling regime where the interactions become repulsive. The electron-electron interaction sign change is associated with a Lifshitz transition where the d xz andmore » d yz bands start to become occupied. This electronically tunable electron-electron interaction, combined with the nanoscale reconfigurability of this system, provides an interesting starting point towards solid-state quantum simulation.« less

  9. Nano SnO 2-Al 2O 3 mixed oxide and SnO 2-Al 2O 3-carbon composite oxides as new and novel electrodes for supercapacitor applications

    NASA Astrophysics Data System (ADS)

    Jayalakshmi, M.; Venugopal, N.; Raja, K. Phani; Rao, M. Mohan

    New nano-materials like SnO 2-Al 2O 3 and SnO 2-Al 2O 3-carbon were synthesized by a single step hydrothermal method in searching for novel mixed oxides with high electrochemical double layer capacitance. A SnO 2-Al 2O 3-carbon sample was calcined at 600 °C and tested for its performance. The source of carbon was tetrapropyl ammonium hydroxide. The capacitive behavior of SnO 2 was compared to the performance of SnO 2-Al 2O 3, SnO 2-Al 2O 3-carbon and calcined SnO 2-Al 2O 3-carbon using the techniques of cyclic voltammetry, double potential step, chronopotentiometry and E-log I polarization. In 0.1 M NaCl solutions, SnO 2-Al 2O 3 gave the best performance with a value of 119 Fg -1 and cycled 1000 times. The nano-material mixed oxides were characterized by TEM, XRD, ICP-AES and SEM-EDAX.

  10. Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.

    2017-11-01

    This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.

  11. Thermal stability of atomic layer deposition Al2O3 film on HgCdTe

    NASA Astrophysics Data System (ADS)

    Zhang, P.; Sun, C. H.; Zhang, Y.; Chen, X.; He, K.; Chen, Y. Y.; Ye, Z. H.

    2015-06-01

    Thermal stability of Atomic Layer Deposition Al2O3 film on HgCdTe was investigated by Al2O3 film post-deposition annealing treatment and Metal-Insulator-Semiconductor device low-temperature baking treatment. The effectiveness of Al2O3 film was evaluated by measuring the minority carrier lifetime and capacitance versus voltage characteristics. After annealing treatment, the minority carrier lifetime of the HgCdTe sample presented a slight decrease. Furthermore, the fixed charge density and the slow charge density decreased significantly in the annealed MIS device. After baking treatment, the fixed charge density and the slow charge density of the unannealed and annealed MIS devices decreased and increased, respectively.

  12. Irreversibility and carriers control in two-dimensional electron gas at LaTiO3/SrTiO3 interface

    NASA Astrophysics Data System (ADS)

    Bergeal, N.; Biscaras, J.; Hurand, S.; Feuillet-Palma, C.; Lesueur, J.; Rastogi, A.; Budhani, R. C.; Reyren, N.; Lesne, E.; Leboeuf, D.; Proust, C.

    2013-03-01

    It has been shown recently that a two-dimensional electron gas 2DEG could form at the interface of two insulators such as LaAlO3 and SrTiO3, or LaTiO3 (a Mott insulator) and SrTiO3. We present low temperature transport measurements on LaTiO3/SrTiO3 and LaAlO3/SrTiO3 hetero-structures, whose properties can be modulated by field effect using a metallic gate on the back of the substrate. Here we show that when the carrier density is electrostatically increased beyond a critical value, the added electrons escape into the SrTiO3 leading to an irreversible doping regime where all the electronic properties of the 2DEG saturate (carrier density, resistivity, superconducting transition...). The dynamic of leakage was studied using time resolved measurement. Based on a complete self-consistent description of the confinement well, a thermal model for the carriers escape has been developed, which quantitatively accounts for the data.

  13. Properties of slow traps of ALD Al{sub 2}O{sub 3}/GeO{sub x}/Ge nMOSFETs with plasma post oxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ke, M., E-mail: kiramn@mosfet.t.u-tokyo.ac.jp; Yu, X.; Chang, C.

    2016-07-18

    The realization of Ge gate stacks with a small amount of slow trap density as well as thin equivalent oxide thickness and low interface state density (D{sub it}) is a crucial issue for Ge CMOS. In this study, we examine the properties of slow traps, particularly the location of slow traps, of Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge and HfO{sub 2}/Al{sub 2}O{sub 3}/GeO{sub x}/n-Ge MOS interfaces with changing the process and structural parameters, formed by atomic layer deposition (ALD) of Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} combined with plasma post oxidation. It is found that the slow traps can locatemore » in the GeO{sub x} interfacial layer, not in the ALD Al{sub 2}O{sub 3} layer. Furthermore, we study the time dependence of channel currents in the Ge n-MOSFETs with 5-nm-thick Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks, with changing the thickness of GeO{sub x}, in order to further clarify the position of slow traps. The time dependence of the current drift and the effective time constant of slow traps do not change among the MOSFETs with the different thickness GeO{sub x}, demonstrating that the slow traps mainly exist near the interfaces between Ge and GeO{sub x}.« less

  14. Suppression of surface charge accumulation on Al{sub 2}O{sub 3}-filled epoxy resin insulator under dc voltage by direct fluorination

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Boya; Zhang, Guixin, E-mail: guixin@mail.tsinghua.edu.cn; Li, Chuanyang

    2015-12-15

    Surface charge accumulation on insulators under high dc voltage is a major factor that may lead to the reduction of insulation levels in gas insulated devices. In this paper, disc insulators made of Al{sub 2}O{sub 3}-filled epoxy resin were surface fluorinated using a F{sub 2}/N{sub 2} mixture (12.5% F{sub 2}) at 50 °C and 0.1 MPa for different durations of 15 min, 30 min and 60 min. A dc voltage was applied to the insulator for 30 min and the charge density on its surface was measured by an electrostatic probe. The results revealed significant lower surface charge densities on themore » fluorinated insulators in comparison with the original one. Surface conductivity measurements indicated a higher surface conductivity by over three orders of magnitude after fluorination, which would allow the charges to transfer along the surface and thus may suppress their accumulation. Further, attenuated total reflection infrared analysis and surface morphology observations of the samples revealed that the introduction of fluoride groups altered the surface physicochemical properties. These structure changes, especially the physical defects reduced the depth of charge traps in the surface layer, which was verified by the measurement of energy distributions of the electron and hole traps based on the isothermal current theory. The results in this paper demonstrate that fluorination can be a promising and effective method to suppress surface charge accumulation on epoxy insulators in gas insulated devices.« less

  15. Low-frequency noise in AlN/AlGaN/GaN metal-insulator-semiconductor devices: A comparison with Schottky devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Le, Son Phuong; Nguyen, Tuan Quy; Shih, Hong-An

    2014-08-07

    We have systematically investigated low-frequency noise (LFN) in AlN/AlGaN/GaN metal-insulator-semiconductor (MIS) devices, where the AlN gate insulator layer was sputtering-deposited on the AlGaN surface, in comparison with LFN in AlGaN/GaN Schottky devices. By measuring LFN in ungated two-terminal devices and heterojunction field-effect transistors (HFETs), we extracted LFN characteristics in the intrinsic gated region of the HFETs. Although there is a bias regime of the Schottky-HFETs in which LFN is dominated by the gate leakage current, LFN in the MIS-HFETs is always dominated by only the channel current. Analyzing the channel-current-dominated LFN, we obtained Hooge parameters α for the gated regionmore » as a function of the sheet electron concentration n{sub s} under the gate. In a regime of small n{sub s}, both the MIS- and Schottky-HFETs exhibit α∝n{sub s}{sup −1}. On the other hand, in a middle n{sub s} regime of the MIS-HFETs, α decreases rapidly like n{sub s}{sup −ξ} with ξ ∼ 2-3, which is not observed for the Schottky-HFETs. In addition, we observe strong increase in α∝n{sub s}{sup 3} in a large n{sub s} regime for both the MIS- and Schottky-HFETs.« less

  16. Formation of gamma(sup prime)-Ni3Al via the Peritectoid Reaction: gamma + beta (+ Al2O3)=gamma(sup prime)(+ Al2O3)

    NASA Technical Reports Server (NTRS)

    Copeland, Evan

    2008-01-01

    The activities of Al and Ni were measured using multi-cell Knudsen effusion-cell mass spectrometry (multi-cell KEMS), over the composition range 8-32 at.%Al and temperature range T=1400-1750 K in the Ni-Al-O system. These measurements establish that equilibrium solidification of gamma(sup prime)-Ni3Al-containing alloys occurs by the eutectic reaction, L (+ Al2O3)=gamma + Beta(+ Al2O3), at 1640 +/- 1 K and a liquid composition of 24.8 +/- 0.2 at.%al (at an unknown oxygen content). The {gamma + Beta (+Al2O3} phase field is stable over the temperature range 1633-1640 K, and gamma(sup prime)-Ni3Al forms via the peritectoid, gamma + Beta (+ Al2O3)=gamma(sup prime) (+ Al2O3), at 1633 +/- 1 K. This behavior is consistent with the current Ni-Al phase diagram and a new diagram is proposed. This new Ni-Al phase diagram explains a number of unusual steady-state solidification structures reported previously and provides a much simpler reaction scheme in the vicinity of the gamma(sup prime)-Ni2Al phase field.

  17. Nonstoichiometric Solution-Processed BaTiO₃ Film for Gate Insulator Applications.

    PubMed

    Lau, Joyce; Kim, Sangsub; Kim, Hyunki; Koo, Kwangjun; Lee, Jaeseob; Kim, Sangsoo; Choi, Byoungdeog

    2018-09-01

    Solution processed barium titanate (BTO) was used to fabricate an Al/BaTiO3/p-Si metal-insulator-semiconductor (MIS) structure, which was used as a gate insulator. Changes in the electrical characteristics of the film were investigated as a function of the film thickness and post deposition annealing conditions. Our results showed that a thickness of 5 layers and an annealing temperature of 650 °C produced the highest electrical performance. BaxTi1-xO3 was altered at x = 0.10, 0.30, 0.50, 0.70, 0.90, and 1.0 to investigate changes in the electrical properties as a function of composition. The highest dielectric constant of 87 was obtained for x = 0.10, while the leakage current density was suppressed as Ba content increased. The lowest leakage current density was 1.34×10-10 A/cm2, which was observed at x = 0.90. The leakage current was related to the resistivity of the film, the interface states, and grain densification. Space charge limited current (SCLC) was the dominant leakage mechanism in BTO films based on leakage current analysis. Although a Ba content of x = 0.90 had the highest trap density, the traps were mainly composed of Ti-vacancies, which acted as strong electron traps and affected the film resistivity. A secondary phase, Ba2TiO4, which was observed in cases of excess Ba, acted as a grain refiner and provided faster densification of the film during the thermal process. The absence of a secondary phase in BaO (x = 1.0) led to the formation of many interface states and degradation in the electrical properties. Overall, the insulator properties of BTO were improved when the composition ratio was x = 0.90.

  18. Incipient 2D Mott insulators in extreme high electron density, ultra-thin GdTiO3/SrTiO3/GdTiO3 quantum wells

    NASA Astrophysics Data System (ADS)

    Allen, S. James; Ouellette, Daniel G.; Moetakef, Pouya; Cain, Tyler; Chen, Ru; Balents, Leon; Stemmer, Susanne

    2013-03-01

    By reducing the number of SrO planes in a GdTiO3 /SrTiO3/ GdTiO3 quantum well heterostructure, an electron gas with ~ fixed 2D electron density can be driven close to the Mott metal insulator transition - a quantum critical point at ~1 electron per unit cell. A single interface between the Mott insulator GdTiO3 and band insulator SrTiO3 has been shown to introduce ~ 1/2 electron per interface unit cell. Two interfaces produce a quantum well with ~ 7 1014 cm-2 electrons: at the limit of a single SrO layer it may produce a 2D magnetic Mott insulator. We use temperature and frequency dependent (DC - 3eV) conductivity and temperature dependent magneto-transport to understand the relative importance of electron-electron interactions, electron-phonon interactions, and surface roughness scattering as the electron gas is compressed toward the quantum critical point. Terahertz time-domain and FTIR spectroscopies, measure the frequency dependent carrier mass and scattering rate, and the mid-IR polaron absorption as a function of quantum well thickness. At the extreme limit of a single SrO plane, we observe insulating behavior with an optical gap substantially less than that of the surrounding GdTiO3, suggesting a novel 2D Mott insulator. MURI program of the Army Research Office - Grant No. W911-NF-09-1-0398

  19. Synthesis and properties of γ-Ga2O3-Al2O3 solid solutions

    NASA Astrophysics Data System (ADS)

    Afonasenko, T. N.; Leont'eva, N. N.; Talzi, V. P.; Smirnova, N. S.; Savel'eva, G. G.; Shilova, A. V.; Tsyrul'nikov, P. G.

    2017-10-01

    The textural and structural properties of mixed oxides Ga2O3-Al2O3, obtained via impregnating γ-Al2O3 with a solution of Ga(NO3)3 and subsequent heat treatment, are studied. According to the results from X-ray powder diffraction, gallium ions are incorporated into the structure of aluminum oxide to form a solid solution of spinel-type γ-Ga2O3-Al2O3 up to a Ga2O3 content of 50 wt % of the total weight of the sample, accompanied by a reduction in the specific surface area, volume, and average pore diameter. It is concluded that when the Ga2O3 content exceeds 50 wt %, the β-Ga2O3 phase is observed along with γ-Ga2O3-Al2O3 solid solution. 71Ga and 27Al NMR spectroscopy shows that gallium replaces aluminum atoms from the tetrahedral position to the octahedral coordination in the structure of γ-Ga2O3-Al2O3.

  20. Electrical conductivity optimization of the Na3AlF6-Al2O3-Sm2O3 molten salts system for Al-Sm intermediate binary alloy production

    NASA Astrophysics Data System (ADS)

    Liao, Chun-fa; Jiao, Yun-fen; Wang, Xu; Cai, Bo-qing; Sun, Qiang-chao; Tang, Hao

    2017-09-01

    Metal Sm has been widely used in making Al-Sm magnet alloy materials. Conventional distillation technology to produce Sm has the disadvantages of low productivity, high costs, and pollution generation. The objective of this study was to develop a molten salt electrolyte system to produce Al-Sm alloy directly, with focus on the electrical conductivity and optimal operating conditions to minimize the energy consumption. The continuously varying cell constant (CVCC) technique was used to measure the conductivity for the Na3AlF6-AlF3-LiF-MgF2-Al2O3-Sm2O3 electrolysis medium in the temperature range from 905 to 1055°C. The temperature ( t) and the addition of Al2O3 ( W(Al2O3)), Sm2O3 ( W(Sm2O3)), and a combination of Al2O3 and Sm2O3 into the basic fluoride system were examined with respect to their effects on the conductivity ( κ) and activation energy. The experimental results showed that the molten electrolyte conductivity increases with increasing temperature ( t) and decreases with the addition of Al2O3 or Sm2O3 or both. We concluded that the optimal operation conditions for Al-Sm intermediate alloy production in the Na3AlF6-AlF3-LiF-MgF2-Al2O3-Sm2O3 system are W(Al2O3) + W(Sm2O3) = 3wt%, W(Al2O3): W(Sm2O3) = 7:3, and a temperature of 965 to 995°C, which results in satisfactory conductivity, low fluoride evaporation losses, and low energy consumption.

  1. AlN and Al oxy-nitride gate dielectrics for reliable gate stacks on Ge and InGaAs channels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Y.; Li, H.; Robertson, J.

    2016-05-28

    AlN and Al oxy-nitride dielectric layers are proposed instead of Al{sub 2}O{sub 3} as a component of the gate dielectric stacks on higher mobility channels in metal oxide field effect transistors to improve their positive bias stress instability reliability. It is calculated that the gap states of nitrogen vacancies in AlN lie further away in energy from the semiconductor band gap than those of oxygen vacancies in Al{sub 2}O{sub 3}, and thus AlN might be less susceptible to charge trapping and have a better reliability performance. The unfavourable defect energy level distribution in amorphous Al{sub 2}O{sub 3} is attributed tomore » its larger coordination disorder compared to the more symmetrically bonded AlN. Al oxy-nitride is also predicted to have less tendency for charge trapping.« less

  2. Atomic layer deposition of sub-10 nm high-K gate dielectrics on top-gated MoS2 transistors without surface functionalization

    NASA Astrophysics Data System (ADS)

    Lin, Yu-Shu; Cheng, Po-Hsien; Huang, Kuei-Wen; Lin, Hsin-Chih; Chen, Miin-Jang

    2018-06-01

    Sub-10 nm high-K gate dielectrics are of critical importance in two-dimensional transition metal dichalcogenides (TMDs) transistors. However, the chemical inertness of TMDs gives rise to a lot of pinholes in gate dielectrics, resulting in large gate leakage current. In this study, sub-10 nm, uniform and pinhole-free Al2O3 high-K gate dielectrics on MoS2 were achieved by atomic layer deposition without surface functionalization, in which an ultrathin Al2O3 layer prepared with a short purge time at a low temperature of 80 °C offers the nucleation cites for the deposition of the overlaying oxide at a higher temperature. Conductive atomic force microscopy reveals the significant suppression of gate leakage current in the sub-10 nm Al2O3 gate dielectrics with the low-temperature nucleation layer. Raman and X-ray photoelectron spectroscopies indicate that no oxidation occurred during the deposition of the low-temperature Al2O3 nucleation layer on MoS2. With the high-quality sub-10 nm Al2O3 high-K gate dielectrics, low hysteresis and subthreshold swing were demonstrated on the normally-off top-gated MoS2 transistors.

  3. Method of Preparing Monoclinic BaO.Al2O3.2SiO2

    DTIC Science & Technology

    Monoclinic celsian (BaO.Al2O3.2SiO2) is produced by heating a stoichiometric, powder mixture of BaCO3 (or BaC2O4), Al2O3, and SiO2 (preferably SiO2 gel) with monoclinic celsian seeds at from 1250 deg C to 1500 deg C.

  4. Normally-off Al2O3/GaN MOSFET: Role of border traps on the device transport characteristics

    NASA Astrophysics Data System (ADS)

    Wang, Hongyue; Wang, Jinyan; Liu, Jingqian; He, Yandong; Wang, Maojun; Yu, Min; Wu, Wengang

    2018-03-01

    Based on the self-terminating gate recess technique, two different processes featuring gate-recess-first (GF) and ohmic-contact-first (OF) were proposed for E-mode Al2O3/GaN MOSFETs. Increased maximum drain current (Idmax) ∼30% (420 vs 325 mA/mm), field-effect mobility (μFEmax) ∼67% (150 vs 90 cm2/Vs) and reduced on-state resistance (Ron) ∼42% (9.7 vs 16.8 Ω·mm) were observed in the devices fabricated by GF process. Such significant performance difference of GF- and OF-devices resulted from the presence of border traps at Al2O3/GaN interface with a time constant ∼7 × 10-6 s. Experimental results indicated that: (1) the near interface border traps in Al2O3 dielectric significantly affect device channel mobility; (2) a high temperature post-deposition annealing process could effective suppress generation of border traps.

  5. ALD Al2O3 passivation of Lg = 100 nm metamorphic InAlAs/InGaAs HEMTs with Si-doped Schottky layers on GaAs substrates

    NASA Astrophysics Data System (ADS)

    Sun, Bing; Chang, Hudong; Wang, Shengkai; Niu, Jiebin; Liu, Honggang

    2017-12-01

    In0.52Al0.48As/In0.7Ga0.3As metamorphic high-electron-mobility transistors (mHEMTs) on GaAs substrates have been demonstrated. The devices feature an epitaxial structure with Si-doped InP/In0.52Al0.48As Schottky layers, together with an atomic layer deposition (ALD) Al2O3 passivation process. In comparison to the GaAs mHEMTs with plasma enhanced chemical vapor deposition (PECVD) SiN passivation, the devices with ALD Al2O3 passivation exhibit more than one order of magnitude lower gate leakage current (Jg) and much lower contact resistance (RC) and specific contact resistivity (ρC). 100-nm gate length (Lg) In0.52Al0.48As/In0.7Ga0.3As mHEMTs with Si-doped InP/In0.52Al0.48As Schottky layers and ALD Al2O3 passivation exhibit excellent DC and RF characteristics, such as a maximum oscillation frequency (fmax) of 388.2 GHz.

  6. Nanoscale Multigate TiN Metal Nanocrystal Memory Using High-k Blocking Dielectric and High-Work-Function Gate Electrode Integrated on Silcon-on-Insulator Substrate

    NASA Astrophysics Data System (ADS)

    Lu, Chi-Pei; Luo, Cheng-Kei; Tsui, Bing-Yue; Lin, Cha-Hsin; Tzeng, Pei-Jer; Wang, Ching-Chiun; Tsai, Ming-Jinn

    2009-04-01

    In this study, a charge-trapping-layer-engineered nanoscale n-channel trigate TiN nanocrystal nonvolatile memory was successfully fabricated on silicon-on-insulator (SOI) wafer. An Al2O3 high-k blocking dielectric layer and a P+ polycrystalline silicon gate electrode were used to obtain low operation voltage and suppress the back-side injection effect, respectively. TiN nanocrystals were formed by annealing TiN/Al2O3 nanolaminates deposited by an atomic layer deposition system. The memory characteristics of various samples with different TiN wetting layer thicknesses, post-deposition annealing times, and blocking oxide thicknesses were also investigated. The sample with a thicker wetting layer exhibited a much larger memory window than other samples owing to its larger nanocrystal size. Good retention with a mere 12% charge loss for up to 10 years and high endurance were also obtained. Furthermore, gate disturbance and read disturbance were measured with very small charge migrations after a 103 s stressing bias.

  7. Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3.

    PubMed

    Zhang, Guozhen; Wu, Hao; Chen, Chao; Wang, Ti; Yue, Jin; Liu, Chang

    2015-01-01

    Transparent and flexible capacitors based on nanolaminate Al2O3/TiO2/Al2O3 dielectrics have been fabricated on indium tin oxide-coated polyethylene naphthalate substrates by atomic layer deposition. A capacitance density of 7.8 fF/μm(2) at 10 KHz was obtained, corresponding to a dielectric constant of 26.3. Moreover, a low leakage current density of 3.9 × 10(-8) A/cm(2) at 1 V has been realized. Bending test shows that the capacitors have better performances in concave conditions than in convex conditions. The capacitors exhibit an average optical transmittance of about 70% in visible range and thus open the door for applications in transparent and flexible integrated circuits.

  8. Crack-resistant Al2O3-SiO2 glasses.

    PubMed

    Rosales-Sosa, Gustavo A; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-07

    Obtaining "hard" and "crack-resistant" glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3 • 40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  9. Crack-resistant Al2O3-SiO2 glasses

    NASA Astrophysics Data System (ADS)

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-04-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3-(100-x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3-SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses.

  10. Evaluation to the effect of B2O3-La2O3-SrO-Na2O-Al2O3 bonding agent on Ti6Al4V-porcelain bonding.

    PubMed

    Zhao, C Q; Wu, S Q; Lu, Y J; Gan, Y L; Guo, S; Lin, J J; Huang, T T; Lin, J X

    2016-10-01

    Low-fusing bonding agents have been widely applied in Ti-ceramics restorations. As an important category, borate bonding agents have great potentials in increasing Ti-porcelain bonding. The purpose of this study is to evaluate the effect of borate bonding agent with addition of Na2O and Al2O3 on Ti6Al4V-porcelain bonding. The thermal properties of borate bonding agent, such as glass transition temperature (Tg) and crystallization peak temperature (Tp), were investigated to establish the sintering process. And the coefficient of thermal expansion (CTE) was to evaluate the matching effect of porcelain to Ti6Al4V. The bond strength was analyzed by the three point bending test. The microscopic morphology of the borate bonding agent surface after sintering, the interface of Ti-borate bonding agent-porcelain, and the fracture mode after porcelains fracture, were studied to assess the influence of borate bonding agent on Ti6Al4V-ceramics. With the addition of Na2O and Al2O3, the porcelain residues were observed increased indication on the Ti6Al4V surface after porcelain fracture and the bond strength was acquired the maximum (49.45MPa) in the bonding agent composition of 75.70B2O3-5.92La2O3-11.84SrO-4.67Na2O-1.87Al2O3. Those results suggest that borate bonding agent is an effective way to improve the Ti6Al4V-ceramics bond strength. And the addition of Na2O and Al2O3 strengthen this effect. Copyright © 2016 Elsevier Ltd. All rights reserved.

  11. The Study of Electrical Properties for Multilayer La2O3/Al2O3 Dielectric Stacks and LaAlO3 Dielectric Film Deposited by ALD.

    PubMed

    Feng, Xing-Yao; Liu, Hong-Xia; Wang, Xing; Zhao, Lu; Fei, Chen-Xi; Liu, He-Lei

    2017-12-01

    The capacitance and leakage current properties of multilayer La 2 O 3 /Al 2 O 3 dielectric stacks and LaAlO 3 dielectric film are investigated in this paper. A clear promotion of capacitance properties is observed for multilayer La 2 O 3 /Al 2 O 3 stacks after post-deposition annealing (PDA) at 800 °C compared with PDA at 600 °C, which indicated the recombination of defects and dangling bonds performs better at the high-k/Si substrate interface for a higher annealing temperature. For LaAlO 3 dielectric film, compared with multilayer La 2 O 3 /Al 2 O 3 dielectric stacks, a clear promotion of trapped charges density (N ot ) and a degradation of interface trap density (D it ) can be obtained simultaneously. In addition, a significant improvement about leakage current property is observed for LaAlO 3 dielectric film compared with multilayer La 2 O 3 /Al 2 O 3 stacks at the same annealing condition. We also noticed that a better breakdown behavior for multilayer La 2 O 3 /Al 2 O 3 stack is achieved after annealing at a higher temperature for its less defects.

  12. Structural details of Al/Al 2O3 junctions and their role in the formation of electron tunnel barriers

    NASA Astrophysics Data System (ADS)

    Koberidze, M.; Puska, M. J.; Nieminen, R. M.

    2018-05-01

    We present a computational study of the adhesive and structural properties of the Al/Al 2O3 interfaces as building blocks of the metal-insulator-metal (MIM) tunnel devices, where electron transport is accomplished via tunneling mechanism through the sandwiched insulating barrier. The main goal of this paper is to understand, on the atomic scale, the role of the geometrical details in the formation of the tunnel barrier profiles. Initially, we concentrate on the adhesive properties of the interfaces. To provide reliable results, we carefully assess the accuracy of the traditional methods used to examine Al/Al 2O3 systems. These are the most widely employed exchange-correlation functionals—local-density approximation and two different generalized gradient approximations; the universal binding-energy relation for predicting equilibrium interfacial distances and adhesion energies; and the ideal work of separation as a measure of junction stability. In addition, we show that the established interpretation of the computed ideal work of separation might be misleading in predicting the optimal interface structures. Finally, we perform a detailed analysis of the atomic and interplanar relaxations in each junction, and identify their contributions to the tunnel barrier parameters. Our results imply that the structural irregularities on the surface of the Al film have a significant contribution to lowering the tunnel barrier height, while atomic relaxations at the interface and interplanar relaxations in Al2O3 may considerably change the width of the barrier and, thus, distort its uniformity. Both the effects may critically influence the performance of the MIM tunnel devices.

  13. Room temperature radiolytic synthesized Cu@CuAlO(2)-Al(2)O(3) nanoparticles.

    PubMed

    Abedini, Alam; Saion, Elias; Larki, Farhad; Zakaria, Azmi; Noroozi, Monir; Soltani, Nayereh

    2012-01-01

    Colloidal Cu@CuAlO(2)-Al(2)O(3) bimetallic nanoparticles were prepared by a gamma irradiation method in an aqueous system in the presence of polyvinyl pyrrolidone (PVP) and isopropanol respectively as a colloidal stabilizer and scavenger of hydrogen and hydroxyl radicals. The gamma irradiation was carried out in a (60)Co gamma source chamber with different doses up to 120 kGy. The formation of Cu@CuAlO(2)-Al(2)O(3) nanoparticles was observed initially by the change in color of the colloidal samples from colorless to brown. Fourier transform infrared spectroscopy (FTIR) confirmed the presence of bonds between polymer chains and the metal surface at all radiation doses. Results of transmission electron microscopy (TEM), energy dispersive X-ray spectrometry (EDX), and X-ray diffraction (XRD) showed that Cu@CuAlO(2)-Al(2)O(3) nanoparticles are in a core-shell structure. By controlling the absorbed dose and precursor concentration, nanoclusters with different particle sizes were obtained. The average particle diameter increased with increased precursor concentration and decreased with increased dose. This is due to the competition between nucleation, growth, and aggregation processes in the formation of nanoclusters during irradiation.

  14. Effect of the addition of Al2O3 nanoparticles on the magnetic properties of Fe soft magnetic composites

    NASA Astrophysics Data System (ADS)

    Peng, Yuandong; Nie, Junwu; Zhang, Wenjun; Ma, Jian; Bao, Chongxi; Cao, Yang

    2016-02-01

    We investigated the effect of the addition of Al2O3 nanoparticles on the permeability and core loss of Fe soft magnetic composites coated with silicone. Fourier transform infra-red spectroscopy, scanning electron microscopy and energy-dispersive X-ray spectroscopy analysis revealed that the surface layer of the powder particles consisted of a thin insulating Al2O3 layer with uniform surface coverage. The permeability and core loss of the composite with the Al2O3 addition annealed at 650 °C were excellent. The results indicated that the Al2O3 nanoparticle addition increases the permeability stablility with changing frequency and decreases the core loss over a wide range of frequencies.

  15. Modulus, strength and thermal exposure studies of FP-Al2O3/aluminum and FP-Al2O3/magnesium composites

    NASA Technical Reports Server (NTRS)

    Bhatt, R. T.

    1981-01-01

    The mechanical properties of FP-Al2O3 fiber reinforced composites prepared by liquid infiltration techniques are improved. A strengthening addition, magnesium, was incorporated with the aluminum-lithium matrix alloy usually selected for these composites because of its good wetting characteristics. This ternary composite, FP-Al2O3/Al-(2-3)Li-(3-5)Mg, showed improved transverse strength compared with FP-Al2O3/Al-(2-3)Li composites. The lower axial strengths found for the FP-Al2O3/Al-(2-3)Li-(3-5)Mg composites were attributed to fabrication related defects. Another technique was the use of Ti/B coated FP-Al2O3 fibers in the composites. This coating is readily wet by molten aluminum and permitted the use of more conventional aluminum alloys in the composites. However, the anticipated improvements in the axial and transverse strengths were not obtained due to poor bonding between the fiber coating and the matrix. A third approach studied to improve the strengths of FP-Al2O3 reinforced composites was the use of magnesium alloys as matrix materials. While these alloys wet fibers satisfactorily, the result indicated that the magnesium alloy composites used offered no axial strength or modulus advantage over FP-Al2O3/Al-(2-3)Li composites.

  16. Ferromagnetic behavior in mixed valence europium (Eu2+/Eu3+) oxide EuTi1-xMxO3 (M = Al3+ and Ga3+)

    NASA Astrophysics Data System (ADS)

    Akahoshi, Daisuke; Horie, Hiroki; Sakai, Shingo; Saito, Toshiaki

    2013-10-01

    We have investigated the Ti-site substitution effect on the magnetic properties of antiferromagnetic insulator EuTiO3 with a Néel temperature of ˜5 K. Partial substitution of Ti4+ with heterovalent Al3+ or Ga3+ turns the corresponding amount of magnetic Eu2+ into non-magnetic Eu3+. Both EuTi1-xAlxO3 (0.05 ≤ x ≤ 0.10) and EuTi1-xGaxO3 (0.05 ≤ x ≤ 0.10) exhibit ferromagnetic (FM) insulating behavior below ˜4 K. The Eu2+/Eu3+ mixed valence state probably contributes to the emergence of the FM behavior. Fine control of the magneto-electric (ME) phases of EuTi1-xAlxO3 and EuTi1-xGaxO3 would lead to intriguing ME phenomena such as giant ME effect.

  17. Removal of nitrate and phosphate using chitosan/Al2O3/Fe3O4 composite nanofibrous adsorbent: Comparison with chitosan/Al2O3/Fe3O4 beads.

    PubMed

    Bozorgpour, Farahnaz; Ramandi, Hossein Fasih; Jafari, Pooya; Samadi, Saman; Yazd, Shabnam Sharif; Aliabadi, Majid

    2016-12-01

    In the present study the chitosan/Al 2 O 3 /Fe 3 O 4 composite nanofibrous adsorbent was prepared by electrospinning process and its application for the removal of nitrate and phosphate were compared with chitosan/Al 2 O 3 /Fe 3 O 4 composite bead adsorbent. The influence of Al 2 O 3 /Fe 3 O 4 composite content, pH, contact time, nitrate and phosphate initial concentrations and temperature on the nitrate and phosphate sorption using synthesized bead and nanofibrous adsorbents was investigated in a single system. The reusability of chitosan/Al 2 O 3 /Fe 3 O 4 composite beads and nanofibers after five sorption-desorption cycles were carried out. The Box-Behnken design was used to investigate the interaction effects of adsorbent dosage, nitrate and phosphate initial concentrations on the nitrate and phosphate removal efficiency. The pseudo-second-order kinetic model and known Freundlich and Langmuir isotherm models were used to describe the kinetic and equilibrium data of nitrate and phosphate sorption using chitosan/Al 2 O 3 /Fe 3 O 4 composite beads and nanofibers. The influence of other anions including chloride, fluoride and sulphate on the sorption efficiency of nitrate and phosphate was examined. The obtained results revealed the higher potential of chitosan/Al 2 O 3 /Fe 3 O 4 composite nanofibers for nitrate and phosphate compared with chitosan/Al 2 O 3 /Fe 3 O 4 composite beads. Copyright © 2016 Elsevier B.V. All rights reserved.

  18. Capacitance-voltage characterization of Al/Al2O3/PVA-PbSe MIS diode

    NASA Astrophysics Data System (ADS)

    Gawri, Isha; Sharma, Mamta; Jindal, Silky; Singh, Harpreet; Tripathi, S. K.

    2018-05-01

    The present paper reports the capacitance-voltage characterization of Al/Al2O3/PVA-PbSe MIS diode using chemical bath deposition method. Here anodic alumina layer prepared using electrolytic deposition method on Al substrate is used as insulating material. Using the capacitance-voltage variation at a fixed frequency, the different parameters such as Depletion layer width, Barrier height, Built-in voltage and Carrier concentration has been calculated at room temperature as well as at temperature range from 123 K to 323 K. With the increase in temperature the barrier height and depletion layer width follow a decreasing trend. Therefore, the capacitance-voltage characterization at different temperatures characterization provides strong evidence that the properties of MIS diode are primarily affected by diode parameters.

  19. Room Temperature Radiolytic Synthesized Cu@CuAlO2-Al2O3 Nanoparticles

    PubMed Central

    Abedini, Alam; Saion, Elias; Larki, Farhad; Zakaria, Azmi; Noroozi, Monir; Soltani, Nayereh

    2012-01-01

    Colloidal Cu@CuAlO2-Al2O3 bimetallic nanoparticles were prepared by a gamma irradiation method in an aqueous system in the presence of polyvinyl pyrrolidone (PVP) and isopropanol respectively as a colloidal stabilizer and scavenger of hydrogen and hydroxyl radicals. The gamma irradiation was carried out in a 60Co gamma source chamber with different doses up to 120 kGy. The formation of Cu@CuAlO2-Al2O3 nanoparticles was observed initially by the change in color of the colloidal samples from colorless to brown. Fourier transform infrared spectroscopy (FTIR) confirmed the presence of bonds between polymer chains and the metal surface at all radiation doses. Results of transmission electron microscopy (TEM), energy dispersive X-ray spectrometry (EDX), and X-ray diffraction (XRD) showed that Cu@CuAlO2-Al2O3 nanoparticles are in a core-shell structure. By controlling the absorbed dose and precursor concentration, nanoclusters with different particle sizes were obtained. The average particle diameter increased with increased precursor concentration and decreased with increased dose. This is due to the competition between nucleation, growth, and aggregation processes in the formation of nanoclusters during irradiation. PMID:23109893

  20. A comparative study of CeO2-Al2O3 support prepared with different methods and its application on MoO3/CeO2-Al2O3 catalyst for sulfur-resistant methanation

    NASA Astrophysics Data System (ADS)

    Jiang, Minhong; Wang, Baowei; Yao, Yuqin; Li, Zhenhua; Ma, Xinbin; Qin, Shaodong; Sun, Qi

    2013-11-01

    The CeO2-Al2O3 supports prepared with impregnation (IM), deposition precipitation (DP), and solution combustion (SC) methods for MoO3/CeO2-Al2O3 catalyst were investigated in the sulfur-resistant methanation. The supports and catalysts were characterized by N2-physisorption, transmission electron microscopy (TEM), X-ray diffraction (XRD), Raman spectroscopy (RS), and temperature-programmed reduction (TPR). The N2-physisorption results indicated that the DP method was favorable for obtaining better textural properties. The TEM and RS results suggested that there is a CeO2 layer on the surface of the support prepared with DP method. This CeO2 layer not only prevented the interaction between MoO3 and γ-Al2O3 to form Al2(MoO4)3 species, but also improved the dispersion of MoO3 in the catalyst. Accordingly, the catalysts whose supports were prepared with DP method exhibited the best catalytic activity. The catalysts whose supports were prepared with SC method had the worst catalytic activity. This was caused by the formation of Al2(MoO4)3 and crystalline MoO3. Additionally, the CeO2 layer resulted in the instability of catalysts in reaction process. The increasing of calcination temperature of supports reduced the catalytic activity of all catalysts. The decrease extent of the catalysts whose supports were prepared with DP method was the lowest as the CeO2 layer prevented the interaction between MoO3 and γ-Al2O3.

  1. Threshold voltage tuning in AlGaN/GaN HFETs with p-type Cu2O gate synthesized by magnetron reactive sputtering

    NASA Astrophysics Data System (ADS)

    Wang, Lei; Li, Liuan; Xie, Tian; Wang, Xinzhi; Liu, Xinke; Ao, Jin-Ping

    2018-04-01

    In present study, copper oxide films were prepared at different sputtering powers (10-100 W) using magnetron reactive sputtering. The crystalline structure, surface morphologies, composition, and optical band gap of the as-grown films are dependent on sputtering power. As the sputtering power decreasing from 100 to 10 W, the composition of films changed from CuO to quasi Cu2O domination. Moreover, when the sputtering power is 10 W, a relative high hole carrier density and high-surface-quality quasi Cu2O thin film can be achieved. AlGaN/GaN HFETs were fabricated with the optimized p-type quasi Cu2O film as gate electrode, the threshold voltage of the device shows a 0.55 V positive shift, meanwhile, a lower gate leakage current, a higher ON/OFF drain current ratio of ∼108, a higher electron mobility (1465 cm2/Vs), and a lower subthreshold slope of 74 mV/dec are also achieved, compared with the typical Ni/Au-gated HFETs. Therefore, Cu2O have a great potential to develop high performance p-type gate AlGaN/GaN HFETs.

  2. Dimensionality-Driven Metal-Insulator Transition in Spin-Orbit-Coupled SrIrO3

    NASA Astrophysics Data System (ADS)

    Schütz, P.; Di Sante, D.; Dudy, L.; Gabel, J.; Stübinger, M.; Kamp, M.; Huang, Y.; Capone, M.; Husanu, M.-A.; Strocov, V. N.; Sangiovanni, G.; Sing, M.; Claessen, R.

    2017-12-01

    Upon reduction of the film thickness we observe a metal-insulator transition in epitaxially stabilized, spin-orbit-coupled SrIrO3 ultrathin films. By comparison of the experimental electronic dispersions with density functional theory at various levels of complexity we identify the leading microscopic mechanisms, i.e., a dimensionality-induced readjustment of octahedral rotations, magnetism, and electronic correlations. The astonishing resemblance of the band structure in the two-dimensional limit to that of bulk Sr2 IrO4 opens new avenues to unconventional superconductivity by "clean" electron doping through electric field gating.

  3. Passivation Effect of Atomic Layer Deposition of Al2O3 Film on HgCdTe Infrared Detectors

    NASA Astrophysics Data System (ADS)

    Zhang, Peng; Ye, Zhen-Hua; Sun, Chang-Hong; Chen, Yi-Yu; Zhang, Tian-Ning; Chen, Xin; Lin, Chun; Ding, Ring-Jun; He, Li

    2016-09-01

    The passivation effect of atomic layer deposition of (ALD) Al2O3 film on a HgCdTe infrared detector was investigated in this work. The passivation effect of Al2O3 film was evaluated by measuring the minority carrier lifetime, capacitance versus voltage ( C- V) characteristics of metal-insulator-semiconductor devices, and resistance versus voltage ( R- V) characteristics of variable-area photodiodes. The minority carrier lifetime, C- V characteristics, and R- V characteristics of HgCdTe devices passivated by ALD Al2O3 film was comparable to those of HgCdTe devices passivated by e-beam evaporation of ZnS/CdTe film. However, the baking stability of devices passivated by Al2O3 film is inferior to that of devices passivated by ZnS/CdTe film. In future work, by optimizing the ALD Al2O3 film growing process and annealing conditions, it may be feasible to achieve both excellent electrical properties and good baking stability.

  4. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1989-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  5. Crystallization kinetics of BaO-Al2O3-SiO2 glasses

    NASA Technical Reports Server (NTRS)

    Bansal, Narottam P.; Hyatt, Mark J.

    1988-01-01

    Barium aluminosilicate glasses are being investigated as matrix materials in high-temperature ceramic composites for structural applications. Kinetics of crystallization of two refractory glass compositions in the barium aluminosilicate system were studied by differential thermal analysis (DTA), X-ray diffraction (XRD), and scanning electron microscopy (SEM). From variable heating rate DTA, the crystallization activation energies for glass compositions (wt percent) 10BaO-38Al2O3-51SiO2-1MoO3 (glass A) and 39BaO-25Al2O3-35SiO2-1MoO3 (glass B) were determined to be 553 and 558 kJ/mol, respectively. On thermal treatment, the crystalline phases in glasses A and B were identified as mullite (3Al2O3-2SiO2) and hexacelsian (BaO-Al2O3-2SiO2), respectively. Hexacelsian is a high-temperature polymorph which is metastable below 1590 C. It undergoes structural transformation into the orthorhombic form at approximately 300 C accompanied by a large volume change which is undesirable for structural applications. A process needs to be developed where stable monoclinic celsian, rather than hexacelsian, precipitates out as the crystal phase in glass B.

  6. Synchrotron radiation x-ray photoelectron spectroscopy study on the interface chemistry of high-k PrxAl2-xO3 (x=0-2) dielectrics on TiN for dynamic random access memory applications

    NASA Astrophysics Data System (ADS)

    Schroeder, T.; Lupina, G.; Sohal, R.; Lippert, G.; Wenger, Ch.; Seifarth, O.; Tallarida, M.; Schmeisser, D.

    2007-07-01

    Engineered dielectrics combined with compatible metal electrodes are important materials science approaches to scale three-dimensional trench dynamic random access memory (DRAM) cells. Highly insulating dielectrics with high dielectric constants were engineered in this study on TiN metal electrodes by partly substituting Al in the wide band gap insulator Al2O3 by Pr cations. High quality PrAlO3 metal-insulator-metal capacitors were processed with a dielectric constant of 19, three times higher than in the case of Al2O3 reference cells. As a parasitic low dielectric constant interface layer between PrAlO3 and TiN limits the total performance gain, a systematic nondestructive synchrotron x-ray photoelectron spectroscopy study on the interface chemistry of PrxAl2-xO3 (x =0-2) dielectrics on TiN layers was applied to unveil its chemical origin. The interface layer results from the decreasing chemical reactivity of PrxAl2-xO3 dielectrics with increasing Pr content x to reduce native Ti oxide compounds present on unprotected TiN films. Accordingly, PrAlO3 based DRAM capacitors require strict control of the surface chemistry of the TiN electrode, a parameter furthermore of importance to engineer the band offsets of PrxAl2-xO3/TiN heterojunctions.

  7. Unraveling the Origin of Structural Disorder in High Temperature Transition Al2O3: Structure of θ-Al2O3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kovarik, Libor; Bowden, Mark E.; Shi, Dachuan

    The crystallography of transition Al2O3 has been extensively studied in the past due to the advantageous properties of the oxide in catalytic and a range of other technological applications. However, existing crystallographic models are insufficient to describe the structure of many important Al2O3 polymorphs due to their highly disordered nature. In this work, we investigate structure and disorder in high-temperature treated transition Al2O3, and provide a structural description for θ-Al2O3 by using a suite of complementary imaging, spectroscopy and quantum calculation techniques. Contrary to current understanding, our high-resolution imaging shows that θ-Al2O3 is a disordered composite phase of at leastmore » two different end members. By correlating imaging and spectroscopy results with DFT calculations, we propose a model that describes θ-Al2O3 as a disordered intergrowth of two crystallographic variants at the unit cell level. One variant is based on β-Ga2O3, and the other on a monoclinic phase that is closely-related to δ-Al2O3. The overall findings and interpretations afford new insight into the origin of poor crystallinity in transition Al2O3, and also provide new perspectives on structural complexity that can emerge from intergrowth of closely related structural polymorphs.« less

  8. Hydrogen-induced reversible changes in drain current in Sc2O3/AlGaN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Kang, B. S.; Mehandru, R.; Kim, S.; Ren, F.; Fitch, R. C.; Gillespie, J. K.; Moser, N.; Jessen, G.; Jenkins, T.; Dettmer, R.; Via, D.; Crespo, A.; Gila, B. P.; Abernathy, C. R.; Pearton, S. J.

    2004-06-01

    Pt contacted AlGaN/GaN high electron mobility transistors with Sc2O3 gate dielectrics show reversible changes in drain-source current upon exposure to H2-containing ambients, even at room temperature. The changes in current (as high as 3 mA for relatively low gate voltage and drain-source voltage) are approximately an order of magnitude larger than for Pt/GaN Schottky diodes and a factor of 5 larger than Sc2O3/AlGaN/GaN metal-oxide-semiconductor (MOS) diodes exposed under the same conditions. This shows the advantage of using a transistor structure in which the gain produces larger current changes upon exposure to hydrogen-containing ambients. The increase in current is the result of a decrease in effective barrier height of the MOS gate of 30-50 mV at 25 °C for 10% H2/90% N2 ambients relative to pure N2 and is due to catalytic dissociation of the H2 on the Pt contact, followed by diffusion to the Sc2O3/AlGaN interface.

  9. Structural and electronic properties of Ga2O3-Al2O3 alloys

    NASA Astrophysics Data System (ADS)

    Peelaers, Hartwin; Varley, Joel B.; Speck, James S.; Van de Walle, Chris G.

    2018-06-01

    Ga2O3 is emerging as an important electronic material. Alloying with Al2O3 is a viable method to achieve carrier confinement, to increase the bandgap, or to modify the lattice parameters. However, the two materials have very different ground-state crystal structures (monoclinic β-gallia for Ga2O3 and corundum for Al2O3). Here, we use hybrid density functional theory calculations to assess the alloy stabilities and electronic properties of the alloys. We find that the monoclinic phase is the preferred structure for up to 71% Al incorporation, in close agreement with experimental phase diagrams, and that the ordered monoclinic AlGaO3 alloy is exceptionally stable. We also discuss bandgap bowing, lattice constants, and band offsets that can guide future synthesis and device design efforts.

  10. High fluence swift heavy ion structure modification of the SiO2/Si interface and gate insulator in 65 nm MOSFETs

    NASA Astrophysics Data System (ADS)

    Ma, Yao; Gao, Bo; Gong, Min; Willis, Maureen; Yang, Zhimei; Guan, Mingyue; Li, Yun

    2017-04-01

    In this work, a study of the structure modification, induced by high fluence swift heavy ion radiation, of the SiO2/Si structures and gate oxide interface in commercial 65 nm MOSFETs is performed. A key and novel point in this study is the specific use of the transmission electron microscopy (TEM) technique instead of the conventional atomic force microscope (AFM) or scanning electron microscope (SEM) techniques which are typically performed following the chemical etching of the sample to observe the changes in the structure. Using this method we show that after radiation, the appearance of a clearly visible thin layer between the SiO2 and Si is observed presenting as a variation in the TEM intensity at the interface of the two materials. Through measuring the EDX line scans we reveal that the Si:O ratio changed and that this change can be attributed to the migration of the Si towards interface after the Si-O bond is destroyed by the swift heavy ions. For the 65 nm MOSFET sample, the silicon substrate, the SiON insulator and the poly-silicon gate interfaces become blurred under the same irradiation conditions.

  11. Origin of positive fixed charge at insulator/AlGaN interfaces and its control by AlGaN composition

    NASA Astrophysics Data System (ADS)

    Matys, M.; Stoklas, R.; Blaho, M.; Adamowicz, B.

    2017-06-01

    The key feature for the precise tuning of Vth in GaN-based metal-insulator-semiconductor (MIS) high electron mobility transistors is the control of the positive fixed charge (Qf) at the insulator/III-N interfaces, whose amount is often comparable to the negative surface polarization charge ( Qp o l -). In order to clarify the origin of Qf, we carried out a comprehensive capacitance-voltage (C-V) characterization of SiO2/AlxGa1-xN/GaN and SiN/AlxGa1-xN/GaN structures with Al composition (x) varying from 0.15 to 0.4. For both types of structures, we observed a significant Vth shift in C-V curves towards the positive gate voltage with increasing x. On the contrary, the Schottky gate structures exhibited Vth shift towards the more negative biases. From the numerical simulations of C-V curves using the Poisson's equation supported by the analytical calculations of Vth, we showed that the Vth shift in the examined MIS structures is due to a significant decrease in the positive Qf with rising x. Finally, we examined this result with respect to various hypotheses developed in the literature to explain the origin of the positive Qf at insulator/III-N interfaces.

  12. Atomically engineered epitaxial anatase TiO 2 metal-semiconductor field-effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Brian S. Y.; Minohara, Makoto; Hikita, Yasuyuki

    Here, anatase TiO 2 is a promising material for a vast array of electronic, energy, and environmental applications, including photocatalysis, photovoltaics, and sensors. A key requirement for these applications is the ability to modulate its electrical properties without dominant dopant scattering and while maintaining high carrier mobility. Here, we demonstrate the room temperature field-effect modulation of the conducting epitaxial interface between anatase TiO 2 and LaAlO 3 (001), which arises for LaO-terminated LaAlO 3, while the AlO 2-terminated interface is insulating. This approach, together with the metal-semiconductor field-effect transistor geometry, naturally bypasses the gate/channel interface traps, resulting in a highmore » field-effect mobility μ FE of 3.14 cm 2 (V s) –1 approaching 98% of the corresponding Hall mobility μ Hall. Accordingly, the channel conductivity is modulated over 6 orders of magnitude over a gate voltage range of ~4 V.« less

  13. Atomically engineered epitaxial anatase TiO 2 metal-semiconductor field-effect transistors

    DOE PAGES

    Kim, Brian S. Y.; Minohara, Makoto; Hikita, Yasuyuki; ...

    2018-03-26

    Here, anatase TiO 2 is a promising material for a vast array of electronic, energy, and environmental applications, including photocatalysis, photovoltaics, and sensors. A key requirement for these applications is the ability to modulate its electrical properties without dominant dopant scattering and while maintaining high carrier mobility. Here, we demonstrate the room temperature field-effect modulation of the conducting epitaxial interface between anatase TiO 2 and LaAlO 3 (001), which arises for LaO-terminated LaAlO 3, while the AlO 2-terminated interface is insulating. This approach, together with the metal-semiconductor field-effect transistor geometry, naturally bypasses the gate/channel interface traps, resulting in a highmore » field-effect mobility μ FE of 3.14 cm 2 (V s) –1 approaching 98% of the corresponding Hall mobility μ Hall. Accordingly, the channel conductivity is modulated over 6 orders of magnitude over a gate voltage range of ~4 V.« less

  14. Atomically engineered epitaxial anatase TiO2 metal-semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Kim, Brian S. Y.; Minohara, Makoto; Hikita, Yasuyuki; Bell, Christopher; Hwang, Harold Y.

    2018-03-01

    Anatase TiO2 is a promising material for a vast array of electronic, energy, and environmental applications, including photocatalysis, photovoltaics, and sensors. A key requirement for these applications is the ability to modulate its electrical properties without dominant dopant scattering and while maintaining high carrier mobility. Here, we demonstrate the room temperature field-effect modulation of the conducting epitaxial interface between anatase TiO2 and LaAlO3 (001), which arises for LaO-terminated LaAlO3, while the AlO2-terminated interface is insulating. This approach, together with the metal-semiconductor field-effect transistor geometry, naturally bypasses the gate/channel interface traps, resulting in a high field-effect mobility μ FE of 3.14 cm2 (V s)-1 approaching 98% of the corresponding Hall mobility μ Hall . Accordingly, the channel conductivity is modulated over 6 orders of magnitude over a gate voltage range of ˜4 V.

  15. The MgO-Al2O3-SiO2 system - Free energy of pyrope and Al2O3-enstatite. [in earth mantle formation

    NASA Technical Reports Server (NTRS)

    Saxena, S. K.

    1981-01-01

    The model of fictive ideal components is used to determine Gibbs free energies of formation of pyrope and Al2O3-enstatite from the experimental data on coexisting garnet and orthopyroxene and orthopyroxene and spinel in the temperature range 1200-1600 K. It is noted that Al2O3 forms an ideal solution with MgSiO3. These thermochemical data are found to be consistent with the Al2O3 isopleths that could be drawn using most recent experimental data and with the reversed experimental data on the garnet-spinel field boundary.

  16. Crack-resistant Al2O3–SiO2 glasses

    PubMed Central

    Rosales-Sosa, Gustavo A.; Masuno, Atsunobu; Higo, Yuji; Inoue, Hiroyuki

    2016-01-01

    Obtaining “hard” and “crack-resistant” glasses have always been of great important in glass science and glass technology. However, in most commercial glasses both properties are not compatible. In this work, colorless and transparent xAl2O3–(100–x)SiO2 glasses (30 ≤ x ≤ 60) were fabricated by the aerodynamic levitation technique. The elastic moduli and Vickers hardness monotonically increased with an increase in the atomic packing density as the Al2O3 content increased. Although a higher atomic packing density generally enhances crack formation in conventional oxide glasses, the indentation cracking resistance increased by approximately seven times with an increase in atomic packing density in binary Al2O3–SiO2 glasses. In particular, the composition of 60Al2O3•40SiO2 glass, which is identical to that of mullite, has extraordinary high cracking resistance with high elastic moduli and Vickers hardness. The results indicate that there exist aluminosilicate compositions that can produce hard and damage-tolerant glasses. PMID:27053006

  17. Postperovskite phase equilibria in the MgSiO3-Al2O3 system.

    PubMed

    Tsuchiya, Jun; Tsuchiya, Taku

    2008-12-09

    We investigate high-P,T phase equilibria of the MgSiO(3)-Al(2)O(3) system by means of the density functional ab initio computation methods with multiconfiguration sampling. Being different from earlier studies based on the static substitution properties with no consideration of Rh(2)O(3)(II) phase, present calculations demonstrate that (i) dissolving Al(2)O(3) tends to decrease the postperovskite transition pressure of MgSiO(3) but the effect is not significant ( approximately -0.2 GPa/mol% Al(2)O(3)); (ii) Al(2)O(3) produces the narrow perovskite+postperovskite coexisting P,T area (approximately 1 GPa) for the pyrolitic concentration (x(Al2O3) approximately 6 mol%), which is sufficiently responsible to the deep-mantle D'' seismic discontinuity; (iii) the transition would be smeared (approximately 4 GPa) for the basaltic Al-rich composition (x(Al2O3) approximately 20 mol%), which is still seismically visible unless iron has significant effects; and last (iv) the perovskite structure spontaneously changes to the Rh(2)O(3)(II) with increasing the Al concentration involving small displacements of the Mg-site cations.

  18. High performance GaN-based LEDs on patterned sapphire substrate with patterned composite SiO2/Al2O3 passivation layers and TiO2/Al2O3 DBR backside reflector.

    PubMed

    Guo, Hao; Zhang, Xiong; Chen, Hongjun; Zhang, Peiyuan; Liu, Honggang; Chang, Hudong; Zhao, Wei; Liao, Qinghua; Cui, Yiping

    2013-09-09

    GaN-based light-emitting diodes (LEDs) on patterned sapphire substrate (PSS) with patterned composite SiO(2)/Al(2)O(3) passivation layers and TiO(2)/Al(2)O(3) distributed Bragg reflector (DBR) backside reflector have been proposed and fabricated. Highly passivated Al(2)O(3) layer deposited on indium tin oxide (ITO) layer with excellent uniformity and quality has been achieved with atomic layer deposition (ALD) technology. With a 60 mA current injection, an enhancement of 21.6%, 59.7%, and 63.4% in the light output power (LOP) at 460 nm wavelength was realized for the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers, the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layers and Ag mirror + 3-pair TiO(2)/SiO(2) DBR backside reflector, and the LED with the patterned composite SiO(2)/Al(2)O(3) passivation layer and Ag mirror + 3-pair ALD-grown TiO(2)/Al(2)O(3) DBR backside reflector as compared with the conventional LED only with a single SiO(2) passivation layer, respectively.

  19. Formation Mechanism of CuAlO2 Prepared by Rapid Thermal Annealing of Al2O3/Cu2O/Sapphire Sandwich Structure

    NASA Astrophysics Data System (ADS)

    Shih, C. H.; Tseng, B. H.

    Single-phase CuAlO2 films were successfully prepared by thin-film reaction of an Al2O3/Cu2O/sapphire sandwich structure. We found that the processing parameters, such as heating rate, holding temperature and annealing ambient, were all crucial to form CuAlO2 without second phases. Thermal annealing in pure oxygen ambient with a lower temperature ramp rate might result in the formation of CuAl2O4 in addition to CuAlO2, since part of Cu2O was oxidized to form CuO and caused the change in reaction path, i.e. CuO + Al2O3 → CuAl2O4. Typical annealing conditions successful to prepare single-phase CuAlO2 would be to heat the sample with a temperature rampt rate higher than 7.3 °C/sec and hold the temperature at 1100 °C in air ambient. The formation mechanism of CuAlO2 has also been studied by interrupting the reaction after a short period of annealing. TEM observations showed that the top Al2O3 layer with amorphous structure reacted immediately with Cu2O to form CuAlO2 in the early stage and then the remaining Cu2O reacted with the sapphire substrate.

  20. Nanogranular SiO2 proton gated silicon layer transistor mimicking biological synapses

    NASA Astrophysics Data System (ADS)

    Liu, M. J.; Huang, G. S.; Feng, P.; Guo, Q. L.; Shao, F.; Tian, Z. A.; Li, G. J.; Wan, Q.; Mei, Y. F.

    2016-06-01

    Silicon on insulator (SOI)-based transistors gated by nanogranular SiO2 proton conducting electrolytes were fabricated to mimic synapse behaviors. This SOI-based device has both top proton gate and bottom buried oxide gate. Electrical transfer properties of top proton gate show hysteresis curves different from those of bottom gate, and therefore, excitatory post-synaptic current and paired pulse facilitation (PPF) behavior of biological synapses are mimicked. Moreover, we noticed that PPF index can be effectively tuned by the spike interval applied on the top proton gate. Synaptic behaviors and functions, like short-term memory, and its properties are also experimentally demonstrated in our device. Such SOI-based electronic synapses are promising for building neuromorphic systems.

  1. Influence of gate recess on the electronic characteristics of β-Ga2O3 MOSFETs

    NASA Astrophysics Data System (ADS)

    Lv, Yuanjie; Mo, Jianghui; Song, Xubo; He, Zezhao; Wang, Yuangang; Tan, Xin; Zhou, Xingye; Gu, Guodong; Guo, Hongyu; Feng, Zhihong

    2018-05-01

    Gallium oxide (Ga2O3) metal-oxide-semiconductor field-effect transistors (MOSFETs) were fabricated with gate recess depths of 110 nm and 220 nm, respectively. The gate recess was formed by dry plasma etching with Cr metal as the mask. The fabricated devices with a 25-nm HfO2 gate dielectric both showed a low off-state drain current of about 1.8 × 10-10 A/mm. The effects of recess depth on the electronic characteristics of Ga2O3 MOSFETs were investigated. Upon increasing the recess depth from 110 nm to 220 nm, the saturated drain current decreased from 20.7 mA/mm to 2.6 mA/mm, while the threshold voltage moved increased to +3 V. Moreover, the breakdown voltage increased from 122 V to 190 V. This is mainly because the inverted-trapezoidal gate played the role of a gate-field plate, which suppressed the peak electric field close to the gate.

  2. Creating Two-Dimensional Electron Gas in Polar/Polar Perovskite Oxide Heterostructures: First-Principles Characterization of LaAlO3/A(+)B(5+)O3.

    PubMed

    Wang, Yaqin; Tang, Wu; Cheng, Jianli; Behtash, Maziar; Yang, Kesong

    2016-06-01

    By using first-principles electronic structure calculations, we explored the possibility of producing two-dimensional electron gas (2DEG) at the polar/polar (LaO)(+)/(BO2)(+) interface in the LaAlO3/A(+)B(5+)O3 (A = Na and K, B = Nb and Ta) heterostructures (HS). Unlike the prototype polar/nonpolar LaAlO3/SrTiO3 HS system where there exists a least film thickness of four LaAlO3 unit cells to have an insulator-to-metal transition, we found that the polar/polar LaAlO3/A(+)B(5+)O3 HS systems are intrinsically conducting at their interfaces without an insulator-to-metal transition. The interfacial charge carrier densities of these polar/polar HS systems are on the order of 10(14) cm(-2), much larger than that of the LaAlO3/SrTiO3 system. This is mainly attributed to two donor layers, i.e., (LaO)(+) and (BO2)(+) (B = Nb and Ta), in the polar/polar LaAlO3/A(+)B(5+)O3 systems, while only one (LaO)(+) donor layer in the polar/nonpolar LaAlO3/SrTiO3 system. In addition, it is expected that, due to less localized Nb 4d and Ta 5d orbitals with respect to Ti 3d orbitals, these LaAlO3/A(+)B(5+)O3 HS systems can exhibit potentially higher electron mobility because of their smaller electron effective mass than that in the LaAlO3/SrTiO3 system. Our results demonstrate that the electronic reconstruction at the polar/polar interface could be an alternative way to produce superior 2DEG in the perovskite-oxide-based HS systems.

  3. Reaction between NiO and Al2O3 in NiO/γ-Al2O3 catalysts probed by positronium atom

    NASA Astrophysics Data System (ADS)

    Li, C. Y.; Zhang, H. J.; Chen, Z. Q.

    2013-02-01

    NiO/γ-Al2O3 catalysts with NiO content of 9 wt% and 24 wt% were prepared by solid state reaction method. They are annealed in air at temperatures from 100 °C to 1000 °C. Positron lifetime spectra were measured to study the microstructure variation during annealing process. Four positron lifetime components were resolved with two long lifetime τ3 and τ4, which can be attributed to the ortho-positronium lifetime in microvoids and large pores, respectively. It was found that the longest lifetime τ4 is rather sensitive to the chemical environment of the large pores. The NiO active centers in the catalysts cause decrease of both τ4 and its intensity I4, which is due to the spin-conversion of positronium induced by NiO. However, after heating the catalysts above 600 °C, abnormal increase of the lifetime τ4 is observed. This is due to the formation of NiAl2O4 spinel from the reaction of NiO and γ-Al2O3. The generated NiAl2O4 weakens the spin-conversion effect of positronium, thus leads to the increase of o-Ps lifetime τ4. Formation of NiAl2O4 is further confirmed by both X-ray diffraction and X-ray photoelectron spectroscopy measurements.

  4. Quantum cascade lasers with Y2O3 insulation layer operating at 8.1 µm.

    PubMed

    Kang, JoonHyun; Yang, Hyun-Duk; Joo, Beom Soo; Park, Joon-Suh; Lee, Song-Ee; Jeong, Shinyoung; Kyhm, Jihoon; Han, Moonsup; Song, Jin Dong; Han, Il Ki

    2017-08-07

    SiO 2 is a commonly used insulation layer for QCLs but has high absorption peak around 8 to 10 µm. Instead of SiO 2 , we used Y 2 O 3 as an insulation layer for DC-QCL and successfully demonstrated lasing operation at the wavelength around 8.1 µm. We also showed 2D numerical analysis on the absorption coefficient of our DC-QCL structure with various parameters such as insulating materials, waveguide width, and mesa angle.

  5. Study on Preparing Al2O3 Particles Reinforced ZL109 Composite by in Situ Reaction of Fe2O3/Al System

    NASA Astrophysics Data System (ADS)

    Zhang, Jing; Yu, Huashun; Zhao, Qi; Wang, Haitao; Min, Guanghui

    Al2O3 particles reinforced ZL109 composite was prepared by in situ reaction between Fe2O3 and Al. The phases were identified by XRD and the microstructures were observed by SEM and TEM. The Al2O3 particles in sub-micron size distribute uniformly in the matrix and Fe displaced from the in situ reaction forms net-like alloy phases with Cu, Ni, Al, Mn ect. The hardness and the tensile strength at room temperature of the composites have a small increase compared with the matrix. However, the tensile strength at 350°C can reach 92.18 MPa, which is 18.87 MPa higher than that of the matrix. The mechanism of the reaction in the Fe2O3/Al system was studied by DSC. The reaction between Fe2O3 and Al involves two steps. The first step in which Fe2O3 reacts with Al to form FeO and Al2O3 takes place at the matrix alloy melting temperature. The second step in which FeO reacts with Al to form Fe and Al2O3 takes place at a higher temperature.

  6. Combustion synthesis of AlB2-Al2O3 composite powders with AlB2 nanowire structures

    NASA Astrophysics Data System (ADS)

    Yang, Pan; Xiao, Guoqing; Ding, Donghai; Ren, Yun; Yang, Shoulei; Lv, Lihua; Hou, Xing

    2018-05-01

    Using of Al and B2O3 powders as starting materials, and Mg-Al alloy as additives, AlB2-Al2O3 composite powders with AlB2 nanowire structures were successfully fabricated via combustion synthesis method in Ar atmosphere at a pressure of 1.5 MPa. The effect of different amount of Mg-Al alloy on the phase compositions and morphology of the combustion products was investigated. The results revealed that AlB2 and Al2O3 increased, whereas Al decreased with the content of Mg-Al alloy increasing. The impurities MgAl2O4 and AlB12 would exist in the sample with adding of 18 wt% Mg-Al alloy. Interestingly, FESEM/TEM/EDS results showed that AlB2 nanowires were observed in the products when the content of Mg-Al alloy is 6 wt% and 12 wt%. The more AlB2 nanowires can be found as the content of Mg-Al alloy increased. And the yield of AlB2 nanowires with the diameter of about 200 nanometers (nm) and the length up to several tens of micrometers (μm) in the combustion product is highest when the content of Mg-Al alloy is 12 wt%. The vapor, such as Mg-Al (g), B2O2 (g), AlO (g) and Al2O (g), produced during the process of combustion synthesis, reacted with each other to yield AlB2 nanowires by vapor-solid (VS) mechanism and the corresponding model was also proposed.

  7. High Temperature Mechanical Characterization and Analysis of Al2O3 /Al2O3 Composition

    NASA Technical Reports Server (NTRS)

    Gyekenyesi, John Z.; Jaskowiak, Martha H.

    1999-01-01

    Sixteen ply unidirectional zirconia coated single crystal Al2O3 fiber reinforced polycrystalline Al2O3 was tested in uniaxial tension at temperatures to 1400 C in air. Fiber volume fractions ranged from 26 to 31%. The matrix has primarily open porosity of approximately 40%. Theories for predicting the Young's modulus, first matrix cracking stress, and ultimate strength were applied and evaluated for suitability in predicting the mechanical behavior of Al2O3/Al2O3 composites. The composite exhibited pseudo tough behavior (increased area under the stress/strain curve relative to monolithic alumina) from 22 to 1400 C. The rule-of-mixtures provides a good estimate of the Young's modulus of the composite using the constituent properties from room temperature to approximately 1200 C for short term static tensile tests in air. The ACK theory provides the best approximation of the first matrix cracking stress while accounting for residual stresses at room temperature. Difficulties in determining the fiber/matrix interfacial shear stress at high temperatures prevented the accurate prediction of the first matrix cracking stress above room temperature. The theory of Cao and Thouless, based on Weibull statistics, gave the best prediction for the composite ultimate tensile strength.

  8. Device Performance and Reliability Improvements of AlGaBN/GaN/Si MOSFET

    DTIC Science & Technology

    2016-02-04

    Metal insulator semiconductor AlGaN /GaN high electron mobility transistors (MISHEMTs) are promising for power device applications due to a lower leakage...current than the conventional Schottky AlGaN/GaN HEMTs.1–3 Among a large number of insulator materials, an Al2O3 dielectric layer, deposited by...atomic layer deposition (ALD), is often employed as the gate insulator because of a large band gap (and the resultant high conduction band offset on

  9. Hydrogen sensors based on Sc2O3/AlGaN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Kang, B. S.; Mehandru, R.; Kim, S.; Ren, F.; Fitch, R. C.; Gillespie, J. K.; Moser, N.; Jessen, G.; Jenkins, T.; Dettmer, R.; Via, D.; Crespo, A.; Baik, K. H.; Gila, B. P.; Abernathy, C. R.; Pearton, S. J.

    2005-05-01

    Pt contacted AlGaN/GaN high electron mobility transistors with Sc2O3 gate dielectrics show reversible changes in drain-source current upon exposure to H2-containing ambients, even at room temperature. The changes in current (as high as 3 mA for relatively low gate voltage and drain-source voltage at 25 °C for the HEMTs and a change in forward current of 40 μA at a bias of 2.5 V was obtained for the MOS-diodes in response to a change in ambient from pure N2 to 10% H2/90% N2. The current changes in the latter case are almost linearly proportional to the testing temperature and reach around 400 μA at 400 °C. These signals are approximately an order of magnitude larger than for Pt /GaN Schottky diodes and a factor of 5 larger than Sc2O3/AlGaN/GaN metal-oxide semiconductor (MOS) diodes exposed under the same conditions. This shows the advantage of using a transistor structure in which the gain produces larger current changes upon exposure to hydrogen-containing ambients. The increase in current is the result of a decrease in effective barrier height of the MOS gate of 30-50 mV at 25 °C for 10%H2/90%N2 ambients relative to pure N2 and is due to catalytic dissociation of the H2 on the Pt contact, followed by diffusion to the Sc2O3/AlGaN interface.

  10. Non-volatile resistive switching in the Mott insulator (V1-xCrx)2O3

    NASA Astrophysics Data System (ADS)

    Querré, M.; Tranchant, J.; Corraze, B.; Cordier, S.; Bouquet, V.; Députier, S.; Guilloux-Viry, M.; Besland, M.-P.; Janod, E.; Cario, L.

    2018-05-01

    The discovery of non-volatile resistive switching in Mott insulators related to an electric-field-induced insulator to metal transition (IMT) has paved the way for their use in a new type of non-volatile memories, the Mott memories. While most of the previous studies were dedicated to uncover the resistive switching mechanism and explore the memory potential of chalcogenide Mott insulators, we present here a comprehensive study of resistive switching in the canonical oxide Mott insulator (V1-xCrx)2O3. Our work demonstrates that this compound undergoes a non-volatile resistive switching under electric field. This resistive switching is induced by a Mott transition at the local scale which creates metallic domains closely related to existing phases of the temperature-pressure phase diagram of (V1-xCrx)2O3. Our work demonstrates also reversible resistive switching in (V1-xCrx)2O3 crystals and thin film devices. Preliminary performances obtained on 880 nm thick layers with 500 nm electrodes show the strong potential of Mott memories based on the Mott insulator (V1-xCrx)2O3.

  11. Miscibility of amorphous ZrO2-Al2O3 binary alloy

    NASA Astrophysics Data System (ADS)

    Zhao, C.; Richard, O.; Bender, H.; Caymax, M.; De Gendt, S.; Heyns, M.; Young, E.; Roebben, G.; Van Der Biest, O.; Haukka, S.

    2002-04-01

    Miscibility is a key factor for maintaining the homogeneity of the amorphous structure in a ZrO2-Al2O3 binary alloy high-k dielectric layer. In the present work, a ZrO2/Al2O3 laminate thin layer has been prepared by atomic layer chemical vapor deposition on a Si (100) wafer. This layer, with artificially induced inhomogeneity (lamination), enables one to study the change in homogeneity of the amorphous phase in the ZrO2/Al2O3 system during annealing. High temperature grazing incidence x-ray diffraction (HT-XRD) was used to investigate the change in intensity of the constructive interference peak of the x-ray beams which are reflected from the interfaces of ZrO2/Al2O3 laminae. The HT-XRD spectra show that the intensity of the peak decreases with an increase in the anneal temperature, and at 800 °C, the peak disappears. The same samples were annealed by a rapid thermal process (RTP) at temperatures between 700 and 1000 °C for 60 s. Room temperature XRD of the RTP annealed samples shows a similar decrease in peak intensity. Transmission electronic microscope images confirm that the laminate structure is destroyed by RTP anneals and, just below the crystallization onset temperature, a homogeneous amorphous ZrAlxOy phase forms. The results demonstrate that the two artificially separated phases, ZrO2 and Al2O3 laminae, tend to mix into a homogeneous amorphous phase before crystallization. This observation indicates that the thermal stability of ZrO2-Al2O3 amorphous phase is suitable for high-k applications.

  12. Characterization of ZrO2 and (ZrO2)x(Al2O3)1-X thin films on Si substrates: effect of the Al2O3 component

    NASA Astrophysics Data System (ADS)

    Vitanov, P.; Harizanova, A.; Ivanova, T.

    2014-05-01

    ZrO2 and (ZrO2)x(Al2O3)1-x films were deposited by the sol-gel technique on Si substrates. The effect of the Al2O3 additive on the film surface morphology was studied by atomic force microscopy (AFM). The mixed oxide films showed a smoother morphology and lower values of the root-mean-square (RMS) roughness compared to ZrO2. Further, FTIR spectra indicated that ZrO2 underwent crystallization. The electrical measurements of the MIS structure revealed that the presence of Al2O3 and the amorphization affects its dielectric properties. The MIS structure with (ZrO2)x(Al2O3)1-x showed a lower fixed charge (~ 6×1010 cm-2) and an interface state density in the middle of the band gap of 6×1011 eV-1 cm-2). The dielectric constant measured was 22, with the leakage current density decreasing to 2×10-8 A cm-2 at 1×106 V cm-1.

  13. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  14. Kinetics of Valeric Acid Ketonization and Ketenization in Catalytic Pyrolysis on Nanosized SiO2 , γ-Al2 O3 , CeO2 /SiO2 , Al2 O3 /SiO2 and TiO2 /SiO2.

    PubMed

    Kulyk, Kostiantyn; Palianytsia, Borys; Alexander, John D; Azizova, Liana; Borysenko, Mykola; Kartel, Mykola; Larsson, Mats; Kulik, Tetiana

    2017-07-19

    Valeric acid is an important renewable platform chemical that can be produced efficiently from lignocellulosic biomass. Upgrading of valeric acid by catalytic pyrolysis has the potential to produce value added biofuels and chemicals on an industrial scale. Understanding the different mechanisms involved in the thermal transformations of valeric acid on the surface of nanometer-sized oxides is important for the development of efficient heterogeneously catalyzed pyrolytic conversion techniques. In this work, the thermal decomposition of valeric acid on the surface of nanoscale SiO 2 , γ-Al 2 O 3 , CeO 2 /SiO 2 , Al 2 O 3 /SiO 2 and TiO 2 /SiO 2 has been investigated by temperature-programmed desorption mass spectrometry (TPD MS). Fourier transform infrared spectroscopy (FTIR) has also been used to investigate the structure of valeric acid complexes on the oxide surfaces. Two main products of pyrolytic conversion were observed to be formed depending on the nano-catalyst used-dibutylketone and propylketene. Mechanisms of ketene and ketone formation from chemisorbed fragments of valeric acid are proposed and the kinetic parameters of the corresponding reactions were calculated. It was found that the activation energy of ketenization decreases in the order SiO 2 >γ-Al 2 O 3 >TiO 2 /SiO 2 >Al 2 O 3 /SiO 2 , and the activation energy of ketonization decreases in the order γ-Al 2 O 3 >CeO 2 /SiO 2 . Nano-oxide CeO 2 /SiO 2 was found to selectively catalyze the ketonization reaction. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. α-Al2O3/Ga2O3 superlattices coherently grown on r-plane sapphire

    NASA Astrophysics Data System (ADS)

    Oshima, Takayoshi; Kato, Yuji; Imura, Masataka; Nakayama, Yoshiko; Takeguchi, Masaki

    2018-06-01

    Ten-period binary α-Al2O3/Ga2O3 superlattices were fabricated on r-plane sapphire substrates by molecular beam epitaxy. By systematic variation of α-Ga2O3 thickness and evaluation through X-ray reflectivity and diffraction measurements and scanning transmission electron microscopy, we verified that the superlattice with α-Ga2O3 thickness up to ∼1 nm had coherent interfaces without misfit dislocation in spite of the large lattice mismatches. This successful fabrication of coherent α-Al2O3/Ga2O3 superlattices will encourage further development of α-(Al x Ga1‑ x )2O3-based heterostructures including superlattices.

  16. Effects of Al2O3 and CaO/SiO2 Ratio on Phase Equilbria in the ZnO-"FeO"-Al2O3-CaO-SiO2 System in Equilibrium with Metallic Iron

    NASA Astrophysics Data System (ADS)

    Zhao, Baojun; Hayes, Peter C.; Jak, Evgueni

    2011-02-01

    The phase equilibria and liquidus temperatures in the ZnO-"FeO"-Al2O3-CaO-SiO2 system in equilibrium with metallic iron have been determined experimentally in the temperature range 1383 K to 1573 K (1150 °C to 1300 °C). The experimental conditions were selected to characterize lead blast furnace and imperial smelting furnace slags. The results are presented in a form of pseudoternary sections ZnO-"FeO"-(Al2O3 + CaO + SiO2) with fixed CaO/SiO2 and (CaO + SiO2)/Al2O3 ratios. It was found that wustite and spinel are the major primary phases in the composition range investigated. Effects of Al2O3 concentration as well as the CaO/SiO2 ratio on the primary phase field, the liquidus temperature, and the partitioning of ZnO between liquid and solid phases have been discussed for zinc-containing slags.

  17. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores.

    PubMed

    Pardon, Gaspard; Gatty, Hithesh K; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-11

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al(2)O(3)) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al(2)O(3) layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al(2)O(3) using ALD.

  18. Pt-Al2O3 dual layer atomic layer deposition coating in high aspect ratio nanopores

    NASA Astrophysics Data System (ADS)

    Pardon, Gaspard; Gatty, Hithesh K.; Stemme, Göran; van der Wijngaart, Wouter; Roxhed, Niclas

    2013-01-01

    Functional nanoporous materials are promising for a number of applications ranging from selective biofiltration to fuel cell electrodes. This work reports the functionalization of nanoporous membranes using atomic layer deposition (ALD). ALD is used to conformally deposit platinum (Pt) and aluminum oxide (Al2O3) on Pt in nanopores to form a metal-insulator stack inside the nanopore. Deposition of these materials inside nanopores allows the addition of extra functionalities to nanoporous materials such as anodic aluminum oxide (AAO) membranes. Conformal deposition of Pt on such materials enables increased performances for electrochemical sensing applications or fuel cell electrodes. An additional conformal Al2O3 layer on such a Pt film forms a metal-insulator-electrolyte system, enabling field effect control of the nanofluidic properties of the membrane. This opens novel possibilities in electrically controlled biofiltration. In this work, the deposition of these two materials on AAO membranes is investigated theoretically and experimentally. Successful process parameters are proposed for a reliable and cost-effective conformal deposition on high aspect ratio three-dimensional nanostructures. A device consisting of a silicon chip supporting an AAO membrane of 6 mm diameter and 1.3 μm thickness with 80 nm diameter pores is fabricated. The pore diameter is reduced to 40 nm by a conformal deposition of 11 nm Pt and 9 nm Al2O3 using ALD.

  19. Effect of atomic-arrangement matching on La{sub 2}O{sub 3}/Ge heterostructures for epitaxial high-k-gate-stacks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanashima, T., E-mail: kanashima@ee.es.osaka-u.ac.jp; Zenitaka, M.; Kajihara, Y.

    2015-12-14

    We demonstrate a high-quality La{sub 2}O{sub 3} layer on germanium (Ge) as an epitaxial high-k-gate-insulator, where there is an atomic-arrangement matching condition between La{sub 2}O{sub 3}(001) and Ge(111). Structural analyses reveal that (001)-oriented La{sub 2}O{sub 3} layers were grown epitaxially only when we used Ge(111) despite low growth temperatures less than 300 °C. The permittivity (k) of the La{sub 2}O{sub 3} layer is roughly estimated to be ∼19 from capacitance-voltage (C-V) analyses in Au/La{sub 2}O{sub 3}/Ge structures after post-metallization-annealing treatments, although the C-V curve indicates the presence of carrier traps near the interface. By using X-ray photoelectron spectroscopy analyses, we findmore » that only Ge–O–La bonds are formed at the interface, and the thickness of the equivalent interfacial Ge oxide layer is much smaller than that of GeO{sub 2} monolayer. We discuss a model of the interfacial structure between La{sub 2}O{sub 3} and Ge(111) and comment on the C-V characteristics.« less

  20. Innovative insertion material of LiAl 1/4Ni 3/4O 2 ( R- m) for lithium-ion (shuttlecock) batteries

    NASA Astrophysics Data System (ADS)

    Ohzuku, Tsutomu; Yanagawa, Takayuki; Kouguchi, Masaru; Ueda, Atsushi

    We report an innovative insertion material of LiAl 1/4Ni 3/4O 2 ( R- m) which is a solid solution of LiNiO 2 ( R— m) and α-LiAlO 2 ( R— m). LiAl 1/4Ni 3/4O 2 (interlayer distance: ~4.75 Å) shows an overcharge-resistant character due to the formation of an insulator of 3/4Li 1/4-Al 1/4Ni 3/4O 2 having ~ 4.8 Å of interlayer distance. Cycle tests of an Li/LiAl 1/4Ni 3/4O 2 cell between 2.5 and 4.5 V show no noticeable loss in rechargeable capacity (~ 150 mAh g -1). The thermal behavior of Li 1 - xAl 1/4Ni 3/4O 2 (0 ≤ x <3/4) is also examined by differential scanning calorimetry and shows that the exothermic reaction of Li 1 - xAl 1/4Ni 3/4O 2 with electrolyte is remarkably suppressed even for the fully charged state when compared with that of Li 1 - xNiO 2. From these results we discuss on the possibility of designing reliable high-energy, high-volume, lithium-ion batteries.

  1. Postperovskite phase equilibria in the MgSiO3Al2O3 system

    PubMed Central

    Tsuchiya, Jun; Tsuchiya, Taku

    2008-01-01

    We investigate high-P,T phase equilibria of the MgSiO3Al2O3 system by means of the density functional ab initio computation methods with multiconfiguration sampling. Being different from earlier studies based on the static substitution properties with no consideration of Rh2O3(II) phase, present calculations demonstrate that (i) dissolving Al2O3 tends to decrease the postperovskite transition pressure of MgSiO3 but the effect is not significant (≈-0.2 GPa/mol% Al2O3); (ii) Al2O3 produces the narrow perovskite+postperovskite coexisting P,T area (≈1 GPa) for the pyrolitic concentration (xAl2O3 ≈6 mol%), which is sufficiently responsible to the deep-mantle D″ seismic discontinuity; (iii) the transition would be smeared (≈4 GPa) for the basaltic Al-rich composition (xAl2O3 ≈20 mol%), which is still seismically visible unless iron has significant effects; and last (iv) the perovskite structure spontaneously changes to the Rh2O3(II) with increasing the Al concentration involving small displacements of the Mg-site cations. PMID:19036928

  2. Comparison of the Microstructure and Flux Pinning Properties of Polycrystalline YBa2Cu3O7-d Containing Zn0.95Mn0.05O or Al2O3 Nanoparticles

    NASA Astrophysics Data System (ADS)

    Al-Mohsin, R. A.; Al-Otaibi, A. L.; Almessiere, M. A.; Al-badairy, H.; Slimani, Y.; Ben Azzouz, F.

    2018-07-01

    Here we compare the microstructure and flux pinning properties of polycrystalline YBa2Cu3O7-d (Y-123 or YBCO) containing either Al2O3 or Zn0.95Mn0.05O nanoparticles. Samples were prepared using a standard solid-state reaction process, and nanoparticles were added up to a concentration of 0.1 wt%. The crystal structure, microstructure, electrical and magnetic properties were analyzed using X-ray diffraction, scanning electron microscopy and transmission electron microscopy (TEM), and electrical resistivity and DC magnetization measurements, respectively. TEM observations showed that the addition of Zn0.95Mn0.05O resulted in a high density of fine twins and a variety of interacting microstructures, while Al2O3 addition resulted in a high density of Al-rich nanoscale inhomogeneities embedded in the Y-123 matrix. Flux pinning forces were determined, and predominant pinning mechanisms in the prepared samples were proposed. We evaluated the superconducting properties of YBCO considering the effects of adding insulating or magnetic nanoparticles.

  3. Comparison of the Microstructure and Flux Pinning Properties of Polycrystalline YBa2Cu3O7-d Containing Zn0.95Mn0.05O or Al2O3 Nanoparticles

    NASA Astrophysics Data System (ADS)

    Al-Mohsin, R. A.; Al-Otaibi, A. L.; Almessiere, M. A.; Al-badairy, H.; Slimani, Y.; Ben Azzouz, F.

    2018-03-01

    Here we compare the microstructure and flux pinning properties of polycrystalline YBa2Cu3O7-d (Y-123 or YBCO) containing either Al2O3 or Zn0.95Mn0.05O nanoparticles. Samples were prepared using a standard solid-state reaction process, and nanoparticles were added up to a concentration of 0.1 wt%. The crystal structure, microstructure, electrical and magnetic properties were analyzed using X-ray diffraction, scanning electron microscopy and transmission electron microscopy (TEM), and electrical resistivity and DC magnetization measurements, respectively. TEM observations showed that the addition of Zn0.95Mn0.05O resulted in a high density of fine twins and a variety of interacting microstructures, while Al2O3 addition resulted in a high density of Al-rich nanoscale inhomogeneities embedded in the Y-123 matrix. Flux pinning forces were determined, and predominant pinning mechanisms in the prepared samples were proposed. We evaluated the superconducting properties of YBCO considering the effects of adding insulating or magnetic nanoparticles.

  4. Optimization of the Al2O3/GaSb Interface and a High-Mobility GaSb pMOSFET

    DTIC Science & Technology

    2011-10-01

    explored the use of in situ deposition of Al2O3 on GaSb grown on InP using molecular beam epitaxy and reported Dit values in the low 1012/cm2eV range near...M. Heyns, M. Caymax, and J. Dekoster, “GaSb mole- cular beam epitaxial growth on p-InP(001) and passivation with in situ deposited Al2O3 gate oxide...transmission electron microscopy. Capacitors were made on these films using platinum (Pt) electrode deposited in an e- beam evaporator through a shadow

  5. Chemical quenching of positronium in Fe 2O 3/Al 2O 3 catalysts

    NASA Astrophysics Data System (ADS)

    Li, C.; Zhang, H. J.; Chen, Z. Q.

    2010-09-01

    Fe 2O 3/Al 2O 3 catalysts were prepared by solid state reaction method using α-Fe 2O 3 and γ-Al 2O 3 nano powders. The microstructure and surface properties of the catalyst were studied using positron lifetime and coincidence Doppler broadening annihilation radiation measurements. The positron lifetime spectrum shows four components. The two long lifetimes τ3 and τ4 are attributed to positronium annihilation in two types of pores distributed inside Al 2O 3 grain and between the grains, respectively. With increasing Fe 2O 3 content from 3 wt% to 40 wt%, the lifetime τ3 keeps nearly unchanged, while the longest lifetime τ4 shows decrease from 96 ns to 64 ns. Its intensity decreases drastically from 24% to less than 8%. The Doppler broadening S parameter shows also a continuous decrease. Further analysis of the Doppler broadening spectra reveals a decrease in the p-Ps intensity with increasing Fe 2O 3 content, which rules out the possibility of spin-conversion of positronium. Therefore the decrease of τ4 is most probably due to the chemical quenching reaction of positronium with Fe ions on the surface of the large pores.

  6. Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} nanocomposite: Structure, mechanical property and bioactivity studies

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kalita, Samar Jyoti, E-mail: Samar.Kalita@und.nodak.edu; Somani, Vikas

    2010-12-15

    Novel biomaterials are of prime importance in tissue engineering. Here, we developed novel nanostructured Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} composite as a biomaterial for bone repair. Initially, nanocrystalline Al{sub 2}O{sub 3}-TiO{sub 2} composite powder was synthesized by a sol-gel process. The powder was cold compacted and sintered at 1300-1500 {sup o}C to develop nanostructured Al{sub 2}TiO{sub 5}-Al{sub 2}O{sub 3}-TiO{sub 2} composite. Nano features were retained in the sintered structures while the grains showed irregular morphology. The grain-growth and microcracking were prominent at higher sintering temperatures. X-ray diffraction peak intensity of {beta}-Al{sub 2}TiO{sub 5} increased with increasing temperature. {beta}-Al{sub 2}TiO{submore » 5} content increased from 91.67% at 1300 {sup o}C to 98.83% at 1500 {sup o}C, according to Rietveld refinement. The density of {beta}-Al{sub 2}TiO{sub 5} sintered at 1300 {sup o}C, 1400 {sup o}C and 1500 {sup o}C were computed to be 3.668 g cm{sup -3}, 3.685 g cm{sup -3} and 3.664 g cm{sup -3}, respectively. Nanocrystalline grains enhanced the flexural strength. The highest flexural strength of 43.2 MPa was achieved. Bioactivity and biomechanical properties were assessed in simulated body fluid. Electron microscopy confirmed the formation of apatite crystals on the surface of the nanocomposite. Spectroscopic analysis established the presence of Ca and P ions in the crystals. Results throw light on biocompatibility and bioactivity of {beta}-Al{sub 2}TiO{sub 5} phase, which has not been reported previously.« less

  7. Photoelectron spectroscopy and density functional theory study of TiAlO(y) (-) (y=1-3) and TiAl(2)O(y) (-) (y=2-3) clusters.

    PubMed

    Zhang, Zeng-Guang; Xu, Hong-Guang; Zhao, Yuchao; Zheng, Weijun

    2010-10-21

    Small titanium-aluminum oxide clusters, TiAlO(y) (-) (y=1-3) and TiAl(2)O(y) (-) (y=2-3), were studied by using anion photoelectron spectroscopy. The adiabatic detachment energies of TiAlO(y) (-) (y=1-3) were estimated to be 1.11±0.05, 1.70±0.08, and 2.47±0.08eV based on their photoelectron spectra; those of TiAl(2)O(2) (-) and TiAl(2)O(3) (-) were estimated to be 1.17±0.08 and 2.2±0.1eV, respectively. The structures of these clusters were determined by comparison of density functional calculations with the experimental results. The structure of TiAlO(-) is nearly linear with the O atom in the middle. That of TiAlO(2) (-) is a kite-shaped structure. TiAlO(3) (-) has a kite-shaped TiAlO(2) unit with the third O atom attaching to the Ti atom. TiAl(2)O(2) (-) has two nearly degenerate Al-O-Ti-O-Al chain structures that can be considered as cis and trans forms. TiAl(2)O(3) (-) has two low-lying isomers, kite structure and book structure. The structures of these clusters indicate that the Ti atom tends to bind to more O atoms.

  8. Al2O3/ZrO2/Y3Al5O12 Composites: A High-Temperature Mechanical Characterization

    PubMed Central

    Palmero, Paola; Pulci, Giovanni; Marra, Francesco; Valente, Teodoro; Montanaro, Laura

    2015-01-01

    An Al2O3/5 vol%·ZrO2/5 vol%·Y3Al5O12 (YAG) tri-phase composite was manufactured by surface modification of an alumina powder with inorganic precursors of the second phases. The bulk materials were produced by die-pressing and pressureless sintering at 1500 °C, obtaining fully dense, homogenous samples, with ultra-fine ZrO2 and YAG grains dispersed in a sub-micronic alumina matrix. The high temperature mechanical properties were investigated by four-point bending tests up to 1500 °C, and the grain size stability was assessed by observing the microstructural evolution of the samples heat treated up to 1700 °C. Dynamic indentation measures were performed on as-sintered and heat-treated Al2O3/ZrO2/YAG samples in order to evaluate the micro-hardness and elastic modulus as a function of re-heating temperature. The high temperature bending tests highlighted a transition from brittle to plastic behavior comprised between 1350 and 1400 °C and a considerable flexural strength reduction at temperatures higher than 1400 °C; moreover, the microstructural investigations carried out on the re-heated samples showed a very limited grain growth up to 1650 °C. PMID:28787961

  9. Effect of Al2O3 insulator thickness on the structural integrity of amorphous indium-gallium-zinc-oxide based thin film transistors.

    PubMed

    Kim, Hak-Jun; Hwang, In-Ju; Kim, Youn-Jea

    2014-12-01

    The current transparent oxide semiconductors (TOSs) technology provides flexibility and high performance. In this study, multi-stack nano-layers of TOSs were designed for three-dimensional analysis of amorphous indium-gallium-zinc-oxide (a-IGZO) based thin film transistors (TFTs). In particular, the effects of torsional and compressive stresses on the nano-sized active layers such as the a-IGZO layer were investigated. Numerical simulations were carried out to investigate the structural integrity of a-IGZO based TFTs with three different thicknesses of the aluminum oxide (Al2O3) insulator (δ = 10, 20, and 30 nm), respectively, using a commercial code, COMSOL Multiphysics. The results are graphically depicted for operating conditions.

  10. Quantum Hall effect in dual gated BiSbTeSe2 topological insulator

    NASA Astrophysics Data System (ADS)

    Chong, Su Kong; Han, Kyu Bum; Nagaoka, Akira; Harmer, Jared; Tsuchikawa, Ryuichi; Sparks, Taylor D.; Deshpande, Vikram V.

    The discovery of topological insulators (TIs) has expanded the family of Dirac materials and enables the probing of exotic matter such as Majorana fermions and magnetic monopoles. Different from conventional 2D electron gas, 3D TIs exhibit a gapped insulating bulk and gapless topological surface states as a result of the strong spin-orbit coupling. BiSbTeSe2 is also known to be a 3D TI with a large intrinsic bulk gap of about 0.3 eV and a single Dirac cone surface state. The highly bulk insulating BiSbTeSe2 permits surface dominated conduction, which is an ideal system for the study of quantum Hall effect (QHE). Due to the spin-momentum locking, the Dirac fermions at the topological surface states have a degeneracy of one. In the QH regime, the Hall conductance is quantized to (n + 1 / 2) e2 / h , where n is an integer and the factor of half is related to Berry curvature. In this work, we study the QHE 3D TI using a dual gated BiSbTeSe2 device. By tuning the chemical potentials on top and bottom surfaces, integer QHE with Landau filling factors, ν = 0, +/-1, and +/-2 are observed.

  11. Hydrodeoxygenation of p -Cresol over Pt/Al 2 O 3 Catalyst Promoted by ZrO 2 , CeO 2 , and CeO 2 –ZrO 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Weiyan; Wu, Kui; Liu, Pengli

    2016-07-20

    ZrO 2-Al 2O 3 and CeO 2-Al 2O 3 were prepared by a co-precipitation method and selected as supports for Pt catalysts. The effects of CeO 2 and ZrO 2 on the surface area and Brønsted acidity of Pt/Al 2O 3 were studied. In the hydrodeoxygenation (HDO) of p-cresol, the addition of ZrO 2 promoted the direct deoxygenation activity on Pt/ZrOO 2-Al 2O 3 via Caromatic-O bond scission without benzene ring saturation. Pt/CeOO 2-Al 2O 3 exhibited higher deoxygenation extent than Pt/Al 2O 3 due to the fact that Brønsted acid sites on the catalyst surface favored the adsorption ofmore » p-cresol. With the advantages of CeO 2 and ZrO 2 taken into consideration, CeO 2-ZrOO 2-Al 2O 3 was prepared, leading to the highest HDO activity of Pt/CeO 2-ZrOO 2-Al 2O 3. The deoxygenation extent for Pt/CeO 2-ZrOO 2-Al 2O 3 was 48.4% and 14.5% higher than that for Pt/ZrO2O 2-Al 2O 3 and Pt/CeOO 2-Al 2O 3, respectively.« less

  12. Fabrication and Properties of Plasma-Sprayed Al2O3/ZrO2 Composite Coatings

    NASA Astrophysics Data System (ADS)

    Dejang, N.; Limpichaipanit, A.; Watcharapasorn, A.; Wirojanupatump, S.; Niranatlumpong, P.; Jiansirisomboon, S.

    2011-12-01

    Al2O3 /xZrO2 (where x = 0, 3, 13, and 20 wt.%) composite coatings were deposited onto mild steel substrates by atmospheric plasma spraying of mixed α-Al2O3 and nano-sized monoclinic-ZrO2 powders. Microstructural investigation showed that the coatings comprised well-separated Al2O3 and ZrO2 lamellae, pores, and partially molten particles. The coating comprised mainly of metastable γ-Al2O3 and tetragonal-ZrO2 with trace of original α-Al2O3 and monoclinic-ZrO2 phases. The effect of ZrO2 addition on the properties of coatings were investigated in terms of microhardness, fracture toughness, and wear behavior. It was found that ZrO2 improved the fracture toughness, reduced friction coefficient, and wear rate of the coatings.

  13. Luminescence and energy transfer of Tb3+-doped BaO-Gd2O3-Al2O3-B2O3-SiO2 glasses.

    PubMed

    Zuo, Chenggang; Huang, Jinze; Liu, Shaoyou; Xiao, Anguo; Shen, Youming; Zhang, Xiangyang; Zhou, Zhihua; Zhu, Ligang

    2017-12-05

    Transparent Tb 3+ -doped BaO-Gd 2 O 3 -Al 2 O 3 -B 2 O 3 -SiO 2 glasses with the greater than 4g/cm 3 were prepared by high temperature melting method and its luminescent properties have been investigated by measured UV-vis transmission, excitation, emission and luminescence decay spectra. The transmission spectrum shows there are three weak absorption bands locate at about 312, 378 and 484nm in the glasses and it has good transmittance in the visible spectrum region. Intense green emission can be observed under UV excitation. The effective energy transfer from Gd 3+ ion to Tb 3+ ion could occur and sensitize the luminescence of Tb 3+ ion. The green emission intensity of Tb 3+ ion could change with the increasing SiO 2 /B 2 O 3 ratio in the borosilicate glass matrix. With the increasing concentration of Tb 3+ ion, 5 D 4 → 7 F J transitions could be enhanced through the cross relaxation between the two nearby Tb 3+ ions. Luminescence decay time of 2.12ms from 546nm emission is obtained. The results indicate that Tb 3+ -doped BaO-Gd 2 O 3 -Al 2 O 3 -B 2 O 3 -SiO 2 glasses would be potential scintillating material for applications in X-ray imaging. Copyright © 2017 Elsevier B.V. All rights reserved.

  14. Substitutional and Interstitial Diffusion in alpha2-Ti3Al(O)

    NASA Technical Reports Server (NTRS)

    Copland, Evan; Young, David J.; Gleeson, Brian; Jacobson, Nathan

    2007-01-01

    The reaction between Al2O3 and alpha2-Ti3Al was studied with a series of Al2O3/alpha2-Ti3Al multiphase diffusion couples annealed at 900, 1000 and 1100 C. The diffusion-paths were found to strongly depend on alpha2- Ti3Al(O) composition. For alloys with low oxygen concentrations the reaction involved the reduction of Al2O3, the formation of a gamma-TiAl reaction-layer and diffusion of Al and O into the alpha2-Ti3Al substrate. Measured concentration profiles across the interaction-zone showed "up-hill" diffusion of O in alpha2-Ti3Al(O) indicating a significant thermodynamic interaction between O and Al, Ti or both. Diffusion coefficients for the interstitial O in alpha2-Ti3Al(O) were determined independently from the interdiffusion of Ti and Al on the substitutional lattice. Diffusion coefficients are reported for alpha2-Ti3Al(O) as well as gamma-TiAl. Interpretation of the results were aided with the subsequent measurement of the activities of Al, Ti and O in alpha 2-Ti3Al(O) by Knudsen effusion-cell mass spectrometry.

  15. Temperature-dependent OSL properties of nano-phosphors LiAlO2:C and α-Al2O3:C

    NASA Astrophysics Data System (ADS)

    Agarwal, Mini; Garg, Sandeep K.; Asokan, K.; Kumar, Pratik

    2018-06-01

    The present study focuses on the synthesis and characterization of carbon doped nano-phosphors, LiAlO2 and α-Al2O3 and their temperature-dependent optically stimulated luminescence (TA-OSL) characteristics in the temperature ranges of 25-350 °C. These nano-phosphors with the carbon concentration of 0.01 mol% exhibits high luminescent intensity for LiAlO2:C in the low dose range of 1 mGy-7 Gy and for α-Al2O3:C in the range of 100 mGy-1 kGy. Both these nano-phosphors are of polycrystalline in nature, having grain size 15-50 nm as confirmed by the X-ray diffraction (XRD) and Transmission Electron Microscopy (TEM), respectively. The maximum TA-OSL intensities are observed at 125 °C for LiAlO2:C and 200 °C for Al2O3:C, and reveal the presence of deep defect centres. The Arrhenius analysis shows the activation energies Ea = 0.06 ± 0.02 eV for LiAlO2:C and Ea = 0.04 ± 0.01 eV, & Eb = 0.48 ± 0.07 eV for Al2O3:C. The TA-OSL and OSL characteristics are discussed with special reference to the medical and high radiation dosimetry. These compounds, LiAlO2:C and α-Al2O3:C, are non-toxic, robust and are potential candidates for reusable dosimetry.

  16. Lifetime assessment of atomic-layer-deposited Al2O3-Parylene C bilayer coating for neural interfaces using accelerated age testing and electrochemical characterization.

    PubMed

    Minnikanti, Saugandhika; Diao, Guoqing; Pancrazio, Joseph J; Xie, Xianzong; Rieth, Loren; Solzbacher, Florian; Peixoto, Nathalia

    2014-02-01

    The lifetime and stability of insulation are critical features for the reliable operation of an implantable neural interface device. A critical factor for an implanted insulation's performance is its barrier properties that limit access of biological fluids to the underlying device or metal electrode. Parylene C is a material that has been used in FDA-approved implantable devices. Considered a biocompatible polymer with barrier properties, it has been used as a substrate, insulation or an encapsulation for neural implant technology. Recently, it has been suggested that a bilayer coating of Parylene C on top of atomic-layer-deposited Al2O3 would provide enhanced barrier properties. Here we report a comprehensive study to examine the mean time to failure of Parylene C and Al2O3-Parylene C coated devices using accelerated lifetime testing. Samples were tested at 60°C for up to 3 months while performing electrochemical measurements to characterize the integrity of the insulation. The mean time to failure for Al2O3-Parylene C was 4.6 times longer than Parylene C coated samples. In addition, based on modeling of the data using electrical circuit equivalents, we show here that there are two main modes of failure. Our results suggest that failure of the insulating layer is due to pore formation or blistering as well as thinning of the coating over time. The enhanced barrier properties of the bilayer Al2O3-Parylene C over Parylene C makes it a promising candidate as an encapsulating neural interface. Copyright © 2013 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.

  17. Three-State Quantum Dot Gate FETs Using ZnS-ZnMgS Lattice-Matched Gate Insulator on Silicon

    NASA Astrophysics Data System (ADS)

    Karmakar, Supriya; Suarez, Ernesto; Jain, Faquir C.

    2011-08-01

    This paper presents the three-state behavior of quantum dot gate field-effect transistors (FETs). GeO x -cladded Ge quantum dots (QDs) are site-specifically self-assembled over lattice-matched ZnS-ZnMgS high- κ gate insulator layers grown by metalorganic chemical vapor deposition (MOCVD) on silicon substrates. A model of three-state behavior manifested in the transfer characteristics due to the quantum dot gate is also presented. The model is based on the transfer of carriers from the inversion channel to two layers of cladded GeO x -Ge quantum dots.

  18. Electric-field control of magnetic properties for α-Fe2O3/Al2O3 films

    NASA Astrophysics Data System (ADS)

    Cheng, Bin; Qin, Hongwei; Liu, Liang; Xie, Jihao; Zhou, Guangjun; Chen, Lubin; Hu, Jifan

    2018-06-01

    α-Fe2O3/Al2O3 films can exhibit weak ferromagnetism at room temperature. The saturation magnetization of the thinner film is larger than that of the thick one deposited at the same temperature of 500 °C, which implies that the weak ferromagnetism at room temperature comes not only from the intrinsic canted magnetic structure, but also from the effects of interface between α-Fe2O3/Al2O3, such as the effect of Al diffusion into α-Fe2O3 film. Perpendicular electric field upon α-Fe2O3/Al2O3 film at room temperature could adjust the magnetic properties (saturation magnetization, magnetic remanence, coercivity and saturation magnetizing field). The positive electric field can enhance the magnetism of α-Fe2O3/Al2O3 thin film, while negative electric field can reduce it. The change induced by electric field may be connected with the migration effects of Al3+ ions. The steps of curve for saturation magnetization versus the electric field may reflect these complicated processes. The magnetization of the film deposited at a higher temperature can be changed by electric field more easily. This study may inspire more in-depth research and lead to an alternative approach to future magneto-electronic devices.

  19. Band Offsets and Interfacial Properties of HfAlO Gate Dielectric Grown on InP by Atomic Layer Deposition.

    PubMed

    Yang, Lifeng; Wang, Tao; Zou, Ying; Lu, Hong-Liang

    2017-12-01

    X-ray photoelectron spectroscopy and high-resolution transmission electron microscopy have been used to determine interfacial properties of HfO 2 and HfAlO gate dielectrics grown on InP by atomic layer deposition. An undesirable interfacial InP x O y layer is easily formed at the HfO 2 /InP interface, which can severely degrade the electrical performance. However, an abrupt interface can be achieved when the growth of the HfAlO dielectric on InP starts with an ultrathin Al 2 O 3 layer. The valence and conduction band offsets for HfAlO/InP heterojunctions have been determined to be 1.87 ± 0.1 and 2.83 ± 0.1 eV, respectively. These advantages make HfAlO a potential dielectric for InP MOSFETs.

  20. Behavior of Al2O3 and SiO2 with heating in a Cl2 + CO stream

    NASA Technical Reports Server (NTRS)

    Shchetinin, L. K.

    1984-01-01

    Differential thermal analysis (DTA) and Thermogravimetric analysis (TGA) were used to study the chlorination of alpha-Al2O3, gamma-Al2O3 and amorphous SiO2 in a Cl + CO stream, for the preparation of AlCl3 and SiCl4. The chlorination starting temperatures were 235 deg for Al2O3 and 680 deg for SiO2. The chlorination of alpha- and gamma-Al2O3 takes place via the formation of AlOCl as an intermediate product, and its subsequent dissociation at 480 to 560 deg, according to 3AlOCl yields AlCl3 + Al2O3. The chlorination activation energies are given for the three oxides.

  1. Nonvolatile memory with Co-SiO2 core-shell nanocrystals as charge storage nodes in floating gate

    NASA Astrophysics Data System (ADS)

    Liu, Hai; Ferrer, Domingo A.; Ferdousi, Fahmida; Banerjee, Sanjay K.

    2009-11-01

    In this letter, we reported nanocrystal floating gate memory with Co-SiO2 core-shell nanocrystal charge storage nodes. By using a water-in-oil microemulsion scheme, Co-SiO2 core-shell nanocrystals were synthesized and closely packed to achieve high density matrix in the floating gate without aggregation. The insulator shell also can help to increase the thermal stability of the nanocrystal metal core during the fabrication process to improve memory performance.

  2. Metal-to-insulator transition induced by UV illumination in a single SnO2 nanobelt

    NASA Astrophysics Data System (ADS)

    Viana, E. R.; Ribeiro, G. M.; de Oliveira, A. G.; González, J. C.

    2017-11-01

    An individual tin oxide (SnO2) nanobelt was connected in a back-gate field-effect transistor configuration and the conductivity of the nanobelt was measured at different temperatures from 400 K to 4 K, in darkness and under UV illumination. In darkness, the SnO2 nanobelts showed semiconductor behavior for the whole temperature range measured. However, when subjected to UV illumination the photoinduced carriers were high enough to lead to a metal-to-insulator transition (MIT), near room temperature, at T MIT = 240 K. By measuring the current versus gate voltage curves, and considering the electrostatic properties of a non-ideal conductor, for the SnO2 nanobelt on top of a gate-oxide substrate, we estimated the capacitance per unit length, the mobility and the density of carriers. In darkness, the density was estimated to be 5-10 × 1018 cm-3, in agreement with our previously reported result (Phys. Status Solid. RRL 6, 262-4 (2012)). However, under UV illumination the density of carriers was estimated to be 0.2-3.8 × 1019 cm-3 near T MIT, which exceeded the critical Mott density estimated to be 2.8 × 1019 cm-3 above 240 K. These results showed that the electrical properties of the SnO2 nanobelts can be drastically modified and easily tuned from semiconducting to metallic states as a function of temperature and light.

  3. Effect of proton irradiation dose on InAlN/GaN metal-oxide semiconductor high electron mobility transistors with Al 2O 3 gate oxide

    DOE PAGES

    Ahn, Shihyun; Kim, Byung -Jae; Lin, Yi -Hsuan; ...

    2016-07-26

    The effects of proton irradiation on the dc performance of InAlN/GaN metal-oxide-semiconductor high electron mobility transistors (MOSHEMTs) with Al 2O 3 as the gate oxide were investigated. The InAlN/GaN MOSHEMTs were irradiated with doses ranging from 1×10 13 to 1×10 15cm –2 at a fixed energy of 5MeV. There was minimal damage induced in the two dimensional electron gas at the lowest irradiation dose with no measurable increase in sheet resistance, whereas a 9.7% increase of the sheet resistance was observed at the highest irradiation dose. By sharp contrast, all irradiation doses created more severe degradation in the Ohmic metalmore » contacts, with increases of specific contact resistance from 54% to 114% over the range of doses investigated. These resulted in source-drain current–voltage decreases ranging from 96 to 242 mA/mm over this dose range. The trap density determined from temperature dependent drain current subthreshold swing measurements increased from 1.6 × 10 13 cm –2 V –1 for the reference MOSHEMTs to 6.7 × 10 13 cm –2 V –1 for devices irradiated with the highest dose. In conclusion, the carrier removal rate was 1287 ± 64 cm –1, higher than the authors previously observed in AlGaN/GaN MOSHEMTs for the same proton energy and consistent with the lower average bond energy of the InAlN.« less

  4. Influence of Content of Al2O3 on Structure and Properties of Nanocomposite Nb-B-Al-O films

    NASA Astrophysics Data System (ADS)

    Liu, Na; Dong, Lei; Dong, Lei; Yu, Jiangang; Pan, Yupeng; Wan, Rongxin; Gu, Hanqing; Li, Dejun

    2015-11-01

    Nb-B-Al-O nanocomposite films with different power of Al2O3 were successfully deposited on the Si substrate via multi-target magnetron co-sputtering method. The influences of Al2O3's content on structure and properties of obtained nanocomposite films through controlling Al2O3's power were investigated. Increasing the power of Al2O3 can influence the bombarding energy and cause the momentum transfer of NbB2. This can lead to the decreasing content of Al2O3. Furthermore, the whole films showed monocrystalline NbB2's (100) phase, and Al2O3 shaded from amorphous to weak cubic-crystalline when decreasing content of Al2O3. This structure and content changes were proof by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (TEM) and X-ray photoelectron spectroscopy (XPS). When NbB2 grains were far from each other in lower power of Al2O3, the whole films showed a typical nanocomposite microstructure with crystalline NbB2 grains embedded in a matrix of an amorphous Al2O3 phase. Continuing increasing the power of Al2O3, the less content of Al2O3 tended to cause crystalline of cubic-Al2O3 between the close distances of different crystalline NbB2 grains. The appearance of cubic-crystallization Al2O3 can help to raise the nanocomposite films' mechanical properties to some extent. The maximum hardness and elastic modulus were up to 21.60 and 332.78 GPa, which were higher than the NbB2 and amorphous Al2O3 monolithic films. Furthermore, this structure change made the chemistry bond of O atom change from the existence of O-Nb, O-B, and O-Al bonds to single O-Al bond and increased the specific value of Al and O. It also influenced the hardness in higher temperature, which made the hardness variation of different Al2O3 content reduced. These results revealed that it can enhance the films' oxidation resistance properties and keep the mechanical properties at high temperature. The study highlighted the importance of controlling the Al2O3's content to prepare

  5. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer

    PubMed Central

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J.

    2016-01-01

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack. PMID:27279454

  6. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    PubMed

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  7. Broadband infrared luminescence from Li2O-Al2O3-ZnO-SiO2 glasses doped with Bi2O3.

    PubMed

    Peng, Mingying; Qiu, Jianrong; Chen, Danping; Meng, Xiangeng; Zhu, Congshan

    2005-09-05

    The broadband emission in the 1.2~1.6mum region from Li2O-Al2O3-ZnO-SiO2 ( LAZS ) glass codoped with 0.01mol.%Cr2O3 and 1.0mol.%Bi2O3 when pumped by the 808nm laser at room temperature is not initiated from Cr4+ ions, but from bismuth, which is remarkably different from the results reported by Batchelor et al. The broad ~1300nm emission from Bi2O3-containing LAZS glasses possesses a FWHM ( Full Width at Half Maximum ) more than 250nm and a fluorescent lifetime longer than 500mus when excited by the 808nm laser. These glasses might have the potential applications in the broadly tunable lasers and the broadband fiber amplifiers.

  8. Electrical characterization of 4H-SiC metal-oxide-semiconductor structure with Al2O3 stacking layers as dielectric

    NASA Astrophysics Data System (ADS)

    Chang, P. K.; Hwu, J. G.

    2018-02-01

    Interface defects and oxide bulk traps conventionally play important roles in the electrical performance of SiC MOS device. Introducing the Al2O3 stack grown by repeated anodization of Al films can notably lower the leakage current in comparison to the SiO2 structure, and enhance the minority carrier response at low frequency when the number of Al2O3 layers increase. In addition, the interface quality is not deteriorated by the stacking of Al2O3 layers because the stacked Al2O3 structure grown by anodization possesses good uniformity. In this work, the capacitance equivalent thickness (CET) of stacking Al2O3 will be up to 19.5 nm and the oxidation process can be carried out at room temperature. For the Al2O3 gate stack with CET 19.5 nm on n-SiC substrate, the leakage current at 2 V is 2.76 × 10-10 A/cm2, the interface trap density at the flatband voltage is 3.01 × 1011 eV-1 cm-2, and the effective breakdown field is 11.8 MV/cm. Frequency dispersion and breakdown characteristics may thus be improved as a result of the reduction in trap density. The Al2O3 stacking layers are capable of maintaining the leakage current as low as possible even after constant voltage stress test, which will further ameliorate reliability characteristics.

  9. Threshold voltage control in TmSiO/HfO2 high-k/metal gate MOSFETs

    NASA Astrophysics Data System (ADS)

    Dentoni Litta, E.; Hellström, P.-E.; Östling, M.

    2015-06-01

    High-k interfacial layers have been proposed as a way to extend the scalability of Hf-based high-k/metal gate CMOS technology, which is currently limited by strong degradations in threshold voltage control, channel mobility and device reliability when the chemical oxide (SiOx) interfacial layer is scaled below 0.4 nm. We have previously demonstrated that thulium silicate (TmSiO) is a promising candidate as a high-k interfacial layer, providing competitive advantages in terms of EOT scalability and channel mobility. In this work, the effect of the TmSiO interfacial layer on threshold voltage control is evaluated, showing that the TmSiO/HfO2 dielectric stack is compatible with threshold voltage control techniques commonly used with SiOx/HfO2 stacks. Specifically, we show that the flatband voltage can be set in the range -1 V to +0.5 V by the choice of gate metal and that the effective workfunction of the stack is properly controlled by the metal workfunction in a gate-last process flow. Compatibility with a gate-first approach is also demonstrated, showing that integration of La2O3 and Al2O3 capping layers can induce a flatband voltage shift of at least 150 mV. Finally, the effect of the annealing conditions on flatband voltage is investigated, finding that the duration of the final forming gas anneal can be used as a further process knob to tune the threshold voltage. The evaluation performed on MOS capacitors is confirmed by the fabrication of TmSiO/HfO2/TiN MOSFETs achieving near-symmetric threshold voltages at sub-nm EOT.

  10. Novel Electronic Behavior Driving NdNiO 3 Metal-Insulator Transition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Upton, M. H.; Choi, Yongseong; Park, Hyowon

    2015-07-01

    We present evidence that the metal-insulator transition (MIT) in a tensile-strained NdNiO3 (NNO) film is facilitated by a redistribution of electronic density and that it neither requires Ni charge disproportionation nor a symmetry change [U. Staub et al., Phys. Rev. Lett. 88, 126402 (2002); R. Jaramillo et al., Nat. Phys. 10, 304 (2014)]. Given that epitaxial tensile strain in thin NNO films induces preferential occupancy of the e(g) d(x2-y2) orbital we propose that the larger transfer integral of this orbital state with the O 2p orbital state mediates a redistribution of electronic density from the Ni atom. A decrease inmore » the Ni d(x2-y2) orbital occupation is directly observed by resonant inelastic x-ray scattering below the MIT temperature. Furthermore, an increase in the Nd charge occupancy is measured by x-ray absorption at the Nd L-3 edge. Both spin-orbit coupling and crystal field effects combine to break the degeneracy of the Nd 5d states, shifting the energy of the Nd e(g) d(x2-y2) orbit towards the Fermi level, allowing the A site to become an active acceptor during the MIT. This work identifies the relocation of electrons from the Ni 3d to the Nd 5d orbitals across the MIT. We propose that the insulating gap opens between the Ni 3d and O 2p states, resulting from Ni 3d electron localization. The transition seems to be neither a purely Mott-Hubbard transition nor a simple charge transfer.« less

  11. Oxidation of Al2O3 continuous fiber-reinforced/NiAl composites

    NASA Technical Reports Server (NTRS)

    Doychak, J.; Nesbitt, J. A.; Noebe, R. D.; Bowman, R. R.

    1992-01-01

    The 1200 C and 1300 C isothermal and cyclic oxidation behavior of Al2O3 continuous fiber-reinforced/NiAl composites were studied. Oxidation resulted in formation of Al2O3 external scales in a similar manner as scales formed on monolithic NiAl. The isothermal oxidation of an Al2O3/NiAl composite resulted in oxidation of the matrix along the fiber/matrix interface near the fiber ends. This oxide acted as a wedge between the fiber and the matrix, and, under cyclic oxidation conditions, led to further oxidation along the fiber lengths and eventual cracking of the composite. The oxidation behavior of composites in which the Al2O3 fibers were sputter coated with nickel prior to processing was much more severe. This was attributed to open channels around the fibers which formed during processing, most likely as a result of the diffusion of the nickel coating into the matrix.

  12. Effect of AL2O3 and TiO2 nanoparticles on aquatic organisms

    NASA Astrophysics Data System (ADS)

    Gosteva, I.; Morgalev, Yu; Morgaleva, T.; Morgalev, S.

    2015-11-01

    Environmental toxicity of aqueous disperse systems of nanoparticles of binary compounds of titanium dioxides (with particle size Δ50=5 nm, Δ50=50 nm, Δ50=90 nm), aluminum oxide alpha-forms (Δ50=7 nm and Δ50=70 nm) and macro forms (TiO2 Δ50=350 nm, Al2O3 A50=4000 nm) were studied using biological testing methods. The bioassay was performed using a set of test organisms representing the major trophic levels. We found the dependence of the toxic effect concentration degree of nTiO2 and nAl2O3 on the fluorescence of the bacterial biosensor "Ekolyum", the chemotactic response of ciliates Paramecium caudatum, the growth of unicellular algae Chlorella vulgaris Beijer and mortality of entomostracans Daphnia magna Straus. We revealed the selective dependence of nTiO2 and nAl2O3 toxicity on the size, concentration and chemical nature of nanoparticles. The minimal concentration causing an organism's response on nTiO2 and nAl2O3 effect depends on the type of the test- organism and the test reaction under study. We specified L(E)C50 and acute toxicity categories for all the studied nanoparticles. We determined that nTiO2 (Δ50=5 nm) belong to the category «Acute toxicity 1», nTiO2 (A50=90 nm) and nAl2O3 (Δ50=70 nm) - to the category «Acute toxicity 2», nAl2O3 (Δ50=7 nm) - to the category «Acute toxicity 3». No acute toxicity was registered for nTiO2 (Δ50=50 nm) and macro form TiO2.

  13. Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators

    NASA Astrophysics Data System (ADS)

    Li, Min

    High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a

  14. Emission analysis of RE3+ (RE = Sm, Dy):B2O3-TeO2-Li2O-AlF3 glasses.

    PubMed

    Raju, C Nageswara; Sailaja, S; Kumari, S Pavan; Dhoble, S J; Kumar, V Ramesh; Ramanaiah, M V; Reddy, B Sudhakar

    2013-01-01

    This article reports on the optical properties of 0.5% mol of Sm(3+), Dy(3+) ion-doped B2O3-TeO2-Li2O-AlF3 (LiAlFBT) glasses. The glass samples were characterized by optical absorption and emission spectra. Judd-Ofelt theory was applied to analyze the optical absorption spectra and calculate the intensity parameters and radiative properties of the emission transitions. The emission spectra of Sm(3+) and Dy(3+):LiAlFBT glasses showed a bright reddish-orange emission at 598 nm ((4)G5/2 → (6)H7/2) and an intense yellow emission at 574 nm ((4)F9/2 → (6)H13/2), respectively. Full width at half maximum (FWHM), stimulated emission cross section, gain bandwidth and optical gain values were also calculated to extend the applications of the Sm(3+) and Dy(3+):LiAlFBT glasses. Copyright © 2012 John Wiley & Sons, Ltd.

  15. Insulator to metal transition in WO 3 induced by electrolyte gating

    DOE PAGES

    Leng, X.; Pereiro, J.; Strle, J.; ...

    2017-07-03

    Tungsten oxide and its associated bronzes (compounds of tungsten oxide and an alkali metal) are well known for their interesting optical and electrical characteristics. We have modified the transport properties of thin WO 3 films by electrolyte gating using both ionic liquids and polymer electrolytes. We are able to tune the resistivity of the gated film by more than five orders of magnitude, and a clear insulator-to-metal transition is observed. To clarify the doping mechanism, we have performed a series of incisive operando experiments, ruling out both a purely electronic effect (charge accumulation near the interface) and oxygen-related mechanisms. Wemore » propose instead that hydrogen intercalation is responsible for doping WO 3 into a highly conductive ground state and provide evidence that it can be described as a dense polaronic gas.« less

  16. Crack-healing function of metal/Al2O3 hybrid materials

    NASA Astrophysics Data System (ADS)

    Nanko, M.; Maruoka, D.; Nguyen, T. D.

    2011-10-01

    Nano-Ni/Al2O3 hybrid materials have the crack-healing function by thermal oxidation process such as 1200°C for 6 h in air. In this hybrid material system, crack was filled up by an oxidation product, NiAl2O4, via outward diffusion of cations along grain boundaries of Al2O3 matrix. Ni/Al2O3 with Y2O3 doping and SiC+Ni/Al2O3 nano-hybrid materials have similar crack-healing performance with better oxidation resistance at high temperatures than Ni/Al2O3 nano-hybrid materials. Mo/Al2O3 hybrid materials were studied on a candidate with crack-healing function via thermal oxidation process at temperatures as low as 700°C.

  17. HIGH-k GATE DIELECTRIC: AMORPHOUS Ta/La2O3 FILMS GROWN ON Si AT LOW PRESSURE

    NASA Astrophysics Data System (ADS)

    Bahari, Ali; Khorshidi, Zahra

    2014-09-01

    In the present study, Ta/La2O3 films (La2O3 doped with Ta2O5) as a gate dielectric were prepared using a sol-gel method at low pressure. Ta/La2O3 film has some hopeful properties as a gate dielectric of logic device. The structure and morphology of Ta/La2O3 films were studied using X-ray diffraction (XRD), atomic force microscopy (AFM) and scanning electron microscopy (SEM). Electrical properties of films were performed using capacitance-voltage (C-V) and current density-voltage (J-V) measurements. The optical bandgap of samples was studied by UV-visible optical absorbance measurement. The optical bandgap, Eopt, is determined from the absorbance spectra. The obtained results show that Ta/La2O3 film as a good gate dielectric has amorphous structure, good thermal stability, high dielectric constant (≈ 25), low leakage current and wide bandgap (≈ 4.7 eV).

  18. Environment dependent enhanced photoluminescence and Boolean logic gates like behavior of Bi2O3 and Ag:Bi2O3 nanostructures

    NASA Astrophysics Data System (ADS)

    Hariharan, S.; Karthikeyan, B.

    2018-03-01

    In the evolution of nanotechnology research for smart and precise sensor fabrication, here we report the implementation of simple logic gate operations performing by luminescent nanostructures in biomolecule environment based on photoluminescence (PL) technique. This present work deals with the luminescence property of α-Bi2O3 and Ag modified α-Bi2O3 nanostructures for D-glucose and Bovine serum albumin (BSA) sensing applications. These nanostructures are prepared by simple co-precipitation method and their morphology are examined using transmission electron microscope (TEM). We explore the PL characteristics of the prepared nanostructures and observe their change in PL intensity in the presence of D-glucose and BSA molecules. Enhancement in PL intensity is observed in the presence of D-glucose and BSA. Based on the PL response of prepared nanostructures in the biomolecule environment, we demonstrate biophotonic logic gates including YES, PASS 0, OR and INHIBIT gates.

  19. Epitaxial ZnO gate dielectrics deposited by RF sputter for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors

    NASA Astrophysics Data System (ADS)

    Yoon, Seonno; Lee, Seungmin; Kim, Hyun-Seop; Cha, Ho-Young; Lee, Hi-Deok; Oh, Jungwoo

    2018-01-01

    Radio frequency (RF)-sputtered ZnO gate dielectrics for AlGaN/GaN metal-oxide-semiconductor high-electron-mobility transistors (MOS-HEMTs) were investigated with varying O2/Ar ratios. The ZnO deposited with a low oxygen content of 4.5% showed a high dielectric constant and low interface trap density due to the compensation of oxygen vacancies during the sputtering process. The good capacitance-voltage characteristics of ZnO-on-AlGaN/GaN capacitors resulted from the high crystallinity of oxide at the interface, as investigated by x-ray diffraction and high-resolution transmission electron microscopy. The MOS-HEMTs demonstrated comparable output electrical characteristics with conventional Ni/Au HEMTs but a lower gate leakage current. At a gate voltage of -20 V, the typical gate leakage current for a MOS-HEMT with a gate length of 6 μm and width of 100 μm was found to be as low as 8.2 × 10-7 mA mm-1, which was three orders lower than that of the Ni/Au Schottky gate HEMT. The reduction of the gate leakage current improved the on/off current ratio by three orders of magnitude. These results indicate that RF-sputtered ZnO with a low O2/Ar ratio is a good gate dielectric for high-performance AlGaN/GaN MOS-HEMTs.

  20. Al{sub 2}O{sub 3}/GeO{sub x}/Ge gate stacks with low interface trap density fabricated by electron cyclotron resonance plasma postoxidation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, R.; Iwasaki, T.; Taoka, N.

    2011-03-14

    An electron cyclotron resonance (ECR) plasma postoxidation method has been employed for forming Al{sub 2}O{sub 3}/GeO{sub x}/Ge metal-oxide-semiconductor (MOS) structures. X-ray photoelectron spectroscopy and transmission electron microscope characterizations have revealed that a GeO{sub x} layer is formed beneath the Al{sub 2}O{sub 3} capping layer by exposing the Al{sub 2}O{sub 3}/Ge structures to ECR oxygen plasma. The interface trap density (D{sub it}) of Au/Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS capacitors is found to be significantly suppressed down to lower than 10{sup 11} cm{sup -2} eV{sup -1}. Especially, a plasma postoxidation time of as short as 10 s is sufficient to reduce D{submore » it} with maintaining the equivalent oxide thickness (EOT). As a result, the minimum D{sub it} values and EOT of 5x10{sup 10} cm{sup -2} eV{sup -1} and 1.67 nm, and 6x10{sup 10} cm{sup -2} eV{sup -1} and 1.83 nm have been realized for Al{sub 2}O{sub 3}/GeO{sub x}/Ge MOS structures with p- and n-type substrates, respectively.« less

  1. Effects of Al2O3, B2O3, Li2O, Na2O, and SiO2 on Nepheline Crystallization in Hanford High Level Waste Glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kroll, Jared O.; Vienna, John D.; Schweiger, Michael J.

    2016-09-15

    Nepheline (nominally NaAlSiO4) formation during slow cooling of high-alumina (25.4 - 34.5 mass% Al2O3) Hanford high level waste glasses may significantly reduce product durability. To investigate the effects of composition on nepheline crystallization, 29 compositions were formulated by adjusting Al2O3, B2O3, Li2O, Na2O, and SiO2 around a baseline glass that precipitated 12 mass% nepheline. Thirteen of these compositions were generated by adjusting one-component-at-a-time, while two or three components were adjusted to produce the other 16 (with all remaining components staying in the same relative proportions). Quantitative X-ray diffraction was used to determine nepheline concentration in each sample. Twenty two glassesmore » precipitated nepheline, two of which also precipitated eucryptite (nominally LiAlSiO4), and one glass formed only eucryptite upon slow cooling. Increasing Na2O and Li2O had the strongest effect in promoting nepheline formation. Increasing B2O3 inhibited nepheline formation. SiO2 and Al2O3 showed non-linear behavior related to nepheline formation. The composition effects on nepheline formation in these glasses are reported.« less

  2. Phase equilibria investigations and thermodynamic modeling of the system Bi2O3-Al2O3

    NASA Astrophysics Data System (ADS)

    Oudich, F.; David, N.; Mathieu, S.; Vilasi, M.

    2015-02-01

    The system Bi2O3-Al2O3 has been experimentally investigated above 600 °C by DTA, XRD and EPMA under air and low oxygen pressure. Only two compounds were found to exist in equilibrium, which are Bi2Al4O9(1Bi2O3:2Al2O3) and Bi25AlO39(25:1). The latter exhibits a sillenite structure and does not contain pentavalent bismuth. A peritectoid decomposition of (25:1) and a peritectic melting of (1:2) occur at 775 °C and 1075 °C respectively, while an eutectic transformation was observed at 815 °C for 97 mol% Bi2O3. On the basis of the results obtained within the present work as well as experimental data provided from literature, a thermodynamic modeling where the liquid phase is described by the two-sublattice ionic liquid model was performed according to the Calphad approach. The resulting thermodynamic optimization yielded good agreement with experimental results in the investigated region.

  3. H-terminated diamond field effect transistor with ferroelectric gate insulator

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Karaya, Ryota; Furuichi, Hiroki; Nakajima, Takashi

    2016-06-13

    An H-terminated diamond field-effect-transistor (FET) with a ferroelectric vinylidene fluoride (VDF)-trifluoroethylene (TrFE) copolymer gate insulator was fabricated. The VDF-TrFE film was deposited on the H-terminated diamond by the spin-coating method and low-temperature annealing was performed to suppress processing damage to the H-terminated diamond surface channel layer. The fabricated FET structure showed the typical properties of depletion-type p-channel FET and showed clear saturation of the drain current with a maximum value of 50 mA/mm. The drain current versus gate voltage curves of the proposed FET showed clockwise hysteresis loops due to the ferroelectricity of the VDF-TrFE gate insulator, and the memory windowmore » width was 19 V, when the gate voltage was swept from 20 to −20 V. The maximum on/off current ratio and the linear mobility were 10{sup 8} and 398 cm{sup 2}/V s, respectively. In addition, we modulated the drain current of the fabricated FET structure via the remnant polarization of the VDF-TrFE gate and obtained an on/off current ratio of 10{sup 3} without applying a DC gate voltage.« less

  4. Formation of epitaxial Al 2O 3/NiAl(1 1 0) films: aluminium deposition

    NASA Astrophysics Data System (ADS)

    Lykhach, Y.; Moroz, V.; Yoshitake, M.

    2005-02-01

    Structure of epitaxial Al 2O 3 layers formed on NiAl(1 1 0) substrates has been studied by means of reflection high-energy electron diffraction (RHEED). The elucidated structure was compared to the model suggested for 0.5 nm-thick Al 2O 3 layers [K. Müller, H. Lindner, D.M. Zehner, G. Ownby, Verh. Dtsch. Phys. Ges. 25 (1990) 1130; R.M. Jaeger, H. Kuhlenbeck, H.J. Freund, Surf. Sci. 259 (1991) 235]. The stepwise growth of Al 2O 3 film, involving deposition and subsequent oxidation of aluminium onto epitaxial 0.5 nm-thick Al 2O 3 layers, has been investigated. Aluminium was deposited at room temperature, whereas its oxidation took place during annealing at 1070 K. The Al 2O 3 thickness was monitored by means of Auger electron spectroscopy (AES). It was found that Al 2O 3 layer follows the structure of 0.5 nm thick Al 2O 3 film, although a tilting of Al 2O 3(1 1 1) surface plane with respect to NiAl(1 1 0) surface appeared after Al deposition.

  5. The effect of Al2O3, CaO, Cr2O3 and MgO on devitrification of silica

    NASA Technical Reports Server (NTRS)

    Zaplatynsky, Isidor

    1988-01-01

    The effect of doping on devitrification of vitreous silica was studied at 1100, 1200, and 1300 C. Dispersion of dopants on a molecular scale was accomplished via a sol-gel technique. All dopants accelerated the devitrification of silica but to different degrees. The most active was CaO followed by MgO, Al2O3, and Cr2O3. Pure silica and silica containing Cr2O3 and Al2O3 devitrified to alpha-cristobalite only, whereas silica doped with CaO and MgO produced alpha-quartz and alpha-cristobalite. It appears that prolonged heat treatment would cause alpha-quartz to transform to alpha-cristobalite.

  6. Giant dielectric response and low dielectric loss in Al{sub 2}O{sub 3} grafted CaCu{sub 3}Ti{sub 4}O{sub 12} ceramics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rajabtabar-Darvishi, A.; Center for Surface and Nanoanalytics; Bayati, R., E-mail: reza.bayati@intel.com, E-mail: mbayati@ncsu.edu, E-mail: wdfei@hit.edu.cn

    2015-03-07

    This study sheds light on the effect of alumina on dielectric constant and dielectric loss of novel CaCu{sub 3}Ti{sub 4}O{sub 12} composite ceramics. Alumina, at several concentrations, was deposited on the surface of CaCu{sub 3}Ti{sub 4}O{sub 12} particles via sol-gel technique. The dielectric constant significantly increased for all frequencies and the dielectric loss substantially decreased for low and intermediate frequencies. These observations were attributed to the change in characteristics of grains and grain boundaries. It was found that the insulating properties of the grain boundaries are improved following the addition of Al{sub 2}O{sub 3}. The relative density of CaCu{sub 3}Ti{submore » 4}O{sub 12}/Al{sub 2}O{sub 3} composite ceramics decreased compared to the pure CaCu{sub 3}Ti{sub 4}O{sub 12} and the grain size was greatly changed with the alumina content affecting the dielectric properties. With the addition of alumina into CaCu{sub 3}Ti{sub 4}O{sub 12}, tighter interfaces formed. The 6%- and 10%-alumina ceramics showed the minimum dielectric loss and the maximum dielectric constant, respectively. Both the dielectric constant and loss tangent decreased in the 20%-alumina ceramic due to the formation of CuO secondary phase. It was revealed that Al serves as an electron acceptor decreasing the electron concentration, if Al{sup 3+} ions substitute for Ti{sup 4+} ions, and as an electron donor increasing the electron concentration, if Al{sup 3+} ions substitute for Ca{sup 2+} ions. We established a processing-microstructure-properties paradigm which opens new avenues for novel applications of CaCu{sub 3}Ti{sub 4}O{sub 12}/Al{sub 2}O{sub 3} composite ceramics.« less

  7. Ultrafast modification of the polarity at LaAlO3/SrTiO3 interfaces

    NASA Astrophysics Data System (ADS)

    Rubano, A.; Günter, T.; Fiebig, M.; Granozio, F. Miletto; Marrucci, L.; Paparo, D.

    2018-01-01

    Oxide growth with semiconductorlike accuracy has led to atomically precise thin films and interfaces that exhibit a plethora of phases and functionalities not found in the oxide bulk material. This has yielded spectacular discoveries such as the conducting, magnetic, and even superconducting LaAlO3/SrTiO3 interfaces separating two prototypical insulating perovskite materials. All these investigations, however, consider the static state at the interface, although studies on fast oxide interface dynamics would introduce a powerful degree of freedom to understanding the nature of the LaAlO3/SrTiO3 interface state. Here, we show that the polarization state at the LaAlO3/SrTiO3 interface can be optically enhanced or attenuated within picoseconds. Our observations are explained by a model based on charge propagation effects in the interfacial vicinity and transient polarization buildup at the interface.

  8. The Effect of Microstructure on Mechanical Properties of Directionally Solidified Al2O3/ZrO2(Y2O3) Eutectic

    NASA Technical Reports Server (NTRS)

    Sayir, Ali; Farmer, Serene C.

    1999-01-01

    The eutectic architecture of a continuous reinforcing phase within a higher volume fraction phase or matrix can be described as a naturally occurring in-situ composite. Here we report the results of experiments aimed at identifying the sources of high temperature creep resistance and high levels of strength in a two phase Al2O3/ZrO2(Y2O3) system. The mechanical properties of two phase Al2O3/ZrO2(Y2O3) eutectic are superior to those of either constituent alone due to strong constraining effects provided by the coherent interfaces and microstructure. The AlO3/ZrO2(Y2O3) eutectic maintains a low energy interface resulting from directional solidification and can produce strong and stable reinforcing phase/matrix bonding. The phases comprising a eutectic are thermodynamically compatible at higher homologous temperatures than man-made composites and as such offer the potential for superior high temperature properties.

  9. Al2O3 and TiO2 atomic layer deposition on copper for water corrosion resistance.

    PubMed

    Abdulagatov, A I; Yan, Y; Cooper, J R; Zhang, Y; Gibbs, Z M; Cavanagh, A S; Yang, R G; Lee, Y C; George, S M

    2011-12-01

    Al(2)O(3) and TiO(2) atomic layer deposition (ALD) were employed to develop an ultrathin barrier film on copper to prevent water corrosion. The strategy was to utilize Al(2)O(3) ALD as a pinhole-free barrier and to protect the Al(2)O(3) ALD using TiO(2) ALD. An initial set of experiments was performed at 177 °C to establish that Al(2)O(3) ALD could nucleate on copper and produce a high-quality Al(2)O(3) film. In situ quartz crystal microbalance (QCM) measurements verified that Al(2)O(3) ALD nucleated and grew efficiently on copper-plated quartz crystals at 177 °C using trimethylaluminum (TMA) and water as the reactants. An electroplating technique also established that the Al(2)O(3) ALD films had a low defect density. A second set of experiments was performed for ALD at 120 °C to study the ability of ALD films to prevent copper corrosion. These experiments revealed that an Al(2)O(3) ALD film alone was insufficient to prevent copper corrosion because of the dissolution of the Al(2)O(3) film in water. Subsequently, TiO(2) ALD was explored on copper at 120 °C using TiCl(4) and water as the reactants. The resulting TiO(2) films also did not prevent the water corrosion of copper. Fortunately, Al(2)O(3) films with a TiO(2) capping layer were much more resilient to dissolution in water and prevented the water corrosion of copper. Optical microscopy images revealed that TiO(2) capping layers as thin as 200 Å on Al(2)O(3) adhesion layers could prevent copper corrosion in water at 90 °C for ~80 days. In contrast, the copper corroded almost immediately in water at 90 °C for Al(2)O(3) and ZnO films by themselves on copper. Ellipsometer measurements revealed that Al(2)O(3) films with a thickness of ~200 Å and ZnO films with a thickness of ~250 Å dissolved in water at 90 °C in ~10 days. In contrast, the ellipsometer measurements confirmed that the TiO(2) capping layers with thicknesses of ~200 Å on the Al(2)O(3) adhesion layers protected the copper for ~80 days in

  10. Crystal structures of hydrates of simple inorganic salts. III. Water-rich aluminium halide hydrates: AlCl3 · 15H2O, AlBr3 · 15H2O, AlI3 · 15H2O, AlI3 · 17H2O and AlBr3 · 9H2O.

    PubMed

    Schmidt, Horst; Hennings, Erik; Voigt, Wolfgang

    2014-09-01

    Water-rich aluminium halide hydrate structures are not known in the literature. The highest known water content per Al atom is nine for the perchlorate and fluoride. The nonahydrate of aluminium bromide, stable pentadecahydrates of aluminium chloride, bromide and iodide, and a metastable heptadecahydrate of the iodide have now been crystallized from low-temperature solutions. The structures of these hydrates were determined and are discussed in terms of the development of cation hydration spheres. The pentadecahydrate of the chloride and bromide are isostructural. In AlI(3) · 15H2O, half of the Al(3+) cations are surrounded by two complete hydration spheres, with six H2O in the primary and 12 in the secondary. For the heptadecahydrate of aluminium iodide, this hydration was found for every Al(3+).

  11. The Phase Relations in the In 2O 3-Al 2ZnO 4-ZnO System at 1350°C

    NASA Astrophysics Data System (ADS)

    Nakamura, Masaki; Kimizuka, Noboru; Mohri, Takahiko; Isobe, Mitsumasa

    1993-08-01

    Phase relations in the In 2O 3-Al 2ZnO 4-ZnO system at 1350°C are determined by a classical quenching method. This system consists of In 2O 3, Al 2ZnO 4, ZnO, and homologous phases InAlO 3(ZnO) m ( m = 2, 3, …) having solid solutions with LuFeO 3(ZnO) m-type crystal structures. These solid solution ranges are as follows: In 1+ x1Al 1- x1O 3(ZnO) 2 ( x1 = 0.70)-In 1+ x2Al 1- x2O 3(ZnO) 2 ( x2 = 0.316-0.320), In 2O 3(ZnO) 3-In 1+ xAl 1- xO 3(ZnO) 3 ( x = 0.230), In 2O 3(ZnO) 4-In 1+ xAl 1- xO 3(ZnO) 4 ( x = 0.15-0.16), In 2O 3(ZnO) 5-In 1+ xAl 1- xO 3(ZnO) 5 ( x = 0.116-0.130), In 2O 3(ZnO) 6-In 1+ xAl 1- xO 3(ZnO) 6 ( x = 0.000-0.111), In 2O 3(ZnO) 7-In 1+ xAl 1- xO 3(ZnO) 7 ( x = 0.08), In 2O 3(ZnO) 8-In 1+ xAl 1- xO 3(ZnO) 8 ( x: undetermined), and In 2O 3(ZnO) m-InAlO 3(ZnO) m ( m = 9, 10, 11, 13, 15, 17, and 19). The space groups of these homologous phases belong to R3¯ m for m = odd or P6 3/ mmc for m = even. Their crystal structures, In 1+ xAl 1- xO 3(ZnO) m (0 < x < 1), consist of three kinds of layers: an InO 1.5 layer, an (In xAl 1- xZn)O 2.5 layer, and ZnO layers. A comparison of the phase relations in the In 2O 3- M2ZnO 4-ZnO systems ( M = Fe, Ga, or Al) is made and their characteristic features are discussed in terms of the ionic radii and site preferences of the M cations.

  12. Method for disclosing invisible physical properties in metal-ferroelectric-insulator-semiconductor gate stacks

    NASA Astrophysics Data System (ADS)

    Sakai, Shigeki; Zhang, Wei; Takahashi, Mitsue

    2017-04-01

    In metal-ferroelectric-insulator-semiconductor gate stacks of ferroelectric-gate field effect transistors (FeFETs), it is impossible to directly obtain curves of polarization versus electric field (P f-E f) in the ferroelectric layer. The P f-E f behavior is not simple, i.e. the P f-E f curves are hysteretic and nonlinear, and the hysteresis curve width depends on the electric field scan amplitude. Unless the P f-E f relation is known, the field E f strength cannot be solved when the voltage is applied between the gate meal and the semiconductor substrate, and thus P f-E f cannot be obtained after all. In this paper, the method for disclosing the relationships among the polarization peak-to-peak amplitude (2P mm_av), the electric field peak-to-peak amplitude (2E mm_av), and the memory window (E w) in units of the electric field is presented. To get P mm_av versus E mm_av, FeFETs with different ferroelectric-layer thicknesses should be prepared. Knowing such essential physical parameters is helpful and in many cases enough to quantitatively understand the behavior of FeFETs. The method is applied to three groups. The first one consists of SrBi2Ta2O9-based FeFETs. The second and third ones consist of Ca x Sr1-x Bi2Ta2O9-based FeFETs made by two kinds of annealing. The method can clearly differentiate the characters of the three groups. By applying the method, ferroelectric relationships among P mm_av, E mm_av, and E w are well classified in the three groups according to the difference of the material kinds and the annealing conditions. The method also evaluates equivalent oxide thickness (EOT) of a dual layer of a deposited high-k insulator and a thermally-grown SiO2-like interfacial layer (IL). The IL thickness calculated by the method is consistent with cross-sectional image of the FeFETs observed by a transmission electron microscope. The method successfully discloses individual characteristics of the ferroelectric and the insulator layers hidden in the gate stack

  13. Viscosity of SiO2-"FeO"-Al2O3 System in Equilibrium with Metallic Fe

    NASA Astrophysics Data System (ADS)

    Chen, Mao; Raghunath, Sreekanth; Zhao, Baojun

    2013-08-01

    The present study delivered the measurements of viscosities in SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe. The rotational spindle technique was used in the measurements at the temperature range of 1473 K to 1773 K (1200 °C to 1500 °C). Molybdenum crucibles and spindles were employed in all measurements. The Fe saturation condition was maintained by an iron plate placed at the bottom of the crucible. The equilibrium compositions of the slags were measured by EPMA after the viscosity measurements. The effect of up to 20 mol. pct Al2O3 on the viscosity of the SiO2-"FeO" slag was investigated. The "charge compensation effect" of the Al2O3 and FeO association has been discussed. The modified quasi-chemical viscosity model has been optimized in the SiO2-"FeO"-Al2O3 system in equilibrium with metallic Fe to describe the viscosity measurements of the present study.

  14. Electric-field driven insulator-metal transition and tunable magnetoresistance in ZnO thin film

    NASA Astrophysics Data System (ADS)

    Zhang, Le; Chen, Shanshan; Chen, Xiangyang; Ye, Zhizhen; Zhu, Liping

    2018-04-01

    Electrical control of the multistate phase in semiconductors offers the promise of nonvolatile functionality in the future semiconductor spintronics. Here, by applying an external electric field, we have observed a gate-induced insulator-metal transition (MIT) with the temperature dependence of resistivity in ZnO thin films. Due to a high-density carrier accumulation, we have shown the ability to inverse change magnetoresistance in ZnO by ionic liquid gating from 10% to -2.5%. The evolution of photoluminescence under gate voltage was also consistent with the MIT, which is due to the reduction of dislocation. Our in-situ gate-controlled photoluminescence, insulator-metal transition, and the conversion of magnetoresistance open up opportunities in searching for quantum materials and ZnO based photoelectric devices.

  15. Understanding the Relationship Between Structure and Thermophysical Properties of CaO-SiO2-MgO-Al2O3 Molten Slags

    NASA Astrophysics Data System (ADS)

    Sun, Yongqi; Wang, Hao; Zhang, Zuotai

    2018-04-01

    In the present work, the relationship between the microscopic structure and macroscopic thermophysical properties in a basic CaO-SiO2-MgO-Al2O3 quaternary system was identified using Fourier transformation infrared, Raman and 27Al magic angular spinning nuclear magnetic resonance (MAS-NMR) techniques. The Raman spectra quantitatively proved that with increasing Al2O3 content, the concentrations of the symmetric units of Q 0(Si) and Q 2(Si) decreased, while those of the asymmetric units of Q 1(Si) and Q 3(Si) increased; consequently, the degree of polymerization of the networks increased, which resulted in an increase in slag viscosity. The 27Al MAS-NMR spectra demonstrated that three structural units of Al atoms, namely, AlO4, AlO5, and AlO6, mainly existed in the networks. With increasing Al2O3 content, the concentration of AlO4 slightly decreased, while those of AlO5 and AlO6 increased; overall, Al2O3 acted as a network former in the present system. The increasing Al2O3 content led to additional AlO6 and Si-NBO-Ca-NBO-Al frameworks, which replaced Si-NBO-Ca-NBO-Si in the networks (NBO: non-bridging oxygen) and induced a change in the primarily precipitated crystalline phase from Ca2MgSi2O7 and Ca2Al2SiO7 to MgAlO4.

  16. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure.

    PubMed

    Chen, Szu-Hung; Liao, Wen-Shiang; Yang, Hsin-Chia; Wang, Shea-Jue; Liaw, Yue-Gie; Wang, Hao; Gu, Haoshuang; Wang, Mu-Chun

    2012-08-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal-semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials.

  17. High-performance III-V MOSFET with nano-stacked high-k gate dielectric and 3D fin-shaped structure

    PubMed Central

    2012-01-01

    A three-dimensional (3D) fin-shaped field-effect transistor structure based on III-V metal-oxide-semiconductor field-effect transistor (MOSFET) fabrication has been demonstrated using a submicron GaAs fin as the high-mobility channel. The fin-shaped channel has a thickness-to-width ratio (TFin/WFin) equal to 1. The nano-stacked high-k Al2O3 dielectric was adopted as a gate insulator in forming a metal-oxide-semiconductor structure to suppress gate leakage. The 3D III-V MOSFET exhibits outstanding gate controllability and shows a high Ion/Ioff ratio > 105 and a low subthreshold swing of 80 mV/decade. Compared to a conventional Schottky gate metal–semiconductor field-effect transistor or planar III-V MOSFETs, the III-V MOSFET in this work exhibits a significant performance improvement and is promising for future development of high-performance n-channel devices based on III-V materials. PMID:22853458

  18. Synthesis of Vertically-Aligned Carbon Nanotubes from Langmuir-Blodgett Films Deposited Fe Nanoparticles on Al2O3/Al/SiO2/Si Substrate.

    PubMed

    Takagiwa, Shota; Kanasugi, Osamu; Nakamura, Kentaro; Kushida, Masahito

    2016-04-01

    In order to apply vertically-aligned carbon nanotubes (VA-CNTs) to a new Pt supporting material of polymer electrolyte fuel cell (PEFC), number density and outer diameter of CNTs must be controlled independently. So, we employed Langmuir-Blodgett (LB) technique for depositing CNT growth catalysts. A Fe nanoparticle (NP) was used as a CNT growth catalyst. In this study, we tried to thicken VA-CNT carpet height and inhibit thermal aggregation of Fe NPs by using Al2O3/Al/SiO2/Si substrate. Fe NP LB films were deposited on three typed of substrates, SiO2/Si, as-deposited Al2O3/Al/SiO2/Si and annealed Al2O3/Al/SiO2/Si at 923 K in Ar atmosphere of 16 Pa. It is known that Al2O3/Al catalyzes hydrocarbon reforming, inhibits thermal aggregation of CNT growth catalysts and reduces CNT growth catalysts. It was found that annealed Al2O3/Al/SiO2/Si exerted three effects more strongly than as-deposited Al2O3/Al/SiO2/Si. VA-CNTs were synthesized from Fe NPs-C16 LB films by thermal chemical vapor deposition (CVD) method. As a result, at the distance between two nearest CNTs 28 nm or less, VA-CNT carpet height on annealed Al2O3/Al/SiO2/Si was about twice and ten times thicker than that on SiO2/Si and that on as-deposited Al2O3/Al/SiO2/Si, respectively. Moreover, distribution of CNT outer diameter on annealed Al2O3/Al/SiO2/Si was inhibited compared to that on SiO2/Si. These results suggest that since thermal aggregation of Fe NPs is inhibited, catalyst activity increases and distribution of Fe NP size is inhibited.

  19. Enhanced Sintering of β"-Al2O3/YSZ with the Sintering Aids of TiO2 and MnO2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Xiaochuan; Li, Guosheng; Kim, Jin Yong

    2015-07-11

    β"-Al2O3 has been the dominated choice for the electrolyte materials of sodium batteries because of its high ionic conductivity, excellent stability with the electrode materials, satisfactory mechanical strength, and low material cost. To achieve adequate electrical and mechanical performance, sintering of β"-Al2O3 is typically carried out at temperatures above 1600oC with deliberate efforts on controlling the phase, composition, and microstructure. Here, we reported a simple method to fabricate β"-Al2O3/YSZ electrolyte at relatively lower temperatures. With the starting material of boehmite, single phase of β"-Al2O3 can be achieved at as low as 1200oC. It was found that TiO2 was extremely effectivemore » as a sintering aid for the densification of β"-Al2O3 and similar behavior was observed with MnO2 for YSZ. With the addition of 2 mol% TiO2 and 5 mol% MnO2, the β"-Al2O3/YSZ composite was able to be densified at as low as 1400oC with a fine microstructure and good electrical/mechanical performance. This study demonstrated a new approach of synthesis and sintering of β"-Al2O3/YSZ composite, which represented a simple and low-cost method for fabrication of high-performance β"-Al2O3/YSZ electrolyte.« less

  20. Epitaxy, strain, and composition effects on metal-insulator transition characteristics of SmNiO{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aydogdu, Gulgun H.; Ha, Sieu D.; Viswanath, B.

    SmNiO{sub 3} (SNO) thin films were deposited on LaAlO{sub 3} (LAO), SrTiO{sub 3}, SrLaAlO{sub 4}, Si, and Al{sub 2}O{sub 3} (sapphire) substrates by RF magnetron sputtering and studies were conducted to understand how film structure and composition influence the insulator-metal transition properties. It is observed that the compressive strain induces the insulator to metal transition (MIT), while tensile strain suppresses it. In the case of non-epitaxial films, semiconducting behavior is obtained on sapphire over a broad temperature range, while on heavily-doped Si substrate; an MIT is seen in out-of-plane resistance measurement. In addition, thickness dependence on the resistance behavior andmore » nickel oxidation state has been examined for epitaxial SNO films on LAO substrates. Fine control of the MIT by modifications to the mismatch strain and thickness provides insights to enhance the performance and the functionality of these films for emerging electron devices.« less

  1. Insights into thermal diffusion of germanium and oxygen atoms in HfO{sub 2}/GeO{sub 2}/Ge gate stacks and their suppressed reaction with atomically thin AlO{sub x} interlayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ogawa, Shingo, E-mail: Shingo-Ogawa@trc.toray.co.jp; Graduate School of Engineering, Osaka University, 2-1 Yamadaoka, Suita, Osaka 565-0871; Asahara, Ryohei

    2015-12-21

    The thermal diffusion of germanium and oxygen atoms in HfO{sub 2}/GeO{sub 2}/Ge gate stacks was comprehensively evaluated by x-ray photoelectron spectroscopy and secondary ion mass spectrometry combined with an isotopic labeling technique. It was found that {sup 18}O-tracers composing the GeO{sub 2} underlayers diffuse within the HfO{sub 2} overlayers based on Fick's law with the low activation energy of about 0.5 eV. Although out-diffusion of the germanium atoms through HfO{sub 2} also proceeded at the low temperatures of around 200 °C, the diffusing germanium atoms preferentially segregated on the HfO{sub 2} surfaces, and the reaction was further enhanced at high temperatures withmore » the assistance of GeO desorption. A technique to insert atomically thin AlO{sub x} interlayers between the HfO{sub 2} and GeO{sub 2} layers was proven to effectively suppress both of these independent germanium and oxygen intermixing reactions in the gate stacks.« less

  2. Resistance modulation in VO2 nanowires induced by an electric field via air-gap gates

    NASA Astrophysics Data System (ADS)

    Kanki, Teruo; Chikanari, Masashi; Wei, Tingting; Tanaka, Hidekazu; The Institute of Scientific; Industrial Research Team

    Vanadium dioxide (VO2) shows huge resistance change with metal-insulator transition (MIT) at around room temperature. Controlling of the MIT by applying an electric field is a topical ongoing research toward the realization of Mott transistor. In this study, we have successfully switched channel resistance of VO2 nano-wire channels by a pure electrostatic field effect using a side-gate-type field-effect transistor (SG-FET) viaair gap and found that single crystalline VO2 nanowires and the channels with narrower width enhance transport modulation rate. The rate of change in resistance ((R0-R)/R, where R0 and R is the resistance of VO2 channel with off state and on state gate voltage (VG) , respectively) was 0.42 % at VG = 30 V in in-plane poly-crystalline VO2 channels on Al2O3(0001) substrates, while the rate in single crystalline channels on TiO2 (001) substrates was 3.84 %, which was 9 times higher than that using the poly-crystalline channels. With reducing wire width from 3000 nm to 400 nm of VO2 on TiO2 (001) substrate, furthermore, resistance modulation ratio enhanced from 0.67 % to 3.84 %. This change can not be explained by a simple free-electron model. In this presentation, we will compare the electronic properties between in-plane polycrystalline VO2 on Al2O3 (0001) and single crystalline VO2 on TiO2 (001) substrates, and show experimental data in detail..

  3. Microwave dielectric properties of CaCu3Ti4O12-Al2O3 composite

    NASA Astrophysics Data System (ADS)

    Rahman, Mohd Fariz Ab; Abu, Mohamad Johari; Karim, Saniah Ab; Zaman, Rosyaini Afindi; Ain, Mohd Fadzil; Ahmad, Zainal Arifin; Mohamed, Julie Juliewatty

    2016-07-01

    (1-x)CaCu3Ti4O12 + (x)Al2O3 composite (0 ≤ x ≤0.25) was prepared via conventional solid-state reaction method. The fabrication of sample was started with synthesizing stoichiometric CCTO from CaCO3, CuO and TiO2 powders, then wet-mixed in deionized water for 24 h. The process was continued with calcined CCTO powder at 900 °C for 12 h before sintered at 1040 °C for 10 h. Next, the calcined CCTO powder with different amount of Al2O3 were mixed for 24 h, then palletized and sintered at 1040 °C for 10. X-ray diffraction analysis on the sintered samples showed that CCTO powder was in a single phase, meanwhile the trace of secondary peaks which belong to CaAl2O4 and Corundum (Al2O3) could be observed in the other samples Scanning electron microscopy analysis showed that the grain size of the sample is firstly increased with addition of Al2O3 (x = 0.01), then become smaller with the x > 0.01. Microwave dielectric properties showed that the addition of Al2O3 (x = 0.01) was remarkably reduced the dielectric loss while slightly increased the dielectric permittivity. However, further addition of Al2O3 was reduced both dielectric loss and permittivity at least for an order of magnitude.

  4. Tensile Strength and Microstructure of Al2O3-ZrO2 Hypo-Eutectic Fibers Studied

    NASA Technical Reports Server (NTRS)

    Farmer, Serene C.; Sayir, Ali

    2001-01-01

    Oxide eutectics offer high-temperature strength retention and creep resistance in oxidizing environments. Al2O3-ZrO2 eutectic strengths have been studied since the 1970's. Directionally solidified oxide eutectics exhibit improved resistance to slow crack growth and excellent strength retention at high temperatures up to 1400 C. Materials studied typically contain Y2O3 to metastably retain the high-temperature cubic and tetragonal polymorphs at room temperature. Al2O3-ZrO2 is of fundamental interest for creep studies because it combines a creep-resistant material, Al2O3, with a very low creep resistance material, ZrO2. Results on mechanical properties and microstructures of these materials will be used to define compositions for creep testing in future work. Substantial variations from the eutectic alumina to zirconia ratio can be tolerated without a loss in room-temperature strength. The effect of increasing Y2O3 addition on the room-temperature tensile strength of an Al2O3-ZrO2 material containing excess Al2O3 was examined at the NASA Glenn Research Center, where the materials were grown using Glenn's world-class laser growth facilities.

  5. Evolution of resistive switching mechanism through H2O2 sensing by using TaOx-based material in W/Al2O3/TaOx/TiN structure

    NASA Astrophysics Data System (ADS)

    Chakrabarti, Somsubhra; Panja, Rajeswar; Roy, Sourav; Roy, Anisha; Samanta, Subhranu; Dutta, Mrinmoy; Ginnaram, Sreekanth; Maikap, Siddheswar; Cheng, Hsin-Ming; Tsai, Ling-Na; Chang, Ya-Ling; Mahapatra, Rajat; Jana, Debanjan; Qiu, Jian-Tai; Yang, Jer-Ren

    2018-03-01

    Understanding of resistive switching mechanism through H2O2 sensing and improvement of switching characteristics by using TaOx-based material in W/Al2O3/TaOx/TiN structure have been reported for the first time. Existence of amorphous Al2O3/TaOx layer in the RRAM devices has been confirmed by transmission electron microscopy. By analyzing the oxidation states of Ta2+/Ta5+ for TaOx switching material and W0/W6+ for WOx layer at the W/TaOx interface through X-ray photoelectron spectroscopy and H2O2 sensing, the reduction-oxidation mechanism under Set/Reset occurs only in the TaOx layer for the W/Al2O3/TaOx/TiN structures. This leads to higher Schottky barrier height at the W/Al2O3 interface (0.54 eV vs. 0.46 eV), higher resistance ratio, and long program/erase endurance of >108 cycles with 100 ns pulse width at a low operation current of 30 μA. Stable retention of more than 104 s at 85 °C is also obtained. Using conduction mechanism and reduction-oxidation reaction, current-voltage characteristic has been simulated. Both TaOx and WOx membranes have high pH sensitivity values of 47.65 mV/pH and 49.25 mV/pH, respectively. Those membranes can also sense H2O2 with a low concentration of 1 nM in an electrolyte-insulator-semiconductor structure because of catalytic activity, while the Al2O3 membrane does not show sensing. The TaOx material in W/Al2O3/TaOx/TiN structure does not show only a path towards high dense, small size memory application with understanding of switching mechanism but also can be used for H2O2 sensors.

  6. Investigation the influences of B2O3 and R2O on the structure and crystallization behaviors of CaO-Al2O3 based F-free mold flux

    NASA Astrophysics Data System (ADS)

    Li, Jiangling; Kong, Bowen; Gao, Xiangyu; Liu, Qingcai; Shu, Qifeng; Chou, Kuochih

    2018-04-01

    The influences of B2O3 and R2O on the structure and crystallization of CaO-Al2O3 based F-free mold flux were investigated by Raman Spectroscopy and Differential Scanning Calorimetry Technique, respectively, for developing a new type of F-free mold flux. The results of structural investigations showed that B3+ is mainly in the form of [BO3]. And [BO3] appears to form BIII-O-Al linkage which will produce a positive effect on forming [AlO4] network. The number of bridging oxygen and the degree of polymerization of [AlO4] network structure for CaO-Al2O3 system were also increased with the increasing of B2O3. On the contrary, with the addition of R2O into CaO-Al2O3-B2O3 system, the number of bridging oxygen and the degree of polymerization of [AlO4] network were decreased. DSC results showed that the crystallization process became more sluggish with the increase of B2O3, which indicated that the crystallization ability was weakened. While the quenched mold fluxes crystallized more rapidly when introducing R2O. In other word, the crystallization rates of CaO-Al2O3 based slags were accelerated by the introduction of R2O. The liquidus temperature and crystallization temperature were decreased with the increasing amount of B2O3 or by addition of R2O into CaO-Al2O3 system. Only one kind of crystal was precipitated in 8% B2O3 and %R2O-containing samples, which was CaAl2O4 identified by SEM-EDS. When the content of B2O3 increased from 8% to 16%, Ca3B2O6 is clearly observed, demonstrating that the crystallization ability of Ca3B2O6 is enhanced by the increasing concentration of B2O3 in mold flux. The Ca/Al ratio of the generated calcium aluminate has been altered from 1:2 to 1:4 with the increasing of B2O3. The size of CaAl2O4 crystal is gradually increased with the addition of R2O. The crystallization ability of CaAl2O4 is promoted by R2O.

  7. Retardation mechanism of ultrathin Al2O3 interlayer on Y2O3 passivated gallium nitride surface.

    PubMed

    Quah, Hock Jin; Cheong, Kuan Yew

    2014-05-28

    A systematic investigation was carried out by incorporating an ultrathin aluminum oxide (Al2O3) as an interlayer between yttrium oxide (Y2O3) passivation layer and GaN substrate. The sandwiched samples were then subjected to postdeposition annealing in oxygen ambient from 400 to 800 °C. The Al2O3 interlayer was discovered to play a significant role in slowing down inward diffusion of oxygen through the Y2O3 passivation layer as well as in impeding outward diffusion of Ga(3+) and N(3-) from the decomposed GaN surface. These beneficial effects have suppressed subsequent formation of interfacial layer. A mechanism in association with the function of Al2O3 as an interlayer was suggested and discussed. The mechanism was explicitly described on the basis of the obtained results from X-ray diffraction, X-ray photoelectron spectroscopy, energy-filtered transmission electron microscopy (TEM), high resolution TEM, and electron energy loss spectroscopy line scan. A correlation between the proposed mechanism and metal-oxide-semiconductor characteristics of Y2O3/Al2O3/GaN structure has been proposed.

  8. Electron Mobility in γ -Al2O3/SrTiO3

    NASA Astrophysics Data System (ADS)

    Christensen, D. V.; Frenkel, Y.; Schütz, P.; Trier, F.; Wissberg, S.; Claessen, R.; Kalisky, B.; Smith, A.; Chen, Y. Z.; Pryds, N.

    2018-05-01

    One of the key issues in engineering oxide interfaces for electronic devices is achieving high electron mobility. SrTiO3 -based interfaces with high electron mobility have gained a lot of interest due to the possibility of combining quantum phenomena with the many functionalities exhibited by SrTiO3 . To date, the highest electron mobility (140 000 cm2/V s at 2 K) is obtained by interfacing perovskite SrTiO3 with spinel γ -Al2O3 . The origin of the high mobility, however, remains poorly understood. Here, we investigate the scattering mechanisms limiting the mobility in γ -Al2O3/SrTiO3 at temperatures between 2 and 300 K and over a wide range of sheet carrier densities. For T >150 K , we find that the mobility is limited by longitudinal optical phonon scattering. For large sheet carrier densities (>8 ×1013 cm-2 ), the screened electron-phonon coupling leads to room-temperature mobilities up to μ ˜12 cm2/V s . For 5 K 2 , consistent with electron-electron scattering limiting the electron mobility. For T <5 K and at an optimal sheet carrier density of approximately 4 ×1014 cm-2 , the electron mobility is found to exceed 100 000 cm2/V s . At sheet carrier densities less than the optimum, the electron mobility decreases rapidly, and the current flow becomes highly influenced by domain walls and defects in the near-interface region of SrTiO3 . At carrier densities higher than the optimum, the SrTiO3 heterostructure gradually becomes bulk conducting, and the electron mobility decreases to approximately 20 000 cm2/V s . We argue that the high electron mobility observed arises from a spatial separation of donors and electrons with oxygen-vacancy donors preferentially forming at the interface, whereas the itinerant electrons extend deeper into SrTiO3 . Understanding the scattering mechanism in γ -Al2O3/SrTiO3 paves the way for creation of high-mobility nanoscale electronic devices.

  9. Nanotextured phase coexistence in the correlated insulator V2O3

    NASA Astrophysics Data System (ADS)

    McLeod, Alexander

    The Mott insulator-metal transition remains among the most studied phenomena in correlated electron physics. However, the formation of spontaneous spatial patterns amidst coexisting insulating and metallic phases remains poorly explored on the meso- and nanoscales. Here we present real-space evolution of the insulator-metal transition in a thin film of V2O3, the ``canonical'' Mott insulator, imaged at high spatial resolution by cryogenic near-field infrared microscopy. We resolve spontaneously nanotextured coexistence of metal and correlated Mott insulator phases near the insulator-metal transition (T = 160-180 K) associated with percolation and an underlying structural phase transition. Augmented with macroscopic temperature-resolved X-ray diffraction measurements of the same film, a quantitative analysis of nano-infrared images acquired across the transition suggests decoupling of electronic and structural transformations. Persistent low-temperature metallicity is accompanied by unconventional dimensional scaling among metallic ``puddles,'' implicating relevance of a long-range Coulombic interaction through the film's first-order insulator-metal transition. The speaker and co-authors acknowledge support from DOE-DE-SC0012375, DOE-DE-SC0012592, and AFOSR Grant No. FA9550-12-1-0381. The speaker also acknowledges support from a US Dept. of Energy Office of Science Graduate Fellowship (DOE SCGF).

  10. Two-dimensional superconductivity at a Mott insulator/band insulator interface LaTiO3/SrTiO3.

    PubMed

    Biscaras, J; Bergeal, N; Kushwaha, A; Wolf, T; Rastogi, A; Budhani, R C; Lesueur, J

    2010-10-05

    Transition metal oxides show a great variety of quantum electronic behaviours where correlations often have an important role. The achievement of high-quality epitaxial interfaces involving such materials gives a unique opportunity to engineer artificial structures where new electronic orders take place. One of the most striking result in this area is the recent observation of a two-dimensional electron gas at the interface between a strongly correlated Mott insulator LaTiO(3) and a band insulator SrTiO(3). The mechanism responsible for such a behaviour is still under debate. In particular, the influence of the nature of the insulator has to be clarified. In this article, we show that despite the expected electronic correlations, LaTiO(3)/SrTiO(3) heterostructures undergo a superconducting transition at a critical temperature T(c)(onset)~300 mK. We have found that the superconducting electron gas is confined over a typical thickness of 12 nm and is located mostly on the SrTiO(3) substrate.

  11. Retention of Electronic Conductivity in LaAlO3/SrTiO3 Nanostructures Using a SrCuO2 Capping Layer

    NASA Astrophysics Data System (ADS)

    Aurino, P. P.; Kalabukhov, A.; Borgani, R.; Haviland, D. B.; Bauch, T.; Lombardi, F.; Claeson, T.; Winkler, D.

    2016-08-01

    The interface between two wide band-gap insulators, LaAlO3 and SrTiO3 (LAO/STO) offers a unique playground to study the interplay and competitions between different ordering phenomena in a strongly correlated two-dimensional electron gas. Recent studies of the LAO/STO interface reveal the inhomogeneous nature of the 2DEG that strongly influences electrical-transport properties. Nanowires needed in future applications may be adversely affected, and our aim is, thus, to produce a more homogeneous electron gas. In this work, we demonstrate that nanostructures fabricated in the quasi-2DEG at the LaAlO3/SrTiO3 interface, capped with a SrCuO2 layer, retain their electrical resistivity and mobility independent of the structure size, ranging from 100 nm to 30 μ m . This is in contrast to noncapped LAO/STO structures, where the room-temperature electrical resistivity significantly increases when the structure size becomes smaller than 1 μ m . High-resolution intermodulation electrostatic force microscopy reveals an inhomogeneous surface potential with "puddles" of a characteristic size of 130 nm in the noncapped samples and a more uniform surface potential with a larger characteristic size of the puddles in the capped samples. In addition, capped structures show superconductivity below 200 mK and nonlinear current-voltage characteristics with a clear critical current observed up to 700 mK. Our findings shed light on the complicated nature of the 2DEG at the LAO/STO interface and may also be used for the design of electronic devices.

  12. Energy band engineering and controlled p-type conductivity of CuAlO2 thin films by nonisovalent Cu-O alloying

    NASA Astrophysics Data System (ADS)

    Yao, Z. Q.; He, B.; Zhang, L.; Zhuang, C. Q.; Ng, T. W.; Liu, S. L.; Vogel, M.; Kumar, A.; Zhang, W. J.; Lee, C. S.; Lee, S. T.; Jiang, X.

    2012-02-01

    The electronic band structure and p-type conductivity of CuAlO2 films were modified via synergistic effects of energy band offset and partial substitution of less-dispersive Cu+ 3d10 with Cu2+ 3d9 orbitals in the valence band maximum by alloying nonisovalent Cu-O with CuAlO2 host. The Cu-O/CuAlO2 alloying films show excellent electronic properties with tunable wide direct bandgaps (˜3.46-3.87 eV); Hall measurements verify the highest hole mobilities (˜11.3-39.5 cm2/Vs) achieved thus far for CuAlO2 thin films and crystals. Top-gate thin film transistors constructed on p-CuAlO2 films were presented, and the devices showed pronounced performance with Ion/Ioff of ˜8.0 × 102 and field effect mobility of 0.97 cm2/Vs.

  13. Microstructural Evolution of Al2O3-ZrO2 (Y2O3) Composites and its Correlation with Toughness

    NASA Astrophysics Data System (ADS)

    Kim, Hee Seung; Seo, Mi Young; Kim, Ik Jin

    2008-02-01

    The microstructure of zirconia (ZrO2) toughened alumina (Al2O3) ceramics was carefully controlled so as to obtain dense and fine-grained ceramics, thereby improving the properties and reliability of the ceramics for capillary applications in semiconductor bonding technology. Al2O3-ZrO2(Y2O3) composite was produced via Ceramic Injection Molding (CIM) technology, followed by Sinter-HIP process. Room temperature strength, hardness, Young's modulus, thermal expansion coefficient and toughness were determined, as well as surface strengthening induced by the fine grained homogenous microstructure and the thermal treatment. The changes in alumina/zirconia grain size, sintering condition and HIP treatment were found to be correlated.

  14. Step tunneling enhanced asymmetry in metal-insulator-insulator-metal (MIIM) diodes for rectenna applications

    NASA Astrophysics Data System (ADS)

    Alimardani, N.; Conley, J. F.

    2013-09-01

    We combine nanolaminate bilayer insulator tunnel barriers (Al2O3/HfO2, HfO2/Al2O3, Al2O3/ZrO2) deposited via atomic layer deposition (ALD) with asymmetric work function metal electrodes to produce MIIM diodes with enhanced I-V asymmetry and non-linearity. We show that the improvements in MIIM devices are due to step tunneling rather than resonant tunneling. We also investigate conduction processes as a function of temperature in MIM devices with Nb2O5 and Ta2O5 high electron affinity insulators. For both Nb2O5 and Ta2O5 insulators, the dominant conduction process is established as Schottky emission at small biases and Frenkel-Poole emission at large biases. The energy depth of the traps that dominate Frenkel-Poole emission in each material are estimated.

  15. Photochemistry of the α-Al 2O 3-PETN interface

    DOE PAGES

    Tsyshevsky, Roman V.; Zverev, Anton; Mitrofanov, Anatoly; ...

    2016-02-29

    Optical absorption measurements are combined with electronic structure calculations to explore photochemistry of an α-Al 2O 3-PETN interface formed by a nitroester (pentaerythritol tetranitrate, PETN, C 5H 8N 4O 12) and a wide band gap aluminum oxide (α-Al 2O 3) substrate. The first principles modeling is used to deconstruct and interpret the α-Al 2O 3-PETN absorption spectrum that has distinct peaks attributed to surface F 0-centers and surfacePETN transitions. We predict the low energy α-Al 2O 3 F 0-centerPETN transition, producing the excited triplet state, and α-Al 2O 3 F- 0-centerPETN charge transfer, generating the PETN anion radical. This impliesmore » that irradiation by commonly used lasers can easily initiate photodecomposition of both excited and charged PETN at the interface. As a result, the feasible mechanism of the photodecomposition is proposed.« less

  16. Oxidation precursor dependence of atomic layer deposited Al2O3 films in a-Si:H(i)/Al2O3 surface passivation stacks.

    PubMed

    Xiang, Yuren; Zhou, Chunlan; Jia, Endong; Wang, Wenjing

    2015-01-01

    In order to obtain a good passivation of a silicon surface, more and more stack passivation schemes have been used in high-efficiency silicon solar cell fabrication. In this work, we prepared a-Si:H(i)/Al2O3 stacks on KOH solution-polished n-type solar grade mono-silicon(100) wafers. For the Al2O3 film deposition, both thermal atomic layer deposition (T-ALD) and plasma enhanced atomic layer deposition (PE-ALD) were used. Interface trap density spectra were obtained for Si passivation with a-Si films and a-Si:H(i)/Al2O3 stacks by a non-contact corona C-V technique. After the fabrication of a-Si:H(i)/Al2O3 stacks, the minimum interface trap density was reduced from original 3 × 10(12) to 1 × 10(12) cm(-2) eV(-1), the surface total charge density increased by nearly one order of magnitude for PE-ALD samples and about 0.4 × 10(12) cm(-2) for a T-ALD sample, and the carrier lifetimes increased by a factor of three (from about 10 μs to about 30 μs). Combining these results with an X-ray photoelectron spectroscopy analysis, we discussed the influence of an oxidation precursor for ALD Al2O3 deposition on Al2O3 single layers and a-Si:H(i)/Al2O3 stack surface passivation from field-effect passivation and chemical passivation perspectives. In addition, the influence of the stack fabrication process on the a-Si film structure was also discussed in this study.

  17. Ac Conduction in Mixed Oxides Al-In2O3-SnO2-Al Structure Deposited by Co-Evaporation

    NASA Astrophysics Data System (ADS)

    Anwar, M.; Siddiqi, S. A.; Ghauri, I. M.

    Conductivity-frequency and capacitance-frequency characteristics of mixed oxides Al-In2O3-SnO2-Al structure are examined to elicit any correlation with the conduction mechanisms most often observed in thin film work. The existence of Schottky barriers is believed to be due to a strong donor band in the insulator established during the vacuum evaporation when a layer of mixed oxides In2O3-SnO2 system is sandwiched between two metal electrodes. Low values of activation energy at low temperatures indicate that the transport of the carriers between localized states is mainly due to electronic hopping over the barrier separating the two nearest neighbor sites. The increase in the formation of ionized donors with increase in temperature during electrical measurements indicates that electronic part of the conductivity is higher than the ionic part. The initial increase in conductivity with increase in Sn content in In2O3 lattice is caused by the Sn atom substitution of In atom, giving out one extra electron. The decrease in electrical conductivity above the critical Sn content (10 mol% SnO2) is caused by the defects formed by Sn atoms, which act as carrier traps rather than electron donors. The increase in electrical conductivity with film thickness is caused by the increase in free carriers density, which is generated by oxygen vacancy acting as two electron donor. The increase in conductivity with substrate and annealing temperatures is due to either the severe deficiency of oxygen, which deteriorates the film properties and reduces the mobility of the carriers or to the diffusion of Sn atoms from interstitial locations into the In cation sites and formation of indium species of lower oxidation state (In2+). Calculations of C and σac from tan δ measurements suggest that there is some kind of space-charge polarization in the material, caused by the storage of carriers at the electrodes. Capacitance decreases not only with the rise of frequency but also with the

  18. Preparation of MgO-SnO2-TiO2 Materials and Their Corrosion in Na3AlF6-AlF3-K3AlF6 Bath

    NASA Astrophysics Data System (ADS)

    Xu, Yibiao; Li, Yawei; Sang, Shaobai; Ren, Bo; Qin, Qingwei; Yang, Jianhong

    2015-01-01

    New types of refractory materials need to be developed for designing the so-called ledge-free sidewalls of the Hall-Héroult cell for aluminum extraction, which are currently constructed using Si3N4 bonded SiC refractories. In the present paper, MgO-based materials as potential candidate sidewalls were prepared using fused magnesia, tin dioxide, and anatase powder as starting materials. The reaction sintering process of the MgO-SnO2-TiO2 materials was investigated by means of X-ray diffraction and scanning electron microscope (SEM). All the specimens were corroded in a Na3AlF6-AlF3-K3AlF6 bath to assess the electrolyte corrosion resistance. The results show that reaction sintering occurs in the MgO-SnO2-TiO2 system in the range of 1373 K to 1873 K (1100 °C to 1600 °C). Firstly, MgO reacts separately with TiO2 and SnO2 to produce the Mg2TiO4 and Mg2SnO4 phases at 1373 K (1100 °C), which in turn react to form the Mg2Ti x Sn1-x O4 composite spinel at temperatures above 1373 K (1100 °C). All the specimens prepared are composed of the composite spinel and periclase phases. Increasing the SnO2 addition from 2 to 10 wt pct enhances densification of the specimens, which is accompanied by the formation of homogeneously distributed composite spinels in the MgO matrix, but the density of the specimen decreases when the amount of SnO2 added is higher than 10 wt pct due to larger volume expansion and agglomeration of the composite spinel. The MgO-SnO2-TiO2 refractories prepared exhibit good corrosion resistance to the electrolyte melts owing to their high density and formation of the composite spinel in the specimens. Their corrosion resistance increases progressively with the increase in the SnO2 addition owing to the formation of more chemically stable composite spinel.

  19. Study of interfacial strain at the α-Al2O3/monolayer MoS2 interface by first principle calculations

    NASA Astrophysics Data System (ADS)

    Yu, Sheng; Ran, Shunjie; Zhu, Hao; Eshun, Kwesi; Shi, Chen; Jiang, Kai; Gu, Kunming; Seo, Felix Jaetae; Li, Qiliang

    2018-01-01

    With the advances in two-dimensional (2D) transition metal dichalcogenides (TMDCs) based metal-oxide-semiconductor field-effect transistor (MOSFET), the interface between the semiconductor channel and gate dielectrics has received considerable attention due to its significant impacts on the morphology and charge transport of the devices. In this study, first principle calculations were utilized to investigate the strain effect induced by the interface between crystalline α-Al2O3 (0001)/h-MoS2 monolayer. The results indicate that the 1.3 nm Al2O3 can induce a 0.3% tensile strain on the MoS2 monolayer. The strain monotonically increases with thicker dielectric layers, inducing more significant impact on the properties of MoS2. In addition, the study on temperature effect indicates that the increasing temperature induces monotonic lattice expansion. This study clearly indicates that the dielectric engineering can effectively tune the properties of 2D TMDCs, which is very attractive for nanoelectronics.

  20. Effect of Er3+ concentration on the luminescence properties of Al2O3-ZrO2 powder

    NASA Astrophysics Data System (ADS)

    Clabel H., J. L.; Rivera, V. A. G.; Nogueira, I. C.; Leite, E. R.; Siu Li, M.; Marega, E.

    2016-12-01

    This manuscript reports on the effects of the luminescence properties of Er3+ on Al2O3-ZrO2 powder synthesized by the conventional solid-state method. The best conditions found for the calcinations were 1500 °C and 4 h. The structural dependence of the luminescence on Er3+:Al2O3-ZrO2 is associated with phase transformations of the Al2O3-ZrO2 host and presence of the OH group. Green and red emissions at room temperature from the 2H11/2, 4S3/2 → 4I15/2 and 4F9/2 → 4I15/2 levels of Er3+ ions were observed under 482 nm pumping. The green-to-red emission intensity ratios and CIE chromaticity coordinates were determined from emission spectra for the evaluation of light emitted as a function of the Er3+ concentration. The Er3+ luminescence quenching due to group OH and variation in the Er3+ concentration plays an important role in the definition of the luminescent response.

  1. Effect of Al2O3sf addition on the friction and wear properties of (SiCp+Al2O3sf)/Al2024 composites fabricated by pressure infiltration

    NASA Astrophysics Data System (ADS)

    Xu, Hui; Zhang, Gong-zhen; Cui, Wei; Ren, Shu-bin; Wang, Qian-jin; Qu, Xuan-hui

    2018-03-01

    Aluminum (Al) 2024 matrix composites reinforced with alumina short fibers (Al2O3sf) and silicon carbide particles (SiCp) as wear-resistant materials were prepared by pressure infiltration in this study. Further, the effect of Al2O3sf on the friction and wear properties of the as-synthesized composites was systematically investigated, and the relationship between volume fraction and wear mechanism was discussed. The results showed that the addition of Al2O3sf, characterized by the ratio of Al2O3sf to SiCp, significantly affected the properties of the composites and resulted in changes in wear mechanisms. When the volume ratio of Al2O3sf to SiCp was increased from 0 to 1, the rate of wear mass loss ( K m) and coefficients of friction (COFs) of the composites decreased, and the wear mechanisms were abrasive wear and furrow wear. When the volume ratio was increased from 1 to 3, the COF decreased continuously; however, the K m increased rapidly and the wear mechanism became adhesive wear.

  2. Implementation of atomic layer deposition-based AlON gate dielectrics in AlGaN/GaN MOS structure and its physical and electrical properties

    NASA Astrophysics Data System (ADS)

    Nozaki, Mikito; Watanabe, Kenta; Yamada, Takahiro; Shih, Hong-An; Nakazawa, Satoshi; Anda, Yoshiharu; Ueda, Tetsuzo; Yoshigoe, Akitaka; Hosoi, Takuji; Shimura, Takayoshi; Watanabe, Heiji

    2018-06-01

    Alumina incorporating nitrogen (aluminum oxynitride; AlON) for immunity against charge injection was grown on a AlGaN/GaN substrate through the repeated atomic layer deposition (ALD) of AlN layers and in situ oxidation in ozone (O3) ambient under optimized conditions. The nitrogen distribution was uniform in the depth direction, the composition was controllable over a wide range (0.5–32%), and the thickness could be precisely controlled. Physical analysis based on synchrotron radiation X-ray photoelectron spectroscopy (SR-XPS) revealed that harmful intermixing at the insulator/AlGaN interface causing Ga out-diffusion in the gate stack was effectively suppressed by this method. AlON/AlGaN/GaN MOS capacitors were fabricated, and they had excellent electrical properties and immunity against electrical stressing as a result of the improved interface stability.

  3. Floating-gate memory based on an organic metal-insulator-semiconductor capacitor

    NASA Astrophysics Data System (ADS)

    William, S.; Mabrook, M. F.; Taylor, D. M.

    2009-08-01

    A floating gate memory element is described which incorporates an evaporated gold film embedded in the gate dielectric of a metal-insulator-semiconductor capacitor based on poly(3-hexylthiophene). On exceeding a critical amplitude in the voltage sweep, hysteresis is observed in the capacitance-voltage (C-V) and current-voltage (I-V) characteristics of the device. The anticlockwise hysteresis in C-V is consistent with strong electron trapping during the positive cycle but little hole trapping during the negative cycle. We argue that the clockwise hysteresis observed in the negative cycle of the I-V plot, arises from leakage of trapped holes through the underlying insulator to the control gate.

  4. Ion-beam irradiation of lanthanum compounds in the systems La{sub 2}O{sub 3}-Al{sub 2}O{sub 3} and La{sub 2}O{sub 3}-TiO{sub 2}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Whittle, Karl R., E-mail: karl.whittle@ansto.gov.a; Lumpkin, Gregory R.; Blackford, Mark G.

    2010-10-15

    Thin crystals of La{sub 2}O{sub 3}, LaAlO{sub 3}, La{sub 2/3}TiO{sub 3}, La{sub 2}TiO{sub 5}, and La{sub 2}Ti{sub 2}O{sub 7} have been irradiated in situ using 1 MeV Kr{sup 2+} ions at the Intermediate Voltage Electron Microscope-Tandem User Facility (IVEM-Tandem), Argonne National Laboratory (ANL). We observed that La{sub 2}O{sub 3} remained crystalline to a fluence greater than 3.1x10{sup 16} ions cm{sup -2} at a temperature of 50 K. The four binary oxide compounds in the two systems were observed through the crystalline-amorphous transition as a function of ion fluence and temperature. Results from the ion irradiations give critical temperatures for amorphisationmore » (T{sub c}) of 647 K for LaAlO{sub 3}, 840 K for La{sub 2}Ti{sub 2}O{sub 7}, 865 K for La{sub 2/3}TiO{sub 3}, and 1027 K for La{sub 2}TiO{sub 5}. The T{sub c} values observed in this study, together with previous data for Al{sub 2}O{sub 3} and TiO{sub 2}, are discussed with reference to the melting points for the La{sub 2}O{sub 3}-Al{sub 2}O{sub 3} and La{sub 2}O{sub 3}-TiO{sub 2} systems and the different local environments within the four crystal structures. Results suggest that there is an observable inverse correlation between T{sub c} and melting temperature (T{sub m}) in the two systems. More complex relationships exist between T{sub c} and crystal structure, with the stoichiometric perovskite LaAlO{sub 3} being the most resistant to amorphisation. - Graphical abstract: La{sub 2}TiO{sub 5} with atypical co-ordination for Ti, TiO{sub 5} is found to be different in radiation resistance to La{sub 2}Ti{sub 2}O{sub 7} and La{sub 2/3}TiO{sub 3}. Irradiation of La-Ti-O, and La-Al-O based systems has found that radiation damage resistance is related to the ability of the system to disorder.« less

  5. Tribological Behavior of Plasma-Sprayed Al2O3-20 wt.%TiO2 Coating

    NASA Astrophysics Data System (ADS)

    Cui, Shiyu; Miao, Qiang; Liang, Wenping; Zhang, Zhigang; Xu, Yi; Ren, Beilei

    2017-05-01

    Al2O3-20 wt.% TiO2 ceramic coatings were deposited on the surface of Grade D steel by plasma spraying of commercially available powders. The phases and the microstructures of the coatings were investigated by x-ray diffraction and scanning electron microscopy, respectively. The Al2O3-20 wt.% TiO2 composite coating exhibited a typical inter-lamellar structure consisting of the γ-Al2O3 and the Al2TiO5 phases. The dry sliding wear behavior of the coating was examined at 20 °C using a ball-on-disk wear tester. The plasma-sprayed coating showed a low wear rate ( 4.5 × 10-6 mm3 N-1 m-1), which was <2% of that of the matrix ( 283.3 × 10-6 mm3 N-1 m-1), under a load of 15 N. In addition, the tribological behavior of the plasma-sprayed coating was analyzed by examining the microstructure after the wear tests. It was found that delamination of the Al2TiO5 phase was the main cause of the wear during the sliding wear tests. A suitable model was used to simulate the wear mechanism of the coating.

  6. Highly conductive homoepitaxial Si-doped Ga2O3 films on (010) β-Ga2O3 by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Leedy, Kevin D.; Chabak, Kelson D.; Vasilyev, Vladimir; Look, David C.; Boeckl, John J.; Brown, Jeff L.; Tetlak, Stephen E.; Green, Andrew J.; Moser, Neil A.; Crespo, Antonio; Thomson, Darren B.; Fitch, Robert C.; McCandless, Jonathan P.; Jessen, Gregg H.

    2017-07-01

    Si-doped Ga2O3 thin films were fabricated by pulsed laser deposition on semi-insulating (010) β-Ga2O3 and (0001) Al2O3 substrates. Films deposited on β-Ga2O3 showed single crystal, homoepitaxial growth as determined by high resolution transmission electron microscopy and x-ray diffraction. Corresponding films deposited on Al2O3 were mostly single phase, polycrystalline β-Ga2O3 with a preferred (20 1 ¯ ) orientation. An average conductivity of 732 S cm-1 with a mobility of 26.5 cm2 V-1 s-1 and a carrier concentration of 1.74 × 1020 cm-3 was achieved for films deposited at 550 °C on β-Ga2O3 substrates as determined by Hall-Effect measurements. Two orders of magnitude improvement in conductivity were measured using native substrates versus Al2O3. A high activation efficiency was obtained in the as-deposited condition. The high carrier concentration Ga2O3 thin films achieved by pulsed laser deposition enable application as a low resistance ohmic contact layer in β-Ga2O3 devices.

  7. DC current induced metal-insulator transition in epitaxial Sm{sub 0.6}Nd{sub 0.4}NiO{sub 3}/LaAlO{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, Haoliang; CAS Key Laboratory of Materials for Energy Conversion, Department of Materials Science and Engineering, University of Science and Technology of China, Hefei, Anhui 230026; Luo, Zhenlin, E-mail: zlluo@ustc.edu.cn

    2014-05-15

    The metal-insulator transition (MIT) in strong correlated electron materials can be induced by external perturbation in forms of thermal, electrical, optical, or magnetic fields. We report on the DC current induced MIT in epitaxial Sm{sub 0.6}Nd{sub 0.4}NiO{sub 3} (SNNO) thin film deposited by pulsed laser deposition on (001)-LaAlO{sub 3} substrate. It was found that the MIT in SNNO film not only can be triggered by thermal, but also can be induced by DC current. The T{sub MI} of SNNO film decreases from 282 K to 200 K with the DC current density increasing from 0.003 × 10{sup 9} A•m{sup −2}more » to 4.9 × 10{sup 9} A•m{sup −2}. Based on the resistivity curves measured at different temperatures, the MIT phase diagram has been successfully constructed.« less

  8. Electrical Characteristics of Organic Field Effect Transistor Formed by Gas Treatment of High-k Al2O3 at Low Temperature

    NASA Astrophysics Data System (ADS)

    Lee, Sunwoo; Yoon, Seungki; Park, In-Sung; Ahn, Jinho

    2009-04-01

    We studied the electrical characteristics of an organic field effect transistor (OFET) formed by the hydrogen (H2) and nitrogen (N2) mixed gas treatment of a gate dielectric layer. We also investigated how device mobility is related to the length and width variations of the channel. Aluminum oxide (Al2O3) was used as the gate dielectric layer. After the treatment, the mobility and subthreshold swing were observed to be significantly improved by the decreased hole carrier localization at the interfacial layer between the gate oxide and pentacene channel layers. H2 gas plays an important role in removing the defects of the gate oxide layer at temperatures below 100 °C.

  9. Density Measurements of Low Silica CaO-SiO2-Al2O3 Slags

    NASA Astrophysics Data System (ADS)

    Muhmood, Luckman; Seetharaman, Seshadri

    2010-08-01

    Density measurements of a low-silica CaO-SiO2-Al2O3 system were carried out using the Archimedes principle. A Pt 30 pct Rh bob and wire arrangement was used for this purpose. The results obtained were in good agreement with those obtained from the model developed in the current group as well as with other results reported earlier. The density for the CaO-SiO2 and the CaO-Al2O3 binary slag systems also was estimated from the ternary values. The extrapolation of density values for high-silica systems also showed good agreement with previous works. An estimation for the density value of CaO was made from the current experimental data. The density decrease at high temperatures was interpreted based on the silicate structure. As the mole percent of SiO2 was below the 33 pct required for the orthosilicate composition, discrete {text{SiO}}4^{4 - } tetrahedral units in the silicate melt would exist along with O2- ions. The change in melt expansivity may be attributed to the ionic expansions in the order of {text{Al}}^{ 3+ } - {text{O}}^{ 2- } < {text{Ca}}^{ 2+ } - {text{O}}^{ 2- } < {text{Ca}}^{ 2+ } - {text{O}}^{ - } Structural changes in the ternary slag also could be correlated to a drastic change in the value of enthalpy of mixing.

  10. Effects of nano-YAG (Y 3Al 5O 12) crystallization on the structure and photoluminescence properties of Nd 3+-doped K 2O-SiO 2-Y 2O 3-Al 2O 3 glasses

    NASA Astrophysics Data System (ADS)

    Tarafder, Anal; Molla, Atiar Rahaman; Karmakar, Basudeb

    2010-10-01

    Nd 3+-doped precursor glass in the K 2O-SiO 2-Y 2O 3-Al 2O 3 (KSYA) system was prepared by the melt-quench technique. The transparent Y 3Al 5O 12 (YAG) glass-ceramics were derived from this glass by a controlled crystallization process at 750 °C for 5-100 h. The formation of YAG crystal phase, size and morphology with progress of heat-treatment was examined by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM) and Fourier transformed infrared reflectance spectroscopy (FT-IRRS). The crystallite sizes obtained from XRD are found to increase with heat-treatment time and vary in the range 25-40 nm. The measured photoluminescence spectra have exhibited emission transitions of 4F 3/2 → 4I J ( J = 9/2, 11/2 and 13/2) from Nd 3+ ions upon excitation at 829 nm. It is observed that the photoluminescence intensity and excited state lifetime of Nd 3+ ions decrease with increase in heat-treatment time. The present study indicates that the incorporation of Nd 3+ ions into YAG crystal lattice enhance the fluorescence performance of the glass-ceramic nanocomposites.

  11. Conductivity Dynamics of the Metal to Insulator Transition in EuNiO3/LANiO3 Superlattices

    DTIC Science & Technology

    2016-02-07

    lead to emergent phenomena with the insulator -to- insulator transition (IMT) being one of the most enigmatic from fundamental and applied perspectives...2015 Approved for Public Release; Distribution Unlimited Final Report: Conductivity Dynamics of the Metal to Insulator Transition in EuNiO3/LANiO3...Conductivity Dynamics of the Metal to Insulator Transition in EuNiO3/LANiO3 Superlattices Report Title In numerous transition metal oxides (TMO

  12. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al{sub 2}O{sub 3} gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori

    2015-08-15

    This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less

  13. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors

    PubMed Central

    2013-01-01

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric. PMID:23294730

  14. Structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics for a-IGZO thin-film transistors.

    PubMed

    Chen, Fa-Hsyang; Her, Jim-Long; Shao, Yu-Hsuan; Matsuda, Yasuhiro H; Pan, Tung-Ming

    2013-01-08

    In this letter, we investigated the structural and electrical characteristics of high-κ Er2O3 and Er2TiO5 gate dielectrics on the amorphous indium-gallium-zinc-oxide (a-IGZO) thin-film transistor (TFT) devices. Compared with the Er2O3 dielectric, the a-IGZO TFT device incorporating an Er2TiO5 gate dielectric exhibited a low threshold voltage of 0.39 V, a high field-effect mobility of 8.8 cm2/Vs, a small subthreshold swing of 143 mV/decade, and a high Ion/Ioff current ratio of 4.23 × 107, presumably because of the reduction in the oxygen vacancies and the formation of the smooth surface roughness as a result of the incorporation of Ti into the Er2TiO5 film. Furthermore, the reliability of voltage stress can be improved using an Er2TiO5 gate dielectric.

  15. Catalytic activity of CuOn-La2O3/gamma-Al2O3 for microwave assisted ClO2 catalytic oxidation of phenol wastewater.

    PubMed

    Bi, Xiaoyi; Wang, Peng; Jiang, Hong

    2008-06-15

    In order to develop a catalyst with high activity and stability for microwave assisted ClO2 catalytic oxidation, we prepared CuOn-La2O3/gamma-Al2O3 by impregnation-deposition method, and determined its properties using BET, XRF, XPS and chemical analysis techniques. The test results show that, better thermal ability of gamma-Al2O3 and high loading of Cu in the catalyst can be achieved by adding La2O3. The microwave assisted ClO2 catalytic oxidation process with CuOn-La2O3/gamma-Al2O3 used as catalyst was also investigated, and the results show that the catalyst has an excellent catalytic activity in treating synthetic wastewater containing 100 mg/L phenol, and 91.66% of phenol and 50.35% of total organic carbon (TOC) can be removed under the optimum process conditions. Compared with no catalyst process, CuOn-La2O3/gamma-Al2O3 can effectively degrade contaminants in short reaction time and with low oxidant dosage, extensive pH range. The comparison of phenol removal efficiency in the different process indicates that microwave irradiation and catalyst work together to oxidize phenol effectively. It can therefore be concluded from results and discussion that CuOn-La2O3/gamma-Al2O3 is a suitable catalyst in microwave assisted ClO2 catalytic oxidation process.

  16. Comparing electrical characteristics of in situ and ex situ Al2O3/GaN interfaces formed by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Chan, Silvia H.; Bisi, Davide; Tahhan, Maher; Gupta, Chirag; DenBaars, Steven P.; Keller, Stacia; Zanoni, Enrico; Mishra, Umesh K.

    2018-04-01

    Al2O3/n-GaN MOS-capacitors grown by metalorganic chemical vapor deposition with in-situ- and ex-situ-formed Al2O3/GaN interfaces were characterized. Capacitors grown entirely in situ exhibited ˜4 × 1012 cm-2 fewer positive fixed charges and up to ˜1 × 1013 cm-2 eV-1 lower interface-state density near the band-edge than did capacitors with ex situ oxides. When in situ Al2O3/GaN interfaces were reformed via the insertion of a 10-nm-thick GaN layer, devices exhibited behavior between the in situ and ex situ limits. These results illustrate the extent to which an in-situ-formed dielectric/GaN gate stack improves the interface quality and breakdown performance.

  17. Two-dimensional superconductivity induced by high-mobility carrier doping in LaTiO3/SrTiO3 hetero-structures

    NASA Astrophysics Data System (ADS)

    Biscaras, Johan; Hurand, S.; Palma, C.; Lesueur, J.; Bergeal, N.; Leboeuf, D.; Proust, C.; Rastogi, A.; Budhani, R. C.

    2013-03-01

    Transition metal oxides display a great variety of quantum electronic behaviors where correlations often play an important role. The achievement of high quality epitaxial interfaces involving such materials gives a unique opportunity to engineer artificial materials where new electronic orders take place. It has been shown recently that a two-dimensional electron gas 2DEG could form at the interface of two insulators such as LaAlO3 and SrTiO3, or LaTiO3 (a Mott insulator) and SrTiO3. We show that a superconducting two-dimensional electron gas is formed at the LaTiO3/SrTiO3 interface whose properties can be modulated by field effect using a metallic gate on the back of the substrate. The gas consists of two types of carriers : a majority of low-mobility carriers always present, and a few high-mobility ones that can be injected by electrostatic doping. The calculation of the electrons spatial distribution in the confinement potential shows that the high-mobility electrons responsible for superconductivity set at the edge of the gas whose extension can be tuned by field effect.

  18. Modulation-doped β-(Al0.2Ga0.8)2O3/Ga2O3 field-effect transistor

    NASA Astrophysics Data System (ADS)

    Krishnamoorthy, Sriram; Xia, Zhanbo; Joishi, Chandan; Zhang, Yuewei; McGlone, Joe; Johnson, Jared; Brenner, Mark; Arehart, Aaron R.; Hwang, Jinwoo; Lodha, Saurabh; Rajan, Siddharth

    2017-07-01

    Modulation-doped heterostructures are a key enabler for realizing high mobility and better scaling properties for high performance transistors. We report the realization of a modulation-doped two-dimensional electron gas (2DEG) at the β-(Al0.2Ga0.8)2O3/Ga2O3 heterojunction by silicon delta doping. The formation of a 2DEG was confirmed using capacitance voltage measurements. A modulation-doped 2DEG channel was used to realize a modulation-doped field-effect transistor. The demonstration of modulation doping in the β-(Al0.2Ga0.8)2O3/Ga2O3 material system could enable heterojunction devices for high performance electronics.

  19. Enhanced charge storage capability of Ge/GeO(2) core/shell nanostructure.

    PubMed

    Yuan, C L; Lee, P S

    2008-09-03

    A Ge/GeO(2) core/shell nanostructure embedded in an Al(2)O(3) gate dielectrics matrix was produced. A larger memory window with good data retention was observed in the fabricated metal-insulator-semiconductor (MIS) capacitor for Ge/GeO(2) core/shell nanoparticles compared to Ge nanoparticles only, which is due to the high percentage of defects located on the surface and grain boundaries of the GeO(2) shell. We believe that the findings presented here provide physical insight and offer useful guidelines to controllably modify the charge storage properties of indirect semiconductors through defect engineering.

  20. Decay channels of Al L sub 2,3 excitons and the absence of O K excitons in. alpha. -Al sub 2 O sub 3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    O'Brien, W.L.; Jia, J.; Dong, Q.

    1991-12-15

    The Al {ital L}{sub 2,3} and O {ital K} thresholds for single-crystal {alpha}-Al{sub 2}O{sub 3} have been studied by photoemission. Energy-distribution curves, constant-initial-state (CIS), and constant-final-state (CFS) spectra are reported and compared to the absorption spectrum reported previously. An exciton appears as a doublet at threshold in the Al {ital L}{sub 2,3} CFS, CIS, and absorption spectra. The details of the Al {ital L}{sub 2,3} CFS spectrum and absorption spectrum are similar, while the exciton is the only feature present in the CIS spectrum. Comparisons of the various Al {ital L}{sub 2,3} spectra allow the probabilities of different exciton decaymore » channels to be determined. The probability for nonradiative direct recombination of the exciton is found to be (8{plus minus}1)% and the probability for Auger decay of the exciton is found to be (72{plus minus}20)%. Comparisons of the O {ital K} CIS and CFS spectra suggest that no O {ital K} exciton is formed.« less

  1. Metal insulator transition and magnetotransport anomalies in perovskite SrIr{sub 0.5}Ru{sub 0.5}O{sub 3} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Biswas, Abhijit; Lee, Yong Woo; Kim, Sang Woo

    2015-03-21

    We investigated the nature of transport and magnetic properties in SrIr{sub 0.5}Ru{sub 0.5}O{sub 3} (SIRO), which has characteristics intermediate between a correlated non-Fermi liquid state and an itinerant Fermi liquid state, by growing perovskite thin films on various substrates (e.g., SrTiO{sub 3} (001), (LaAlO{sub 3}){sub 0.3}(Sr{sub 2}TaAlO{sub 6}){sub 0.7} (001), and LaAlO{sub 3} (001)). We observed systematic variation of underlying substrate dependent metal-to-insulator transition temperatures (T{sub MIT} ∼ 80 K on SrTiO{sub 3}, ∼90 K on (LaAlO{sub 3}){sub 0.3}(Sr{sub 2}TaAlO{sub 6}){sub 0.7}, and ∼100 K on LaAlO{sub 3}) in resistivity. At temperature 300 K ≥ T ≥ T{sub MIT}, SIRO is metallic and its resistivity follows a T{supmore » 3/2} power law, whereas insulating nature at T < T{sub MIT} is due to the localization effect. Magnetoresistance (MR) measurement of SIRO on SrTiO{sub 3} (001) shows negative MR at T < 25 K and positive MR at T > 25 K, with negative MR ∝ B{sup 1/2} and positive MR ∝ B{sup 2}; consistent with the localized-to-normal transport crossover dynamics. Furthermore, observed spin glass like behavior of SIRO on SrTiO{sub 3} (001) at T < 25 K in the localized regime validates the hypothesis that (Anderson) localization favors glassy ordering. These remarkable features provide a promising approach for future applications and of fundamental interest in oxide thin films.« less

  2. Enhanced ZnO Thin-Film Transistor Performance Using Bilayer Gate Dielectrics.

    PubMed

    Alshammari, Fwzah H; Nayak, Pradipta K; Wang, Zhenwei; Alshareef, Husam N

    2016-09-07

    We report ZnO TFTs using Al2O3/Ta2O5 bilayer gate dielectrics grown by atomic layer deposition. The saturation mobility of single layer Ta2O5 dielectric TFT was 0.1 cm(2) V(-1) s(-1), but increased to 13.3 cm(2) V(-1) s(-1) using Al2O3/Ta2O5 bilayer dielectric with significantly lower leakage current and hysteresis. We show that point defects present in ZnO film, particularly VZn, are the main reason for the poor TFT performance with single layer dielectric, although interfacial roughness scattering effects cannot be ruled out. Our approach combines the high dielectric constant of Ta2O5 and the excellent Al2O3/ZnO interface quality, resulting in improved device performance.

  3. Correlation of interface states/border traps and threshold voltage shift on AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, Tian-Li, E-mail: Tian-Li.Wu@imec.be; Groeseneken, Guido; Department of Electrical Engineering, KU Leuven, Leuven

    2015-08-31

    In this paper, three electrical techniques (frequency dependent conductance analysis, AC transconductance (AC-g{sub m}), and positive gate bias stress) were used to evaluate three different gate dielectrics (Plasma-Enhanced Atomic Layer Deposition Si{sub 3}N{sub 4}, Rapid Thermal Chemical Vapor Deposition Si{sub 3}N{sub 4}, and Atomic Layer Deposition (ALD) Al{sub 2}O{sub 3}) for AlGaN/GaN Metal-Insulator-Semiconductor High-Electron-Mobility Transistors. From these measurements, the interface state density (D{sub it}), the amount of border traps, and the threshold voltage (V{sub TH}) shift during a positive gate bias stress can be obtained. The results show that the V{sub TH} shift during a positive gate bias stress ismore » highly correlated to not only interface states but also border traps in the dielectric. A physical model is proposed describing that electrons can be trapped by both interface states and border traps. Therefore, in order to minimize the V{sub TH} shift during a positive gate bias stress, the gate dielectric needs to have a lower interface state density and less border traps. However, the results also show that the commonly used frequency dependent conductance analysis technique to extract D{sub it} needs to be cautiously used since the resulting value might be influenced by the border traps and, vice versa, i.e., the g{sub m} dispersion commonly attributed to border traps might be influenced by interface states.« less

  4. The effect of pre-dose on thermally and optically stimulated luminescence from α-Al2O3:C,Mg and α-Al2O3:C.

    PubMed

    Kalita, J M; Chithambo, M L

    2018-06-15

    We report the effect of pre-dose on the thermoluminescence (TL) and optically stimulated luminescence (OSL) dose response of α-Al 2 O 3 :C,Mg and α-Al 2 O 3 :C. Before any luminescence measurement, the samples were irradiated with different doses, namely 100, 500 and 1000 Gy to populate the deep electron traps. This is the pre-dose. The results from TL and OSL studies are compared with results from samples used without any pre-measurement dose. The TL glow curves and OSL decay curves of α-Al 2 O 3 :C,Mg recorded after pre-doses of 100, 500 and 1000 Gy are identical to those from a sample used without any pre-dose. Further, the TL and OSL dose response of all α-Al 2 O 3 :C,Mg samples are similar regardless of pre-dose. In comparison, the TL glow curves and OSL decay curves of α-Al 2 O 3 :C are influenced by pre-dose. We conclude that the differences in the TL and OSL dose response of various pre-dosed samples of α-Al 2 O 3 :C are due to the concentration of charge in the deep traps. On the other hand, owing to the lower concentration of such deep traps in α-Al 2 O 3 :C,Mg, the TL or OSL dose responses are not affected by pre-dose in this material. Copyright © 2018 Elsevier Ltd. All rights reserved.

  5. Ba2NiOsO6: a Dirac-Mott insulator with ferromagnetism near 100 K

    NASA Astrophysics Data System (ADS)

    Feng, Hl; Calder, S.; Ghimire, M.; Yuan, Yh; Shirako, Y.; Tsujimoto, Y.; Matsushita, Y.; Hu, Z.; Kuo, Cy; Tjeng, Lh; Pi, Tw; Soo, Yl; He, Jf; Tanaka, M.; Katsuya, Y.; Richte, M.; Yamaura, Kazunari

    The ferromagnetic semiconductor Ba2NiOsO6(Tmag 100 K) was synthesized at 6 GPa and 1500 ° C. It crystallizes into a double perovskite structure [Fm-3 m; a = 8.0428(1)], where the Ni2+ and Os6+ ions are perfectly ordered at the perovskite B-site. We show that the spin-orbit coupling of Os6+ plays an essential role in opening the charge gap. The magnetic state was investigated by density functional theory calculations and powder neutron diffraction. The latter revealed a collinear ferromagnetic order in a >21-kOe magnetic field at 5 K. The ferromagnetic gapped state is fundamentally different from that of known dilute magnetic semiconductors such as (Ga,Mn)As and (Cd,Mn)Te (Tmag<180 K), the spin-gapless semiconductor Mn2CoAl (Tmag 720 K), and the ferromagnetic insulators EuO (Tmag 70 K) and Bi3Cr3O11(Tmag 220 K). It is also qualitatively different from known ferrimagnetic insulator/semiconductors, which are characterized by an antiparallel spin arrangement. Our report of cubic Ba2NiOsO6 heralds a new class of FM insulator oxides, which may be useful in developing a practical magnetic semiconductor that can be employed in spintronic and quantum magnetic devices.

  6. Magnon spectrum of the helimagnetic insulator Cu2OSeO3

    PubMed Central

    Portnichenko, P. Y.; Romhányi, J.; Onykiienko, Y. A.; Henschel, A.; Schmidt, M.; Cameron, A. S.; Surmach, M. A.; Lim, J. A.; Park, J. T.; Schneidewind, A.; Abernathy, D. L.; Rosner, H.; van den Brink, Jeroen; Inosov, D. S.

    2016-01-01

    Complex low-temperature-ordered states in chiral magnets are typically governed by a competition between multiple magnetic interactions. The chiral-lattice multiferroic Cu2OSeO3 became the first insulating helimagnetic material in which a long-range order of topologically stable spin vortices known as skyrmions was established. Here we employ state-of-the-art inelastic neutron scattering to comprehend the full three-dimensional spin-excitation spectrum of Cu2OSeO3 over a broad range of energies. Distinct types of high- and low-energy dispersive magnon modes separated by an extensive energy gap are observed in excellent agreement with the previously suggested microscopic theory based on a model of entangled Cu4 tetrahedra. The comparison of our neutron spectroscopy data with model spin-dynamical calculations based on these theoretical proposals enables an accurate quantitative verification of the fundamental magnetic interactions in Cu2OSeO3 that are essential for understanding its abundant low-temperature magnetically ordered phases. PMID:26911567

  7. Magnon spectrum of the helimagnetic insulator Cu2OSeO3.

    PubMed

    Portnichenko, P Y; Romhányi, J; Onykiienko, Y A; Henschel, A; Schmidt, M; Cameron, A S; Surmach, M A; Lim, J A; Park, J T; Schneidewind, A; Abernathy, D L; Rosner, H; van den Brink, Jeroen; Inosov, D S

    2016-02-25

    Complex low-temperature-ordered states in chiral magnets are typically governed by a competition between multiple magnetic interactions. The chiral-lattice multiferroic Cu2OSeO3 became the first insulating helimagnetic material in which a long-range order of topologically stable spin vortices known as skyrmions was established. Here we employ state-of-the-art inelastic neutron scattering to comprehend the full three-dimensional spin-excitation spectrum of Cu2OSeO3 over a broad range of energies. Distinct types of high- and low-energy dispersive magnon modes separated by an extensive energy gap are observed in excellent agreement with the previously suggested microscopic theory based on a model of entangled Cu4 tetrahedra. The comparison of our neutron spectroscopy data with model spin-dynamical calculations based on these theoretical proposals enables an accurate quantitative verification of the fundamental magnetic interactions in Cu2OSeO3 that are essential for understanding its abundant low-temperature magnetically ordered phases.

  8. Spin Seebeck effect in insulating epitaxial γ-Fe2O3 thin films

    NASA Astrophysics Data System (ADS)

    Jiménez-Cavero, P.; Lucas, I.; Anadón, A.; Ramos, R.; Niizeki, T.; Aguirre, M. H.; Algarabel, P. A.; Uchida, K.; Ibarra, M. R.; Saitoh, E.; Morellón, L.

    2017-02-01

    We report the fabrication of high crystal quality epitaxial thin films of maghemite (γ-Fe2O3), a classic ferrimagnetic insulating iron oxide. Spin Seebeck effect (SSE) measurements in γ-Fe2O3/Pt bilayers as a function of sample preparation conditions and temperature yield a SSE coefficient of 0.5(1) μV/K at room temperature. Dependence on temperature allows us to estimate the magnon diffusion length in maghemite to be in the range of tens of nanometers, in good agreement with that of conducting iron oxide magnetite (Fe3O4), establishing the relevance of spin currents of magnonic origin in magnetic iron oxides.

  9. Fabrication of hierarchical porous ZnO-Al2O3 microspheres with enhanced adsorption performance

    NASA Astrophysics Data System (ADS)

    Lei, Chunsheng; Pi, Meng; Xu, Difa; Jiang, Chuanjia; Cheng, Bei

    2017-12-01

    Hierarchical porous ZnO-Al2O3 microspheres were fabricated through a simple hydrothermal route. The as-prepared hierarchical porous ZnO-Al2O3 composites were utilized as adsorbents to remove organic dye Congo red (CR) from water. The ZnO-Al2O3 composites had morphology of microspheres with diameters in the range of 12-16 μm, which were assembled by nanosheets with thicknesses of approximately 60 nm. The adsorption kinetics of CR onto the ZnO-Al2O3 composites was properly fitted by the pseudo-second-order kinetic model. The equilibrium adsorption data were perfectly described by the Langmuir isotherm and had a maximum adsorption capacity that reached 397 mg/g, which was significantly higher than the value of the pure alumina (Al2O3) and zinc oxide (ZnO) samples. The superior CR removal efficiency of the ZnO-Al2O3 composites was attributed to its well-developed hierarchical porous structures and larger specific surface area (201 m2/g), which were conducive to the diffusion and adsorption of CR molecules. Moreover, the regeneration study reveals that the ZnO-Al2O3 composites have suitable stability and reusability. The results also indicate that the as-prepared sample can act as a highly effective adsorbent in anionic dye removal from wastewater.

  10. A study on electrical conductivity of chemosynthetic Al 2O 3-2SiO 2 geoploymer materials

    NASA Astrophysics Data System (ADS)

    Cui, Xue-Min; Zheng, Guang-Jian; Han, Yao-Cong; Su, Feng; Zhou, Ji

    Al 2O 3-2SiO 2 amorphous powders are synthesized by sol-gel method with tetraethoxysilane (TEOS) and aluminum nitrate (ANN) as the starting materials. The microstructure and phase structure of the powders are investigated by SEM and XRD analysis. Geopolymer materials samples are prepared by mechanically mixing stoichiometric amounts of calcined Al 2O 3-2SiO 2 powders and sodium silicate solutions to allow a mass ratio of Na 2O/Al 2O 3 = 0.4, 0.375, 0.35, 0.325, 0.288, 0.26, 0.23 or 0.2 separately, and finally to form a homogenous slurry at a fixed H 2O/Na 2O mole ratio = 11.7. The results show that the synthetic Al 2O 3-2SiO 2 powders have polycondensed property and their compressive strengthes are similar to that of nature metakaolin geopolymer materials. The results also show that the water consumption is not the main influencing factor on electrical conductivity of harden geopolymer materials but it can intensively affect the microstructure of geopolymer materials. In addition, the electrical conductivity of harden geopolymer sample is investigated, and the results show that the geopolymer materials have a high ionic electrical conductivity of about 1.5 × 10 -6 S cm -1 in air at room temperature.

  11. Effect of the Molar Ratio of B2O3 to Bi2O3 in Al Paste with Bi2O3-B2O3-ZnO Glass on Screen Printed Contact Formation and Si Solar Cell Performance

    NASA Astrophysics Data System (ADS)

    Kim, Bit-Na; Kim, Hyeong Jun; Chang, Hyo Sik; Hong, Hyun Seon; Ryu, Sung-Soo; Lee, Heon

    2013-10-01

    In this study, eco-friendly Pb-free Bi2O3-B2O3-ZnO glass frits were chosen as an inorganic additive for the Al paste used in Si solar cells. The effects of the molar ratio of Bi2O3 to B2O3 in the glass composition on the electrical resistance of the Al electrode and on the cell performance were investigated. The results showed that as the molar ratio of Bi2O3 to B2O3 increased, the glass transition temperature and softening temperature decreased because of the reduced glass viscosity. In Al screen-printed Si solar cells, as the molar ratio of Bi2O3 to B2O3 increased, the sheet electrical resistance of the Al electrode decreased and the cell efficiency increased. The uniformity and thickness of the back-surface field was significantly influenced by the glass composition.

  12. Optical microcavities and enhanced electroluminescence from electroformed Al-Al{sub 2}O{sub 3}-Ag diodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hickmott, T. W.

    Electroluminescence (EL) and electron emission into vacuum (EM) occur when a non-destructive dielectric breakdown of Al-Al{sub 2}O{sub 3}-Ag diodes, electroforming, results in the development of a filamentary region in which current-voltage (I-V) characteristics exhibit voltage-controlled negative resistance. The temperature dependence of I-V curves, EM, and, particularly, EL of Al-Al{sub 2}O{sub 3}-Ag diodes with anodic Al{sub 2}O{sub 3} thicknesses between 12 nm and 30 nm, has been studied. Two filters, a long-pass (LP) filter with transmission of photons with energies less than 3.0 eV and a short-pass (SP) filter with photon transmission between 3.0 and 4.0 eV, have been used to characterize EL. The voltagemore » threshold for EL with the LP filter, V{sub LP}, is ∼1.5 V. V{sub LP} is nearly independent of Al{sub 2}O{sub 3} thickness and of temperature and is 0.3–0.6 V less than the threshold voltage for EL for the SP filter, V{sub SP}. EL intensity is primarily between 1.8 and 3.0 eV when the bias voltage, V{sub S} ≲ 7 V. EL in the thinnest diodes is enhanced compared to EL in thicker diodes. For increasing V{sub S}, for diodes with the smallest Al{sub 2}O{sub 3} thicknesses, there is a maximum EL intensity, L{sub MX}, at a voltage, V{sub LMX}, followed by a decrease to a plateau. L{sub MX} and EL intensity at 4.0 V in the plateau region depend exponentially on Al{sub 2}O{sub 3} thickness. The ratio of L{sub MX} at 295 K for a diode with 12 nm of Al{sub 2}O{sub 3} to L{sub MX} for a diode with 25 nm of Al{sub 2}O{sub 3} is ∼140. The ratio of EL intensity with the LP filter to EL intensity with the SP filter, LP/SP, varies between ∼3 and ∼35; it depends on Al{sub 2}O{sub 3} thickness and V{sub S}. Enhanced EL is attributed to the increase of the spontaneous emission rate of a dipole in a non-resonant optical microcavity. EL photons interact with the Ag and Al films to create surface plasmon polaritons (SPPs) at the metal-Al

  13. Bimetallic Pt-Au Nanocatalysts on ZnO/Al2O3/Monolith for Air Pollution Control.

    PubMed

    Kim, Ki-Joong; Ahn, Ho-Geun

    2015-08-01

    The catalytic activity of a monolithic catalyst with nanosized Pt and Au particles on ZnO/Al2O3 (Pt-Au/ZnO/Al2O3/M) prepared by a wash-coat method was examined, specifically for toluene oxidation. Scanning electron microscopy image showed clearly the formation of a ZnO/Al2O3 layer on the monolith. Nanosized Pt-Au particles on ZnO/Al2O3/M with different sizes could be found in the Pt-Au/ZnO/Al2O3/M catalyst. The conversion of toluene decreased with increasing toluene concentration and was also largely affected by the feed flow rate. The Pt-Au/ZnO/Al2O3/M catalysts prepared in this work have almost the same activity (molecules of toluene per second) compared with a powder Pt-Au/ZnO/Al2O3 catalyst with the same loadings of Pt and Au components; thus this catalyst could be used in controlling air pollution with very low concentrations and high flow rate.

  14. Strain effect on magnetic property of antiferromagnetic insulator SmFeO3

    NASA Astrophysics Data System (ADS)

    Kuroda, M.; Tanahashi, N.; Hajiri, T.; Ueda, K.; Asano, H.

    2018-05-01

    Thin films and heterostructures of antiferromagnetic insulator SmFeO3 were fabricated on LaAlO3 (001) substrates by magnetron sputtering, and their structural, magnetic properties were investigated. It was found that epitaxially strained thin films showed a pronounced magnetic anisotropy with the enhanced magnetization up to 65 emu/cc, which is approximately ten times larger than the bulk value. The observed enhancement of magnetization was considered to be due to the lattice distortion and the non-collinear antiferromagnetic spin ordering of SmFeO3.

  15. Strong electroluminescence from SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} mixed layers fabricated by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rebohle, L., E-mail: l.rebohle@hzdr.de; Braun, M.; Wutzler, R.

    2014-06-23

    We report on the bright green electroluminescence (EL) with power efficiencies up to 0.15% of SiO{sub 2}-Tb{sub 2}O{sub 3}-mixed layers fabricated by atomic layer deposition and partly co-doped with Al{sub 2}O{sub 3}. The electrical, EL, and breakdown behavior is investigated as a function of the Tb and the Al concentration. Special attention has been paid to the beneficial role of Al{sub 2}O{sub 3} co-doping which improves important device parameters. In detail, it increases the maximum EL power efficiency and EL decay time, it nearly doubles the fraction of excitable Tb{sup 3+} ions, it shifts the region of high EL powermore » efficiencies to higher injection currents, and it reduces the EL quenching over the device lifetime by an approximate factor of two. It is assumed that the presence of Al{sub 2}O{sub 3} interferes the formation of Tb clusters and related defects. Therefore, the system SiO{sub 2}-Tb{sub 2}O{sub 3}-Al{sub 2}O{sub 3} represents a promising alternative for integrated, Si-based light emitters.« less

  16. Advanced insulated gate bipolar transistor gate drive

    DOEpatents

    Short, James Evans [Monongahela, PA; West, Shawn Michael [West Mifflin, PA; Fabean, Robert J [Donora, PA

    2009-08-04

    A gate drive for an insulated gate bipolar transistor (IGBT) includes a control and protection module coupled to a collector terminal of the IGBT, an optical communications module coupled to the control and protection module, a power supply module coupled to the control and protection module and an output power stage module with inputs coupled to the power supply module and the control and protection module, and outputs coupled to a gate terminal and an emitter terminal of the IGBT. The optical communications module is configured to send control signals to the control and protection module. The power supply module is configured to distribute inputted power to the control and protection module. The control and protection module outputs on/off, soft turn-off and/or soft turn-on signals to the output power stage module, which, in turn, supplies a current based on the signal(s) from the control and protection module for charging or discharging an input capacitance of the IGBT.

  17. Comparisons of switching characteristics between Ti/Al2O3/Pt and TiN/Al2O3/Pt RRAM devices with various compliance currents

    NASA Astrophysics Data System (ADS)

    Qi, Yanfei; Zhao, Ce Zhou; Liu, Chenguang; Fang, Yuxiao; He, Jiahuan; Luo, Tian; Yang, Li; Zhao, Chun

    2018-04-01

    In this study, the influence of the Ti and TiN top electrodes on the switching behaviors of the Al2O3/Pt resistive random access memory devices with various compliance currents (CCs, 1-15 mA) has been compared. Based on the similar statistical results of the resistive switching (RS) parameters such as V set/V reset, R HRS/R LRS (measured at 0.10 V) and resistance ratio with various CCs for both devices, the Ti/Al2O3/Pt device differs from the TiN/Al2O3/Pt device mainly in the forming process rather than in the following switching cycles. Apart from the initial isolated state, the Ti/Al2O3/Pt device has the initial intermediate state as well. In addition, its forming voltage is relatively lower. The conduction mechanisms of the ON and OFF state for both devices are demonstrated as ohmic conduction and Frenkel-Poole emission, respectively. Therefore, with the combined modulations of the CCs and the stop voltages, the TiN/Al2O3/Pt device is more stable for nonvolatile memory applications to further improve the RS performance.

  18. Nonvolatile ferroelectric memory based on PbTiO3 gated single-layer MoS2 field-effect transistor

    NASA Astrophysics Data System (ADS)

    Shin, Hyun Wook; Son, Jong Yeog

    2018-01-01

    We fabricated ferroelectric non-volatile random access memory (FeRAM) based on a field effect transistor (FET) consisting of a monolayer MoS2 channel and a ferroelectric PbTiO3 (PTO) thin film of gate insulator. An epitaxial PTO thin film was deposited on a Nb-doped SrTiO3 (Nb:STO) substrate via pulsed laser deposition. A monolayer MoS2 sheet was exfoliated from a bulk crystal and transferred to the surface of the PTO/Nb:STO. Structural and surface properties of the PTO thin film were characterized by X-ray diffraction and atomic force microscopy, respectively. Raman spectroscopy analysis was performed to identify the single-layer MoS2 sheet on the PTO/Nb:STO. We obtained mobility value (327 cm2/V·s) of the MoS2 channel at room temperature. The MoS2-PTO FeRAM FET showed a wide memory window with 17 kΩ of resistance variation which was attributed to high remnant polarization of the epitaxially grown PTO thin film. According to the fatigue resistance test for the FeRAM FET, however, the resistance states gradually varied during the switching cycles of 109. [Figure not available: see fulltext.

  19. Low toxicity of HfO2, SiO2, Al2O3 and CeO2 nanoparticles to the yeast, Saccharomyces cerevisiae.

    PubMed

    García-Saucedo, Citlali; Field, James A; Otero-Gonzalez, Lila; Sierra-Álvarez, Reyes

    2011-09-15

    Increasing use of nanomaterials necessitates an improved understanding of their potential impact on environment health. This study evaluated the cytotoxicity of nanosized HfO(2), SiO(2), Al(2)O(3) and CeO(2) towards the eukaryotic model organism Saccharomyces cerevisiae, and characterized their state of dispersion in bioassay medium. Nanotoxicity was assessed by monitoring oxygen consumption in batch cultures and by analysis of cell membrane integrity. CeO(2), Al(2)O(3), and HfO(2) nanoparticles were highly unstable in yeast medium and formed micron-sized, settleable agglomerates. A non-toxic polyacrylate dispersant (Dispex A40) was used to improve nanoparticle stability and determine the impact of enhanced dispersion on toxicity. None of the NPs tested without dispersant inhibited O(2) uptake by yeast at concentrations as high as 1000 mg/L. Dispersant supplementation only enhanced the toxicity of CeO(2) (47% at 1000 mg/L). Dispersed SiO(2) and Al(2)O(3) (1000 mg/L) caused cell membrane damage, whereas dispersed HfO(2) and CeO(2) did not cause significant disruption of membrane integrity at the same concentration. These results suggest that the O(2) uptake inhibition observed with dispersed CeO(2) NPs was not due to reduced cell viability. This is the first study evaluating toxicity of nanoscale HfO(2), SiO(2), Al(2)O(3) and CeO(2) to S. cerevisiae. Overall the results obtained demonstrate that these nanomaterials display low or no toxicity to yeast. Copyright © 2011 Elsevier B.V. All rights reserved.

  20. Nonvolatile Solid-State Charged-Polymer Gating of Topological Insulators into the Topological Insulating Regime

    NASA Astrophysics Data System (ADS)

    Ireland, R. M.; Wu, Liang; Salehi, M.; Oh, S.; Armitage, N. P.; Katz, H. E.

    2018-04-01

    We demonstrate the ability to reduce the carrier concentration of thin films of the topological insulator (TI) Bi2 Se3 by utilizing a nonvolatile electrostatic gating via corona charging of electret polymers. Sufficient electric field can be imparted to a polymer-TI bilayer to result in significant electron density depletion, even without the continuous connection of a gate electrode or the chemical modification of the TI. We show that the Fermi level of Bi2 Se3 is shifted toward the Dirac point with this method. Using terahertz spectroscopy, we find that the surface chemical potential is lowered into the bulk band gap (approximately 50 meV above the Dirac point and 170 meV below the conduction-band minimum), and it is stabilized in the intrinsic regime while enhancing electron mobility. The mobility of surface state electrons is enhanced to a value as high as approximately 1600 cm2/V s at 5 K.

  1. Characteristics of multilevel storage and switching dynamics in resistive switching cell of Al2O3/HfO2/Al2O3 sandwich structure

    NASA Astrophysics Data System (ADS)

    Liu, Jian; Yang, Huafeng; Ma, Zhongyuan; Chen, Kunji; Zhang, Xinxin; Huang, Xinfan; Oda, Shunri

    2018-01-01

    We reported an Al2O3/HfO2/Al2O3 sandwich structure resistive switching device with significant improvement of multilevel cell (MLC) operation capability, which exhibited that four stable and distinct resistance states (one low resistance state and three high resistance states) can be achieved by controlling the Reset stop voltages (V Reset-stop) during the Reset operation. The improved MLC operation capability can be attributed to the R HRS/R LRS ratio enhancement resulting from increasing of the series resistance and decreasing of leakage current by inserting two Al2O3 layers. For the high-speed switching applications, we studied the initial switching dynamics by using the measurements of the pulse width and amplitude dependence of Set and Reset switching characteristics. The results showed that under the same pulse amplitude conditions, the initial Set progress is faster than the initial Reset progress, which can be explained by thermal-assisted electric field induced rupture model in the oxygen vacancies conductive filament. Thus, proper combination of varying pulse amplitude and width can help us to optimize the device operation parameters. Moreover, the device demonstrated ultrafast program/erase speed (10 ns) and good pulse switching endurance (105 cycles) characteristics, which are suitable for high-density and fast-speed nonvolatile memory applications.

  2. Phase transitions and Al partitioning in a pyrolitic MgO-Al2O3-SiO2 composition at 16-31 GPa and 1500-2300 K

    NASA Astrophysics Data System (ADS)

    Ye, Y.; Gu, C.; Shim, S.; Prakapenka, V.; MacDowell, A.

    2013-12-01

    In order to understand strong seismic heterogeneities found in the base of the mantle transition zone, it is important to explore the effects of temperature and composition on the phase boundaries in the region. We have determined the phase boundaries near the 660-km discontinuity in an iron-free pyrolitic MgO-Al2O3-SiO2 (MAS) composition by combining in-situ synchrotron X-ray diffraction and laser-heated diamond-anvil cell at 16-31 GPa and 1500-2300 K. The pyrolitic MAS composition glass starting materials were mixed with platinum (laser coupler and internal pressure scale) and loaded into the diamond-anvil cells together with argon (pressure transmitting medium and thermal insulator). The in-situ measurements were conducted at the GSECARS sector of Advanced Photon Source and beamline 12.2.2 of Advanced Light Source. We found that the post-spinel transition (ringwoodite to perovskite+periclase) occurs at the pressure and temperature conditions expected for the 660-km discontinuity at 1800 K if the shockwave platinum pressure scale by Holmes et al. (1989) is used. At temperatures above 1900 K, ringwoodite breaks down to garnet+periclase, instead of perovskite+periclase, followed by the post-garnet transition (garnet to perovskite) at the pressure-temperature conditions expected for warm heterogeneities at 650-680 km depths (23-24 GPa and 1900-2300 K). The Clapeyron slopes of the post-spinel and post-garnet boundaries are constrained to be -2.8×0.2 and +2.4×0.3 MPa/K, respectively, indicating similar magnitude of thermal effects (with opposite signs) on the topography of the 660-km discontinuity by these phase boundaries. The dominance of the post-garnet transition above normal mantle temperatures will facilitate material exchange across the 660 discontinuity in warm mantle heterogeneities due to its positive Clapeyron slope. In our pyrolitic MAS composition, akimotoite was observed up to 2000-2300 K between 20 and 22 GPa in both fresh sample heating and reversal

  3. Investigations on FCAM-III (Ca2.38Mg2.09Fe3+10.61Fe2+1.59Al9.33O36): A new homologue of the aenigmatite structure-type in the system CaO-MgO-Fe2O3-Al2O3

    NASA Astrophysics Data System (ADS)

    Zöll, Klaus; Kahlenberg, Volker; Krüger, Hannes; Tropper, Peter

    2018-02-01

    In the course of a systematic study of a part of the quaternary system Fe2O3-CaO-Al2O3-MgO (FCAM) the previously unknown compound Ca2.38Mg2.09Fe3+10.61Fe2+1.59Al9.33O36 (FCAM-III) has been synthesized. By analogy with the so-called SFCA series [1-5], our investigation in the system of FCAM shows the existence of a stoichiometric homologous series M14+6nO20+8n, where M = Fe, Ca, Al, Mg and n = 1 or 2. In air, we can prove the formation of coexisting FCAM-III and FCAM-I solid solutions at 1400 °C. By increasing the temperature up to 1425 °C FCAM-I disappears completely and FCAM-III co-exists with magnesiumferrite and a variety of calcium iron oxides. At 1450 °C FCAM-III breaks down to a mixture of FCAM-I again as well as magnesioferrite and melt. Small single-crystals of FCAM-III up to 35 μm in size could be retrieved from the 1425 °C experiment and were subsequently characterized using electron microprobe analysis and synchroton X-ray single-crystal diffraction. Finally the Fe2+/Fetot ratio was calculated from the total iron content based on the crystal-chemical formula obtained from EMPA measurements and charge balance considerations. FCAM-III or Ca2.38Mg2.09Fe3+10.61Fe2+1.59Al9.33O36 has a triclinic crystal structure (space group P 1 ̅). The basic crystallographic data are: a = 10.223(22) Å, b = 10.316(21) Å, c = 14.203(15) Å, α = 93.473(50)°, β = 107.418(67)°, γ = 109.646(60)°, V = 1323.85(2) ų, Z = 1. Using Schreinemaker's technique to analyze the phase relations in the system Fe2O3-CaO-Al2O3-MgO it was possible to obtain the semi-quantitative stability relations between the participating phases and construct a topologically correct phase sequence as a function of T and fO2. The analysis shows that Ca2Al0.5Fe1.5O5 (C2A0.25F0.75) and CaAl1.5Fe2.5O7 (CA0.75F1.25) with higher calculated Fe2+ contents are preferably formed at lower oxygen fugacity and react to CaAl0.5Fe1.5O4 (CA0.25F0.75) by increasing fO2. Spinel-type magnesium

  4. Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN: Comparison of the physical, chemical and electrical properties of ALD Al 2 O 3 on c- and m- plane GaN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, D.; Hossain, T.; Nepal, N.

    2014-02-01

    Our study compares the physical, chemical and electrical properties of Al 2O 3 thin films deposited on gallium polar c- and nonpolar m -plane GaN substrates by atomic layer deposition (ALD). Correlations were sought between the film's structure, composition, and electrical properties. The thickness of the Al 2O 3 films was 19.2 nm as determined from a Si witness sample by spectroscopic ellipsometry. We measured the gate dielectric was slightly aluminum-rich (Al:O=1:1.3) from X-ray photoelectron spectroscopy (XPS) depth profile, and the oxide-semiconductor interface carbon concentration was lower on c -plane GaN. The oxide's surface morphology was similar on both substrates,more » but was smoothest on c -plane GaN as determined by atomic force microscopy (AFM). Circular capacitors (50-300 μm diameter) with Ni/Au (20/100 nm) metal contacts on top of the oxide were created by standard photolithography and e-beam evaporation methods to form metal-oxide-semiconductor capacitors (MOSCAPs). Moreover, the alumina deposited on c -plane GaN showed less hysteresis (0.15 V) than on m -plane GaN (0.24 V) in capacitance-voltage (CV) characteristics, consistent with its better quality of this dielectric as evidenced by negligible carbon contamination and smooth oxide surface. These results demonstrate the promising potential of ALD Al 2O 3 on c -plane GaN, but further optimization of ALD is required to realize the best properties of Al 2O 3 on m -plane GaN.« less

  5. Terahertz characterization of Y2O3-added AlN ceramics

    NASA Astrophysics Data System (ADS)

    Kang, Seung Beom; Chung, Dong Chul; Kim, Sung-Jin; Chung, Jun-Ki; Park, Sang-Yeup; Kim, Ki-Chul; Kwak, Min Hwan

    2016-12-01

    Terahertz optical and dielectric properties of AlN ceramics fabricated by hot pressed sintering are investigated by THz time-domain spectroscopy in the frequency range of 0.2-3.5 THz. The measured properties of the pure AlN ceramic are compared with those of Y2O3-added AlN ceramic. Two prominent resonance modes, which are essentially responsible for the dielectric properties of the Y2O3-added AlN in terahertz regime, are characterized at ωTO1/(2π) = 2.76 THz (92 cm-1) and ωTO2/(2π) = 18.2 THz (605 cm-1) and are well described by the pseudo-harmonic oscillator model through theoretical fitting. The resonance ωTO1 at 2.76 THz is proposed to be due to the formation of a YAG (Y3Al5O12) secondary phase in Y2O3-added AlN ceramic. From the experimental results, good correlation is observed between the prominent peak of YAG secondary phase at 2.76 THz and thermal conductivity. Additionally, there is a high correlation between densification and refractive index of AlN ceramics fabricated by hot pressed sintering.

  6. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window.

    PubMed

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-15

    SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO 2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV-vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 samples fabricated by the containerless process and SPS between 852 °C-857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl 2 O 4 -Sr 3 Al 2 O 6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  7. Spark plasma sintering of bulk SrAl2O4-Sr3Al2O6 eutectic glass with wide-band optical window

    NASA Astrophysics Data System (ADS)

    Liu, Jiaxi; Lu, Nan; He, Gang; Li, Xiaoyu; Li, Jianqiang; Li, Jiangtao

    2018-06-01

    SrAl2O4-Sr3Al2O6 eutectic glass was prepared by using an aerodynamic levitator equipped with a CO2 laser device. A bulk transparent amorphous sample was obtained by the spark plasma sintering (SPS) of the prepared eutectic glass. XRD, a UV–vis-NIR spectrophotometer and FT-IR were employed to characterize the phase evolution and optical properties. The results show that the bulk SrAl2O4-Sr3Al2O6 samples fabricated by the containerless process and SPS between 852 °C–857 °C were fully amorphous. The amorphous sample has a wide transparent window between 270 nm and 6.2 μm. The average refractive index in the visible light region is 1.680 and the Abbe number is 27.4. The prepared bulk SrAl2O4-Sr3Al2O6 eutectic glass with the wide-band optical window may be a promising candidate for optical applications.

  8. Bulk Crystallization in a SiO2/Al2O3/Y2O3/AlF3/B2O3/Na2O Glass: Fivefold Pseudo Symmetry due to Monoclinic Growth in a Glassy Matrix Containing Growth Barriers

    PubMed Central

    Wisniewski, Wolfgang; Seyring, Martin; Patzig, Christian; Höche, Thomas; Keshavarzi, Ashkan; Rüssel, Christian

    2016-01-01

    A glass with the mol% composition 17 Y2O3·33 Al2O3·40 SiO2·2 AlF3·3 Na22 CeF3·3 B2O3 is heat treated at 1000 °C for 6–24 h. This results in the surface nucleation and growth of YAG. Nucleation and growth of star-shaped alumina and later of monoclinic β-Y2Si2O7 and orthorhombic δ-Y2Si2O7 are additionally observed in the bulk. Phase identification and localization are performed by electron backscatter diffraction (EBSD) as well as TEM analysis. The monoclinic β-Y2Si2O7 observed in the bulk occurs in the form of large, crystal agglomerates which range from 50 to 120 μm in size. The individual crystals are aligned along the c-axis which is the fastest growing axis. Ten probability maxima are observed in the pole-figures illustrating the rotation of orientations around the c-axes indicating a fivefold symmetry. This symmetry is caused by multiple twinning which results in a high probability of specific orientation relationships with rotation angles of ~36°, ~108° (also referred to as the pentagon angle) and ~144° around the c-axis. All these rotation angles are close to the multiples of 36° which are required for an ideal fivefold symmetry. This is the first report of a fivefold symmetry triggered by the presence of barriers hindering crystal growth. PMID:26813152

  9. Kinetics of NiO and NiCl2 Hydrogen Reduction as Precursors and Properties of Produced Ni/Al2O3 and Ni-Pd/Al2O3 Catalysts

    PubMed Central

    Sokić, Miroslav; Kamberović, Željko; Nikolić, Vesna; Marković, Branislav; Korać, Marija; Anđić, Zoran; Gavrilovski, Milorad

    2015-01-01

    The objects of this investigation were the comparative kinetic analysis of the NiO and NiCl2 reduction by hydrogen during an induction period and elimination of the calcination during the synthesis of Ni/Al2O3 catalysts. The effect of temperature and time on NiO and NiCl2 reduction degrees was studied. Avrami I equation was selected as the most favorable kinetic model and used to determine activation energy of the NiO and NiCl2 reduction for the investigated temperature range (623–923 K) and time intervals (1–5 minutes). The investigation enabled reaching conclusions about the reaction ability and rate of the reduction processes. Afterward, Ni/Al2O3 catalysts were obtained by using oxide and chloride precursor for Ni. The catalysts were supported on alumina-based foam and prepared via aerosol route. Properties of the samples before and after low-temperature hydrogen reduction (633 K) were compared. Obtained results indicated that the synthesis of Ni/Al2O3 catalysts can be more efficient if chloride precursor for Ni is directly reduced by hydrogen during the synthesis process, without the calcination step. In addition, Ni-Pd/Al2O3 catalysts with different metal content were prepared by using chloride precursors. Lower reduction temperature was utilized and the chlorides were almost completely reduced at 533 K. PMID:25789335

  10. Low-voltage organic thin film transistors (OTFTs) using crosslinked polyvinyl alcohol (PVA)/neodymium oxide (Nd2O3) bilayer gate dielectrics

    NASA Astrophysics Data System (ADS)

    Khound, Sagarika; Sarma, Ranjit

    2018-01-01

    We have reported here on the design, processing and dielectric properties of pentacene-based organic thin film transitors (OTFTs) with a bilayer gate dilectrics of crosslinked PVA/Nd2O3 which enables low-voltage organic thin film operations. The dielectric characteristics of PVA/Nd2O3 bilayer films are studied by capacitance-voltage ( C- V) and current-voltage ( I- V) curves in the metal-insulator-metal (MIM) structure. We have analysed the output electrical responses and transfer characteristics of the OTFT devices to determine their performance of OTFT parameters. The mobility of 0.94 cm2/Vs, the threshold voltage of - 2.8 V, the current on-off ratio of 6.2 × 105, the subthreshold slope of 0.61 V/decade are evaluated. Low leakage current of the device is observed from current density-electric field ( J- E) curve. The structure and the morphology of the device are studied using X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The study demonstrates an effective way to realize low-voltage, high-performance OTFTs at low cost.

  11. High temperature (Al2O3) insulation and light weight conductors

    NASA Technical Reports Server (NTRS)

    Walker, H.

    1981-01-01

    The of an aluminum conductor with an aluminum oxide insulation film was investigated. Aluminum oxide insulated wire or strip (with a melting point of 2050 C) is unique for applications in the electronic, missile, atomic reactor, aerospace, and aircraft industries. The oxide film is highly flexible, suitable for all windings of any size and shape of coil (magnetic). Briefly touched upon are the ultraviolet, proton gamma radiation uses, as well as high vacuum and cryogenic applications. Since the film is inorganic and chemically inert, it does not age or deteriorate in storage and has good dielectric properties (1000 volts per mil).

  12. High temperature (Al2O3) insulation and light weight conductors

    NASA Astrophysics Data System (ADS)

    Walker, H.

    The of an aluminum conductor with an aluminum oxide insulation film was investigated. Aluminum oxide insulated wire or strip (with a melting point of 2050 C) is unique for applications in the electronic, missile, atomic reactor, aerospace, and aircraft industries. The oxide film is highly flexible, suitable for all windings of any size and shape of coil (magnetic). Briefly touched upon are the ultraviolet, proton gamma radiation uses, as well as high vacuum and cryogenic applications. Since the film is inorganic and chemically inert, it does not age or deteriorate in storage and has good dielectric properties (1000 volts per mil).

  13. Evidence for charge-vortex duality at the LaAlO3/SrTiO3 interface.

    PubMed

    Mehta, M M; Dikin, D A; Bark, C W; Ryu, S; Folkman, C M; Eom, C B; Chandrasekhar, V

    2012-07-17

    The concept of duality has proved extremely powerful in extending our understanding in many areas of physics. Charge-vortex duality has been proposed as a model to understand the superconductor to insulator transition in disordered thin films and Josephson junction arrays. In this model, on the superconducting side, one has delocalized Cooper pairs but localized vortices; while on the insulating side, one has localized Cooper pairs but mobile vortices. Here we show a new experimental manifestation of this duality in the electron gas that forms at the interface between LaAlO(3) and SrTiO(3). The effect is due to the motion of vortices generated by the magnetization dynamics of the ferromagnet that also forms at the same interface, which results in an increase in resistance on the superconducting side of the transition, but an increase in conductance on the insulating side.

  14. Organic-Free, ZnO-Assisted Synthesis of Zeolite FAU with Tunable SiO2 /Al2 O3 Molar Ratio.

    PubMed

    Guo, Ya; Sun, Tianjun; Gu, Yiming; Liu, Xiaowei; Ke, Quanli; Wang, Shudong

    2018-05-04

    Zeolite FAU with tunable SiO 2 /Al 2 O 3 molar ratio has been successfully synthesized in the absence of organic structure-directing agents (OSDA). Specifically, the addition of zinc species contributes to the feasible and effective adjustment of the framework SiO 2 /Al 2 O 3 molar ratio between about 4 and 6 depending on the amount of zinc species added in the batch composition. In contrast, a typical OSDA such as tetramethylammonium hydroxide (TMAOH) has a limited effect on the SiO 2 /Al 2 O 3 molar ratio of the zeolite. The role of zinc species is essential for the crystallization of zeolite FAU with a higher SiO 2 /Al 2 O 3 molar ratio under the particular synthesis conditions. It is speculated that zinc species may suppress the incorporation of aluminum into the aluminosilicate framework, which is due to the Coulombic repulsive interaction. A higher SiO 2 /Al 2 O 3 molar ratio is also found to be accompanied by a lower CO 2 adsorption heat for CO 2 /CH 4 separation. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. High- T c superconductivity at the interface between the CaCuO 2 and SrTiO 3 insulating oxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Di Castro, D.; Cantoni, C.; Ridolfi, F.

    2015-09-28

    At interfaces between complex oxides it is possible to generate electronic systems with unusual electronic properties, which are not present in the isolated oxides. One important example is the appearance of superconductivity at the interface between insulating oxides, although, until now, with very low T c. We report the occurrence of high T c superconductivity in the bilayer CaCuO 2/SrTiO 3, where both the constituent oxides are insulating. In order to obtain a superconducting state, the CaCuO 2/SrTiO 3 interface must be realized between the Ca plane of CaCuO 2 and the TiO 2 plane of SrTiO 3. Only inmore » this case can oxygen ions be incorporated in the interface Ca plane, acting as apical oxygen for Cu and providing holes to the CuO 2 planes. In addition, a detailed hole doping spatial profile can be obtained by scanning transmission electron microscopy and electron-energy-loss spectroscopy at the O K edge, clearly showing that the (super)conductivity is confined to about 1–2 CaCuO 2 unit cells close to the interface with SrTiO 3. The results obtained for the CaCuO 2/SrTiO 3 interface can be extended to multilayered high T c cuprates, contributing to explaining the dependence of T c on the number of CuO 2 planes in these systems.« less

  16. Microstructural and mechanical properties of Al2O3/ZrO2 nanomultilayer thin films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Balakrishnan, G.; Sastikumar, D.; Kuppusami, P.; Babu, R. Venkatesh; Song, Jung Il

    2018-02-01

    Single layer aluminium oxide (Al2O3), zirconium oxide (ZrO2) and Al2O3/ZrO2 nano multilayer films were deposited on Si (100) substrates at room temperature by pulsed laser deposition. The development of Al2O3/ZrO2 nanolayered structure is an important method used to stabilize the high temperature phase (tetragonal and cubic) of ZrO2 at room temperature. In the Al2O3/ZrO2 multilayer structure, the Al2O3 layer was kept constant at 5 nm, while the ZrO2 layer thickness varied from 5 to 20 nm (5/5, 5/10, 5/15 and 5/20 nm) with a total of 40 bilayers. The X-ray diffraction studies of single layer Al2O3 indicated the γ-Al2O3 of cubic structure, while the single layer ZrO2 indicated both monoclinic and tetragonal phases. The 5/5 and 5/10 nm multilayer films showed the nanocrystalline nature of ZrO2 with tetragonal phase. The high resolution transmission electron microscopy studies indicated the formation of well-defined Al2O3 and ZrO2 layers and that they are of uniform thickness. The atomic force microscopy studies revealed the uniform and dense distribution of nanocrystallites. The nanoindentation studies indicated the hardness of 20.8 ± 1.10 and 10 ± 0.60 GPa, for single layer Al2O3 and ZrO2, respectively, and the hardness of multilayer films varied with bilayer thickness.

  17. Kinetics of dissolution of sapphire in melts in the CaO-Al2O3-SiO2 system

    NASA Astrophysics Data System (ADS)

    Shaw, Cliff S. J.; Klausen, Kim B.; Mao, Huahai

    2018-05-01

    The dissolution rate of sapphire in melts in the CAS system of varying silica activity, viscosity and degree of alumina saturation has been determined at 1600 °C and 1.5 GPa. After an initiation period of up to 1800 s, dissolution is controlled by diffusion of cations through the boundary layer adjacent to the dissolving sapphire. The dissolution rate decreases with increasing silica activity, viscosity and molar Al2O3/CaO. The calculated diffusion matrix for each solvent melt shows that CAS 1 and 9 which have molar Al2O3/CaO of 0.33 and 0.6 and dissolution rate constants of 0.65 × 10-6 and 0.59 × 10-6 m/s0.5 have similar directions and magnitudes of diffusive coupling: DCaO-Al2O3 and DAl2O3-CaO are both negative are approximately equal. The solvent with the fastest dissolution rate: CAS 4, which has a rate constant of 1.5 × 10-6 m/s0.5 and Al2O3/CaO of 0.31 has positive DCaO-Al2O3 and negative DAl2O3-CaO and the absolute values vary by a factor of 4. Although many studies show that aluminium is added to the melts via the reaction: Si4+ =Al3+ + 0.5Ca2+ the compositional profiles show that this reaction is not the only one involved in accommodating the aluminium added during sapphire dissolution. Rather, aluminium is incorporated as both tetrahedrally coordinated Al charge balanced by Ca and as aluminium not charge balanced by Ca (termed Alxs). This reaction: AlIV -Ca =Alxs +CaNBO where CaNBO is a non-bridging oxygen associated with calcium, may involve the formation of aluminium triclusters. The shape of the compositional profiles and oxide-oxide composition paths is controlled by the aluminium addition reaction. When Alxs exceeds 2%, CaO diffusion becomes increasingly anomalous and since the bond strength of Alxs correlates with CaO/CaO + Al2O3, the presence of more than 2% Alxs leads to significantly slower dissolution than when Alxs is absent or at low concentration. Thus, dissolution is controlled by diffusion of cations through the boundary layer, but this

  18. Stability and band offsets between c-plane ZnO semiconductor and LaAlO3 gate dielectric

    NASA Astrophysics Data System (ADS)

    Wang, Jianli; Chen, Xinfeng; Wu, Shuyin; Tang, Gang; Zhang, Junting; Stampfl, C.

    2018-03-01

    Wurtzite-perovskite heterostructures composed of a high dielectric constant oxide and a wide bandgap semiconductor envision promising applications in field-effect transistors. In the present paper, the structural and electronic properties of LaAlO3/ZnO heterojunctions are investigated by first-principles calculations. We study the initial adsorption of La, Al, and oxygen atoms on ZnO (0001) and (000 1 ¯ ) surfaces and find that La atoms may occupy interstitial sites during the growth of stoichiometric ZnO (0001). The band gap of the stoichiometric ZnO (0001) surface is smaller than that of the stoichiometric ZnO (000 1 ¯ ) surface. The surface formation energy indicates that La or Al atoms may substitute Zn atoms at the nonstoichiometric ZnO (0001) surface. The atomic charges, electronic density of states, and band offsets are analyzed for the optimized LaAlO3/ZnO heterojunctions. There is a band gap for the LaAlO3/ZnO (000 1 ¯ ) heterostructures, and the largest variation in charge occurs at the surface or interface. Our results suggest that the Al-terminated LaAlO3/ZnO (000 1 ¯ ) interfaces are suitable for the design of metal oxide semiconductor devices because the valence and conduction band offsets are both larger than 1 eV and the interface does not produce any in-gap states.

  19. Self-Aligned ALD AlOx T-gate Insulator for Gate Leakage Current Suppression in SiNx-Passivated AlGaN/GaN HEMTs

    DTIC Science & Technology

    2010-01-01

    Heterostructure epitaxial material growth was performed by RF plasma-assisted molecular - beam epitaxy (MBE) on a 2-in. semi- insulating 4H SiC wafer. From... beam epitaxy of beryllium-doped GaN buffer layers for AlGaN/GaN HEMTs . J Cryst Growth 2003;251:481–6. [25] Storm DF, Katzer DS, Binari SC, Glaser ER...Shanabrook BV, Roussos JA. Reduction of buffer layer conduction near plasma-assisted molecular - beam epitaxy grown GaN/AlN interfaces by beryllium

  20. Selective hydrodesulfurization of 4,6-dimethyldibenzothiophene in the dominant presence of naphthalene over hybrid CoMo/A{sub 2}O{sub 3} and Ru/Al{sub 2}Al{sub 2}O{sub 3} catalyst

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Isoda, T.; Nagao, S.; Ma, X.

    1995-12-31

    Hydrodesulfurization of 4,6-dimethyldibenzothiophene (4,6-DMDBT) in decane containing significant amount of naphthalene was examined over a hybrid of CoMo/Al{sub 2}O{sub 3} and Ru/Al{sub 2}O{sub 3} to design the selective hydrogenation and successive desulfurization of 4,6-DMDBT in aromatic moiety, and its activity was compared to those of CoMo/Al{sub 2}O{sub 3}, NiMo/Al{sub 2}O{sub 3} and Ru/Al{sub 2}O{sub 3} in their single use. HDS activity of 4,6-DMDBT over NiMo/Al{sub 2}O{sub 3} was inferior to CoMo/Al{sub 2}O{sub 3}, although that of highest hydrogenation activity for naphthalene. The hybrid showed the highest activity for HDS of 4,6-DMDBT among these catalysts without excess hydrogenation of nahthalene.

  1. Catalytic performance of V2O5-MoO3/γ-Al2O3 catalysts for partial oxidation of n-hexane1

    NASA Astrophysics Data System (ADS)

    Mahmoudian, R.; Khodadadi, Z.; Mahdavi, Vahid; Salehi, Mohammed

    2016-01-01

    In the current study, a series of V2O5-MoO3 catalyst supported on γ-Al2O3 with various V2O5 and MoO3 loadings was prepared by wet impregnation technique. The characterization of prepared catalysts includes BET surface area, powder X-ray diffraction (XRD), and oxygen chemisorptions. The partial oxidation of n-hexane by air over V2O5-MoO3/γ-Al2O3 catalysts was carried out under flow condition in a fixed bed glass reactor. The effect of V2O5 loading, temperature, MoO3 loading, and n-hexane LHSV on the n-hexane conversion and the product selectivity were investigated. The partial oxygenated products of n-hexane oxidation were ethanol, acetic anhydride, acetic acid, and acetaldehyde. The 10% V2O5-1%MoO3/γ-Al2O3 was found in most active and selective catalyst during partial oxidation of n-hexane. The results indicated that by increasing the temperature, the n-hexane conversion increases as well, although the selectivity of the products passes through a maximum by increasing the temperature.

  2. Thermoluminescence and optically stimulated luminescence properties of Dy3+-doped CaO-Al2O3-B2O3-based glasses

    NASA Astrophysics Data System (ADS)

    Yahaba, T.; Fujimoto, Y.; Yanagida, T.; Koshimizu, M.; Tanaka, H.; Saeki, K.; Asai, K.

    2017-02-01

    We developed Dy3+-doped CaO-Al2O3-B2O3 based glasses with Dy concentrations of 0.5, 1.0, and 2.0 mol% using a melt-quenching technique. The as-synthesized glasses were applicable as materials exhibiting thermoluminescence (TL) and optically stimulated luminescence (OSL). The optical and radiation response properties of the glasses were characterized. In the photoluminescence (PL) spectra, two emission bands due to the 4F9/2 → 6H15/2 and 4F9/2 → 6H13/2 transitions of Dy3+ were observed at 480 and 580 nm. In the OSL spectra, the emission band due to the 4F9/2 → 6H15/2 transition of Dy3+ was observed. Excellent TL and OSL responses were observed for dose ranges of 0.1-90 Gy. In addition, TL fading behavior was better than that of OSL in term of the long-time storage. These results indicate that the Dy3+-doped CaO-Al2O3-B2O3-based glasses are applicable as TL materials.

  3. Surface morphology of Al0.3Ga0.7N/Al2O3-high electron mobility transistor structure.

    PubMed

    Cörekçi, S; Usanmaz, D; Tekeli, Z; Cakmak, M; Ozçelik, S; Ozbay, E

    2008-02-01

    We present surface properties of buffer films (AIN and GaN) and Al0.3Gao.zN/Al2O3-High Electron Mobility Transistor (HEMT) structures with/without AIN interlayer grown on High Temperature (HT)-AIN buffer/Al2O3 substrate and Al2O3 substrate. We have found that the GaN surface morphology is step-flow in character and the density of dislocations was about 10(8)-10(9) cm(-2). The AFM measurements also exhibited that the presence of atomic steps with large lateral step dimension and the surface of samples was smooth. The lateral step sizes are in the range of 100-250 nm. The typical rms values of HEMT structures were found as 0.27, 0.30, and 0.70 nm. HT-AIN buffer layer can have a significant impact on the surface morphology of Al0.3Ga0.7N/Al2O3-HEMT structures.

  4. Chemical trend of superconducting transition temperature in hole-doped delafossite of CuAlO2, AgAlO2 and AuAlO2

    NASA Astrophysics Data System (ADS)

    Nakanishi, Akitaka; Katayama-Yoshida, Hiroshi

    2012-12-01

    We have performed the first-principles calculations about the superconducting transition temperature Tc of hole-doped delafossite CuAlO2, AgAlO2 and AuAlO2. Calculated Tc are about 50 K (CuAlO2), 40 K (AgAlO2) and 3 K(AuAlO2) at maximum in the optimum hole-doping concentration. The low Tc of AuAlO2 is attributed to the weak electron-phonon interaction caused by the low covalency and heavy atomic mass.

  5. Gate-tunable polarized phase of two-dimensional electrons at the LaAlO3/SrTiO3 interface.

    PubMed

    Joshua, Arjun; Ruhman, Jonathan; Pecker, Sharon; Altman, Ehud; Ilani, Shahal

    2013-06-11

    Controlling the coupling between localized spins and itinerant electrons can lead to exotic magnetic states. A novel system featuring local magnetic moments and extended 2D electrons is the interface between LaAlO3 and SrTiO3. The magnetism of the interface, however, was observed to be insensitive to the presence of these electrons and is believed to arise solely from extrinsic sources like oxygen vacancies and strain. Here we show the existence of unconventional electronic phases in the LaAlO3/SrTiO3 system pointing to an underlying tunable coupling between itinerant electrons and localized moments. Using anisotropic magnetoresistance and anomalous Hall effect measurements in a unique in-plane configuration, we identify two distinct phases in the space of carrier density and magnetic field. At high densities and fields, the electronic system is strongly polarized and shows a response, which is highly anisotropic along the crystalline directions. Surprisingly, below a density-dependent critical field, the polarization and anisotropy vanish whereas the resistivity sharply rises. The unprecedented vanishing of the easy axes below a critical field is in sharp contrast with other coupled magnetic systems and indicates strong coupling with the moments that depends on the symmetry of the itinerant electrons. The observed interplay between the two phases indicates the nature of magnetism at the LaAlO3/SrTiO3 interface as both having an intrinsic origin and being tunable.

  6. Gate-tunable polarized phase of two-dimensional electrons at the LaAlO3/SrTiO3 interface

    PubMed Central

    Joshua, Arjun; Ruhman, Jonathan; Pecker, Sharon; Altman, Ehud; Ilani, Shahal

    2013-01-01

    Controlling the coupling between localized spins and itinerant electrons can lead to exotic magnetic states. A novel system featuring local magnetic moments and extended 2D electrons is the interface between LaAlO3 and SrTiO3. The magnetism of the interface, however, was observed to be insensitive to the presence of these electrons and is believed to arise solely from extrinsic sources like oxygen vacancies and strain. Here we show the existence of unconventional electronic phases in the LaAlO3/SrTiO3 system pointing to an underlying tunable coupling between itinerant electrons and localized moments. Using anisotropic magnetoresistance and anomalous Hall effect measurements in a unique in-plane configuration, we identify two distinct phases in the space of carrier density and magnetic field. At high densities and fields, the electronic system is strongly polarized and shows a response, which is highly anisotropic along the crystalline directions. Surprisingly, below a density-dependent critical field, the polarization and anisotropy vanish whereas the resistivity sharply rises. The unprecedented vanishing of the easy axes below a critical field is in sharp contrast with other coupled magnetic systems and indicates strong coupling with the moments that depends on the symmetry of the itinerant electrons. The observed interplay between the two phases indicates the nature of magnetism at the LaAlO3/SrTiO3 interface as both having an intrinsic origin and being tunable. PMID:23708121

  7. Reduction Mechanisms of Cu2+-Doped Na2O-Al2O3-SiO2 Glasses during Heating in H2 Gas.

    PubMed

    Nogami, Masayuki; Quang, Vu Xuan; Ohki, Shinobu; Deguchi, Kenzo; Shimizu, Tadashi

    2018-01-25

    Controlling valence state of metal ions that are doped in materials has been widely applied for turning optical properties. Even though hydrogen has been proven effective to reduce metal ions because of its strong reducing capability, few comprehensive studies focus on practical applications because of the low diffusion rate of hydrogen in solids and the limited reaction near sample surfaces. Here, we investigated the reactions of hydrogen with Cu 2+ -doped Na 2 O-Al 2 O 3 -SiO 2 glass and found that a completely different reduction from results reported so far occurs, which is dominated by the Al/Na concentration ratio. For Al/Na < 1, Cu 2+ ions were reduced via hydrogen to metallic Cu, distributing in glass body. For Al/Na > 1, on the other hand, the reduction of Cu 2+ ions occurred simultaneously with the formation of OH bonds, whereas the reduced Cu metal moved outward and formed a metallic film on glass surface. The NMR and Fourier transform infrared results indicated that the Cu 2+ ions were surrounded by Al 3+ ions that formed AlO 4 , distorted AlO 4 , and AlO 5 units. The diffused H 2 gas reacted with the Al-O - ···Cu + units, forming Al-OH and metallic Cu, the latter of which moved freely toward glass surface and in return enhanced H 2 diffusion.

  8. Effects of surface plasma treatment on threshold voltage hysteresis and instability in metal-insulator-semiconductor (MIS) AlGaN/GaN heterostructure HEMTs

    NASA Astrophysics Data System (ADS)

    Zaidi, Z. H.; Lee, K. B.; Roberts, J. W.; Guiney, I.; Qian, H.; Jiang, S.; Cheong, J. S.; Li, P.; Wallis, D. J.; Humphreys, C. J.; Chalker, P. R.; Houston, P. A.

    2018-05-01

    In a bid to understand the commonly observed hysteresis in the threshold voltage (VTH) in AlGaN/GaN metal-insulator-semiconductor high electron mobility transistors during forward gate bias stress, we have analyzed a series of measurements on devices with no surface treatment and with two different plasma treatments before the in-situ Al2O3 deposition. The observed changes between samples were quasi-equilibrium VTH, forward bias related VTH hysteresis, and electrical response to reverse bias stress. To explain these effects, a disorder induced gap state model, combined with a discrete level donor, at the dielectric/semiconductor interface was employed. Technology Computer-Aided Design modeling demonstrated the possible differences in the interface state distributions that could give a consistent explanation for the observations.

  9. Monolayer dispersion of CoO on Al2O3 probed by positronium atom

    NASA Astrophysics Data System (ADS)

    Liu, Z. W.; Zhang, H. J.; Chen, Z. Q.

    2014-02-01

    CoO/Al2O3 catalysts were prepared by wet impregnation method with CoO contents ranging from 0 wt% to 24 wt%. X-ray diffraction and X-ray photoelectron spectroscopy measurements suggest formation of CoO after calcined in N2. Quantitative X-ray diffraction analysis indicates monolayer dispersion capacity of CoO in CoO/Al2O3 catalysts to be about 3 wt%. Positron annihilation lifetime and coincidence Doppler broadening measurements were performed to study the dispersion state of CoO on Al2O3. The positron lifetime measurements reveal two long lifetime components τ3 and τ4, which correspond to ortho-positronium annihilation lifetime in microvoids and large pores, respectively. It was found that the positronium atom is very sensitive to the dispersion state of CoO on Al2O3. The presence of CoO significantly decreases both the lifetime and the intensity of τ4. Detailed analysis of the coincidence Doppler broadening measurements suggests that with the CoO content lower than the monolayer dispersion, spin conversion reaction of positronium is induced by CoO. When the cobalt content is higher than the monolayer dispersion capacity, inhibition of positronium formation becomes the dominate effect.

  10. Magnon spectrum of the helimagnetic insulator Cu 2OSeO 3

    DOE PAGES

    Portnichenko, P. Y.; Romhányi, J.; Onykiienko, Y. A.; ...

    2016-02-25

    We report that complex low-temperature-ordered states in chiral magnets are typically governed by a competition between multiple magnetic interactions. The chiral-lattice multiferroic Cu 2OSeO 3 became the first insulating helimagnetic material in which a long-range order of topologically stable spin vortices known as skyrmions was established. Here we employ state-of-the-art inelastic neutron scattering to comprehend the full three-dimensional spin-excitation spectrum of Cu 2OSeO 3 over a broad range of energies. Distinct types of high- and low-energy dispersive magnon modes separated by an extensive energy gap are observed in excellent agreement with the previously suggested microscopic theory based on a modelmore » of entangled Cu 4 tetrahedra. The comparison of our neutron spectroscopy data with model spin-dynamical calculations based on these theoretical proposals enables an accurate quantitative verification of the fundamental magnetic interactions in Cu 2OSeO 3 that are essential for understanding its abundant low-temperature magnetically ordered phases.« less

  11. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Le, Son Phuong; Ui, Toshimasa; Nguyen, Tuan Quy

    Using aluminum titanium oxide (AlTiO, an alloy of Al{sub 2}O{sub 3} and TiO{sub 2}) as a high-k gate insulator, we fabricated and investigated AlTiO/AlGaN/GaN metal-insulator-semiconductor heterojunction field-effect transistors. From current low-frequency noise (LFN) characterization, we find Lorentzian spectra near the threshold voltage, in addition to 1/f spectra for the well-above-threshold regime. The Lorentzian spectra are attributed to electron trapping/detrapping with two specific time constants, ∼25 ms and ∼3 ms, which are independent of the gate length and the gate voltage, corresponding to two trap level depths of 0.5–0.7 eV with a 0.06 eV difference in the AlTiO insulator. In addition, gate leakage currents aremore » analyzed and attributed to the Poole-Frenkel mechanism due to traps in the AlTiO insulator, where the extracted trap level depth is consistent with the Lorentzian LFN.« less

  12. The role of cleaning conditions and epitaxial layer structure on reliability of Sc 2O 3 and MgO passivation on AlGaN/GaN HEMTS

    NASA Astrophysics Data System (ADS)

    Luo, B.; Mehandru, R. M.; Kim, Jihyun; Ren, F.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Fitch, R. C.; Gillespie, J.; Dellmer, R.; Jenkins, T.; Sewell, J.; Via, D.; Crespo, A.

    2002-12-01

    The effect of layer structure (GaN versus AlGaN cap) and cleaning procedure prior to Sc 2O 3 or MgO deposition at 100 °C were examined for their effects on the long-term bias-stress stability of AlGaN/GaN high electron mobility transistors (HEMTs). Surface cleaning by itself was not sufficient to prevent current collapse in the devices. The forward and reverse gate leakage currents were decreased under most conditions upon deposition of the oxide passivation layers. After ≈13 h of bias-stressing, the MgO-passivated HEMTs retain ⩾90% their initial drain-source current. The Sc 2O 3-passivated devices retained ˜80% recovery of the current under the same conditions.

  13. Some TEM observations of Al2O3 scales formed on NiCrAl alloys

    NASA Technical Reports Server (NTRS)

    Smialek, J.; Gibala, R.

    1979-01-01

    The microstructural development of Al2O3 scales on NiCrAl alloys has been examined by transmission electron microscopy. Voids were observed within grains in scales formed on a pure NiCrAl alloy. Both voids and oxide grains grew measurably with oxidation time at 1100 C. The size and amount of porosity decreased towards the oxide-metal growth interface. The voids resulted from an excess number of oxygen vacancies near the oxidemetal interface. Short-circuit diffusion paths were discussed in reference to current growth stress models for oxide scales. Transient oxidation of pure, Y-doped, and Zr-doped NiCrAl was also examined. Oriented alpha-(Al, Cr)2O3 and Ni(Al, Cr)2O4 scales often coexisted in layered structures on all three alloys. Close-packed oxygen planes and directions in the corundum and spinel layers were parallel. The close relationship between oxide layers provided a gradual transition from initial transient scales to steady state Al2O3 growth.

  14. 27Al, 47,49Ti, 31P, and 13C MAS NMR Study of VX, GD, and HD Reactions with Nanosize Al2O3, Conventional Al2O3 and TiO2, and Aluminum and Titanium Metal

    DTIC Science & Technology

    2007-01-01

    The alumina was used as received. Anatase, rutile, aluminum, and titania metal powders, titanium (IV) isopropoxide , and pinacolyl methylphosphonate...Synthesis. Titanophosphonate synthesis was adapted from Mutin et al.4 using titanium (IV) isopropoxide (TIP) and pinacolyl methylphosphonate (PMPA...REPORT 27Al, 47,49Ti, 31P, and 13C MAS NMR Study of VX, GD, and HD Reactions with Nanosize Al2O3, Conventional Al2O3 and TiO2, and Aluminum and Titanium

  15. Ethanol Sensor of CdO/Al2O3/CeO2 Obtained from Ce-DOPED Layered Double Hydroxides with High Response and Selectivity

    NASA Astrophysics Data System (ADS)

    Xu, Dongmei; Guan, Meiyu; Xu, Qinghong; Guo, Ying; Wang, Yao

    2013-04-01

    In this paper, Ce-doped CdAl layered double hydroxide (LDH) was first synthesized and the derivative CdO/Al2O3/CeO2 composite oxide was prepared by calcining Ce-doped CdAl LDH. The structure, morphology and chemical state of the Ce doped CdAl LDH and CdO/Al2O3/CeO2 were also investigated by X-ray diffraction (XRD), Fourier transform infrared (FT-IR), solid state nuclear magnetic resonance (SSNMR), scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). The gas sensing properties of CdO/Al2O3/CeO2 to ethanol were further studied and compared with CdO/Al2O3 prepared from CdAl LDH, CeO2 powder as well as the calcined Ce salt. It turns out that CdO/Al2O3/CeO2 sensor shows best performance in ethanol response. Besides, CdO/Al2O3/CeO2 possesses short response/recovery time (12/72 s) as well as remarkable selectivity in ethanol sensing, which means composite oxides prepared from LDH are very promising in gas sensing application.

  16. Raman study of high temperature insulator-insulator transition in Ba2Co9O14

    NASA Astrophysics Data System (ADS)

    Zaghrioui, M.; Delorme, F.; Chen, C.; Camara, N. R.; Giovannelli, F.

    2018-05-01

    The insulator-insulator transition, at Tt = 570 K, in layered cobalt oxide Ba2Co9O14 was investigated using Raman scattering technique. High temperature (300-800 K) measurements have evidenced no structural transition occurring at Tt. The obtained results are rather consistent with low to high spin-state transition of Co3+ ions in the Co3O12 octahedral trimer. More precisely, only one cobalt ion located in the central octahedron of the trimer undergoes this transition.

  17. Phase Compositions of Self Reinforcement Al2O3/CaAl12O19 Composite using X-ray Diffraction Data and Rietveld Technique

    NASA Astrophysics Data System (ADS)

    Asmi, D.; Low, I. M.; O'Connor, B.

    2008-03-01

    The analysis of x-ray diffraction (XRD) patterns by the Rietveld technique was tested to the quantitatively phase compositions of self reinforcement Al2O3/CaAl12O19 composite. Room-temperature XRD patterns revealed that α-Al2O3 was the only phase presence in the CA0 sample, whereas the α-Al2O3 and CaAl12O19 phases were found for CA5, CA15, CA30, and CA50 samples. The peak intensity of CA6 in the self reinforcement Al2O3/CaAl12O19 composites increased in proportion with increase in CaAl12O19 content in contrast to α-Al2O3. The diffraction patterns for CA100 sample shows minor traces of α-Al2O3 even in relatively low peak intensity. It is suggesting that the in-situ reaction sintering of raw materials were not react completely to form 100 wt% CaAl12O19 at temperature 1650 °C. Quantitative phase compositions of self reinforcement Al2O3/CaAl12O19 composites by Rietveld analysis with XRD data has been well demonstrated. The results showed that the GOF values are relatively low and the fluctuation in the difference plots shows a reasonable fit between the observed and the calculated plot.

  18. Development of AlN and TiB2 Composites with Nb2O5, Y2O3 and ZrO2 as Sintering Aids

    PubMed Central

    González, José C.; Rodríguez, Miguel Á.; Figueroa, Ignacio A.; Villafuerte-Castrejón, María-Elena; Díaz, Gerardo C.

    2017-01-01

    The synthesis of AlN and TiB2 by spark plasma sintering (SPS) and the effect of Nb2O5, Y2O3 and ZrO2 additions on the mechanical properties and densification of the produced composites is reported and discussed. After the SPS process, dense AlN and TiB2 composites with Nb2O5, Y2O3 and ZrO2 were successfully prepared. X-ray diffraction analysis showed that in the AlN composites, the addition of Nb2O5 gives rise to Nb4N3 during sintering. The compound Y3Al5O12 (YAG) was observed as precipitate in the sample with Y2O3. X-ray diffraction analysis of the TiB2 composites showed TiB2 as a single phase in these materials. The maximum Vickers and toughness values were 14.19 ± 1.43 GPa and 27.52 ± 1.75 GPa for the AlN and TiB2 composites, respectively. PMID:28772681

  19. Surfactant-assisted morphological studies of α-Al2O3 nanoparticles

    NASA Astrophysics Data System (ADS)

    Shah, Janki; Ranjan, Mukesh; Gupta, Sanjeev K.; Sonvane, Yogesh

    2018-05-01

    The present study deals with the synthesis and characterization of aluminum oxide (Al2O3) nanopowders, it is very useful material as dielectric, ceramic and catalyst. The high-quality nanopowders were obtained by adding surfactants urea and sodium acetate. Further, all characterizations are done for with (urea and sodium acetate) and without surfactant. X-ray diffraction was used to characterize phase formation and the crystallite size of powder while, FTIR gives information about the particle composition and surface intermediates. X-ray diffraction spectra revealed the synthesized nanoparticles phase transformation were γ-Al2O3 to α-Al2O3 phase. Furthermore, the addition of urea and sodium acetate significantly reduced the crystalline size of α-Al2O3 nanoparticles from 43.94 nm to 35.12 nm respectively.

  20. Low-voltage organic transistors on plastic comprising high-dielectric constant gate insulators

    PubMed

    Dimitrakopoulos; Purushothaman; Kymissis; Callegari; Shaw

    1999-02-05

    The gate bias dependence of the field-effect mobility in pentacene-based insulated gate field-effect transistors (IGFETs) was interpreted on the basis of the interaction of charge carriers with localized trap levels in the band gap. This understanding was used to design and fabricate IGFETs with mobility of more than 0.3 square centimeter per volt per second and current modulation of 10(5), with the use of amorphous metal oxide gate insulators. These values were obtained at operating voltage ranges as low as 5 volts, which are much smaller than previously reported results. An all-room-temperature fabrication process sequence was used, which enabled the demonstration of high-performance organic IGFETs on transparent plastic substrates, at low operating voltages for organic devices.

  1. X-ray and optical crystallographic parameters investigations of high frequency induction melted Al-(alpha-Al(2)O(3)) alloys.

    PubMed

    Bourbia, A; Draissia, M; Bedboudi, H; Boulkhessaim, S; Debili, M Y

    2010-01-01

    This article deals with the microstructural strengthening mechanisms of aluminium by means of hard alpha-Al(2)O(3) alumina fine particles. A broad of understanding views covering materials preparations, elaboration process, characterization techniques and associated microstructural characteristic parameters measurements is given. In order to investigate the microstructural characteristic parameters and the mechanical strengthening mechanisms of pure aluminium by hard fine particles, a set of Al-(alpha-Al(2)O(3)) alloys samples were made under vacuum by high fusion temperature melting, the high frequency (HF) process, and rapidly solidified under ambient temperature from a mixture of cold-compacted high-pure fine Al and alpha-Al(2)O(3) powders. The as-solidified Al-(alpha-Al(2)O(3)) alloys were characterized by means of X-ray diffraction (XRD) analyses, optical microscopy observations and Vickers microhardness tests in both brut and heat-treated states. It was found that the as-solidified HF Al-(alpha-Al(2)O(3)) alloys with compositions below 4 wt.% (alpha-Al(2)O(3)) are single-phase microstructures of the solid solution FCC Al phase and over two-phase microstructures of the solid solution FCC Al and the Rhombohedral alpha-Al(2)O(3) phases. The optical micrographs reveal the presence of a grain size refinement in these alloys. Vickers microhardness of the as-solidified Al-(alpha-Al(2)O(3)) is increased by means of pure fine alpha-Al(2)O(3) alumina particles. These combined effects of strengthening and grain size refinement observed in the as-solidified Al-(alpha-Al(2)O(3)) alloys are essentially due to a strengthening of Al by the alpha-Al(2)O(3) alumina particles insertion in the (HF) melted and rapidly solidified alloys.

  2. Simulation of thermal stress in Er2O3 and Al2O3 tritium penetration barriers by finite-element analysis

    NASA Astrophysics Data System (ADS)

    Ze, LIU; Guogang, YU; Anping, HE; Ling, WANG

    2017-09-01

    The physical vapor deposition method is an effective way to deposit Al2O3 and Er2O3 on 316L stainless steel substrates acting as tritium permeation barriers in a fusion reactor. The distribution of residual thermal stress is calculated both in Al2O3 and Er2O3 coating systems with planar and rough substrates using finite element analysis. The parameters influencing the thermal stress in the sputter process are analyzed, such as coating and substrate properties, temperature and Young’s modulus. This work shows that the thermal stress in Al2O3 and Er2O3 coating systems exhibit a linear relationship with substrate thickness, temperature and Young’s modulus. However, this relationship is inversed with coating thickness. In addition, the rough substrate surface can increase the thermal stress in the process of coating deposition. The adhesive strength between the coating and the substrate is evaluated by the shear stress. Due to the higher compressive shear stress, the Al2O3 coating has a better adhesive strength with a 316L stainless steel substrate than the Er2O3 coating. Furthermore, the analysis shows that it is a useful way to improve adhesive strength with increasing interface roughness.

  3. Growth and characterization of Al2O3 films on fluorine functionalized epitaxial graphene

    NASA Astrophysics Data System (ADS)

    Robinson, Zachary R.; Jernigan, Glenn G.; Wheeler, Virginia D.; Hernández, Sandra C.; Eddy, Charles R.; Mowll, Tyler R.; Ong, Eng Wen; Ventrice, Carl A.; Geisler, Heike; Pletikosic, Ivo; Yang, Hongbo; Valla, Tonica

    2016-08-01

    Intelligent engineering of graphene-based electronic devices on SiC(0001) requires a better understanding of processes used to deposit gate-dielectric materials on graphene. Recently, Al2O3 dielectrics have been shown to form conformal, pinhole-free thin films by functionalizing the top surface of the graphene with fluorine prior to atomic layer deposition (ALD) of the Al2O3 using a trimethylaluminum (TMA) precursor. In this work, the functionalization and ALD-precursor adsorption processes have been studied with angle-resolved photoelectron spectroscopy, low energy electron diffraction, and X-ray photoelectron spectroscopy. It has been found that the functionalization process has a negligible effect on the electronic structure of the graphene, and that it results in a twofold increase in the adsorption of the ALD-precursor. In situ TMA-dosing and XPS studies were also performed on three different Si(100) substrates that were terminated with H, OH, or dangling Si-bonds. This dosing experiment revealed that OH is required for TMA adsorption. Based on those data along with supportive in situ measurements that showed F-functionalization increases the amount of oxygen (in the form of adsorbed H2O) on the surface of the graphene, a model for TMA-adsorption on graphene is proposed that is based on a reaction of a TMA molecule with OH.

  4. Analysis of reverse gate leakage mechanism of AlGaN/GaN HEMTs with N2 plasma surface treatment

    NASA Astrophysics Data System (ADS)

    Liu, Hui; Zhang, Zongjing; Luo, Weijun

    2018-06-01

    The mechanism of reverse gate leakage current of AlGaN/GaN HEMTs with two different surface treatment methods are studied by using C-V, temperature dependent I-V and theoretical analysis. At the lower reverse bias region (VR >- 3.5 V), the dominant leakage current mechanism of the device with N2 plasma surface treatment is the Poole-Frenkel emission current (PF), and Trap-Assisted Tunneling current (TAT) is the principal leakage current of the device which treated by HCl:H2O solution. At the higher reverse bias region (VR <- 3.5 V), both of the two samples show good agreement with the surface leakage mechanism. The leakage current of the device with N2 plasma surface treatment is one order of magnitude smaller than the device which treated by HCl:H2O solution. This is due to the recovery of Ga-N bond in N2 plasma surface treatment together with the reduction of the shallow traps in post-gate annealing (PGA) process. The measured results agree well with the theoretical calculations and demonstrate N2 plasma surface treatment can reduce the reverse leakage current of the AlGaN/GaN HEMTs.

  5. Ambipolar surface state thermoelectric power of topological insulator Bi2Se3.

    PubMed

    Kim, Dohun; Syers, Paul; Butch, Nicholas P; Paglione, Johnpierre; Fuhrer, Michael S

    2014-01-01

    We measure gate-tuned thermoelectric power of mechanically exfoliated Bi2Se3 thin films in the topological insulator regime. The sign of the thermoelectric power changes across the charge neutrality point as the majority carrier type switches from electron to hole, consistent with the ambipolar electric field effect observed in conductivity and Hall effect measurements. Near the charge neutrality point and at low temperatures, the gate-dependent thermoelectric power follows the semiclassical Mott relation using the expected surface state density of states but is larger than expected at high electron doping, possibly reflecting a large density of states in the bulk gap. The thermoelectric power factor shows significant enhancement near the electron-hole puddle carrier density ∼0.5 × 10(12) cm(-2) per surface at all temperatures. Together with the expected reduction of lattice thermal conductivity in low-dimensional structures, the results demonstrate that nanostructuring and Fermi level tuning of three-dimensional topological insulators can be promising routes to realize efficient thermoelectric devices.

  6. Preparation of γ-Al2O3 films by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Gao, Ming; Ito, Akihiko; Goto, Takashi

    2015-06-01

    γ- and α-Al2O3 films were prepared by chemical vapor deposition using CO2, Nd:YAG, and InGaAs lasers to investigate the effects of varying the laser wavelength and deposition conditions on the phase composition and microstructure. The CO2 laser was found to mostly produce α-Al2O3 films, whereas the Nd:YAG and InGaAs lasers produced γ-Al2O3 films when used at a high total pressure. γ-Al2O3 films had a cauliflower-like structure, while the α-Al2O3 films had a dense and columnar structure. Of the three lasers, it was the Nd:YAG laser that interacted most with intermediate gas species. This promoted γ-Al2O3 nucleation in the gas phase at high total pressure, which explains the cauliflower-like structure of nanoparticles observed.

  7. Formation of a conducting LaAlO3/SrTiO3 interface studied by low-energy electron reflection during growth

    NASA Astrophysics Data System (ADS)

    van der Torren, A. J. H.; Liao, Z.; Xu, C.; Gauquelin, N.; Yin, C.; Aarts, J.; van der Molen, S. J.

    2017-12-01

    The two-dimensional electron gas occurring between the band insulators SrTiO3 and LaAlO3 continues to attract considerable interest, due to the possibility of dynamic control over the carrier density and due to ensuing phenomena such as magnetism and superconductivity. The formation of this conducting interface is sensitive to the growth conditions, but despite numerous investigations there are still questions about the details of the physics involved. In particular, not much is known about the electronic structure of the growing LaAlO3 layer at the growth temperature (around 800°C) in oxygen (pressure around 5 ×10-5 mbar), since analysis techniques at these conditions are not readily available. We developed a pulsed laser deposition system inside a low-energy electron microscope in order to study this issue. The setup allows for layer-by-layer growth control and in situ measurements of the angle-dependent electron reflection intensity, which can be used as a fingerprint of the electronic structure of the surface layers during growth. By using different substrate terminations and growth conditions we observe two families of reflectivity maps, which we can connect either to samples with an AlO2-rich surface and a conducting interface or to samples with a LaO-rich surface and an insulating interface. Our observations emphasize that substrate termination and stoichiometry determine the electronic structure of the growing layer, and thereby the conductance of the interface.

  8. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  9. Ionic liquid versus SiO 2 gated a-IGZO thin film transistors: A direct comparison

    DOE PAGES

    Pudasaini, Pushpa Raj; Noh, Joo Hyon; Wong, Anthony T.; ...

    2015-08-12

    Here, ionic liquid gated field effect transistors have been extensively studied due to their low operation voltage, ease of processing and the realization of high electric fields at low bias voltages. Here, we report ionic liquid (IL) gated thin film transistors (TFTs) based on amorphous Indium Gallium Zinc Oxide (a-IGZO) active layers and directly compare the characteristics with a standard SiO 2 gated device. The transport measurements of the top IL gated device revealed the n-channel property of the IGZO thin film with a current ON/OFF ratio ~10 5, a promising field effect mobility of 14.20 cm 2V –1s –1,more » and a threshold voltage of 0.5 V. Comparable measurements on the bottom SiO2 gate insulator revealed a current ON/OFF ratio >108, a field effect mobility of 13.89 cm 2V –1s –1 and a threshold voltage of 2.5 V. Furthermore, temperature-dependent measurements revealed that the ionic liquid electric double layer can be “frozen-in” by cooling below the glass transition temperature with an applied electrical bias. Positive and negative freezing bias locks-in the IGZO TFT “ON” and “OFF” state, respectively, which could lead to new switching and possibly non-volatile memory applications.« less

  10. Artificial semiconductor/insulator superlattice channel structure for high-performance oxide thin-film transistors

    PubMed Central

    Ahn, Cheol Hyoun; Senthil, Karuppanan; Cho, Hyung Koun; Lee, Sang Yeol

    2013-01-01

    High-performance thin-film transistors (TFTs) are the fundamental building blocks in realizing the potential applications of the next-generation displays. Atomically controlled superlattice structures are expected to induce advanced electric and optical performance due to two-dimensional electron gas system, resulting in high-electron mobility transistors. Here, we have utilized a semiconductor/insulator superlattice channel structure comprising of ZnO/Al2O3 layers to realize high-performance TFTs. The TFT with ZnO (5 nm)/Al2O3 (3.6 nm) superlattice channel structure exhibited high field effect mobility of 27.8 cm2/Vs, and threshold voltage shift of only < 0.5 V under positive/negative gate bias stress test during 2 hours. These properties showed extremely improved TFT performance, compared to ZnO TFTs. The enhanced field effect mobility and stability obtained for the superlattice TFT devices were explained on the basis of layer-by-layer growth mode, improved crystalline nature of the channel layers, and passivation effect of Al2O3 layers. PMID:24061388

  11. Dependence of catalytic properties of Al/Fe2O3 thermites on morphology of Fe2O3 particles in combustion reactions

    NASA Astrophysics Data System (ADS)

    Zhao, Ningning; He, Cuicui; Liu, Jianbing; Gong, Hujun; An, Ting; Xu, Huixiang; Zhao, Fengqi; Hu, Rongzu; Ma, Haixia; Zhang, Jinzhong

    2014-11-01

    Three Fe2O3 particle samples with the same crystal structure but different morphologies were prepared by the hydrothermal method and then combined with Al nanoparticles to produce Al/Fe2O3 thermites using ultrasonic mixing. The properties of Fe2O3 and Al/Fe2O3 were studied using a combination of experimental techniques including scanning electron microscopy (SEM), energy dispersive spectrometer (EDS), X-ray diffraction (XRD), Fourier transform infrared spectroscopy (FTIR), and differential scanning calorimetry (DSC). The influences of the three Al/Fe2O3 thermites on the combustion properties of the AP/HTPB (ammonium perchlorate/hydroxyl-terminated polybutadiene) composite propellant were investigated in comparison to those of Fe2O3. The results show that the Al/Fe2O3 thermites are better than Fe2O3 in enhancing the combustion performance of AP/HTPB. Furthermore, the surface area, which depends on size and mophology, of Fe2O3 particles was found to play a vital role in improving the burning rate of the thermites-containing propellant formulation, with the smallest particles with the largest surface-to-volume (S/V) ratio performing the best. The enhanced catalytic property of the granular-shape Fe2O3 and the corresponding thermite is attributed to the large specific surface area of Fe2O3. The different thermal behaviors of these three superthemites were supposed to be attributed to the surface site of Fe2O3 particles. This work provides a better understanding on the catalytic properties of thermites that are important for combustion applications.

  12. Microstructure and Mechanical Properties of Al2O3/Er3Al5O12 Binary Eutectic Ceramic Prepared by Bridgman Method

    PubMed Central

    Song, Caiyu; Wang, Shunheng; Liu, Juncheng; Zhai, Shuoyan

    2018-01-01

    Directionally solidified Al2O3/Er3Al5O12 (EAG) eutectic ceramic was prepared via vertical Bridgman method with high-frequency induction heating. The effects of the growth rate on the microstructure and mechanical properties of the solidified ceramic were investigated. The experimental results showed that there were no pores or amorphous phases in the directionally solidified Al2O3/EAG eutectic ceramic. Al2O3 phase was embedded in the EAG matrix phase, and the two phases were intertwined with each other to form a typical binary eutectic “hieroglyphic” structure. With the increase of growth rate, the phase size and spacing of the solidified Al2O3/EAG ceramic both decreased, and the growth rate and phase spacing satisfied the λ2v ≈ 60 formula of Jackson-Hunt theory. The cross section microstructure of the solidified ceramic always exhibited an irregular eutectic growth, while the longitudinal section microstructure presented a directional growth. The mechanical properties of the solidified ceramic gradually increased with the increase of growth rate, and the maximum hardness and fracture toughness could reach 21.57 GPa and 2.98 MPa·m1/2 respectively. It was considered that the crack deflection and branching could enhance the toughness of the solidified ceramic effectively. PMID:29601545

  13. Controlling the Electronic Properties in La1/3Sr 2/3FeO3-delta Complex Perovskite Oxides

    NASA Astrophysics Data System (ADS)

    Krick, Alex L.

    the temperature-dependent resistivity. Carrier behavior within the superlattices was also explored by fitting the temperature dependent resistivity to common conduction models. The conduction mechanism fits show that the transport at high temperatures is dominated by weakly insulating behavior due to small polaron conduction and at low temperatures the resistivity can be fit to both a novel power law and 3-dimensional variable range hopping. Additionally, reversible changes of the structural and electronic transport properties of La1/3Sr2/3FeO3-delta/Gd-doped CeO2 (GDC) heterostructures arising from the manipulation of delta are presented. Thermally induced oxygen loss leads to a c-axis lattice expansion and an increase in resistivity in an LSFO film capped with GDC. In a three-terminal device where a gate bias is applied across the GDC layer to alter the LSFO oxygen stoichiometry, the ferrite channel is shown to undergo an order of magnitude change in resistance using gate voltages of less than 1 V applied at 500 K. The changes in resistance remain upon cooling to room temperature, in the absence of a gate bias, suggesting solid state ionic gating of perovskite oxides as a promising platform for applications in non-volatile, multistate devices. Along with the experiments of controlling delta in a device format, the kinetics of oxygen loss as a function of biaxial strain was investigated.

  14. Atomistic simulation study of influence of Al2O3-Al interface on dislocation interaction and prismatic loop formation during nano-indentation on Al2O3-coated aluminum.

    PubMed

    Mishra, Srishti; Meraj, Md; Pal, Snehanshu

    2018-06-19

    A large-scale molecular dynamics (MD) simulation of nano-indentation was carried out to provide insight into the influence of the Al-Al 2 O 3 interface on dislocation evolution and deformation behavior of Al substrate coated with Al 2 O 3 thin film. Adaptive common neighbor analysis (a-CNA), centro-symmetry parameter (CSP) estimation, and dislocation extraction algorithm (DXA) were implemented to represent structural evolution during nano-indentation deformation. The absence of elastic regime was observed in the P-h curve for this simulated nano-indentation test of Al 2 O 3 thin film coated Al specimen. The displacement of oxygen atoms from Al 2 O 3 to Al partly through the interface greatly influences the plastic deformation behavior of the specimen during nano-indentation. Prismatic dislocation loops, which are formed due to pinning of Shockley partials (1/6 < 112>) by Stair-rod (1/6 < 110>) and Hirth dislocation (1/3 < 001>), were observed in all cases studied in this work. Pile-up of atoms was also observed and the extent of the pile-up was found to vary with the test temperature. A distorted stacking fault tetrahedron (SFT) is formed when a nano-indentation test is carried out at 100 K. The presence of a prismatic dislocation loop, SFT and dislocation forest caused strain hardening and, consequently, there is an increase in hardness as indentation depth increases. Graphical abstract Figure illustrates nano-indentation model set up along with load vs. depth curve and distorted stacking fault tetrahedron.

  15. Pinhole mediated electrical transport across LaTiO3/SrTiO3 and LaAlO3/SrTiO3 oxide hetero-structures

    NASA Astrophysics Data System (ADS)

    Kumar, Pramod; Dogra, Anjana; Toutam, Vijaykumar

    2013-11-01

    Metal-insulator-metal configuration of LaTiO3/SrTiO3 and LaAlO3/SrTiO3 hetero-structures between two dimensional electron gas formed at the interface and different area top electrodes is employed for Conductive Atomic force microscopy (CAFM) imaging, Current-Voltage (I-V), and Capacitance-Voltage (C-V) spectroscopy. Electrode area dependent I-V characteristics are observed for these oxide hetero-structures. With small area electrodes, rectifying I-V characteristics are observed, compared to, both tunneling and leakage current characteristics for large area electrodes. CAFM mapping confirmed the presence of pinholes on both surfaces. Resultant I-V characteristics have a contribution from both tunneling and leakage due to pinholes.

  16. ZnO, TiO(2), SiO(2,) and Al(2)O(3) nanoparticles-induced toxic effects on human fetal lung fibroblasts.

    PubMed

    Zhang, Xiao Qiang; Yin, Li Hong; Tang, Meng; Pu, Yue Pu

    2011-12-01

    This study aims to investigate and compare the toxic effects of four types of metal oxide (ZnO, TiO(2), SiO(2,) and Al(2)O(3)) nanoparticles with similar primary size (∼20 nm) on human fetal lung fibroblasts (HFL1) in vitro. The HFL1 cells were exposed to the nanoparticles, and toxic effects were analyzed by using MTT assay, cellular morphology observation and Hoechst 33 258 staining. The results show that the four types of metal oxide nanoparticles lead to cellular mitochondrial dysfunction, morphological modifications and apoptosis at the concentration range of 0.25-1.50 mg/mL and the toxic effects are obviously displayed in dose-dependent manner. ZnO is the most toxic nanomaterials followed by TiO(2), SiO(2), and Al(2)O(3) nanoparticles in a descending order. The results highlight the differential cytotoxicity associated with exposure to ZnO, TiO(2), SiO(2), and Al(2)O(3) nanoparticles, and suggest an extreme attention to safety utilization of these nanomaterials. Copyright © 2011 The Editorial Board of Biomedical and Environmental Sciences. Published by Elsevier B.V. All rights reserved.

  17. Low-Temperature Sintering of AlN Ceramics by Sm2O3-Y2O3-CaO Sintering Additives Formed via Decomposition of Nitrate Solutions

    NASA Astrophysics Data System (ADS)

    Zhan, Jun; Cao, Ye; Zhang, Hao; Guo, Jun; Zhang, Jianhua; Geng, Chunlei; Shi, Changdong; Cui, Song; Tang, Wenming

    2017-01-01

    The Sm, Y and Ca anhydrous nitrates were mixed with the AlN powder in ethanol and then decomposed into the Sm2O3-Y2O3-CaO sintering additives via calcining. Low-temperature sintering of the AlN ceramics was carried out at temperature range from 1675 to 1750 °C. Effects of the composition and adding amount of the sintering additives on the phases, microstructures and properties of the AlN ceramics were investigated. During sintering the AlN ceramics, main secondary phases of CaYAl3O7 and CaSmAl3O7 form. The relative density, bending strength and thermal conductivity of the AlN ceramics increase with the increase in the rare-earth oxides in them. The thermal conductivity of the sintered AlN ceramics is also greatly affected by the distribution of the secondary phases. As sintered at 1750 °C, the AlN ceramics by adding the sintering additives of 2 wt.% Sm2O3, 2 wt.% Y2O3 and 1 wt.% CaO formed via decomposition of their nitrates is fully dense and have the optimal bending strength and thermal conductivity of 402.1 MPa and 153.7 W/(m K), respectively.

  18. Tribological Properties of Ti(Al,O)/Al2O3 Composite Coating by Thermal Spraying

    NASA Astrophysics Data System (ADS)

    Salman, Asma; Gabbitas, Brian; Cao, Peng; Zhang, Deliang

    The use of thermal spray coatings provides protection to the surfaces operating in severe environments. The main goal of the current work is to investigate the possibility of using a high velocity air fuel (HVAF) thermally sprayed wear resistant Ti(Al,O)/Al2O3 coating on tool steel (H13) which is used for making dies for aluminium high pressure die casting and dummy blocks aluminium extrusion. A feedstock of Ti(Al,O)/Al2O3 composite powder was produced from a mixture of Al and TiO2 powders by high energy mechanical milling, followed by a thermal reaction process. The feedstock was then thermally sprayed using a high velocity air-fuel (HVAF) technique onto H13 steel substrates to produce a composite coating. The present study describes and compares the tribological properties such as friction and sliding wear rate of the coating both at room and high temperature (700°C). The wear resistance of the coating was investigated by a tribometer using a spherical ended alumina pin as a counter body under dry and lubricating conditions. The results showed that composite coating has lower wear rate at high temperature than at room temperature without using lubricant. The composite coating was characterized using scanning electron microscopy (SEM), optical microscopy and X-ray diffractometry (XRD). This paper reports the experimental observations and discusses the wear resistance performance of the coatings at room and high temperatures.

  19. Mechanical properties of Al/Al[sub 2]O[sub 3] and Cu/Al[sub 2]O[sub 3] composites with interpenetrating networks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Knechtel, M.; Prielipp, H.; Claussen, N.

    The rising fracture resistance with crack length in metal-toughened ceramics due to ductile bridging has been discussed from some selected microstructures and metal-ceramic combinations. An intriguing feature of these composites is the influence of interfacial fracture strength. Strong interfacial bonding leads to high geometrical constraint for the metal and high degree of triaxial tension in the metal ligament, thereby increasing the uniaxial yield strength by a factor of 5--7. This in turn increases the closure stress of the metal ligament, but ultimately limits the total plastic dissipation in the ductile reinforcement. The intent of this paper is to provide somemore » insight on the influence of metal ligament size on both fracture toughness and fracture strength. The materials chosen are Al/Al[sub 2]O[sub 3] and Cu/Al[sub 2]O[sub 3] composites, both prepared by gas-pressure metal-infiltration of porous alumina preforms. SEM observations of fracture surfaces in conjunction with preliminary TEM and PEELS investigations of the metal-ceramic interfaces are used to explain the trends in mechanical property data.« less

  20. Correlation between superconductivity, band filling, and electron confinement at the LaAlO3/SrTiO3 interface

    NASA Astrophysics Data System (ADS)

    Smink, A. E. M.; Stehno, M. P.; de Boer, J. C.; Brinkman, A.; van der Wiel, W. G.; Hilgenkamp, H.

    2018-06-01

    By combined top- and backgating, we explore the correlation of superconductivity with band filling and electron confinement at the LaAlO3/SrTiO3 interface. We find that the top- and backgate voltages have distinctly different effects on the superconducting critical temperature, implying that the confining potential well has a profound effect on superconductivity. We investigate the origin of this behavior by comparing the gate dependence of Tc to the corresponding evolution of the band filling with gate voltage. For several backgate voltages, we observe maximum Tc to consistently coincide with a kink in tuning the band filling for high topgate voltage. Self-consistent Schrödinger-Poisson calculations relate this kink to a Lifshitz transition of the second dx y subband. These results establish a major role for confinement-induced subbands in the phase diagram of SrTiO3 surface states, and establish gating as a means to control the relative energy of these states.

  1. Enhancing metal-insulator-insulator-metal tunnel diodes via defect enhanced direct tunneling

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alimardani, Nasir; Conley, John F., E-mail: jconley@eecs.oregonstate.edu

    Metal-insulator-insulator-metal tunnel diodes with dissimilar work function electrodes and nanolaminate Al{sub 2}O{sub 3}-Ta{sub 2}O{sub 5} bilayer tunnel barriers deposited by atomic layer deposition are investigated. This combination of high and low electron affinity insulators, each with different dominant conduction mechanisms (tunneling and Frenkel-Poole emission), results in improved low voltage asymmetry and non-linearity of current versus voltage behavior. These improvements are due to defect enhanced direct tunneling in which electrons transport across the Ta{sub 2}O{sub 5} via defect based conduction before tunneling directly through the Al{sub 2}O{sub 3}, effectively narrowing the tunnel barrier. Conduction through the device is dominated by tunneling,more » and operation is relatively insensitive to temperature.« less

  2. Image reconstruction algorithm for optically stimulated luminescence 2D dosimetry using laser-scanned Al2O3:C and Al2O3:C,Mg films

    NASA Astrophysics Data System (ADS)

    Ahmed, M. F.; Schnell, E.; Ahmad, S.; Yukihara, E. G.

    2016-10-01

    The objective of this work was to develop an image reconstruction algorithm for 2D dosimetry using Al2O3:C and Al2O3:C,Mg optically stimulated luminescence (OSL) films imaged using a laser scanning system. The algorithm takes into account parameters associated with detector properties and the readout system. Pieces of Al2O3:C films (~8 mm  ×  8 mm  ×  125 µm) were irradiated and used to simulate dose distributions with extreme dose gradients (zero and non-zero dose regions). The OSLD film pieces were scanned using a custom-built laser-scanning OSL reader and the data obtained were used to develop and demonstrate a dose reconstruction algorithm. The algorithm includes corrections for: (a) galvo hysteresis, (b) photomultiplier tube (PMT) linearity, (c) phosphorescence, (d) ‘pixel bleeding’ caused by the 35 ms luminescence lifetime of F-centers in Al2O3, (e) geometrical distortion inherent to Galvo scanning system, and (f) position dependence of the light collection efficiency. The algorithm was also applied to 6.0 cm  ×  6.0 cm  ×  125 μm or 10.0 cm  ×  10.0 cm  ×  125 µm Al2O3:C and Al2O3:C,Mg films exposed to megavoltage x-rays (6 MV) and 12C beams (430 MeV u-1). The results obtained using pieces of irradiated films show the ability of the image reconstruction algorithm to correct for pixel bleeding even in the presence of extremely sharp dose gradients. Corrections for geometric distortion and position dependence of light collection efficiency were shown to minimize characteristic limitations of this system design. We also exemplify the application of the algorithm to more clinically relevant 6 MV x-ray beam and a 12C pencil beam, demonstrating the potential for small field dosimetry. The image reconstruction algorithm described here provides the foundation for laser-scanned OSL applied to 2D dosimetry.

  3. Schottky barrier SOI-MOSFETs with high-k La2O3/ZrO2 gate dielectrics

    PubMed Central

    Henkel, C.; Abermann, S.; Bethge, O.; Pozzovivo, G.; Klang, P.; Stöger-Pollach, M.; Bertagnolli, E.

    2011-01-01

    Schottky barrier SOI-MOSFETs incorporating a La2O3/ZrO2 high-k dielectric stack deposited by atomic layer deposition are investigated. As the La precursor tris(N,N′-diisopropylformamidinato) lanthanum is used. As a mid-gap metal gate electrode TiN capped with W is applied. Processing parameters are optimized to issue a minimal overall thermal budget and an improved device performance. As a result, the overall thermal load was kept as low as 350, 400 or 500 °C. Excellent drive current properties, low interface trap densities of 1.9 × 1011 eV−1 cm−2, a low subthreshold slope of 70-80 mV/decade, and an ION/IOFF current ratio greater than 2 × 106 are obtained. PMID:21461054

  4. Structural properties of Y2O3Al2O3 liquids and glasses: An overview

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wilding, Martin C.; Wilson, Mark; McMillan, Paul F.

    2015-01-01

    Liquids in the system Y2O3- Al2O3 have been the subject of considerable study because of the reported occurrence of a first-order density and entropy-driven liquid-liquid phase transition (LLPT) in the supercooled liquid state. The observations have become controversial because of the presence of crystalline material that can be formed simultaneously and that can mask the nucleation and growth of the lower density liquid. The previous work is summarized here along with arguments for and against the different viewpoints. Also two studies have been undertaken to investigate the LLPT in this refractory system with emphasis on determining the structure of unequivocallymore » amorphous materials. These include the in situ high energy X-ray diffraction (HEXRD) of supercooled Y2O3 - Al2O3 liquids and the low frequency vibrational dynamics of recovered glasses. Manybody molecular dynamics simulations are also used to interpret the results of both studies. The HEXRD measurements, combined with aerodynamic levitation and rapid data acquisition techniques, show that for the 20 mol% Y2O3 (i.e. AlY20) liquid there is a shift in the position of the first peak in the diffraction pattern over a narrow temperature range (2100-1800 K) prior to crystallization. Microbeam Raman spectroscopy measurements made on AlY20 glasses clearly show contrasting spectra in the low frequency part of the spectrum for low(LDA) and high-density (HDA) glassy regions. The molecular dynamics simulations identify contrasting coordination environments around oxygen anions for the high- (HDL) and low-density (LDL) liquids. (C) 2014 Elsevier B.V. All rights reserved.« less

  5. Structural properties of Y 2O 3Al 2O 3 liquids and glasses: An overview

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wilding, Martin C.; Wilson, Mark; McMillan, Paul F.

    2015-01-01

    Liquids in the system Y 2O 3Al 2O 3 have been the subject of considerable study because of the reported occurrence of a first-order density and entropy-driven liquid-liquid phase transition (LLPT) in the supercooled liquid state. The observations have become controversial because of the presence of crystalline material that can be formed simultaneously and that can mask the nucleation and growth of the lower density liquid. The previous work is summarized here along with arguments for and against the different viewpoints. Also two studies have been undertaken to investigate the LLPT in this refractory system with emphasis on determining themore » structure of unequivocally amorphous materials. These include the in situ high energy X-ray diffraction (HEXRD) of supercooled Y 2O 3Al 2O 3 liquids and the low frequency vibrational dynamics of recovered glasses. Manybody molecular dynamics simulations are also used to interpret the results of both studies. The HEXRD measurements, combined with aerodynamic levitation and rapid data acquisition techniques, show that for the 20 mol% Y 2O 3 (i.e. AlY20) liquid there is a shift in the position of the first peak in the diffraction pattern over a narrow temperature range (2100-1800 K) prior to crystallization. Microbeam Raman spectroscopy measurements made on AlY20 glasses clearly show contrasting spectra in the low frequency part of the spectrum for low(LDA) and high-density (HDA) glassy regions. The molecular dynamics simulations identify contrasting coordination environments around oxygen anions for the high- (HDL) and low-density (LDL) liquids. (C) 2014 Elsevier B.V. All rights reserved.« less

  6. Temperature-Dependent Electrical Properties and Carrier Transport Mechanisms of TMAH-Treated Ni/Au/Al2O3/GaN MIS Diode

    NASA Astrophysics Data System (ADS)

    Reddy, M. Siva Pratap; Puneetha, Peddathimula; Reddy, V. Rajagopal; Lee, Jung-Hee; Jeong, Seong-Hoon; Park, Chinho

    2016-11-01

    The temperature-dependent electrical properties and carrier transport mechanisms of tetramethylammonium hydroxide (TMAH)-treated Ni/Au/Al2O3/GaN metal-insulator-semiconductor (MIS) diodes have been investigated by current-voltage ( I- V) and capacitance-voltage ( C- V) measurements. The experimental results reveal that the barrier height ( I- V) increases whereas the ideality factor decreases with increasing temperature. The TMAH-treated Ni/Au/Al2O3/GaN MIS diode showed nonideal behaviors which indicate the presence of a nonuniform distribution of interface states ( N SS) and effect of series resistance ( R S). The obtained R S and N SS were found to decrease with increasing temperature. Furthermore, it was found that different transport mechanisms dominated in the TMAH-treated Ni/Au/Al2O3/GaN MIS diode. At 150 K to 250 K, Poole-Frenkel emission (PFE) was found to be responsible for the reverse leakage, while Schottky emission (SE) was the dominant mechanism at high electric fields in the temperature range from 300 K to 400 K. Feasible energy band diagrams and possible carrier transport mechanisms for the TMAH-treated Ni/Au/Al2O3/GaN MIS diode are discussed based on PFE and SE.

  7. Novel porous Al2O3-SiO2-TiO2 bone grafting materials: formation and characterization.

    PubMed

    Naga, Salma M; El-Kady, Abeer M; El-Maghraby, Hesham F; Awaad, Mohamed; Detsch, Rainer; Boccaccini, Aldo R

    2014-02-01

    The present article deals with the development of 3D porous scaffolds for bone grafting. They were prepared based on rapid fluid infiltration of Al2O3-SiO2 sol into a polyethylene non-woven fabric template structure. Titanium dioxide in concentration equal to 5 wt% was added to the Al2O3-SiO2 mixture to produce Al2O3-SiO2-TiO2 composite scaffolds. The prepared scaffolds are characterized by means of X-ray diffraction, scanning electron microscopy and three-point bending test techniques. The bioactivity of the produced bodies is discussed, including the in vitro and in vivo assessments. The produced scaffolds exhibit mean total porosity of 66.0% and three-point bending strength of 7.1 MPa. In vitro studies showed that MG-63 osteoblast-like cells attach and spread on the scaffolds surfaces. Furthermore, cells grew through the scaffolds and start to produce extra-cellular matrix. Additionally, in vivo studies revealed the ability of the porous scaffolds to regenerate bone tissue in femur defects of albino rats 5 months post surgery. Histological analysis showed that the defect is almost entirely filled with new bone. The formed bone is characterized as a mature bone. The produced bone grafts are intended to be used as bone substitute or bone filler as their degradation products caused no inflammatory effects.

  8. Reaction rim growth in the system MgO-Al2O3-SiO2 under uniaxial stress

    NASA Astrophysics Data System (ADS)

    Götze, Lutz Christoph; Abart, Rainer; Rybacki, Erik; Keller, Lukas M.; Petrishcheva, Elena; Dresen, Georg

    2010-07-01

    We synthesize reaction rims between thermodynamically incompatible phases in the system MgO-Al2O3-SiO2 applying uniaxial load using a creep apparatus. Synthesis experiments are done in the MgO-SiO2 and in the MgO-Al2O3 subsystems at temperatures ranging from 1150 to 1350 °C imposing vertical stresses of 1.2 to 29 MPa at ambient pressure and under a constant flow of dry argon. Single crystals of synthetic and natural quartz and forsterite, synthetic periclase and synthetic corundum polycrystals are used as starting materials. We produce enstatite rims at forsterite-quartz contacts, enstatite-forsterite double rims at periclase-quartz contacts and spinel rims at periclase-corundum contacts. We find that rim growth under the “dry” conditions of our experiments is sluggish compared to what has been found previously in nominally “dry” piston cylinder experiments. We further observe that the nature of starting material, synthetic or natural, has a major influence on rim growth rates, where natural samples are more reactive than synthetic ones. At a given temperature the effect of stress variation is larger than what is anticipated from the modification of the thermodynamic driving force for reaction due to the storage of elastic strain energy in the reactant phases. We speculate that this may be due to modification of the physical properties of the polycrystals that constitute the reaction rims or by deformation under the imposed load. In our experiments rim growth is very sluggish at forsterite-quartz interfaces. Rim growth is more rapid at periclase-quartz contacts. The spinel rims that are produced at periclase-corundum interfaces show parabolic growth indicating that reaction rim growth is essentially diffusion controlled. From the analysis of time series done in the MgO-Al2O3 subsystem we derive effective diffusivities for the Al2O3 and the MgO components in a spinel polycrystal as D_{MgO} = 1.4 ± 0.2 \\cdot 10^{-15} m2/s and D_{Al_2O_3} = 3.7 ± 0

  9. Irradiation behavior of LiAlO 2 and Li 2ZrO 3 ceramics in the ALICE 3 experiment

    NASA Astrophysics Data System (ADS)

    Rasneur, B.; Thevenot, G.; Bouilloux, Y.

    1992-09-01

    Within the framework of the investigation of ceramic breeders for the DEMO relevant solid blankets developed in Europe, the ALICE 3 experiment was foreseen to study the irradiation behavior of the ceramics. The irradiation was performed in the core of the OSIRIS reactor for 46 FPD (full power days) at 400°C and 600°C. The three ceramics in the configuration contemplated in the BIT and BOT concepts were tested, i.e. LiAlO 2 and Li 2ZrO 3 pellets, Li 4SiO 4 and Li 2ZrO 3 pebbles, respectively. In this paper are reported the results of the post-irradiation examination carried out at CEA on CEA Li 2ZrO 3 and LiAlO 2 specimens: dimensions, X-ray diffraction, ultimate bending strength, diametral compressive strength and residual tritium.

  10. Increasing the Aromatic Selectivity of Quinoline Hydrogenolysis Using Pd/MOx–Al2O3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bachrach, Mark; Morlanes-Sanchez, Natalia; Canlas, Christian P.

    2014-09-11

    Catalysts consisting of Pd nanoparticles supported on highly dispersed TiOx–Al2O3, TaOx–Al2O3, and MoOx–Al2O3 are studied for catalytic quinoline hydrogenation and selective C–N bond cleavage at 275 °C and 20 bar H2. The Pd/MOx–Al2O3 materials exhibit significantly greater aromatic product selectivity and thus 10–15 % less required H2 for a given level of denitrogenation relative to an unmodified Pd/Al2O3 catalyst.

  11. Plasma-assisted atomic layer deposition of Al(2)O(3) and parylene C bi-layer encapsulation for chronic implantable electronics.

    PubMed

    Xie, Xianzong; Rieth, Loren; Merugu, Srinivas; Tathireddy, Prashant; Solzbacher, Florian

    2012-08-27

    Encapsulation of biomedical implants with complex three dimensional geometries is one of the greatest challenges achieving long-term functionality and stability. This report presents an encapsulation scheme that combines Al(2)O(3) by atomic layer deposition with parylene C for implantable electronic systems. The Al(2)O(3)-parylene C bi-layer was used to encapsulate interdigitated electrodes, which were tested invitro by soak testing in phosphate buffered saline solution at body temperature (37 °C) and elevated temperatures (57 °C and 67 °C) for accelerated lifetime testing up to 5 months. Leakage current and electrochemical impedance spectroscopy were measured for evaluating the integrity and insulation performance of the coating. Leakage current was stably about 15 pA at 5 V dc, and impedance was constantly about 3.5 MΩ at 1 kHz by using electrochemical impedance spectroscopy for samples under 67 °C about 5 months (approximately equivalent to 40 months at 37 °C). Alumina and parylene coating lasted at least 3 times longer than parylene coated samples tested at 80 °C. The excellent insulation performance of the encapsulation shows its potential usefulness for chronic implants.

  12. Comparative cytotoxicity of Al2O3, CeO2, TiO2 and ZnO nanoparticles to human lung cells.

    PubMed

    Kim, In-Sun; Baek, Miri; Choi, Soo-Jin

    2010-05-01

    The increased applications of nanoparticles in a wide range of industrial fields raise the concern about their potential toxicity to human. The aim of this study was to assess and compare the toxicity of four different oxide nanoparticles (Al2O3, CeO2, TiO2 and ZnO) to human lung epithelial cells, A549 carcinoma cells and L-132 normal cells, in vitro. We focused on the toxicological effects of the present nanoparticles on cell proliferation, cell viability, membrane integrity and oxidative stress. The long-term cytotoxicity of nanoparticles was also evaluated by employing the clonogenic assay. Among four nanoparticles tested, ZnO exhibited the highest cytotoxicity in terms of cell proliferation, cell viability, membrane integrity and colony formation in both cell lines. Al2O3, CeO2 and TiO2 showed little adverse effects on cell proliferation and cell viability. However, TiO2 induced oxidative stress in a concentration- and time-dependent manner. CeO2 caused membrane damage and inhibited colony formation in long-term, but with different degree depending on cell lines. Al2O3 seems to be less toxic than the other nanoparticles even after long time exposure. These results highlight the need for caution during manufacturing process of nanomaterials as well as further investigation on the toxicity mechanism.

  13. First-principles elastic constants of α- and θ-Al2O3

    NASA Astrophysics Data System (ADS)

    Shang, Shunli; Wang, Yi; Liu, Zi-Kui

    2007-03-01

    Using an efficient strain-stress method, the first-principles elastic constants cij's of α-Al2O3 and θ-Al2O3 have been predicted within the local density approximation and the generalized gradient approximation. It is indicated that more accurate calculations of cij's can be accomplished by the local density approximation. The predicted cij's of θ-Al2O3 provide helpful guidance for future measurements, especially the predicted negative c15. The present results make the stress estimation in thermally grown oxides containing of α- and θ-Al2O3 possible, which in turn provide helpful insights for preventing the failure of thermal barrier coatings on components in gas-turbine engines.

  14. Influences of Na2O and K2O Additions on Electrical Conductivity of CaO-MgO-Al2O3-SiO2 Melts

    NASA Astrophysics Data System (ADS)

    Zhang, Guo-Hua; Zheng, Wei-Wei; Chou, Kuo-Chih

    2017-04-01

    The present study investigated the influences of Na2O and K2O additions on electrical conductivity of blast furnace type CaO-MgO-Al2O3-SiO2 melts by the four-electrode method. Both the single addition of Na2O or K2O and the double additions of Na2O and K2O were studied. It was found that electrical conductivity monotonously increased as the amount of Na2O addition was gradually increased, whereas, when K2O was added, there was a continuous decrease of electrical conductivity. With melts containing both Na2O and K2O, electrical conductivity first decreased but then increased when Na2O was gradually substituted for K2O while keeping the molar fractions of other components constant. In other words, the mixed-alkali effect took place in CaO-Mg-Al2O3-SiO2-ΣR2O melts.

  15. Al2O3-based nanofluids: a review

    PubMed Central

    2011-01-01

    Ultrahigh performance cooling is one of the important needs of many industries. However, low thermal conductivity is a primary limitation in developing energy-efficient heat transfer fluids that are required for cooling purposes. Nanofluids are engineered by suspending nanoparticles with average sizes below 100 nm in heat transfer fluids such as water, oil, diesel, ethylene glycol, etc. Innovative heat transfer fluids are produced by suspending metallic or nonmetallic nanometer-sized solid particles. Experiments have shown that nanofluids have substantial higher thermal conductivities compared to the base fluids. These suspended nanoparticles can change the transport and thermal properties of the base fluid. As can be seen from the literature, extensive research has been carried out in alumina-water and CuO-water systems besides few reports in Cu-water-, TiO2-, zirconia-, diamond-, SiC-, Fe3O4-, Ag-, Au-, and CNT-based systems. The aim of this review is to summarize recent developments in research on the stability of nanofluids, enhancement of thermal conductivities, viscosity, and heat transfer characteristics of alumina (Al2O3)-based nanofluids. The Al2O3 nanoparticles varied in the range of 13 to 302 nm to prepare nanofluids, and the observed enhancement in the thermal conductivity is 2% to 36%. PMID:21762528

  16. Effect of TiO2/Al2O3 film coated diamond abrasive particles by sol-gel technique

    NASA Astrophysics Data System (ADS)

    Hu, Weida; Wan, Long; Liu, Xiaopan; Li, Qiang; Wang, Zhiqi

    2011-04-01

    The diamond abrasive particles were coated with the TiO2/Al2O3 film by the sol-gel technique. Compared with the uncoated diamonds, the TiO2/Al2O3 film was excellent material for the protection of the diamonds. The results showed that the incipient oxidation temperature of the TiO2/Al2O3 film coated diamonds in air atmosphere was 775 °C, which was higher 175 °C than that of the uncoated diamonds. And the coated diamonds also had better the diamond's single particle compressive strength and the impact toughness than that of uncoated diamonds after sintering at 750 °C. For the vitrified bond grinding wheels, replacing the uncoated diamonds with the TiO2/Al2O3 film coated diamonds, the volume expansion of the grinding wheels decreased from 6.2% to 3.4%, the porosity decreased from 35.7% to 25.7%, the hardness increased from 61.2HRC to 66.5HRC and the grinding ratio of the vitrified bond grinding wheels to carbide alloy (YG8) increased from 11.5 to 19.1.

  17. Reversible Negative Resistive Switching in an Individual Fe@Al2O3 Hybrid Nanotube for Nonvolatile Memory.

    PubMed

    Ye, Yalong; Zhao, Jie; Xiao, Li; Cheng, Baochang; Xiao, Yanhe; Lei, Shuijin

    2018-06-06

    Hybrid nanostructures can show enormous potential in different areas because of their unique structural configurations. Herein, Fe@Al 2 O 3 hybrid nanotubes are constructed via a homogeneous coprecipitation method followed by subsequent annealing in a reducing atmosphere. The introduction of zero band gap Fe nanocrystals in the wall of ultrawide band gap Al 2 O 3 insulator nanotubes results in the formation of charge trap centers, and correspondingly a single hybrid nanotube-based two-terminal device can show reversible negative resistive switching (RS) characteristics with symmetrical negative differential resistance (NDR) at relatively high operation bias voltages. At a large bias voltage, holes and electrons can be injected into traps at two ends from electrodes, respectively, and then captured. The bias voltage dependence of asymmetrical filling of charges can lead to a reversible variation of built-in electromotive force, and therefore the symmetrical negative RS with NDR arises from two reversible back-to-back series bipolar RS. At a low readout voltage, the single Fe@Al 2 O 3 hybrid nanotube can show an excellent nonvolatile memory feature with a relatively large switching ratio of ∼30. The bias-governed reversible negative RS with superior stability, reversibility, nondestructive readout, and remarkable cycle performance makes it a potential candidate in next-generation erasable nonvolatile resistive random access memories.

  18. Limit of the electrostatic doping in two-dimensional electron gases of LaXO3(X = Al, Ti)/SrTiO3

    NASA Astrophysics Data System (ADS)

    Biscaras, J.; Hurand, S.; Feuillet-Palma, C.; Rastogi, A.; Budhani, R. C.; Reyren, N.; Lesne, E.; Lesueur, J.; Bergeal, N.

    2014-10-01

    In LaTiO3/SrTiO3 and LaAlO3/SrTiO3 heterostructures, the bending of the SrTiO3 conduction band at the interface forms a quantum well that contains a superconducting two-dimensional electron gas (2-DEG). Its carrier density and electronic properties, such as superconductivity and Rashba spin-orbit coupling can be controlled by electrostatic gating. In this article we show that the Fermi energy lies intrinsically near the top of the quantum well. Beyond a filling threshold, electrons added by electrostatic gating escape from the well, hence limiting the possibility to reach a highly-doped regime. This leads to an irreversible doping regime where all the electronic properties of the 2-DEG, such as its resistivity and its superconducting transition temperature, saturate. The escape mechanism can be described by the simple analytical model we propose.

  19. Limit of the electrostatic doping in two-dimensional electron gases of LaXO3(X = Al, Ti)/SrTiO3

    PubMed Central

    Biscaras, J.; Hurand, S.; Feuillet-Palma, C.; Rastogi, A.; Budhani, R. C.; Reyren, N.; Lesne, E.; Lesueur, J.; Bergeal, N.

    2014-01-01

    In LaTiO3/SrTiO3 and LaAlO3/SrTiO3 heterostructures, the bending of the SrTiO3 conduction band at the interface forms a quantum well that contains a superconducting two-dimensional electron gas (2-DEG). Its carrier density and electronic properties, such as superconductivity and Rashba spin-orbit coupling can be controlled by electrostatic gating. In this article we show that the Fermi energy lies intrinsically near the top of the quantum well. Beyond a filling threshold, electrons added by electrostatic gating escape from the well, hence limiting the possibility to reach a highly-doped regime. This leads to an irreversible doping regime where all the electronic properties of the 2-DEG, such as its resistivity and its superconducting transition temperature, saturate. The escape mechanism can be described by the simple analytical model we propose. PMID:25346028

  20. Electrical transport across nanometric SrTiO3 and BaTiO3 barriers in conducting/insulator/conducting junctions

    NASA Astrophysics Data System (ADS)

    Navarro, H.; Sirena, M.; González Sutter, J.; Troiani, H. E.; del Corro, P. G.; Granell, P.; Golmar, F.; Haberkorn, N.

    2018-01-01

    We report the electrical transport properties of conducting/insulator/conducting heterostructures by studying current-voltage IV curves at room temperature. The measurements were obtained on tunnel junctions with different areas (900, 400 and 100 μm2) using a conducting atomic force microscope. Trilayers with GdBa2Cu3O7 (GBCO) as the bottom electrode, SrTiO3 or BaTiO3 (thicknesses between 1.6 and 4 nm) as the insulator barrier, and GBCO or Nb as the top electrode were grown by DC sputtering on (100) SrTiO3 substrates For SrTiO3 and BaTiO3 barriers, asymmetric IV curves at positive and negative polarization can be obtained using electrodes with different work function. In addition, hysteretic IV curves are obtained for BaTiO3 barriers, which can be ascribed to a combined effect of the FE reversal switching polarization and an oxygen vacancy migration. For GBCO/BaTiO3/GBCO heterostructures, the IV curves correspond to that expected for asymmetric interfaces, which indicates that the disorder affects differently the properties at the bottom and top interfaces. Our results show the role of the interface disorder on the electrical transport of conducting/insulator/conduction heterostructures, which is relevant for different applications, going from resistive switching memories (at room temperature) to Josephson junctions (at low temperatures).

  1. Material parameters from frequency dispersion simulation of floating gate memory with Ge nanocrystals in HfO2

    NASA Astrophysics Data System (ADS)

    Palade, C.; Lepadatu, A. M.; Slav, A.; Lazanu, S.; Teodorescu, V. S.; Stoica, T.; Ciurea, M. L.

    2018-01-01

    Trilayer memory capacitors with Ge nanocrystals (NCs) floating gate in HfO2 were obtained by magnetron sputtering deposition on p-type Si substrate followed by rapid thermal annealing at relatively low temperature of 600 °C. The frequency dispersion of capacitance and resistance was measured in accumulation regime of Al/HfO2 gate oxide/Ge NCs in HfO2 floating gate/HfO2 tunnel oxide/SiOx/p-Si/Al memory capacitors. For simulation of the frequency dispersion a complex circuit model was used considering an equivalent parallel RC circuit for each layer of the trilayer structure. A series resistance due to metallic contacts and Si substrate was necessary to be included in the model. A very good fit to the experimental data was obtained and the parameters of each layer in the memory capacitor, i.e. capacitances and resistances were determined and in turn the intrinsic material parameters, i.e. dielectric constants and resistivities of layers were evaluated. The results are very important for the study and optimization of the hysteresis behaviour of floating gate memories based on NCs embedded in oxide.

  2. Enhanced performance of solution-processed organic thin-film transistors with a low-temperature-annealed alumina interlayer between the polyimide gate insulator and the semiconductor.

    PubMed

    Yoon, Jun-Young; Jeong, Sunho; Lee, Sun Sook; Kim, Yun Ho; Ka, Jae-Won; Yi, Mi Hye; Jang, Kwang-Suk

    2013-06-12

    We studied a low-temperature-annealed sol-gel-derived alumina interlayer between the organic semiconductor and the organic gate insulator for high-performance organic thin-film transistors. The alumina interlayer was deposited on the polyimide gate insulator by a simple spin-coating and 200 °C-annealing process. The leakage current density decreased by the interlayer deposition: at 1 MV/cm, the leakage current densities of the polyimide and the alumina/polyimide gate insulators were 7.64 × 10(-7) and 3.01 × 10(-9) A/cm(2), respectively. For the first time, enhancement of the organic thin-film transistor performance by introduction of an inorganic interlayer between the organic semiconductor and the organic gate insulator was demonstrated: by introducing the interlayer, the field-effect mobility of the solution-processed organic thin-film transistor increased from 0.35 ± 0.15 to 1.35 ± 0.28 cm(2)/V·s. Our results suggest that inorganic interlayer deposition could be a simple and efficient surface treatment of organic gate insulators for enhancing the performance of solution-processed organic thin-film transistors.

  3. Strain-Gated Field Effect Transistor of a MoS2-ZnO 2D-1D Hybrid Structure.

    PubMed

    Chen, Libo; Xue, Fei; Li, Xiaohui; Huang, Xin; Wang, Longfei; Kou, Jinzong; Wang, Zhong Lin

    2016-01-26

    Two-dimensional (2D) molybdenum disulfide (MoS2) is an exciting material due to its unique electrical, optical, and piezoelectric properties. Owing to an intrinsic band gap of 1.2-1.9 eV, monolayer or a-few-layer MoS2 is used for fabricating field effect transistors (FETs) with high electron mobility and on/off ratio. However, the traditional FETs are controlled by an externally supplied gate voltage, which may not be sensitive enough to directly interface with a mechanical stimulus for applications in electronic skin. Here we report a type of top-pressure/force-gated field effect transistors (PGFETs) based on a hybrid structure of a 2D MoS2 flake and 1D ZnO nanowire (NW) array. Once an external pressure is applied, the piezoelectric polarization charges created at the tips of ZnO NWs grown on MoS2 act as a gate voltage to tune/control the source-drain transport property in MoS2. At a 6.25 MPa applied stimulus on a packaged device, the source-drain current can be tuned for ∼25%, equivalent to the results of applying an extra -5 V back gate voltage. Another type of PGFET with a dielectric layer (Al2O3) sandwiched between MoS2 and ZnO also shows consistent results. A theoretical model is proposed to interpret the received data. This study sets the foundation for applying the 2D material-based FETs in the field of artificial intelligence.

  4. Melting and subsolidus reactions in the system K2O-CaO-Al2O3-SiO2-H2O

    NASA Astrophysics Data System (ADS)

    Johannes, Wilhelm

    1980-09-01

    Beginning of melting and subsolidus relationships in the system K2O-CaO-Al2O3-SiO2-H2O have been experimentally investigated at pressures up to 20 kbars. The equilibria discussed involve the phases anorthite, sanidine, zoisite, muscovite, quartz, kyanite, gas, and melt and two invariant points: Point [Ky] with the phases An, Or, Zo, Ms, Qz, Vapor, and Melt; point [Or] with An, Zo, Ms, Ky, Qz, Vapor, and Melt. The invariant point [Ky] at 675° C and 8.7 kbars marks the lowest solidus temperature of the system investigated. At pressures above this point the hydrated phases zoisite and muscovite are liquidus phases and the solidus temperatures increase with increasing pressure. At 20 kbars beginning of melting occurs at 740 °C. The solidus temperatures of the quinary system K2O-CaO-Al2O3-SiO2-H2O are almost 60° C (at 20 kbars) and 170° C (at 2kbars) below those of the limiting quaternary system CaO-Al2O3-SiO2-H2O. The maximum water pressure at which anorthite is stable is lowered from 14 to 8.7 kbars in the presence of sanidine. The stability limits of anorthite+ vapor and anorthite+sanidine+vapor at temperatures below 700° C are almost parallel and do not intersect. In the wide temperature — pressure range at pressures above the reaction An+Or+Vapor = Zo+Ms+Qz and temperatures below the melting curve of Zo+Ms+Ky+Qz+Vapor, the feldspar assemblage anorthite+sanidine is replaced by the hydrated phases zoisite and muscovite plus quartz. CaO-Al2O3-SiO2-H2O. Knowledge of the melting relationships involving the minerals zoisite and muscovite contributes to our understanding of the melting processes occuring in the deeper parts of the crust. Beginning of melting in granites and granodiorites depends on the composition of plagioclase. The solidus temperatures of all granites and granodiorites containing plagioclases of intermediate composition are higher than those of the Ca-free alkali feldspar granite system and below those of the Na-free system discussed in this

  5. Analysis of SAW properties in ZnO/AlxGa1-xN/c-Al2O3 structures.

    PubMed

    Chen, Ying; Emanetoglu, Nuri William; Saraf, Gaurav; Wu, Pan; Lu, Yicheng; Parekh, Aniruddh; Merai, Vinod; Udovich, Eric; Lu, Dong; Lee, Dong S; Armour, Eric A; Pophristic, Milan

    2005-07-01

    Piezoelectric thin films on high acoustic velocity nonpiezoelectric substrates, such as ZnO, AlN, or GaN deposited on diamond or sapphire substrates, are attractive for high frequency and low-loss surface acoustic wave devices. In this work, ZnO films are deposited on AlxGa1-xN/c-Al2O3 (0 < or = chi < or = 1) substrates using the radio frequency (RF) sputtering technique. In comparison with a single AlxGa1-xN layer deposited on c-Al2O3 with the same total film thickness, a ZnO/AlxGa1-xN/c-Al2O3 multilayer structure provides several advantages, including higher order wave modes with higher velocity and larger electromechanical coupling coefficient (K2). The surface acoustic wave (SAW) velocities and coupling coefficients of the ZnO/AlxGa1-xN/c-Al2O3 structure are tailored as a function of the Al mole percentage in AlxGa1-xN films, and as a function of the ZnO (h1) to AlxGa1-xN (h2) thickness ratio. It is found that a wide thickness-frequency product (hf) region in which coupling is close to its maximum value, K(2)max, can be obtained. The K(2)max of the second order wave mode (h1 = h2) is estimated to be 4.3% for ZnO/GaN/c-Al2O3, and 3.8% for ZnO/AlN/c-Al2O3. The bandwidth of second and third order wave modes, in which the coupling coefficient is within +/- 0.3% of K(2)max, is calculated to be 820 hf for ZnO/GaN/c-Al2O3, and 3620 hf for ZnO/AlN/c-Al2O3. Thus, the hf region in which the coupling coefficient is close to the maximum value broadens with increasing Al content, while K(2)max decreases slightly. When the thickness ratio of AlN to ZnO increases, the K(2)max and hf bandwidth of the second and third higher wave modes increases. The SAW test devices are fabricated and tested. The theoretical and experimental results of velocity dispersion in the ZnO/AlxGa1-xN/c-Al2O3 structures are found to be well matched.

  6. Epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) by reactive high-power impulse magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eklund, Per, E-mail: perek@ifm.liu.se; Frodelius, Jenny; Hultman, Lars

    2014-01-15

    Al{sub 2}O{sub 3} was deposited by reactive high-power impulse magnetron sputtering at 600 °C onto pre-deposited Ti{sub 2}AlC(0001) thin films on α-Al{sub 2}O{sub 3}(0001) substrates. The Al{sub 2}O{sub 3} was deposited to a thickness of 65 nm and formed an adherent layer of epitaxial γ-Al{sub 2}O{sub 3}(111) as shown by transmission electron microscopy. The demonstration of epitaxial growth of γ-Al{sub 2}O{sub 3} on Ti{sub 2}AlC(0001) open prospects for growth of crystalline alumina as protective coatings on Ti{sub 2}AlC and related nanolaminated materials. The crystallographic orientation relationships are γ-Al{sub 2}O{sub 3}(111)//Ti{sub 2}AlC(0001) (out-of-plane) and γ- Al {sub 2}O{sub 3}(22{sup ¯}0)// Timore » {sub 2} AlC (112{sup ¯}0) (in-plane) as determined by electron diffraction. Annealing in vacuum at 900 °C resulted in partial decomposition of the Ti{sub 2}AlC by depletion of Al and diffusion into and through the γ-Al{sub 2}O{sub 3} layer.« less

  7. Analysis of grain boundary phase devitrification of Y2O3- and Al2O3-doped Si3N4

    NASA Technical Reports Server (NTRS)

    Hench, L. L.; Vaidyanathan, P. N.

    1983-01-01

    The present study has the objective to show that a Fourier Transform IR (FTIR) spectrometer in a single-beam reflection mode can be used for direct comparison of fractured vs nonfractured Si3N4 surfaces. This can be done because the FTIR method permits a digital summation of nearly 1000 scans of the fracture surface. Commercial-grade Si3N4, Y2O3, and Al2O3 were used in the study. The samples were heat treated in a vacuum induction heating furnace at either 1000 C for 10 h or 1200 C for 10 h each. Use of Fourier transform IR reflection spectroscopic analysis and X-ray diffraction shows that 10 h at 1200 C is sufficient to devitrify the amorphous grain boundary phase of Si3N4 containing 15 percent Y2O3 + 2 percent Al2O3 densification aids.

  8. Interface trapping in (2 ¯ 01 ) β-Ga2O3 MOS capacitors with deposited dielectrics

    NASA Astrophysics Data System (ADS)

    Jayawardena, Asanka; Ramamurthy, Rahul P.; Ahyi, Ayayi C.; Morisette, Dallas; Dhar, Sarit

    2018-05-01

    The electrical properties of interfaces and the impact of post-deposition annealing have been investigated in gate oxides formed by low pressure chemical vapor deposition (LPCVD SiO2) and atomic layer deposition (Al2O3) on ( 2 ¯ 01 ) oriented n-type β-Ga2O3 single crystals. Capacitance-voltage based methods have been used to extract the interface state densities, including densities of slow `border' traps at the dielectric-Ga2O3 interfaces. It was observed that SiO2-β-Ga2O3 has a higher interface and border trap density than the Al2O3-β-Ga2O3. An increase in shallow interface states was also observed at the Al2O3-β-Ga2O3 interface after post-deposition annealing at higher temperature suggesting the high temperature annealing to be detrimental for Al2O3-Ga2O3 interfaces. Among the different dielectrics studied, LPCVD SiO2 was found to have the lowest dielectric leakage and the highest breakdown field, consistent with a higher conduction band-offset. These results are important for the processing of high performance β-Ga2O3 MOS devices as these factors will critically impact channel transport, threshold voltage stability, and device reliability.

  9. Proton irradiation of MgO- or Sc 2O 3 passivated AlGaN/GaN high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Luo, B.; Ren, F.; Allums, K. K.; Gila, B. P.; Onstine, A. H.; Abernathy, C. R.; Pearton, S. J.; Dwivedi, R.; Fogarty, T. N.; Wilkins, R.; Fitch, R. C.; Gillespie, J. K.; Jenkins, T. J.; Dettmer, R.; Sewell, J.; Via, G. D.; Crespo, A.; Baca, A. G.; Shul, R. J.

    2003-06-01

    AlGaN/GaN high electron mobility transistors with either MgO or Sc 2O 3 surface passivation were irradiated with 40 MeV protons at a dose of 5×10 9 cm -2. While both forward and reverse bias current were decreased in the devices as a result of decreases in channel doping and introduction of generation-recombination centers, there was no significant change observed in gate lag measurements. By sharp contrast, unpassivated devices showed significant decreases in drain current under pulsed conditions for the same proton dose. These results show the effectiveness of the oxide passivation in mitigating the effects of surface states present in the as-grown structures and also of surface traps created by the proton irradiation.

  10. Preparation of highly ordered mesoporous Al2O3/TiO2 and its application in dye-sensitized solar cells.

    PubMed

    Kim, Jae-Yup; Kang, Soon Hyung; Kim, Hyun Sik; Sung, Yung-Eun

    2010-02-16

    Highly ordered mesoporous Al(2)O(3)/TiO(2) was prepared by sol-gel reaction and evaporation-induced self-assembly (EISA) for use in dye-sensitized solar cells. The prepared materials had two-dimensional, hexagonal pore structures with anatase crystalline phases. The average pore size of mesoporous Al(2)O(3)/TiO(2) remained uniform and in the range of 6.33-6.58 nm while the Brunauer-Emmett-Teller (BET) surface area varied from 181 to 212 m(2)/g with increasing the content of Al(2)O(3). The incorporation of Al content retarded crystallite growth, thereby decreasing crystallite size while simultaneously improving the uniformity of pore size and volume. The thin Al(2)O(3) layer was located mostly on the mesopore surface, as confirmed by X-ray photoelectron spectroscopy (XPS). The Al(2)O(3) coating on the mesoporous TiO(2) film contributes to the essential energy barrier which blocks the charge recombination process in dye-sensitized solar cells. Mesoporous Al(2)O(3)/TiO(2) (1 mol % Al(2)O(3)) exhibited enhanced power conversion efficiency (V(oc) = 0.74 V, J(sc) = 15.31 mA/cm(2), fill factor = 57%, efficiency = 6.50%) compared to pure mesoporous TiO(2) (V(oc) = 0.72 V, J(sc) = 16.03 mA/cm(2), fill factor = 51%, efficiency = 5.88%). Therefore, the power conversion efficiency was improved by approximately 10.5%. In particular, the increase in V(oc) and fill factor resulted from the inhibition of charge recombination and the improvement of pore structure.

  11. XPS and EELS characterization of Mn2SiO4, MnSiO3 and MnAl2O4

    NASA Astrophysics Data System (ADS)

    Grosvenor, A. P.; Bellhouse, E. M.; Korinek, A.; Bugnet, M.; McDermid, J. R.

    2016-08-01

    X-ray Photoelectron Spectroscopy (XPS) and Electron Energy Loss Spectroscopy (EELS) are strong candidate techniques for characterizing steel surfaces and substrate-coating interfaces when investigating the selective oxidation and reactive wetting of advanced high strength steels (AHSS) during the continuous galvanizing process. However, unambiguous identification of ternary oxides such as Mn2SiO4, MnSiO3, and MnAl2O4 by XPS or EELS, which can play a significant role in substrate reactive wetting, is difficult due to the lack of fully characterized standards in the literature. To resolve this issue, samples of Mn2SiO4, MnSiO3 and MnAl2O4 were synthesized and characterized by XPS and EELS. The unique features of the XPS and EELS spectra for the Mn2SiO4, MnSiO3 and MnAl2O4 standards were successfully derived, thereby allowing investigators to fully differentiate and identify these oxides at the surface and subsurface of Mn, Si and Al alloyed AHSS using these techniques.

  12. Trapped charge densities in Al{sub 2}O{sub 3}-based silicon surface passivation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jordan, Paul M., E-mail: Paul.Jordan@namlab.com; Simon, Daniel K.; Dirnstorfer, Ingo

    2016-06-07

    In Al{sub 2}O{sub 3}-based passivation layers, the formation of fixed charges and trap sites can be strongly influenced by small modifications in the stack layout. Fixed and trapped charge densities are characterized with capacitance voltage profiling and trap spectroscopy by charge injection and sensing, respectively. Al{sub 2}O{sub 3} layers are grown by atomic layer deposition with very thin (∼1 nm) SiO{sub 2} or HfO{sub 2} interlayers or interface layers. In SiO{sub 2}/Al{sub 2}O{sub 3} and HfO{sub 2}/Al{sub 2}O{sub 3} stacks, both fixed charges and trap sites are reduced by at least a factor of 5 compared with the value measured inmore » pure Al{sub 2}O{sub 3}. In Al{sub 2}O{sub 3}/SiO{sub 2}/Al{sub 2}O{sub 3} or Al{sub 2}O{sub 3}/HfO{sub 2}/Al{sub 2}O{sub 3} stacks, very high total charge densities of up to 9 × 10{sup 12} cm{sup −2} are achieved. These charge densities are described as functions of electrical stress voltage, time, and the Al{sub 2}O{sub 3} layer thickness between silicon and the HfO{sub 2} or the SiO{sub 2} interlayer. Despite the strong variation of trap sites, all stacks reach very good effective carrier lifetimes of up to 8 and 20 ms on p- and n-type silicon substrates, respectively. Controlling the trap sites in Al{sub 2}O{sub 3} layers opens the possibility to engineer the field-effect passivation in the solar cells.« less

  13. Increasing the Aromatic Selectivity of Quinoline Hydrogenolysis Using Pd/MO x–Al 2O 3

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bachrach, Mark; Morlanes-Sanchez, Natalia; Canlas, Christian P.

    2014-09-11

    Catalysts consisting of Pd nanoparticles supported on highly dispersed TiO x–Al 2O 3, TaO x–Al 2O 3, and MoO x–Al 2O 3 are studied for catalytic quinoline hydrogenation and selective C–N bond cleavage at 275 °C and 20 bar H 2. Lastly, the Pd/MO x–Al 2O 3 materials exhibit significantly greater aromatic product selectivity and thus 10–15 % less required H 2 for a given level of denitrogenation relative to an unmodified Pd/Al 2O 3 catalyst.

  14. Synthesis of Nanoscale CaO-Al2O3-SiO2-H2O and Na2O-Al2O3-SiO2-H2O Using the Hydrothermal Method and Their Characterization

    PubMed Central

    Yang, Jingbin; Li, Dongxu; Fang, Yuan

    2017-01-01

    C-A-S-H (CaO-Al2O3-SiO2-H2O) and N-A-S-H (Na2O-Al2O3-SiO2-H2O) have a wide range of chemical compositions and structures and are difficult to separate from alkali-activated materials. Therefore, it is difficult to analyze their microscopic properties directly. This paper reports research on the synthesis of C-A-S-H and N-A-S-H particles with an average particle size smaller than 300 nm by applying the hydrothermal method. The composition and microstructure of the products with different CaO(Na2O)/SiO2 ratios and curing conditions were characterized using XRD, the RIR method, FTIR, SEM, TEM, and laser particle size analysis. The results showed that the C-A-S-H system products with a low CaO/SiO2 ratio were mainly amorphous C-A-S-H gels. With an increase in the CaO/SiO2 ratio, an excess of Ca(OH)2 was observed at room temperature, while in a high-temperature reaction system, katoite, C4AcH11, and other crystallized products were observed. The katoite content was related to the curing temperature and the content of Ca(OH)2 and it tended to form at a high-temperature and high-calcium environment, and an increase in the temperature renders the C-A-S-H gels more compact. The main products of the N-A-S-H system at room temperature were amorphous N-A-S-H gels and a small amount of sodalite. An increase in the curing temperature promoted the formation of the crystalline products faujasite and zeolite-P. The crystallization products consisted of only zeolite-P in the high-temperature N-A-S-H system and its content were stable above 70%. An increase in the Na2O/SiO2 ratio resulted in more non-bridging oxygen and the TO4 was more isolated in the N-A-S-H structure. The composition and microstructure of the C-A-S-H and N-A-S-H system products synthesized by the hydrothermal method were closely related to the ratio of the raw materials and the curing conditions. The results of this study increase our understanding of the hydration products of alkali-activated materials. PMID

  15. Preparation and characterization of Miocene clay powders in the region of Taza (Morocco) after doping with metal oxides Al2O3

    NASA Astrophysics Data System (ADS)

    Mesrar, L.; Lakrim, M.; Akdim, M.; Benmar, A.; –Sbai, N. ES; Jabrane, R.

    2017-03-01

    The marl’s minerals are abundant untreated material in several areas worldwide. They are often under-valued for human use. However, due to demands of the society in terms of sustainability and energy saving, the valuation of these resources to develop new materials, most environmentally friendly has become a concern both scientific and industrial aims. Ceramics are the growing research to obtain materials with good chemical stability and good hot properties [1]. The balance between these properties and industrial requirements allowed clay materials uses at craft departure (pottery, tile), to progress towards high-tech applications such as electrical and thermal insulation, electric candle, sound insulation [2]. The behavior of the doping (Al2O3), which has more scientific research interest, has been a renewed interest since 1980 [3] with the emergence of alumina very high purity. Miocene marl is one of the widespread geological substrates in Fez-Taza vicinity (Central Morocco). In this study we proceed by a physicochemical characterization of the marl after doping with metal oxides, by various analytical techniques, namely the X-ray fluorescence, the mineralogical analysis and geotechnical test. The doping of these marl was conducted by solid oxides of Al2O3 at different percentages (5%, 10% and 15%). The results of chemical analysis showed the Al2O3 increase during doping. So, the mineralogical analysis of doped clays shows peaks’ increases for kaolin. The marl doped acquired the property of their good plasticity and good mechanical resistance compared to crass marl.

  16. Revisiting the Al/Al 2O 3 Interface: Coherent Interfaces and Misfit Accommodation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pilania, Ghanshyam; Thijsse, Barend J.; Hoagland, Richard G.

    We report the coherent and semi-coherent Al/α-Al 2O 3 interfaces using molecular dynamics simulations with a mixed, metallic-ionic atomistic model. For the coherent interfaces, both Al-terminated and O-terminated nonstoichiometric interfaces have been studied and their relative stability has been established. To understand the misfit accommodation at the semi-coherent interface, a 1-dimensional (1D) misfit dislocation model and a 2-dimensional (2D) dislocation network model have been studied. For the latter case, our analysis reveals an interface dislocation structure with a network of three sets of parallel dislocations, each with pure-edge character, giving rise to a pattern of coherent and stacking-fault-like regions atmore » the interface. Structural relaxation at elevated temperatures leads to a further change of the dislocation pattern, which can be understood in terms of a competition between the stacking fault energy and the dislocation interaction energy at the interface. In conclusion, our results are expected to serve as an input for the subsequent dislocation dynamics models to understand and predict the macroscopic mechanical behavior of Al/α-Al 2O 3 composite heterostructures.« less

  17. Revisiting the Al/Al 2O 3 Interface: Coherent Interfaces and Misfit Accommodation

    DOE PAGES

    Pilania, Ghanshyam; Thijsse, Barend J.; Hoagland, Richard G.; ...

    2014-03-27

    We report the coherent and semi-coherent Al/α-Al 2O 3 interfaces using molecular dynamics simulations with a mixed, metallic-ionic atomistic model. For the coherent interfaces, both Al-terminated and O-terminated nonstoichiometric interfaces have been studied and their relative stability has been established. To understand the misfit accommodation at the semi-coherent interface, a 1-dimensional (1D) misfit dislocation model and a 2-dimensional (2D) dislocation network model have been studied. For the latter case, our analysis reveals an interface dislocation structure with a network of three sets of parallel dislocations, each with pure-edge character, giving rise to a pattern of coherent and stacking-fault-like regions atmore » the interface. Structural relaxation at elevated temperatures leads to a further change of the dislocation pattern, which can be understood in terms of a competition between the stacking fault energy and the dislocation interaction energy at the interface. In conclusion, our results are expected to serve as an input for the subsequent dislocation dynamics models to understand and predict the macroscopic mechanical behavior of Al/α-Al 2O 3 composite heterostructures.« less

  18. Corrosion Protection of Copper Using Al2O3, TiO2, ZnO, HfO2, and ZrO2 Atomic Layer Deposition.

    PubMed

    Daubert, James S; Hill, Grant T; Gotsch, Hannah N; Gremaud, Antoine P; Ovental, Jennifer S; Williams, Philip S; Oldham, Christopher J; Parsons, Gregory N

    2017-02-01

    Atomic layer deposition (ALD) is a viable means to add corrosion protection to copper metal. Ultrathin films of Al 2 O 3 , TiO 2 , ZnO, HfO 2 , and ZrO 2 were deposited on copper metal using ALD, and their corrosion protection properties were measured using electrochemical impedance spectroscopy (EIS) and linear sweep voltammetry (LSV). Analysis of ∼50 nm thick films of each metal oxide demonstrated low electrochemical porosity and provided enhanced corrosion protection from aqueous NaCl solution. The surface pretreatment and roughness was found to affect the extent of the corrosion protection. Films of Al 2 O 3 or HfO 2 provided the highest level of initial corrosion protection, but films of HfO 2 exhibited the best coating quality after extended exposure. This is the first reported instance of using ultrathin films of HfO 2 or ZrO 2 produced with ALD for corrosion protection, and both are promising materials for corrosion protection.

  19. Synthesis and luminescent properties of Gd3Ga2Al3O12 phosphors doped with Eu3+ or Ce3+

    NASA Astrophysics Data System (ADS)

    Oh, M. J.; Kim, H. J.

    2016-09-01

    Eu3+-or Ce3+-doped gadolinium gallium aluminum garnet (GGAG), Gd3Ga2Al3O12, phosphors are fabricated using solid-state reactions with Gd2O3, Ga2O3, Al2O3, CeO2 and Eu2O3 powders. The Eu3+-or Ce3+-doped Gd3Ga2Al3O12 phosphors are sintered at 1300 °C or 1600 °C for 5 hours by using an electric furnace under normal atmosphere. X-ray diffraction and field-emission scanning electron microscopy studies are carried out in order to analyze the physical properties of these materials, and their luminescence properties are also measured by using UV and X-ray sources. The Eu3+-or Ce3+-doped Gd3Ga2Al3O12 phosphors show higher light yields in comparison to commercial phosphors such as Gd2O2S:Tb (gadox). This indicates that Gd3Ga2Al3O12:Eu3+ phosphors are promising materials for use in X-ray imaging and dose monitoring at proton beamlines.

  20. Mechanism of MnS Precipitation on Al2O3-SiO2 Inclusions in Non-oriented Silicon Steel

    NASA Astrophysics Data System (ADS)

    Li, Fangjie; Li, Huigai; Huang, Di; Zheng, Shaobo; You, Jinglin

    2018-05-01

    This study investigates the mechanism of MnS precipitation on Al2O3-SiO2 inclusions during the solidification of non-oriented silicon steel, especially the influence of the phase structures and sizes of the oxides on the MnS precipitation, by scanning electron microscopy and transmission electron microscopy coupled with energy dispersive spectrometry. The investigation results show that MnS tends to nucleate on submicron-sized Al2O3-SiO2 inclusions formed by interdendritic segregation and that it covers the oxides completely. In addition, MnS can precipitate on micron-sized oxides and its precipitation behavior is governed by the phase structure of the oxides. The MnS embryo formed in a MnO-containing oxide can act as a substrate for MnS precipitation, thus permitting further growth via diffusion of solute atoms from the matrix. MnS also precipitates in a MnO-free oxide by the heterogeneous nucleation mechanism. Furthermore, MnS is less prone to precipitation in the Al2O3-rich regions of the Al2O3-SiO2 inclusions; this can be explained by the high lattice disregistry between MnS and Al2O3.

  1. rf Quantum Capacitance of the Topological Insulator Bi2Se3 in the Bulk Depleted Regime for Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Inhofer, A.; Duffy, J.; Boukhicha, M.; Bocquillon, E.; Palomo, J.; Watanabe, K.; Taniguchi, T.; Estève, I.; Berroir, J. M.; Fève, G.; Plaçais, B.; Assaf, B. A.

    2018-02-01

    A metal-dielectric topological-insulator capacitor device based on hexagonal-boron-nitrate- (h -BN) encapsulated CVD-grown Bi2Se3 is realized and investigated in the radio-frequency regime. The rf quantum capacitance and device resistance are extracted for frequencies as high as 10 GHz and studied as a function of the applied gate voltage. The superior quality h -BN gate dielectric combined with the optimized transport characteristics of CVD-grown Bi2Se3 (n ˜1018 cm-3 in 8 nm) on h -BN allow us to attain a bulk depleted regime by dielectric gating. A quantum-capacitance minimum and a linear variation of the capacitance with the chemical potential are observed revealing a Dirac regime. The topological surface state in proximity to the gate is seen to reach charge neutrality, but the bottom surface state remains charged and capacitively coupled to the top via the insulating bulk. Our work paves the way toward implementation of topological materials in rf devices.

  2. Room-temperature aqueous plasma electrolyzing Al2O3 nano-coating on carbon fiber

    NASA Astrophysics Data System (ADS)

    Zhang, Yuping; Meng, Yang; Shen, Yonghua; Chen, Weiwei; Cheng, Huanwu; Wang, Lu

    2017-10-01

    A novel room-temperature aqueous plasma electrolysis technique has been developed in order to prepared Al2O3 nano-coating on each fiber within a carbon fiber bundle. The microstructure and formation mechanism of the Al2O3 nano-coating were systematically investigated. The oxidation resistance and tensile strength of the Al2O3-coated carbon fiber was measured at elevated temperatures. It showed that the dense Al2O3 nano-coating was relatively uniformly deposited with 80-120 nm in thickness. The Al2O3 nano-coating effectively protected the carbon fiber, evidenced by the slower oxidation rate and significant increase of the burn-out temperature from 800 °C to 950 °C. Although the bare carbon fiber remained ∼25 wt.% after oxidation at 700 °C for 20 min, a full destruction was observed, evidenced by the ∼0 GPa of the tensile strength, compared to ∼1.3 GPa of the Al2O3-coated carbon fiber due to the effective protection from the Al2O3 nano-coating. The formation mechanism of the Al2O3 nano-coating on carbon fiber was schematically established mainly based on the physic-chemical effect in the cathodic plasma arc zone.

  3. Metal-Insulator Transitions in Epitaxial LaVO(3) and LaTiO(3) Films

    DTIC Science & Technology

    2012-08-01

    epitaxial films of LaVO3 and LaTiO3 can exhibit metallicity though their bulk counterparts are Mott insulators. When LaTiO3 films are compressively...secondarily to interface electronic reconstruction at the LaTiO3 /SrTiO3 interface. However, when LaVO3 films are compressively strained on SrTiO3...ABSTRACT We have demonstrated that epitaxial films of LaVO3 and LaTiO3 can exhibit metallicity though their bulk counterparts are Mott insulators. When

  4. Valence and conduction band offsets of β-Ga2O3/AlN heterojunction

    NASA Astrophysics Data System (ADS)

    Sun, Haiding; Torres Castanedo, C. G.; Liu, Kaikai; Li, Kuang-Hui; Guo, Wenzhe; Lin, Ronghui; Liu, Xinwei; Li, Jingtao; Li, Xiaohang

    2017-10-01

    Both β-Ga2O3 and wurtzite AlN have wide bandgaps of 4.5-4.9 and 6.1 eV, respectively. We calculated the in-plane lattice mismatch between the (-201) plane of β-Ga2O3 and the (0002) plane of AlN, which was found to be 2.4%. This is the smallest mismatch between β-Ga2O3 and binary III-nitrides which is beneficial for the formation of a high quality β-Ga2O3/AlN heterojunction. However, the valence and conduction band offsets (VBO and CBO) at the β-Ga2O3/AlN heterojunction have not yet been identified. In this study, a very thin (less than 2 nm) β-Ga2O3 layer was deposited on an AlN/sapphire template to form the heterojunction by pulsed laser deposition. High-resolution X-ray photoelectron spectroscopy revealed the core-level (CL) binding energies of Ga 3d and Al 2p with respect to the valence band maximum in individual β-Ga2O3 and AlN layers, respectively. The separation between Ga 3d and Al 2p CLs at the β-Ga2O3/AlN interface was also measured. Eventually, the VBO was found to be -0.55 ± 0.05 eV. Consequently, a staggered-gap (type II) heterojunction with a CBO of -1.75 ± 0.05 eV was determined. The identification of the band alignment of the β-Ga2O3/AlN heterojunction could facilitate the design of optical and electronic devices based on these and related alloys.

  5. Processing and optical properties of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides

    NASA Astrophysics Data System (ADS)

    Xiang, Qing; Zhou, Yan; Ooi, Boon Siew; Lam, Yee Loy; Chan, Yuen Chuen; Kam, Chan Hin

    2000-05-01

    We report here the processing and optical characterization of Nd3+-doped SiO2-TiO2-Al2O3 planar waveguides deposited on SOS substrates by the sol-gel route combined with spin-coating and rapid thermal annealing. The recipes used for preparing the solutions by sol-gel route are in mole ratio of 93SiO2:20AlO1.5: x ErO1.5. In order to verify the residual OH content in the films, FTIR spectra were measured and the morphology of the material by the XRD analysis. Five 2-layer films annealed at a maximum temperature of 500 degrees C, 700 degrees C, 900 degrees, 1000 degrees C, 1100 degrees C respectively were fabricated on silicon. The FTIR and XRD curves show that annealing at 1050 degrees C for 15s effectively removes the OH in the materia and keeps the material amorphous. The propagation loss of the planar waveguides was measured by using the method based on scattering in measurements and the result was obtained to be 1.54dB/cm. The fluorescence spectra were measured with 514nm wavelength of Ar+ laser by directly shining the pump beam on the film instead of prism coupling. The results show that the 1 mole Nd3+ content recipe has the strongest emission efficiency among the four samples investigated.

  6. Quantum and Classical Magnetoresistance in Ambipolar Topological Insulator Transistors with Gate-tunable Bulk and Surface Conduction

    PubMed Central

    Tian, Jifa; Chang, Cuizu; Cao, Helin; He, Ke; Ma, Xucun; Xue, Qikun; Chen, Yong P.

    2014-01-01

    Weak antilocalization (WAL) and linear magnetoresistance (LMR) are two most commonly observed magnetoresistance (MR) phenomena in topological insulators (TIs) and often attributed to the Dirac topological surface states (TSS). However, ambiguities exist because these phenomena could also come from bulk states (often carrying significant conduction in many TIs) and are observable even in non-TI materials. Here, we demonstrate back-gated ambipolar TI field-effect transistors in (Bi0.04Sb0.96)2Te3 thin films grown by molecular beam epitaxy on SrTiO3(111), exhibiting a large carrier density tunability (by nearly 2 orders of magnitude) and a metal-insulator transition in the bulk (allowing switching off the bulk conduction). Tuning the Fermi level from bulk band to TSS strongly enhances both the WAL (increasing the number of quantum coherent channels from one to peak around two) and LMR (increasing its slope by up to 10 times). The SS-enhanced LMR is accompanied by a strongly nonlinear Hall effect, suggesting important roles of charge inhomogeneity (and a related classical LMR), although existing models of LMR cannot capture all aspects of our data. Our systematic gate and temperature dependent magnetotransport studies provide deeper insights into the nature of both MR phenomena and reveal differences between bulk and TSS transport in TI related materials. PMID:24810663

  7. Thermo-Optical Properties of Thin-Film TiO2Al2O3 Bilayers Fabricated by Atomic Layer Deposition

    PubMed Central

    Ali, Rizwan; Saleem, Muhammad Rizwan; Pääkkönen, Pertti; Honkanen, Seppo

    2015-01-01

    We investigate the optical and thermo-optical properties of amorphous TiO2Al2O3 thin-film bilayers fabricated by atomic layer deposition (ALD). Seven samples of TiO2Al2O3 bilayers are fabricated by growing Al2O3 films of different thicknesses on the surface of TiO2 films of constant thickness (100 nm). Temperature-induced changes in the optical refractive indices of these thin-film bilayers are measured by a variable angle spectroscopic ellipsometer VASE®. The optical data and the thermo-optic coefficients of the films are retrieved and calculated by applying the Cauchy model and the linear fitting regression algorithm, in order to evaluate the surface porosity model of TiO2 films. The effects of TiO2 surface defects on the films’ thermo-optic properties are reduced and modified by depositing ultra-thin ALD-Al2O3 diffusion barrier layers. Increasing the ALD-Al2O3 thickness from 20 nm to 30 nm results in a sign change of the thermo-optic coefficient of the ALD-TiO2. The thermo-optic coefficients of the 100 nm-thick ALD-TiO2 film and 30 nm-thick ALD-Al2O3 film in a bilayer are (0.048 ± 0.134) × 10−4 °C−1 and (0.680 ± 0.313) × 10−4 °C−1, respectively, at a temperature T = 62 °C.

  8. Fabrication and mechanical properties of Al2O3/SiC/ZrO2 functionally graded material by electrophoretic deposition.

    PubMed

    Askari, E; Mehrali, M; Metselaar, I H S C; Kadri, N A; Rahman, Md M

    2012-08-01

    This study describes the synthesis of Al(2)O(3)/SiC/ZrO(2) functionally graded material (FGM) in bio-implants (artificial joints) by electrophoretic deposition (EPD). A suitable suspension that was based on 2-butanone was applied for the EPD of Al(2)O(3)/SiC/ZrO(2), and a pressureless sintering process was applied as a presintering. Hot isostatic pressing (HIP) was used to densify the deposit, with beneficial mechanical properties after 2 h at 1800 °C in Ar atmosphere. The maximum hardness in the outer layer (90 vol.% Al(2)O(3)+10 vol.% SiC) and maximum fracture toughness in the core layer (75 vol.% Al(2)O(3)+10 vol.% SiC + 15 vol.% ZrO(2)) composite were 20.8±0.3 GPa and 8±0.1 MPa m(1/2), respectively. The results, when compared with results from Al(2)O(3)/ZrO(2) FGM, showed that SiC increased the compressive stresses in the outer layers, while the inner layers were under a residual tensile stress. Copyright © 2012 Elsevier Ltd. All rights reserved.

  9. Surface passivation of n-type doped black silicon by atomic-layer-deposited SiO2/Al2O3 stacks

    NASA Astrophysics Data System (ADS)

    van de Loo, B. W. H.; Ingenito, A.; Verheijen, M. A.; Isabella, O.; Zeman, M.; Kessels, W. M. M.

    2017-06-01

    Black silicon (b-Si) nanotextures can significantly enhance the light absorption of crystalline silicon solar cells. Nevertheless, for a successful application of b-Si textures in industrially relevant solar cell architectures, it is imperative that charge-carrier recombination at particularly highly n-type doped black Si surfaces is further suppressed. In this work, this issue is addressed through systematically studying lowly and highly doped b-Si surfaces, which are passivated by atomic-layer-deposited Al2O3 films or SiO2/Al2O3 stacks. In lowly doped b-Si textures, a very low surface recombination prefactor of 16 fA/cm2 was found after surface passivation by Al2O3. The excellent passivation was achieved after a dedicated wet-chemical treatment prior to surface passivation, which removed structural defects which resided below the b-Si surface. On highly n-type doped b-Si, the SiO2/Al2O3 stacks result in a considerable improvement in surface passivation compared to the Al2O3 single layers. The atomic-layer-deposited SiO2/Al2O3 stacks therefore provide a low-temperature, industrially viable passivation method, enabling the application of highly n- type doped b-Si nanotextures in industrial silicon solar cells.

  10. Czochralski growth of 2 in. Ca3Ta(Ga,Al)3Si2O14 single crystals for piezoelectric applications

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akira; Shoji, Yasuhiro; Ohashi, Yuji; Yokota, Yuui; Chani, Valery I.; Kitahara, Masanori; Kudo, Tetsuo; Kamada, Kei; Kurosawa, Shunsuke; Medvedev, Andrey; Kochurikhin, Vladimir

    2016-10-01

    Growth of 2-in. diameter Al-substituted Ca3TaGa3Si2O14 crystals by Czochralski method is reported. The crystals were grown from the melt of Ca3TaGa1.5Al1.5Si2O14 composition and had langasite structure. No inclusions of secondary phases were detected in these crystals. The Ca3Ta(Ga,Al)3Si2O14 mixed crystals produced using non-substituted Ca3TaGa3Si2O14 seeds were defective. They had cracks and/or poly-crystalline structure. However, those grown on the seed of approximately Ca3TaGa1.5Al1.5Si2O14 composition were defect-free. Phase diagram of the Ca3TaGa3Si2O14-Ca3TaAl3Si2O14 pseudo-binary system and segregation phenomenon are discussed in some details. Homogeneity of the crystals was evaluated by measuring 2D-mapping of leaky surface acoustic wave (LSAW) velocities for Y-cut Ca3TaGa1.5Al1.5Si2O14 substrate. Although some inhomogeneities were observed due to slight variations in chemical composition, the crystal had acceptable homogeneity for applications in acoustic wave devices exhibiting the LSAW velocity variation within ±0.048%.

  11. Ion/Ioff ratio enhancement and scalability of gate-all-around nanowire negative-capacitance FET with ferroelectric HfO2

    NASA Astrophysics Data System (ADS)

    Jang, Kyungmin; Saraya, Takuya; Kobayashi, Masaharu; Hiramoto, Toshiro

    2017-10-01

    We have investigated the energy efficiency and scalability of ferroelectric HfO2 (FE:HfO2)-based negative-capacitance field-effect-transistor (NCFET) with gate-all-around (GAA) nanowire (NW) channel structure. Analytic simulation is conducted to characterize NW-NCFET by varying NW diameter and/or thickness of gate insulator as device structural parameters. Due to the negative-capacitance effect and GAA NW channel structure, NW-NCFET is found to have 5× higher Ion/Ioff ratio than classical NW-MOSFET and 2× higher than double-gate (DG) NCFET, which results in wider design window for high Ion/Ioff ratio. To analyze these obtained results from the viewpoint of the device scalability, we have considered constraints regarding very limited device structural spaces to fit by the gate insulator and NW channel for aggresively scaled gate length (Lg) and/or very tight NW pitch. NW-NCFET still has design point with very thinned gate insulator and/or narrowed NW. Therefore, FE:HfO2-based NW-NCFET is applicable to the aggressively scaled technology node of sub-10 nm Lg and to the very tight NW integration of sub-30 nm NW pitch for beyond 7 nm technology. From 2011 to 2014, he engaged in developing high-speed optical transceiver module as an alternative military service in Republic of Korea. His research interest includes the development of steep slope MOSFETs for high energy-efficient operation and ferroelectric HfO2-based semiconductor devices, and fabrication of nanostructured devices. He joined the IBM T.J. Watson Research Center, Yorktown Heights, NY, in 2010, where he worked on advanced CMOS technologies such as FinFET, nanowire FET, SiGe channel and III-V channel. He was also engaged in launching 14 nm SOI FinFET and RMG technology development. Since 2014, he has been an Associate Professor in Institute of Industrial Science, University of Tokyo, Tokyo, Japan, where he has been working on ultralow power transistor and memory technology. Dr. Kobayashi is a member of IEEE

  12. Porcelain monolayers and porcelain/alumina bilayers reinforced by Al2O3/GdAlO3 fibers.

    PubMed

    Sgura, Ricardo; Medeiros, Igor Studart; Cesar, Paulo Francisco; Campos, Adeliani Almeida; Hernandes, Antonio Carlos

    2012-01-01

    This work tested the effect of the addition of Al(2)O(3)/GdAlO(3) longitudinal fibers in different contents to veneering porcelain of two dental all ceramic systems. Fibers (0.5 mm diameter) obtained by the Laser Heated Pedestal Growth (LHPG) method were added to bar-shaped specimens made by veneer porcelain (monolayers) or both the veneer and the core ceramic (bilayers) of two all-ceramic systems: In-Ceram Alumina-glass infiltrated alumina composite (GIA) and In-Ceram 2000 AL Cubes-alumina polycrystal (AP) (VITA Zahnfabrik). The longitudinal fibers were added to veneering porcelain (VM7) in two different proportions: 10 or 17 vol%. The bars were divided into nine experimental conditions (n=10) according to material used: VM7 porcelain monolayers, VM7/GIA, VM7/AP; and according to the amount of fibers within the porcelain layer: no fibers, 10 vol% or 17 vol%. After grinding and polishing the specimens were submitted to a three point bending test (crosshead speed = 0.5 mm/min) with porcelain positioned at tensile side. Data were analyzed by means of one-way ANOVA and a Tukey's test (α=5%). Scanning electronic microscopy (SEM) was conducted for fractographic analysis. Regarding the groups without fiber addition, VM7/AP showed the highest flexural strength (MPa), followed by VM7/GIA and VM7 monolayers. The addition of fibers led to a numerical increase in flexural strength for all groups. For VM7/GIA bilayers the addition of 17 vol% of fibers resulted in a significant 48% increase in the flexural strength compared to the control group. Fractographic analysis revealed that the crack initiation site was in porcelain at the tensile surface. Cracks also propagated between fibers before heading for the alumina core. The addition of 17 vol% of Al(2)O(3)/GdAlO(3) longitudinal fibers to porcelain/glass infiltrated alumina bilayers significantly improved its flexural strength. 10 vol% or 17 vol% of fibers inclusion increased the flexural strength for all groups. Copyright

  13. Effect of Processing Parameters on Thermal Cycling Behavior of Al2O3-Al2O3 Brazed Joints

    NASA Astrophysics Data System (ADS)

    Dandapat, Nandadulal; Ghosh, Sumana; Guha, Bichitra Kumar; Datta, Someswar; Balla, Vamsi Krishna

    2016-10-01

    In the present study, alumina ceramics were active metal brazed at different temperatures ranging from 1163 K to 1183 K (890 °C to 910 °C) using TICUSIL (68.8Ag-26.7Cu-4.5Ti in wt pct) foil as filler alloy of different thicknesses. The brazed joints were subjected to thermal cycling for 100 cycles between 323 K and 873 K (50 °C and 600 °C). The microstructural and elemental composition analysis of the brazed joints were performed by scanning electron microscopy (SEM) and energy dispersive X-ray (EDX) before and after thermal cycling. Helium (He) leak test and brazing strength measurement were also conducted after thermal cycling for 100 cycles. The joint could withstand up to 1 × 10-9 Torr pressure and brazing strength was higher than 20 MPa. The experimental results demonstrated that joints brazed at the higher temperature with thinner filler alloy produced strong Al2O3-Al2O3 joints.

  14. High performance unipolar MoTe2 field effect transistors enabled by doping and Al2O3 capping

    NASA Astrophysics Data System (ADS)

    Qu, Deshun; Liu, Xiaochi; Ahmed, Faisal; Yoo, Won Jong

    We carry out the first systematic experiment on carrier type modulation of MoTe2 FET in this work. unipolar p- and n-type MoTe2 FETs with 105 and 106 on-off ratios are achieved through rapid thermal annealing (RTA) and Benzyl Viologen (BV) doping respectively. By varying the vacuum level in RTA chamber before annealing and BV dopant concentration, annealing condition, both hole and electron doping concentration can be modulated in a wide range from slight doping to degenerate like doping. Furthermore, Al2O3 is deposited onto the device surfaces for the mobility engineering. Hole and electron mobilities are improved to 62 cm2/Vs and 82 cm2/Vs respectively after Al2O3 capping; they are among the highest carrier mobilities of MoTe2 transistors ever obtained. A lateral homogeneous MoTe2 p-n diode is fabricated combining the electron and hole doping techniques, the device displays excellent diode properties with a high rectification ratio of 104 at 0 gate bias and an ideality factor of 1.2. This work was supported by the Global Research Laboratory and Global Frontier R&D Programs at the Center for Hybrid Interface Materials, both funded by the Ministry of Science, ICT & Future Planning via the National Research Foundation of Korea (NRF).

  15. Comparison of structural and electrical properties of Lu{sub 2}O{sub 3} and Lu{sub 2}TiO{sub 5} gate dielectrics for α-InGaZnO thin-film transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pan, Tung-Ming, E-mail: tmpan@mail.cgu.edu.tw; Chen, Ching-Hung; Her, Jim-Long

    We compared the structural properties and electrical characteristics of high-κ Lu{sub 2}O{sub 3} and Lu{sub 2}TiO{sub 5} gate dielectrics for amorphous indium-gallium-zinc oxide (α-InGaZnO) thin-film transistor (TFT) applications. The Lu{sub 2}O{sub 3} film has a strong Lu{sub 2}O{sub 3} (400) peak in the X-ray diffraction pattern, while the Lu{sub 2}TiO{sub 5} sample shows a relatively weak Lu{sub 2}TiO{sub 5} (102) peak. Atomic force microscopy reveals that the Lu{sub 2}O{sub 3} dielectric exhibits a rougher surface (about three times) than Lu{sub 2}TiO{sub 5} one. In X-ray photoelectron spectroscopy analysis, we found that the intensity of the O 1s peak corresponding tomore » Lu(OH){sub x} for Lu{sub 2}O{sub 3} film was higher than that of Lu{sub 2}TiO{sub 5} film. Furthermore, compared with the Lu{sub 2}O{sub 3} dielectric, the α-InGaZnO TFT using the Lu{sub 2}TiO{sub 5} gate dielectric exhibited a lower threshold voltage (from 0.43 to 0.25 V), a higher I{sub on}/I{sub off} current ratio (from 3.5 × 10{sup 6} to 1.3 × 10{sup 8}), a smaller subthreshold swing (from 276 to 130 mV/decade), and a larger field-effect mobility (from 14.5 to 24.4 cm{sup 2}/V s). These results are probably due to the incorporation of TiO{sub x} into the Lu{sub 2}O{sub 3} film to form a Lu{sub 2}TiO{sub 5} structure featuring a smooth surface, a low moisture absorption, a high dielectric constant, and a low interface state density at the oxide/channel interface. Furthermore, the stability of Lu{sub 2}O{sub 3} and Lu{sub 2}TiO{sub 5} α-InGaZnO TFTs was investigated under positive gate-bias stress (PGBS) and negative gate-bias stress (NGBS). The threshold voltage of the TFT performed under NGBS is more degradation than that under PGBS. This behavior may be attributed to the electron charge trapping at the dielectric–channel interface under PGBS, whereas the oxygen vacancies occurred in the InGaZnO under NGBS.« less

  16. Overdamped Nb/Al-AlO{sub x}/Nb Josephson junctions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lacquaniti, V.; Cagliero, C.; Maggi, S.

    2005-01-24

    We report the fabrication and characterization of overdamped Nb/Al-AlO{sub x}/Nb superconductor-insulator-superconductor Josephson junction whose fabrication process derives from that of the well-known hysteretic junctions. These junctions are an intermediate state between the superconductor-normal metal-superconductor and the superconductor-insulator-superconductor Josephson junctions. Stable and reproducible nonhysteretic current-voltage characteristics are obtained with a proper choice of the fabrication parameters. We have measured critical current densities J{sub C} from 10{sup 3} up to 2x10{sup 4} A/cm{sup 2}, with characteristic voltages from 80 to nearly 450 {mu}V. The junctions are stable against time and repeated thermal cycling.

  17. Promotional effect of Al2O3 on WO3/CeO2-ZrO2 monolithic catalyst for selective catalytic reduction of nitrogen oxides with ammonia after hydrothermal aging treatment

    NASA Astrophysics Data System (ADS)

    Xu, Haidi; Liu, Shuang; Wang, Yun; Lin, Qingjin; Lin, Chenlu; Lan, Li; Wang, Qin; Chen, Yaoqiang

    2018-01-01

    Hydrothermal stability of catalysts for selective catalytic reduction of NOx with NH3 (NH3-SCR) has always been recognized as a challenge in development of candidate catalysts for applications in diesel engine emissions. In this study, Al2O3 was introduced into CeO2-ZrO2 to improve the NH3-SCR activity of WO3/CeO2-ZrO2 after hydrothermal aging (HA) treatment at 800 °C for 12 h. The activity results indicated that the NH3-SCR activity of WO3/CeO2-ZrO2-HA was obviously improved in the whole reaction temperature range after doping Al2O3 into CeO2-ZrO2, for example, the average and maximum NOx conversion were separately increased by ca. 20% and 25% after HA treatment. XRD, Raman, TEM and EDX results revealed that the introduction of Al2O3 inhibited the sintering and agglomeration of CeO2-ZrO2 and WO3 and the formation of Ce2(WO4)3 after HA treatment. Accordingly, WO3/CeO2-ZrO2-Al2O3-HA showed remarkably improved structural stability and reducibility, increased surface acidity, and facilitated the reactivity between adsorbed NH3 and nitrate species, which together contributed to its better catalytic performance after hydrothermal aging treatment.

  18. Enhanced microwave absorption properties of Fe3O4-modified flaky FeSiAl

    NASA Astrophysics Data System (ADS)

    He, Jun; Deng, Lianwen; Liu, Sheng; Yan, Shuoqing; Luo, Heng; Li, Yuhan; He, Longhui; Huang, Shengxiang

    2017-12-01

    The magnetic insulator Fe3O4-modified flaky Fe85Si9.5Al5.5 (FeSiAl) powders with significantly enhanced electromagnetic wave absorption properties in the frequency range of 2-8 GHz were prepared by chemical co-precipitation. X-ray diffraction (XRD) and scanning electron microscopy (SEM) have confirmed the formation of nanoparticles Fe3O4 precipitated on the flake-shaped FeSiAl. The electromagnetic measurements of the modified flakes presents a nearly invariable complex permeability and decreased complex permittivity in the 2-8 GHz, as well as improved impedance matching performance. More importantly, an excellent microwave absorbing performance with the bandwidth (RL <-10 dB) of 5.36 GHz is achieved in modified sample with the thickness of 1.5 mm, which is a promising microwave absorbing material in 2-8 GHz.

  19. Fabrication and enhanced photoluminescence properties of Sm3+-doped ZnO-Al2O3-B2O3-SiO2 glass derived willemite glass-ceramic nanocomposites

    NASA Astrophysics Data System (ADS)

    Tarafder, Anal; Molla, Atiar Rahaman; Mukhopadhyay, Sunanda; Karmakar, Basudeb

    2014-07-01

    The transparent willemite, Zn2SiO4 (ZS) glass-ceramic nanocomposites were prepared from melt-quench derived ZnO-Al2O3-B2O3-SiO2 (ZABS) precursor glass by an isothermal heat-treatment process. The generation of willemite crystal phase, size and morphology with increase in heat-treatment time was examined by X-ray diffraction (XRD) and field emission scanning electron microscopy (FESEM) techniques. The average calculated crystallite size obtained from XRD is found to be in the range 80-120 nm. The decreased refractive index with increase in heat-treatment time attributed to partial replacement of ZnO4 units of willemite nanocrystals by AlO4 units and simultaneous generation of vacancies in the Zn-site. Fourier transform infrared (FTIR) reflection spectroscopy exhibits the structural evolution of willemite glass-ceramics. The photoluminescence spectra of Sm3+ ions exhibit emission transitions of 4G5/2 → 6HJ (J = 5/2, 7/2, 9/2, 11/2) and its excitation spectra shows an intense absorption band at 402 nm. These spectra reveal that the luminescence performance of the glass-ceramic nanocomposites is enhanced up to 14-fold with crystallization into willemite.

  20. [Structure and luminescence properties of Ga2O3 : Cr3+ by Al doping].

    PubMed

    Wang, Xian-Sheng; Wan, Min-Hua; Wang, Yin-Hai; Zhao, Hui; Hu, Zheng-Fa; Li, Hai-Ling

    2013-11-01

    The Al doping gallate phosphor (Ga(1-x)Al(x))2O3 : Cr3+ (x = 0, 0.1, 0.2, 0.3, 0.4, 0.5) was synthesized by a high temperature solid-state reaction method. The X-ray diffractions show that the phase of the phosphors remains to be Ga2 O3 structure with increase in the contents of Al3+ ion. Beside, the fact that the X-ray diffraction peak shifts towards big angles with increasing Al3+ ions content shows that Al3+ ions entered the Ga2 O3 lattice. The peaks of the excitation spectra located at 258, 300, 410 and 550 nm are attributed to the band to band transition of the matrix, charge transfer band transition, and 4A2 --> 4T1 and 4A2 --> 4T2 transition of Cr3+ ions, respectively. Those excitation spectrum peak positions show different degrees of blue shift with the increase in the Al3+ ions content. The blue shift of the first two peaks are due to the band gap energy of substrate and the electronegativity between Cr3+ ions and ligands increasing, respectively. The blue shift of the energy level transition of Cr3+ ion is attributed to crystal field strength increasing. The Cr3+ ion luminescence changes from a broadband emission to a narrow-band emission with Al3+ doping, because the emission of Cr3+ ion changed from 4 T2 --> 4A2 to 2E --> 4A2 transition with the crystal field change after Al3+ ions doping. The Al3+ ions doping improved the long afterglow luminescence properties of samples, and the sample showed a longer visible near infrared when Al3+ ions content reaches 0.5. The thermoluminescence curve shows the sample with suitable trap energy level, and this is also the cause of the long afterglow luminescence materials.

  1. Nanostructural origin of semiconductivity and large magnetoresistance in epitaxial NiCo2O4/Al2O3 thin films

    NASA Astrophysics Data System (ADS)

    Zhen, Congmian; Zhang, XiaoZhe; Wei, Wengang; Guo, Wenzhe; Pant, Ankit; Xu, Xiaoshan; Shen, Jian; Ma, Li; Hou, Denglu

    2018-04-01

    Despite low resistivity (~1 mΩ cm), metallic electrical transport has not been commonly observed in inverse spinel NiCo2O4, except in certain epitaxial thin films. Previous studies have stressed the effect of valence mixing and the degree of spinel inversion on the electrical conduction of NiCo2O4 films. In this work, we studied the effect of nanostructural disorder by comparing the NiCo2O4 epitaxial films grown on MgAl2O4 (1 1 1) and on Al2O3 (0 0 1) substrates. Although the optimal growth conditions are similar for the NiCo2O4 (1 1 1)/MgAl2O4 (1 1 1) and the NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films, they show metallic and semiconducting electrical transport, respectively. Post-growth annealing decreases the resistivity of NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films, but the annealed films are still semiconducting. While the semiconductivity and the large magnetoresistance in NiCo2O4 (1 1 1)/Al2O3 (0 0 1) films cannot be accounted for in terms of non-optimal valence mixing and spinel inversion, the presence of anti-phase boundaries between nano-sized crystallites, generated by the structural mismatch between NiCo2O4 and Al2O3, may explain all the experimental observations in this work. These results reveal nanostructural disorder as being another key factor for controlling the electrical transport of NiCo2O4, with potentially large magnetoresistance for spintronics applications.

  2. CoO-doped MgO-Al2O3-SiO2-colored transparent glass-ceramics with high crystallinity

    NASA Astrophysics Data System (ADS)

    Tang, Wufu; Zhang, Qian; Luo, Zhiwei; Yu, Jingbo; Gao, Xianglong; Li, Yunxing; Lu, Anxian

    2018-02-01

    To obtain CoO-doped MgO-Al2O3-SiO2 (MAS)-colored transparent glass-ceramics with high crystallinity, the glass with the composition 21MgO-21Al2O3-54SiO2-4B2O3-0.2CoO (in mol %) was prepared by conventional melt quenching technique and subsequently thermal treated at several temperatures. The crystallization behavior of the glass, the precipitated crystalline phases and crystallinity were analyzed by X-ray diffraction (XRD). The microstructure of the glass-ceramics was characterized by field emission scanning electron microscopy (FSEM). The transmittance of glass-ceramic was measured by UV spectrophotometer. The results show that a large amount of α-cordierite (indianite) with nano-size was precipitated from the glass matrix after treatment at 1020 °C for 3 h. The crystallinity of the transparent glass-ceramic reached up to 97%. Meanwhile, the transmittance of the glass-ceramic was 74% at 400 nm with a complex absorption band from 450 nm to 700 nm. In addition, this colored transparent glass-ceramic possessed lower density (2.469 g/cm3), lower thermal expansion coefficient (1.822 × 10-6 /℃), higher Vickers hardness (9.1 GPa) and higher bending strength (198 MPa) than parent glass.

  3. β-Ga2O3 on insulator field-effect transistors with drain currents exceeding 1.5 A/mm and their self-heating effect

    NASA Astrophysics Data System (ADS)

    Zhou, Hong; Maize, Kerry; Qiu, Gang; Shakouri, Ali; Ye, Peide D.

    2017-08-01

    We have demonstrated that depletion/enhancement-mode β-Ga2O3 on insulator field-effect transistors can achieve a record high drain current density of 1.5/1.0 A/mm by utilizing a highly doped β-Ga2O3 nano-membrane as the channel. β-Ga2O3 on insulator field-effect transistor (GOOI FET) shows a high on/off ratio of 1010 and low subthreshold slope of 150 mV/dec even with 300 nm thick SiO2. The enhancement-mode GOOI FET is achieved through surface depletion. An ultra-fast, high resolution thermo-reflectance imaging technique is applied to study the self-heating effect by directly measuring the local surface temperature. High drain current, low Rc, and wide bandgap make the β-Ga2O3 on insulator field-effect transistor a promising candidate for future power electronics applications.

  4. Organic Field Effect Transistor Using Amorphous Fluoropolymer as Gate Insulating Film

    NASA Astrophysics Data System (ADS)

    Kitajima, Yosuke; Kojima, Kenzo; Mizutani, Teruyoshi; Ochiai, Shizuyasu

    Organic field effect transistors are fabricated by the active layer of Regioregular poly (3-hexylthiophene-2,5-diy)(P3HT) thin film. CYTOP thin film made from Amorphous Fluoropolymer and fabricated by spin-coating is adopted to a gate dielectric layer on Polyethylenenaphthalate (PEN) thin film that is the substrate of an organic field effect transistor. The surface morphology and molecular orientation of P3HT thin films is observed by atomic force microscope (AFM) and X-Ray diffractometer (XRD). Grains are observed on the CYTOP thin film via an AFM image and the P3HT molecule is oriented perpendicularly on the CYTOP thin film. Based on the performance of the organic field effect transistor, the carrier mobility is 0.092 cm2/Vs, the ON/OFF ratio is 7, and the threshold voltage is -12 V. The ON/OFF ratio is relatively low and to improve On/Off ratio, the CYTOP/Polyimide double gate insulating layer is adopted to OFET.

  5. Phase Equilibria in the System "FeO"-CaO-SiO2-Al2O3-MgO at Different CaO/SiO2 Ratios

    NASA Astrophysics Data System (ADS)

    Jang, Kyoung-oh; Ma, Xiaodong; Zhu, Jinming; Xu, Haifa; Wang, Geoff; Zhao, Baojun

    2017-06-01

    The "FeO"-containing slags play an important role in the operation of an ironmaking blast furnace (BF), in particular the primary slags such as the system "FeO"-CaO-SiO2-Al2O3-2 mass pct MgO with CaO/SiO2 weight ratios of 1.3, 1.5, and 1.8 saturated with metallic iron. To investigate the characteristics of such a slag system and its behavior in BF, the phase equilibria and liquidus temperatures in the slag system have been experimentally determined using the high-temperature equilibration and quenching technique followed by an electron probe X-ray microanalysis (EPMA). Isotherms between 1553 K and 1603 K (1280 °C and 1330 °C) were determined in the primary phase fields of dicalcium silicate, melilite, spinel, and monoxide [(Mg,Fe2+)O]. Pseudo-ternary phase diagrams of (CaO + SiO2)-Al2O3-"FeO" with a fixed MgO concentration at 2 mass pct and at CaO/SiO2 ratios of 1.3, 1.5, and 1.8 have been discussed, respectively, simplifying the complexity of the slag system for easy understanding and applying in BF operation. It was found that the liquidus temperatures increase in melilite and spinel primary phase fields, but decrease in dicalcium silicate and monoxide primary phase fields with increasing Al2O3/(CaO + SiO2) ratio. In addition, the liquidus temperatures decrease with increasing "FeO" concentration in dicalcium silicate and melilite primary phase fields, while showing an increasing trend in the spinel and monoxide primary phase fields. The data resulted from this study can be used to improve and optimize currently available database of thermodynamic models used in FactSage.

  6. Reaching state-of-the art requirements for MIM capacitors with a single-layer anodic Al2O3 dielectric and imprinted electrodes

    NASA Astrophysics Data System (ADS)

    Hourdakis, Emmanouel; Nassiopoulou, Androula G.

    2017-07-01

    Metal-Insulator-Metal (MIM) capacitors with a high capacitance density and low non-linearity coefficient using a single-layer dielectric of barrier-type anodic alumina (Al2O3) and an imprinted bottom Al electrode are presented. Imprinting of the bottom electrode aimed at increasing the capacitor effective surface area by creating a three-dimensional MIM capacitor architecture. The bottom Al electrode was only partly nanopatterned so as to ensure low series resistance of the MIM capacitor. With a 3 nm thick anodic Al2O3 dielectric, the capacitor with the imprinted electrode showed a 280% increase in capacitance density compared to the flat electrode capacitor, reaching a value of 20.5 fF/μm2. On the other hand, with a 30 nm thick anodic Al2O3 layer, the capacitance density was 7.9 fF/μm2 and the non-linearity coefficient was as low as 196 ppm/V2. These values are very close to reaching all requirements of the last International Technology Roadmap for Semiconductors for MIM capacitors [ITRS, http://www.itrs2.net/2013-itrs.html for ITRS Roadmap (2013)], and they are achieved by a single-layer dielectric instead of the complicated dielectric stacks of the literature. The obtained results constitute a real progress compared to previously reported results by our group for MIM capacitors using imprinted electrodes.

  7. “Thermal Stabilization Effect” of Al2O3 nano-dopants improves the high-temperature dielectric performance of polyimide

    PubMed Central

    Yang, Yang; He, Jinliang; Wu, Guangning; Hu, Jun

    2015-01-01

    Insulation performance of the dielectrics under extreme conditions always attracts widespread attention in electrical and electronic field. How to improve the high-temperature dielectric properties of insulation materials is one of the key issues in insulation system design of electrical devices. This paper studies the temperature-dependent corona resistance of polyimide (PI)/Al2O3 nanocomposite films under high-frequency square-wave pulse conditions. Extended corona resistant lifetime under high-temperature conditions is experimentally observed in the 2 wt% nanocomposite samples. The “thermal stabilization effect” is proposed to explain this phenomenon which attributes to a new kind of trap band caused by nanoparticles. This effect brings about superior space charge characteristics and corona resistance under high temperature with certain nano-doping concentration. The proposed theory is experimentally demonstrated by space charge analysis and thermally stimulated current (TSC) tests. This discovered effect is of profound significance on improving high-temperature dielectric properties of nanocomposites towards various applications. PMID:26597981

  8. Reaction of silanes in supercritical CO2 with TiO2 and Al2O3.

    PubMed

    Gu, Wei; Tripp, Carl P

    2006-06-20

    Infrared spectroscopy was used to investigate the reaction of silanes with TiO2 and Al2O3 using supercritical CO2 (Sc-CO2) as a solvent. It was found that contact of Sc-CO2 with TiO2 leads to partial removal of the water layer and to the formation of carbonate, bicarbonate, and carboxylate species on the surface. Although these carbonate species are weakly bound to the TiO2 surface and can be removed by a N2 purge, they poison the surface, resulting in a lower level of reaction of silanes with TiO2. Specifically, the amount of hexamethyldisilazane adsorbed on TiO2 is about 10% of the value obtained when the reaction is performed from the gas phase. This is not unique to TiO2, as the formation of carbonate species also occurs upon contact of Al2O3 with Sc-CO2 and this leads to a lower level of reaction with hexamethyldisilazane. This is in contrast to reactions of silanes on SiO2 where Sc-CO2 has several advantages over conventional gaseous or nonaqueous methods. As a result, caution needs to be applied when using Sc-CO2 as a solvent for silanization reactions on oxides other than SiO2.

  9. Enhanced self-repairing capability of sol-gel derived SrTiO3/nano Al2O3 composite films

    NASA Astrophysics Data System (ADS)

    Yao, Manwen; Peng, Yong; Xiao, Ruihua; Li, Qiuxia; Yao, Xi

    2016-08-01

    SrTiO3/nano Al2O3 inorganic nanocomposites were prepared by using a conventional sol-gel spin coating process. For comparison, SrTiO3 films doped by equivalent amount of sol-Al2O3 have also been investigated. Aluminum deposited by using vacuum evaporation was used as the top electrode. The nanocomposites exhibited a significantly enhanced dielectric strength of 506.9 MV/m, which was increased by 97.4% as compared with the SrTiO3 films doped with sol-Al2O3. The leakage current maintained of the same order of microampere until the ultimate breakdown of the nanocomposites. The excellent electrical performances are ascribed to the anodic oxidation reaction in origin, which can repair the internal and/or surface defects of the films.

  10. Active metal brazing of Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) using Copper ABA® (Cu-3.0Si-2.3Ti-2.0Al wt.%)

    NASA Astrophysics Data System (ADS)

    Ali, Majed; Knowles, Kevin M.; Mallinson, Phillip M.; Fernie, John A.

    2018-01-01

    The application of an active braze alloy (ABA) known as Copper ABA® (Cu-3.0Si-2.3Ti-2.0Al wt.%) to join Al2O3 to Kovar® (Fe-29Ni-17Co wt.%) has been investigated. This ABA was selected to increase the operating temperature of the joint beyond the capabilities of typically used ABAs such as Ag-Cu-Ti-based alloys. Silica present as a secondary phase in the Al2O3 at a level of 5 wt.% enabled the ceramic component to bond to the ABA chemically by forming a layer of Si3Ti5 at the ABA/Al2O3 interface. Appropriate brazing conditions to preserve a near-continuous Si3Ti5 layer on the Al2O3 and a continuous Fe3Si layer on the Kovar® were found to be a brazing time of ≤15 min at 1025 °C or ≤2 min at 1050 °C. These conditions produced joints that did not break on handling and could be prepared easily for microscopy. Brazing for longer periods of time, up to 45 min, at these temperatures broke down the Si3Ti5 layer on the Al2O3, while brazing at ≥1075 °C for 2-45 min broke down the Fe3Si layer on the Kovar® significantly. Further complications of brazing at ≥1075 °C included leakage of the ABA out of the joint and the formation of a new brittle silicide, Ni16Si7Ti6, at the ABA/Al2O3 interface. This investigation demonstrates that it is not straightforward to join Al2O3 to Kovar® using Copper ABA®, partly because the ranges of suitable values for the brazing temperature and time are quite limited. Other approaches to increase the operating temperature of the joint are discussed.

  11. MgSiO3-FeSiO3-Al2O3 in the Earth's lower mantle: Perovskite and garnet at 1200 km depth

    NASA Technical Reports Server (NTRS)

    O'Neill, Bridget; Jeanloz, Raymond

    1994-01-01

    Natural pyroxene and garnet starting material are used to study the effects of joint Fe and Al substitution into MgSiO3 perovskite at approxmiately 50 GPa. Garnet is found to coexist with perovskite in samples containing both Fe and Al to pressures occurring deep into the lower mantel (approximately 1200 km depth). The volume of the perovskite unit cell is V(sub o(Angstrom(exp 3)) = 162.59 + 5.95x(sub FeSiO3) + 10.80x(sub Al2O3) with aluminum causing a significant increase in the distortion from the ideal cubic cell. On the basis of a proposed extension of the MgSiO3-Al2O3 high-pressure phase diagram toward FeSiO3, Fe is shown to partition preferentially into the garnet phase. The stability of garnet deep into the lower mantel may hinder the penetration of subducted slabs below the transition zone.

  12. Chemical Quenching of Positronium in CuO/Al2O3 Catalysts

    NASA Astrophysics Data System (ADS)

    Zhang, Hong-Jun; Liu, Zhe-Wen; Chen, Zhi-Quan; Wang, Shao-Jie

    2011-01-01

    CuO/Al2O3 catalysts were prepared by mixing CuO and γ-Al2O3 nanopowders. Microstructure and chemical environment of the catalysts are characterized by positron annihilation spectroscopy. The positron annihilation lifetime measurements reveal two long lifetime components τ3 and τ4, which correspond to ortho-positronium (o-Ps) annihilating in microvoids and large pores, respectively. With increasing CuO content from 0 to 40 wt%, both τ4 and its intensity I4 show significant decrease, which indicates quenching effect of o-Ps. The para-positronium (p-Ps) intensities derived from multi-Gaussian fitting of the coincidence Doppler broadening spectra also decreases gradually with increasing CuO content. This excludes the possibility of spin-conversion of positronium. Therefore, the chemical quenching by CuO is probably responsible for the decrease of o-Ps lifetime. Variation in the o-Ps annihilation rate λ4 (1/τ4) as a function of CuO content can be well fitted by a straight line, and the slope of the fitting line is (1.83 ± 0.05) × 10-7 s-1.

  13. Electrofluidic gating of a chemically reactive surface.

    PubMed

    Jiang, Zhijun; Stein, Derek

    2010-06-01

    We consider the influence of an electric field applied normal to the electric double layer at a chemically reactive surface. Our goal is to elucidate how surface chemistry affects the potential for field-effect control over micro- and nanofluidic systems, which we call electrofluidic gating. The charging of a metal-oxide-electrolyte (MOE) capacitor is first modeled analytically. We apply the Poisson-Boltzmann description of the double layer and impose chemical equilibrium between the ionizable surface groups and the solution at the solid-liquid interface. The chemically reactive surface is predicted to behave as a buffer, regulating the charge in the double layer by either protonating or deprotonating in response to the applied field. We present the dependence of the charge density and the electrochemical potential of the double layer on the applied field, the density, and the dissociation constants of ionizable surface groups and the ionic strength and the pH of the electrolyte. We simulate the responses of SiO(2) and Al(2)O(3), two widely used oxide insulators with different surface chemistries. We also consider the limits to electrofluidic gating imposed by the nonlinear behavior of the double layer and the dielectric strength of oxide materials, which were measured for SiO(2) and Al(2)O(3) films in MOE configurations. Our results clarify the response of chemically reactive surfaces to applied fields, which is crucial to understanding electrofluidic effects in real devices.

  14. Electrical hysteresis in p-GaN metal-oxide-semiconductor capacitor with atomic-layer-deposited Al2O3 as gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhang, Kexiong; Liao, Meiyong; Imura, Masataka; Nabatame, Toshihide; Ohi, Akihiko; Sumiya, Masatomo; Koide, Yasuo; Sang, Liwen

    2016-12-01

    The electrical hysteresis in current-voltage (I-V) and capacitance-voltage characteristics was observed in an atomic-layer-deposited Al2O3/p-GaN metal-oxide-semiconductor capacitor (PMOSCAP). The absolute minimum leakage currents of the PMOSCAP for forward and backward I-V scans occurred not at 0 V but at -4.4 and +4.4 V, respectively. A negative flat-band voltage shift of 5.5 V was acquired with a capacitance step from +4.4 to +6.1 V during the forward scan. Mg surface accumulation on p-GaN was demonstrated to induce an Mg-Ga-Al-O oxidized layer with a trap density on the order of 1013 cm-2. The electrical hysteresis is attributed to the hole trapping and detrapping process in the traps of the Mg-Ga-Al-O layer via the Poole-Frenkel mechanism.

  15. Using Ultrathin Parylene Films as an Organic Gate Insulator in Nanowire Field-Effect Transistors.

    PubMed

    Gluschke, J G; Seidl, J; Lyttleton, R W; Carrad, D J; Cochrane, J W; Lehmann, S; Samuelson, L; Micolich, A P

    2018-06-27

    We report the development of nanowire field-effect transistors featuring an ultrathin parylene film as a polymer gate insulator. The room temperature, gas-phase deposition of parylene is an attractive alternative to oxide insulators prepared at high temperatures using atomic layer deposition. We discuss our custom-built parylene deposition system, which is designed for reliable and controlled deposition of <100 nm thick parylene films on III-V nanowires standing vertically on a growth substrate or horizontally on a device substrate. The former case gives conformally coated nanowires, which we used to produce functional Ω-gate and gate-all-around structures. These give subthreshold swings as low as 140 mV/dec and on/off ratios exceeding 10 3 at room temperature. For the gate-all-around structure, we developed a novel fabrication strategy that overcomes some of the limitations with previous lateral wrap-gate nanowire transistors. Finally, we show that parylene can be deposited over chemically treated nanowire surfaces, a feature generally not possible with oxides produced by atomic layer deposition due to the surface "self-cleaning" effect. Our results highlight the potential for parylene as an alternative ultrathin insulator in nanoscale electronic devices more broadly, with potential applications extending into nanobioelectronics due to parylene's well-established biocompatible properties.

  16. Highly Repeatable and Recoverable Phototransistors Based on Multifunctional Channels of Photoactive CdS, Fast Charge Transporting ZnO, and Chemically Durable Al2O3 Layers.

    PubMed

    Ahn, Cheol Hyoun; Kang, Won Jun; Kim, Ye Kyun; Yun, Myeong Gu; Cho, Hyung Koun

    2016-06-22

    Highly repeatable and recoverable phototransistors were explored using a "multifunctional channels" structure with multistacked chalcogenide and oxide semiconductors. These devices were made of (i) photoactive CdS (with a visible band gap), (ii) fast charge transporting ZnO (with a high field-effect mobility), and (iii) a protection layer of Al2O3 (with high chemical durability). The CdS TFT without the Al2O3 protection layer did not show a transfer curve due to the chemical damage that occurred on the ZnO layer during the chemical bath deposition (CBD) process used for CdS deposition. Alternatively, compared to CdS phototransistors with long recovery time and high hysteresis (ΔVth = 19.5 V), our "multi-functional channels" phototransistors showed an extremely low hysteresis loop (ΔVth = 0.5V) and superior photosensitivity with repeatable high photoresponsivity (52.9 A/W at 400 nm). These improvements are likely caused by the physical isolation of the sensing region and charge transport region by the insertion of the ultrathin Al2O3 layer. This approach successfully addresses some of the existing problems in CdS phototransistors, such as the high gate-interface trap site density and high absorption of molecular oxygen, which originate from the polycrystalline CdS.

  17. Energetics of multicomponent diffusion in molten CaO-Al 2O 3-SiO 2

    NASA Astrophysics Data System (ADS)

    Liang, Yan; Davis, Andrew M.

    2002-02-01

    The energetics of multicomponent diffusion in molten CaO-Al2O3-SiO2 (CAS) were examined experimentally at 1440 to 1650°C and 0.5 to 2 GPa. Two melt compositions were investigated: a haplodacitic melt (25 wt.% CaO, 15% Al2O3, and 60% SiO2) and a haplobasaltic melt (35% CaO, 20% Al2O3, and 45% SiO2). Diffusion matrices were measured in a mass-fixed frame of reference with simple oxides as end-member components and Al2O3 as a dependent variable. Chemical diffusion in molten CAS shows clear evidence of diffusive coupling among the components. The diffusive flux of SiO2 is significantly enhanced whenever there is a large CaO gradient that is oriented in a direction opposite to the SiO2 gradient. This coupling effect is more pronounced in the haplodacitic melt and is likely to be significant in natural magmas of rhyolitic to andesitic compositions. The relative magnitude of coupled chemical diffusion is not very sensitive to changes in temperature and pressure. To a good approximation, the measured diffusion matrices follow well-defined Arrhenius relationships with pressure and reciprocal temperature. Typically, a change in temperature of 100°C results in a relative change in the elements of diffusion matrix of 50 to 100%, whereas a change in pressure of 1 GPa introduces a relative change in elements of diffusion matrix of 4 to 6% for the haplobasalt, and less than 5% for the haplodacite. At a pressure of 1 GPa, the ratios between the major and minor eigenvalues of the diffusion matrix λ1/λ2 are not very sensitive to temperature variations, with an average of 5.5 ± 0.2 for the haplobasalt and 3.7 ± 0.6 for the haplodacite. The activation energies for the major and minor eigenvalues of the diffusion matrix are 215 ± 12 and 240 ± 21 kJ mol-1, respectively, for the haplodacite and 192 ± 8 and 217 ± 14 kJ mol-1 for the haplobasalt. These values are comparable to the activation energies for self-diffusion of calcium and silicon at the same melt compositions and

  18. Enhancement of red emission intensity of Ca2Al2SiO7:Eu3+ phosphor by MoO3 doping or excess SiO2 addition for application to white LEDs

    NASA Astrophysics Data System (ADS)

    Jiao, H. Y.; LiMao, C. R.; Chen, Q.; Wang, P. Y.; Cai, R. C.

    2018-01-01

    Ca1.86Al2(Si1-xMox)O7:0.14Eu3+ and Ca1.86Al2Si1+yO7+2y:0.14 Eu3+ were synthesized by solid-state reaction. X-ray powder diffraction, excitation and emission spectra were used to investigate their structures and photoluminescence properties. The results shows that the phosphor Ca1.86Al2SiO7:0.14Eu3+ cannot be excited efficiently by light of 393 nm. The introduced Mo ion does not change the position of the excitation peak, but increases both the absorption at 400nm and the emission intensity of Eu3+. The intense red emitting phosphor Ca1.86Al2(Si0.95Mo0.05)O7:0.14Eu3+ was obtained, which has 67% enhanced luminous intensity compared to that of the undoped sample Ca1.86Al2SiO7:0.14Eu3+. Otherwise, SiO2 excess of non-stoichiometric phosphors Ca1.86Al2Si1+yO7+2y:0.14Eu3+ showed the characteristic pattern of a tetragonal structure with a small SiO2 concentration. The optimal phosphor of Ca1.86Al2Si1.1O7.2:0.14Eu3+ has a luminous intensity about two times higher than that of the original stoichiometric phosphor Ca1.86Al2SiO7:0.14Eu3+. We confirmed that the photoluminescence intensity of the obtained phosphors is fairly enhanced by excessive SiO2. The mechanism of this photoluminescence enhancement is discussed in this paper.

  19. Infrared and TPD studies of nitrates adsorbed on Tb{sub 4}O{sub 7}, La{sub 2}O{sub 3}, BaO, and MgO/{gamma}-Al{sub 2}O{sub 3}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chi, Y.; Chuang, S.S.C.

    2000-05-18

    NO and O{sub 2} coadsorption on {gamma}-Al{sub 2}O{sub 3}-supported Tb{sub 4}O{sub 7}, La{sub 2}O{sub 3}, BaO, and MgO has been investigated by in situ infrared spectroscopy coupled with temperature-programmed decomposition and desorption. BaO/{gamma}-Al{sub 2}O{sub 3} and MgO/{gamma}-Al{sub 2}O{sub 3} possess a higher NO{sub x} storage capability than Tb{sub 4}O{sub 7}/{gamma}-Al{sub 2}O{sub 3} and La{sub 2}O{sub 3}/{gamma}-Al{sub 2}O{sub 3}. NO/O{sub 2} coadsorbed on Tb{sub 4}O{sub 7}, La{sub 2}O{sub 3}, and BaO in the form of bridging bidentate, chelating bidentate, and monodentate nitrates, and on MgO in the form of bridging bidentate and monodentate nitrates via the reaction of adsorbed NO withmore » adsorbed oxygen at 298 K. NO/O{sub 2} coadsorbed as a chelating bidentate nitrate on Tb{sub 4}O{sub 7} and La{sub 2}O{sub 3}, and as a distinctive bridging bidentate nitrate on BaO and MgO via the reaction of adsorbed NO with surface lattice oxygen at 523 K. These various forms of adsorbed nitrate differ in structure and reactivity from Tb(NO{sub 3}){sub 3}, La(NO{sub 3}){sub 3}, Ba(NO{sub 3}){sub 2}, and Mg(NO{sub 3}){sub 2}, the precursor used to prepare metal oxides for NO/O{sub 2} coadsorption. Temperature-programmed desorption (TPD) of chelating bidentate nitrate on Tb{sub 4}O{sub 7}, La{sub 2}O{sub 3}, and BaO produced primarily NO and O{sub 2}, with maxima at 640 and 670 K, respectively. TPD of bridging bidentate nitrate and monodentate nitrate on Tb{sub 4}O{sub 7}, La{sub 2}O{sub 3}, and BaO produced NO and O{sub 2} as major products and N{sub 2} and N{sub 2}O as minor products, at 320--500 K. Decomposition of bridging bidentate on MgO produced NO as a major product and N{sub 2}O as a minor product at a peak temperature of 690 K. Peak temperatures for Tb(NO{sub 3}){sub 3}, La(NO{sub 3}){sub 3}, Ba(NO{sub 3}){sub 2}, and Mg(NO{sub 3}){sub 2} decomposition occurred between those for bridging and chelating nitrates. The difference in stability between

  20. Density functional theory study of 3R- and 2H-CuAlO2 under pressure

    NASA Astrophysics Data System (ADS)

    Liu, Qi-Jun; Liu, Zheng-Tang; Feng, Li-Ping; Tian, Hao; Liu, Wen-Ting; Yan, Feng

    2010-10-01

    We present a first-principles density-functional theory based study of the impact of pressure on the structural and elastic properties of bulk 3R- and 2H-CuAlO2. The ground state properties of 3R- and 2H-CuAlO2 are obtained, which are in good agreement with previous experimental and theoretical data. The analysis of enthalpy variation with pressure indicates the phase transition pressure between 3R and 2H is 15.4 GPa. The independent elastic constants of 3R- and 2H-CuAlO2 are calculated. As the applied pressure increases, the calculations show the presences of mechanical instability at 26.2 and 27.8 GPa for 3R- and 2H-CuAlO2, which are possibly related with the phase transitions.

  1. Engineering two-dimensional superconductivity and Rashba spin–orbit coupling in LaAlO 3/SrTiO 3 quantum wells by selective orbital occupancy

    DOE PAGES

    Herranz, Gervasi; Singh, Gyanendra; Bergeal, Nicolas; ...

    2015-01-13

    We find the discovery of two-dimensional electron gases (2DEGs) at oxide interfaces—involving electrons in narrow d-bands—has broken new ground, enabling the access to correlated states that are unreachable in conventional semiconductors based on s- and p- electrons. There is a growing consensus that emerging properties at these novel quantum wells—such as 2D superconductivity and magnetism—are intimately connected to specific orbital symmetries in the 2DEG sub-band structure. Here we show that crystal orientation allows selective orbital occupancy, disclosing unprecedented ways to tailor the 2DEG properties. By carrying out electrostatic gating experiments in ​LaAlO 3/​SrTiO 3 wells of different crystal orientations, wemore » show that the spatial extension and anisotropy of the 2D superconductivity and the Rashba spin–orbit field can be largely modulated by controlling the 2DEG sub-band filling. Such an orientational tuning expands the possibilities for electronic engineering of 2DEGs at ​LaAlO 3/​SrTiO 3 interfaces.« less

  2. Superconductor to Mott insulator transition in YBa2Cu3O7/LaCaMnO3 heterostructures.

    PubMed

    Gray, B A; Middey, S; Conti, G; Gray, A X; Kuo, C-T; Kaiser, A M; Ueda, S; Kobayashi, K; Meyers, D; Kareev, M; Tung, I C; Liu, Jian; Fadley, C S; Chakhalian, J; Freeland, J W

    2016-09-15

    The superconductor-to-insulator transition (SIT) induced by means such as external magnetic fields, disorder or spatial confinement is a vivid illustration of a quantum phase transition dramatically affecting the superconducting order parameter. In pursuit of a new realization of the SIT by interfacial charge transfer, we developed extremely thin superlattices composed of high Tc superconductor YBa2Cu3O7 (YBCO) and colossal magnetoresistance ferromagnet La0.67Ca0.33MnO3 (LCMO). By using linearly polarized resonant X-ray absorption spectroscopy and magnetic circular dichroism, combined with hard X-ray photoelectron spectroscopy, we derived a complete picture of the interfacial carrier doping in cuprate and manganite atomic layers, leading to the transition from superconducting to an unusual Mott insulating state emerging with the increase of LCMO layer thickness. In addition, contrary to the common perception that only transition metal ions may respond to the charge transfer process, we found that charge is also actively compensated by rare-earth and alkaline-earth metal ions of the interface. Such deterministic control of Tc by pure electronic doping without any hindering effects of chemical substitution is another promising route to disentangle the role of disorder on the pseudo-gap and charge density wave phases of underdoped cuprates.

  3. Long persistent phosphorescence of V3+ centers in MgAl2O4:Ce3+

    NASA Astrophysics Data System (ADS)

    Jia, Dongdong; William, Yen

    2002-03-01

    : Ceramic samples of Ce3+ doped and undoped MgAl2O4 have been prepared and studied. Long persistent phosphorescence was observed at 520nm in Ce3+ doped sample. The persistence time of the 520nm afterglow is longer than 10 hours. The long persistent 520nm afterglow is due to the V3+ centers in MgAl2O4. The V3+ emission is coming from a recombination of the electron from conduction band and the hole of the V3+ center. The hole level of the V3+ center is about 2.4eV below the conduction band. Thermoluminescence spectra of the two samples have been studied. There two hole traps in the MgAl2O4 are found at 41 and 238oC . Doping of Ce3+ also produces two F center like electron traps at 14 and 131oC. Doping of Ce3+ greatly enhanced the afterglow emission of the V3+ center.

  4. CVD Fiber Coatings for Al2O3/NiAl Composites

    NASA Technical Reports Server (NTRS)

    Boss, Daniel E.

    1995-01-01

    While sapphire-fiber-reinforced nickel aluminide (Al2O3/NiAl) composites are an attractive candidate for high-temperature structures, the significant difference in the coefficient of thermal expansion between the NiAl matrix and the sapphire fiber creates substantial residual stresses in the composite. This study seeks to produce two fiber-coating systems with the potential to reduce the residual stresses in the sapphire/NiAl composite system. Chemical vapor deposition (CVD) was used to produce both the compensating and compliant-fiber coatings for use in sapphire/NiAl composites. A special reactor was designed and built to produce the FGM and to handle the toxic nickel precursors. This process was successfully used to produce 500-foot lengths of fiber with coating thicknesses of approximately 3 microns, 5 microns, and 10 microns.

  5. Combustion synthesis of ceramic-metal composite materials - The TiC-Al2O3-Al system

    NASA Technical Reports Server (NTRS)

    Feng, H. J.; Moore, John J.; Wirth, D. G.

    1992-01-01

    Combustion synthesis was applied for producing ceramic-metal composites with reduced levels of porosity, by allowing an excess amount of liquid metal, generated by the exothermic reaction during synthesis, to infiltrate the pores. It is shown that this method, when applied to TiC-Al2O3 system, led to a decreased level of porosity in the resulting TiC-Al2O3-Al product, as compared with that of TiC-Al2O3 system. This in situ procedure is more efficient than the two-stage conventional processes (i.e., sintering followed by liquid metal infiltration), although there are limitations with respect to total penetration of the liquid metal and maintaining a stable propagation of the combustion reaction.

  6. Microwave dielectric properties of CaCu{sub 3}Ti{sub 4}O{sub 12}-Al{sub 2}O{sub 3} composite

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rahman, Mohd Fariz Ab; Abu, Mohamad Johari; Zaman, Rosyaini Afindi

    2016-07-19

    (1-x)CaCu{sub 3}Ti{sub 4}O{sub 12} + (x)Al{sub 2}O{sub 3} composite (0 ≤ x ≤0.25) was prepared via conventional solid-state reaction method. The fabrication of sample was started with synthesizing stoichiometric CCTO from CaCO{sub 3}, CuO and TiO{sub 2} powders, then wet-mixed in deionized water for 24 h. The process was continued with calcined CCTO powder at 900 °C for 12 h before sintered at 1040 °C for 10 h. Next, the calcined CCTO powder with different amount of Al{sub 2}O{sub 3} were mixed for 24 h, then palletized and sintered at 1040 °C for 10. X-ray diffraction analysis on the sinteredmore » samples showed that CCTO powder was in a single phase, meanwhile the trace of secondary peaks which belong to CaAl{sub 2}O{sub 4} and Corundum (Al{sub 2}O{sub 3}) could be observed in the other samples Scanning electron microscopy analysis showed that the grain size of the sample is firstly increased with addition of Al{sub 2}O{sub 3} (x = 0.01), then become smaller with the x > 0.01. Microwave dielectric properties showed that the addition of Al{sub 2}O{sub 3} (x = 0.01) was remarkably reduced the dielectric loss while slightly increased the dielectric permittivity. However, further addition of Al{sub 2}O{sub 3} was reduced both dielectric loss and permittivity at least for an order of magnitude.« less

  7. Processing of n+/p-/p+ strip detectors with atomic layer deposition (ALD) grown Al2O3 field insulator on magnetic Czochralski silicon (MCz-si) substrates

    NASA Astrophysics Data System (ADS)

    Härkönen, J.; Tuovinen, E.; Luukka, P.; Gädda, A.; Mäenpää, T.; Tuominen, E.; Arsenovich, T.; Junkes, A.; Wu, X.; Li, Z.

    2016-08-01

    Detectors manufactured on p-type silicon material are known to have significant advantages in very harsh radiation environment over n-type detectors, traditionally used in High Energy Physics experiments for particle tracking. In p-type (n+ segmentation on p substrate) position-sensitive strip detectors, however, the fixed oxide charge in the silicon dioxide is positive and, thus, causes electron accumulation at the Si/SiO2 interface. As a result, unless appropriate interstrip isolation is applied, the n-type strips are short-circuited. Widely adopted methods to terminate surface electron accumulation are segmented p-stop or p-spray field implantations. A different approach to overcome the near-surface electron accumulation at the interface of silicon dioxide and p-type silicon is to deposit a thin film field insulator with negative oxide charge. We have processed silicon strip detectors on p-type Magnetic Czochralski silicon (MCz-Si) substrates with aluminum oxide (Al2O3) thin film insulator, grown with Atomic Layer Deposition (ALD) method. The electrical characterization by current-voltage and capacitance-voltage measurement shows reliable performance of the aluminum oxide. The final proof of concept was obtained at the test beam with 200 GeV/c muons. For the non-irradiated detector the charge collection efficiency (CCE) was nearly 100% with a signal-to-noise ratio (S/N) of about 40, whereas for the 2×1015 neq/cm2 proton irradiated detector the CCE was 35%, when the sensor was biased at 500 V. These results are comparable with the results from p-type detectors with the p-spray and p-stop interstrip isolation techniques. In addition, interestingly, when the aluminum oxide was irradiated with Co-60 gamma-rays, an accumulation of negative fixed oxide charge in the oxide was observed.

  8. Nb2O5-γ-Al2O3 nanofibers as heterogeneous catalysts for efficient conversion of glucose to 5-hydroxymethylfurfural

    NASA Astrophysics Data System (ADS)

    Jiao, Huanfeng; Zhao, Xiaoliang; Lv, Chunxiao; Wang, Yijun; Yang, Dongjiang; Li, Zhenhuan; Yao, Xiangdong

    2016-09-01

    One-dimensional γ-Al2O3 nanofibers were modified with Nb2O5 to be used as an efficient heterogeneous catalyst to catalyze biomass into 5-hydroxymethylfurfural (5-HMF). At low Nb2O5 loading, the niobia species were well dispersed on γ-Al2O3 nanofiber through Nb-O-Al bridge bonds. The interaction between Nb2O5 precursor and γ-Al2O3 nanofiber results in the niobia species with strong Lewis acid sites and intensive Brønsted acid sites, which made 5-HMF yield from glucose to reach the maximum 55.9~59.0% over Nb2O5-γ-Al2O3 nanofiber with a loading of 0.5~1 wt% Nb2O5 at 150 °C for 4 h in dimethyl sulfoxide. However, increasing Nb2O5 loading could lead to the formation of two-dimensional polymerized niobia species, three-dimensional polymerized niobia species and crystallization, which significantly influenced the distribution and quantity of the Lewis acid sites and Brönst acid sites over Nb2O5-γ-Al2O3 nanofiber. Lewis acid site Nbδ+ played a key role on the isomerization of glucose to fructose, while Brønsted acid sites are more active for the dehydration of generated fructose to 5-HMF. In addition, the heterogeneous Nb2O5-γ-Al2O3 nanofiber catalyst with suitable ratio of Lewis acid to Brönsted sites should display an more excellent catalytic performance in the conversion of glucose to 5-HMF.

  9. HABIT CHANGES OF Y3Al5O12 AND Y3Ga5O12 GROWN FROM A PbO-PbF2 FLUX,

    DTIC Science & Technology

    Al2O3 or - Ga2O3 ratio in the melt. Y3Ga5O12 crystals have a pure (211) habit when grown from either a Y2O3- or PbO-rich melt. The crystals develop...small (110) faces when grown from a Ga2O3 - or PbF2-rich melt. Y3Al5O12 crystals have a pure (110) when grown from either a PbF2- or Al2O3-rich melt... Ga2O3 -rich melts. It is believed that the habit variations are caused by changes in either the surface diffusion or step propagation, due to Pb

  10. Fiber-Coupled, Time-Gated { {Al}}_{2}{ {O}}_{3} : { {C}} Radioluminescence Dosimetry Technique and Algorithm for Radiation Therapy With LINACs

    NASA Astrophysics Data System (ADS)

    Magne, Sylvain; Deloule, Sybelle; Ostrowsky, Aimé; Ferdinand, Pierre

    2013-08-01

    An original algorithm for real-time In Vivo Dosimetry (IVD) based on Radioluminescence (RL) of dosimetric-grade Al2O3:C crystals is described and demonstrated in reference conditions with 12-MV photon beams from a Saturne 43 linear accelerator (LINAC), simulating External Beam Radiation Therapy (EBRT) treatments. During the course of irradiation, a portion of electrons is trapped within the Al2O3:C crystal while another portion recombines and generates RL, recorded on-line using an optical fiber. The RL sensitivity is dose-dependent and increases in accordance with the concentration of trapped electrons. Once irradiation is completed, the Al2O3:C crystal is reset by laser light (reusable) and the resultant OSL (Optically Stimulated Luminescence) is also collected back by the remote RL-OSL reader and finally integrated to yield the absorbed dose. During irradiation, scintillation and Cerenkov lights generated within the optical fiber (“stem effect”) are removed by a time-discrimination method involving a discriminating unit and a fiber-coupled BGO scintillator placed in the irradiation room, next to the LINAC. The RL signals were then calibrated with respect to reference dose and dose rate data using an ionization chamber (IC). The algorithm relies upon the integral of the RL and provides the accumulated dose (useful to the medical physicist) at any time during irradiation, the dose rate being derived afterwards. It is tested with both step and arbitrary dose rate profiles, manually operated from the LINAC control desk. The doses measured by RL and OSL are both compared to reference doses and deviations are about ±2% and ±1% respectively, thus demonstrating the reliability of the algorithm for arbitrary profiles and wide range of dose rates. Although the calculation was done off-line, it is amenable to real-time processing during irradiation.

  11. Low operation voltage and high thermal stability of a WSi2 nanocrystal memory device using an Al2O3/HfO2/Al2O3 tunnel layer

    NASA Astrophysics Data System (ADS)

    Uk Lee, Dong; Jun Lee, Hyo; Kyu Kim, Eun; You, Hee-Wook; Cho, Won-Ju

    2012-02-01

    A WSi2 nanocrystal nonvolatile memory device was fabricated with an Al2O3/HfO2/Al2O3 (AHA) tunnel layer and its electrical characteristics were evaluated at 25, 50, 70, 100, and 125 °C. The program/erase (P/E) speed at 125 °C was approximately 500 μs under threshold voltage shifts of 1 V during voltage sweeping of 8 V/-8 V. When the applied pulse voltage was ±9 V for 1 s for the P/E conditions, the memory window at 125 °C was approximately 1.25 V after 105 s. The activation energies for the charge losses of 5%, 10%, 15%, 20%, 25%, 30%, and 35% were approximately 0.05, 0.11, 0.17, 0.21, 0.23, 0.23, and 0.23 eV, respectively. The charge loss mechanisms were direct tunneling and Pool-Frenkel emission between the WSi2 nanocrystals and the AHA barrier engineered tunneling layer. The WSi2 nanocrystal memory device with multi-stacked high-K tunnel layers showed strong potential for applications in nonvolatile memory devices.

  12. Composition dependence of superconductivity in YBa2(Cu(3-x)Al(x))O(y)

    NASA Technical Reports Server (NTRS)

    Bansal, N. P.

    1993-01-01

    Eleven different compositions in the system YBa2(Cu(3-x)Al(x))O(y) (x = 0 to 0.3) have been synthesized and characterized by electrical resistivity measurements, powder X-ray diffraction, and scanning electron microscopy. The superconducting transition temperature T sub c (onset) was almost unaffected by the presence of alumina due to its limited solubility in YBa2Cu3O(7-x). However, T sub c(R = 0) gradually decreased, and the resistive tails became longer with increasing Al2O3 concentration. This was probably due to formation of BaAl2O4 and other impurity phases from chemical decomposition of the superconducting phase by reaction with Al2O3.

  13. Electrical characteristics and interface properties of ALD-HfO2/AlGaN/GaN MIS-HEMTs fabricated with post-deposition annealing

    NASA Astrophysics Data System (ADS)

    Kubo, Toshiharu; Egawa, Takashi

    2017-12-01

    HfO2/AlGaN/GaN metal-insulator-semiconductor (MIS)-type high electron mobility transistors (HEMTs) on Si substrates were fabricated by atomic layer deposition of HfO2 layers and post-deposition annealing (PDA). The current-voltage characteristics of the MIS-HEMTs with as-deposited HfO2 layers showed a low gate leakage current (I g) despite the relatively low band gap of HfO2, and a dynamic threshold voltage shift (ΔV th) was observed. After PDA above 500 °C, ΔV th was reduced from 2.9 to 0.7 V with an increase in I g from 2.2 × 10-7 to 4.8 × 10-2 mA mm-1. Effects of the PDA on the HfO2 layer and the HfO2/AlGaN interface were investigated by x-ray photoelectron spectroscopy (XPS) using synchrotron radiation. XPS data showed that oxygen vacancies exist in the as-deposited HfO2 layers and they disappeared with an increase in the PDA temperature. These results indicate that the deep electron traps that cause ΔV th are related to the oxygen vacancies in the HfO2 layers.

  14. Nanocomposites of polyimide and mixed oxide nanoparticles for high performance nanohybrid gate dielectrics in flexible thin film transistors

    NASA Astrophysics Data System (ADS)

    Kim, Ju Hyun; Hwang, Byeong-Ung; Kim, Do-Il; Kim, Jin Soo; Seol, Young Gug; Kim, Tae Woong; Lee, Nae-Eung

    2017-05-01

    Organic gate dielectrics in thin film transistors (TFTs) for flexible display have advantages of high flexibility yet have the disadvantage of low dielectric constant (low- k). To supplement low- k characteristics of organic gate dielectrics, an organic/inorganic nanocomposite insulator loaded with high- k inorganic oxide nanoparticles (NPs) has been investigated but high loading of high- k NPs in polymer matrix is essential. Herein, compositing of over-coated polyimide (PI) on self-assembled (SA) layer of mixed HfO2 and ZrO2 NPs as inorganic fillers was used to make dielectric constant higher and leakage characteristics lower. A flexible TFT with lower the threshold voltage and high current on/off ratio could be fabricated by using the hybrid gate dielectric structure of the nanocomposite with SA layer of mixed NPs on ultrathin atomic-layer deposited Al2O3. [Figure not available: see fulltext.

  15. Influence of ZrO2, SiO2, Al2O3 and TiO2 nanoparticles on maize seed germination under different growth conditions.

    PubMed

    Karunakaran, Gopalu; Suriyaprabha, Rangaraj; Rajendran, Venkatachalam; Kannan, Narayanasamy

    2016-08-01

    The focus of this investigation is to evaluate the phytotoxicity of selected metal oxide nanoparticles and microparticles as a function of maize seed germination and root elongation under different growth conditions (Petri plate, cotton and soil). The results of seed germination and root elongation experiments reveal that all the growth conditions show almost similar results. Alumina (Al2O3) and titania (TiO2) nanoparticles significantly reduce the germination percentage, whereas silica (SiO2) nanoparticles and microparticles enhance the same. The results of nanoparticles and microparticles of zirconia (ZrO2) are found to be same as those of controls. Root elongation is enhanced by SiO2 nanoparticles and microparticles treatment, whereas inhibition is observed with Al2O3 and TiO2 nanoparticles and microparticles. The X-ray fluorescence spectrometry data of the treated and control seed samples show that seeds uptake SiO2 particles to a greater extent followed by TiO2, Al2O3 and ZrO2. In addition, the uptake of nanoparticles is found to be greater than that of microparticles. Thus, the tested metal oxides penetrated seeds at the nanoscale as compared with the microscale. This study clarifies phytotoxicity of nanoparticles treated in different growth substrates and highlights the impact of nanoparticles on environment and agricultural systems.

  16. B a2NiOs O6 : A Dirac-Mott insulator with ferromagnetism near 100 K

    NASA Astrophysics Data System (ADS)

    Feng, Hai L.; Calder, Stuart; Ghimire, Madhav Prasad; Yuan, Ya-Hua; Shirako, Yuichi; Tsujimoto, Yoshihiro; Matsushita, Yoshitaka; Hu, Zhiwei; Kuo, Chang-Yang; Tjeng, Liu Hao; Pi, Tun-Wen; Soo, Yun-Liang; He, Jianfeng; Tanaka, Masahiko; Katsuya, Yoshio; Richter, Manuel; Yamaura, Kazunari

    2016-12-01

    The ferromagnetic semiconductor B a2NiOs O6 (Tmag˜100 K ) was synthesized at 6 GPa and 1500 °C. It crystallizes into a double perovskite structure [F m -3 m ; a =8.0428 (1 )Å ], where the N i2 + and O s6 + ions are perfectly ordered at the perovskite B site. We show that the spin-orbit coupling of O s6 + plays an essential role in opening the charge gap. The magnetic state was investigated by density functional theory calculations and powder neutron diffraction. The latter revealed a collinear ferromagnetic order in a >21 kOe magnetic field at 5 K. The ferromagnetic gapped state is fundamentally different from that of known dilute magnetic semiconductors such as (Ga,Mn)As and (Cd,Mn)Te (Tmag<180 K ), the spin-gapless semiconductor M n2CoAl (Tmag˜720 K ), and the ferromagnetic insulators EuO (Tmag˜70 K ) and B i3C r3O11 (Tmag˜220 K ). It is also qualitatively different from known ferrimagnetic insulators and semiconductors, which are characterized by an antiparallel spin arrangement. Our finding of the ferromagnetic semiconductivity of B a2NiOs O6 should increase interest in the platinum group oxides, because this alternative class of materials should be useful in the development of spintronic, quantum magnetic, and related devices.

  17. Oxygen vacancy defect engineering using atomic layer deposited HfAlO{sub x} in multi-layered gate stack

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhuyian, M. N., E-mail: mnb3@njit.edu; Misra, D.; Sengupta, R.

    2016-05-02

    This work evaluates the defects in high quality atomic layer deposited (ALD) HfAlO{sub x} with extremely low Al (<3% Al/(Al + Hf)) incorporation in the Hf based high-k dielectrics. The defect activation energy estimated by the high temperature current voltage measurement shows that the charged oxygen vacancies, V{sup +}/V{sup 2+}, are the primary source of defects in these dielectrics. When Al is added in HfO{sub 2}, the V{sup +} type defects with a defect activation energy of E{sub a} ∼ 0.2 eV modify to V{sup 2+} type to E{sub a} ∼ 0.1 eV with reference to the Si conduction band. When devices were stressedmore » in the gate injection mode for 1000 s, more V{sup +} type defects are generated and E{sub a} reverts back to ∼0.2 eV. Since Al has a less number of valence electrons than do Hf, the change in the co-ordination number due to Al incorporation seems to contribute to the defect level modifications. Additionally, the stress induced leakage current behavior observed at 20 °C and at 125 °C demonstrates that the addition of Al in HfO{sub 2} contributed to suppressed trap generation process. This further supports the defect engineering model as reduced flat-band voltage shifts were observed at 20 °C and at 125 °C.« less

  18. Effect of MgO on Liquidus Temperatures in the ZnO-"FeO"-Al2O3-CaO-SiO2-MgO System in Equilibrium with Metallic Iron

    NASA Astrophysics Data System (ADS)

    Zhao, Baojun; Hayes, Peter C.; Jak, Evgueni

    2011-06-01

    The phase equilibria in the ZnO-"FeO"-Al2O3-CaO-SiO2-MgO system have been determined experimentally in equilibrium with metallic iron. Synthetic slags were equilibrated at a high temperature, quenched, and then the compositions of the phases in equilibrium were measured using electron probe X-ray microanalysis. Pseudoternary sections of the form ZnO-"FeO"-(Al2O3 + CaO + SiO2) for CaO/SiO2 = 0.71, (CaO + SiO2)/Al2O3 = 5 and fixed MgO concentrations of 2, 4, and 6 wt pct have been constructed. Wustite (Fe2+,Mg,Zn)O and spinel (Fe2+,Mg,Zn)O·(Al,Fe3+)2O3 are the major primary phases in the temperature and composition ranges investigated. The liquidus temperatures are increased by 140 K in the wustite primary phase field and by 70 K in the spinel primary phase field with the addition of 6 wt pct MgO in the slag. The partitioning of MgO and ZnO between the solid and liquid phases has been discussed.

  19. Cast Aluminum Alloys for High Temperature Applications Using Nanoparticles Al2O3 and Al3-X Compounds (X = Ti, V, Zr)

    NASA Technical Reports Server (NTRS)

    Lee, Jonathan A.

    2009-01-01

    In this paper, the effect of nanoparticles Al2O3 and Al3-X compounds (X = Ti, V, Zr) on the improvement of mechanical properties of aluminum alloys for elevated temperature applications is presented. These nanoparticles were selected based on their low cost, chemical stability and low diffusions rates in aluminum at high temperatures. The strengthening mechanism at high temperature for aluminum alloy is based on the mechanical blocking of dislocation movements by these nanoparticles. For Al2O3 nanoparticles, the test samples were prepared from special Al2O3 preforms, which were produced using ceramic injection molding process and then pressure infiltrated by molten aluminum. In another method, Al2O3 nanoparticles can also be homogeneously mixed with fine aluminum powder and consolidated into test samples through hot pressing and sintering. With the Al3-X nanoparticles, the test samples are produced as precipitates from in-situ reactions with molten aluminum using conventional permanent mold or die casting techniques. It is found that cast aluminum alloy using nanoparticles Al3-X is the most cost effective method to produce high strength aluminum alloys for high temperature applications in comparison to nanoparticles Al2O3. Furthermore, significant mechanical properties retention in high temperature environment could be achieved with Al3-X nanoparticles, resulting in tensile strength of nearly 3 times higher than most 300- series conventional cast aluminum alloys tested at 600 F.

  20. Transport and retention of engineered Al2O3, TiO2, and SiO2 nanoparticles through various sedimentary rocks.

    PubMed

    Bayat, Ali Esfandyari; Junin, Radzuan; Shamshirband, Shahaboddin; Chong, Wen Tong

    2015-09-16

    Engineered aluminum oxide (Al2O3), titanium dioxide (TiO2), and silicon dioxide (SiO2) nanoparticles (NPs) are utilized in a broad range of applications; causing noticeable quantities of these materials to be released into the environment. Issues of how and where these particles are distributed into the subsurface aquatic environment remain as major challenges for those in environmental engineering. In this study, transport and retention of Al2O3, TiO2, and SiO2 NPs through various saturated porous media were investigated. Vertical columns were packed with quartz-sand, limestone, and dolomite grains. The NPs were introduced as a pulse suspended in aqueous solutions and breakthrough curves in the column outlet were generated using an ultraviolet-visible spectrophotometer. It was found that Al2O3 and TiO2 NPs are easily transported through limestone and dolomite porous media whereas NPs recoveries were achieved two times higher than those found in the quartz-sand. The highest and lowest SiO2-NPs recoveries were also achieved from the quartz-sand and limestone columns, respectively. The experimental results closely replicated the general trends predicted by the filtration and DLVO calculations. Overall, NPs mobility through a porous medium was found to be strongly dependent on NP surface charge, NP suspension stability against deposition, and porous medium surface charge and roughness.