Sample records for allowable resistance requirements

  1. Directed evolution of multiple genomic loci allows the prediction of antibiotic resistance.

    PubMed

    Nyerges, Ákos; Csörgő, Bálint; Draskovits, Gábor; Kintses, Bálint; Szili, Petra; Ferenc, Györgyi; Révész, Tamás; Ari, Eszter; Nagy, István; Bálint, Balázs; Vásárhelyi, Bálint Márk; Bihari, Péter; Számel, Mónika; Balogh, Dávid; Papp, Henrietta; Kalapis, Dorottya; Papp, Balázs; Pál, Csaba

    2018-06-19

    Antibiotic development is frequently plagued by the rapid emergence of drug resistance. However, assessing the risk of resistance development in the preclinical stage is difficult. Standard laboratory evolution approaches explore only a small fraction of the sequence space and fail to identify exceedingly rare resistance mutations and combinations thereof. Therefore, new rapid and exhaustive methods are needed to accurately assess the potential of resistance evolution and uncover the underlying mutational mechanisms. Here, we introduce directed evolution with random genomic mutations (DIvERGE), a method that allows an up to million-fold increase in mutation rate along the full lengths of multiple predefined loci in a range of bacterial species. In a single day, DIvERGE generated specific mutation combinations, yielding clinically significant resistance against trimethoprim and ciprofloxacin. Many of these mutations have remained previously undetected or provide resistance in a species-specific manner. These results indicate pathogen-specific resistance mechanisms and the necessity of future narrow-spectrum antibacterial treatments. In contrast to prior claims, we detected the rapid emergence of resistance against gepotidacin, a novel antibiotic currently in clinical trials. Based on these properties, DIvERGE could be applicable to identify less resistance-prone antibiotics at an early stage of drug development. Finally, we discuss potential future applications of DIvERGE in synthetic and evolutionary biology. Copyright © 2018 the Author(s). Published by PNAS.

  2. 40 CFR 96.341 - Timing requirements for CAIR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 22 2012-07-01 2012-07-01 false Timing requirements for CAIR NOX Ozone... PROGRAMS FOR STATE IMPLEMENTATION PLANS CAIR NOX Ozone Season Allowance Allocations § 96.341 Timing requirements for CAIR NOX Ozone Season allowance allocations. (a) By October 31, 2006, the permitting authority...

  3. 40 CFR 97.341 - Timing requirements for CAIR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 22 2013-07-01 2013-07-01 false Timing requirements for CAIR NOX Ozone... TRADING PROGRAMS CAIR NOX Ozone Season Allowance Allocations § 97.341 Timing requirements for CAIR NOX Ozone Season allowance allocations. (a) The Administrator will determine by order the CAIR NOX Ozone...

  4. 40 CFR 97.341 - Timing requirements for CAIR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 40 Protection of Environment 20 2010-07-01 2010-07-01 false Timing requirements for CAIR NOX Ozone... TRADING PROGRAMS CAIR NOX Ozone Season Allowance Allocations § 97.341 Timing requirements for CAIR NOX Ozone Season allowance allocations. (a) The Administrator will determine by order the CAIR NOX Ozone...

  5. 40 CFR 96.341 - Timing requirements for CAIR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 21 2011-07-01 2011-07-01 false Timing requirements for CAIR NOX Ozone... PROGRAMS FOR STATE IMPLEMENTATION PLANS CAIR NOX Ozone Season Allowance Allocations § 96.341 Timing requirements for CAIR NOX Ozone Season allowance allocations. (a) By October 31, 2006, the permitting authority...

  6. 40 CFR 96.341 - Timing requirements for CAIR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 40 Protection of Environment 20 2010-07-01 2010-07-01 false Timing requirements for CAIR NOX Ozone... PROGRAMS FOR STATE IMPLEMENTATION PLANS CAIR NOX Ozone Season Allowance Allocations § 96.341 Timing requirements for CAIR NOX Ozone Season allowance allocations. (a) By October 31, 2006, the permitting authority...

  7. 40 CFR 96.341 - Timing requirements for CAIR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 21 2014-07-01 2014-07-01 false Timing requirements for CAIR NOX Ozone... PROGRAMS FOR STATE IMPLEMENTATION PLANS CAIR NOX Ozone Season Allowance Allocations § 96.341 Timing requirements for CAIR NOX Ozone Season allowance allocations. (a) By October 31, 2006, the permitting authority...

  8. 40 CFR 97.341 - Timing requirements for CAIR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 40 Protection of Environment 21 2011-07-01 2011-07-01 false Timing requirements for CAIR NOX Ozone... TRADING PROGRAMS CAIR NOX Ozone Season Allowance Allocations § 97.341 Timing requirements for CAIR NOX Ozone Season allowance allocations. (a) The Administrator will determine by order the CAIR NOX Ozone...

  9. 40 CFR 97.341 - Timing requirements for CAIR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 22 2012-07-01 2012-07-01 false Timing requirements for CAIR NOX Ozone... TRADING PROGRAMS CAIR NOX Ozone Season Allowance Allocations § 97.341 Timing requirements for CAIR NOX Ozone Season allowance allocations. (a) The Administrator will determine by order the CAIR NOX Ozone...

  10. 40 CFR 97.341 - Timing requirements for CAIR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 21 2014-07-01 2014-07-01 false Timing requirements for CAIR NOX Ozone... TRADING PROGRAMS CAIR NOX Ozone Season Allowance Allocations § 97.341 Timing requirements for CAIR NOX Ozone Season allowance allocations. (a) The Administrator will determine by order the CAIR NOX Ozone...

  11. 40 CFR 96.341 - Timing requirements for CAIR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 22 2013-07-01 2013-07-01 false Timing requirements for CAIR NOX Ozone... PROGRAMS FOR STATE IMPLEMENTATION PLANS CAIR NOX Ozone Season Allowance Allocations § 96.341 Timing requirements for CAIR NOX Ozone Season allowance allocations. (a) By October 31, 2006, the permitting authority...

  12. Genome-wide chemical mutagenesis screens allow unbiased saturation of the cancer genome and identification of drug resistance mutations.

    PubMed

    Brammeld, Jonathan S; Petljak, Mia; Martincorena, Inigo; Williams, Steven P; Alonso, Luz Garcia; Dalmases, Alba; Bellosillo, Beatriz; Robles-Espinoza, Carla Daniela; Price, Stacey; Barthorpe, Syd; Tarpey, Patrick; Alifrangis, Constantine; Bignell, Graham; Vidal, Joana; Young, Jamie; Stebbings, Lucy; Beal, Kathryn; Stratton, Michael R; Saez-Rodriguez, Julio; Garnett, Mathew; Montagut, Clara; Iorio, Francesco; McDermott, Ultan

    2017-04-01

    Drug resistance is an almost inevitable consequence of cancer therapy and ultimately proves fatal for the majority of patients. In many cases, this is the consequence of specific gene mutations that have the potential to be targeted to resensitize the tumor. The ability to uniformly saturate the genome with point mutations without chromosome or nucleotide sequence context bias would open the door to identify all putative drug resistance mutations in cancer models. Here, we describe such a method for elucidating drug resistance mechanisms using genome-wide chemical mutagenesis allied to next-generation sequencing. We show that chemically mutagenizing the genome of cancer cells dramatically increases the number of drug-resistant clones and allows the detection of both known and novel drug resistance mutations. We used an efficient computational process that allows for the rapid identification of involved pathways and druggable targets. Such a priori knowledge would greatly empower serial monitoring strategies for drug resistance in the clinic as well as the development of trials for drug-resistant patients. © 2017 Brammeld et al.; Published by Cold Spring Harbor Laboratory Press.

  13. 40 CFR 97.511 - Timing requirements for TR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 40 Protection of Environment 22 2012-07-01 2012-07-01 false Timing requirements for TR NOX Ozone... TRADING PROGRAMS TR NOX Ozone Season Trading Program § 97.511 Timing requirements for TR NOX Ozone Season allowance allocations. (a) Existing units. (1) TR NOX Ozone Season allowances are allocated, for the control...

  14. 40 CFR 97.511 - Timing requirements for TR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 40 Protection of Environment 22 2013-07-01 2013-07-01 false Timing requirements for TR NOX Ozone... TRADING PROGRAMS TR NOX Ozone Season Trading Program § 97.511 Timing requirements for TR NOX Ozone Season allowance allocations. (a) Existing units. (1) TR NOX Ozone Season allowances are allocated, for the control...

  15. 40 CFR 97.511 - Timing requirements for TR NOX Ozone Season allowance allocations.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 40 Protection of Environment 21 2014-07-01 2014-07-01 false Timing requirements for TR NOX Ozone... TRADING PROGRAMS TR NOX Ozone Season Trading Program § 97.511 Timing requirements for TR NOX Ozone Season allowance allocations. (a) Existing units. (1) TR NOX Ozone Season allowances are allocated, for the control...

  16. Time-programmable drug dosing allows the manipulation, suppression and reversal of antibiotic drug resistance in vitro

    NASA Astrophysics Data System (ADS)

    Yoshida, Mari; Reyes, Sabrina Galiñanes; Tsuda, Soichiro; Horinouchi, Takaaki; Furusawa, Chikara; Cronin, Leroy

    2017-06-01

    Multi-drug strategies have been attempted to prolong the efficacy of existing antibiotics, but with limited success. Here we show that the evolution of multi-drug-resistant Escherichia coli can be manipulated in vitro by administering pairs of antibiotics and switching between them in ON/OFF manner. Using a multiplexed cell culture system, we find that switching between certain combinations of antibiotics completely suppresses the development of resistance to one of the antibiotics. Using this data, we develop a simple deterministic model, which allows us to predict the fate of multi-drug evolution in this system. Furthermore, we are able to reverse established drug resistance based on the model prediction by modulating antibiotic selection stresses. Our results support the idea that the development of antibiotic resistance may be potentially controlled via continuous switching of drugs.

  17. 30 CFR 206.179 - What general requirements regarding processing allowances apply to me?

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... for each gas plant product and processing plant relationship. Natural gas liquids are considered as... THE INTERIOR MINERALS REVENUE MANAGEMENT PRODUCT VALUATION Indian Gas Processing Allowances § 206.179 What general requirements regarding processing allowances apply to me? (a) When you value any gas plant...

  18. Multiple Antibiotic Resistance Plasmids Allow Scalable,
PCR-Mediated DNA Manipulation and Near-Zero Background Cloning

    PubMed Central

    Arnak, Remigiusz; Altun, Burcin; Tosato, Valentina

    2016-01-01

    Summary We have constructed two plasmids that can be used for cloning as templates for PCR- -based gene disruption, mutagenesis and the construction of DNA chromosome translocation cassettes. To our knowledge, these plasmids are the first vectors that confer resistance to ampicillin, kanamycin and hygromycin B in bacteria, and to geneticin (G418) and hygromycin B in Saccharomyces cerevisiae simultaneously. The option of simultaneously using up to three resistance markers provides a highly stringent control of recombinant selection and the almost complete elimination of background resistance, while unique restriction sites allow easy cloning of chosen genetic material. Moreover, we successfully used these new vectors as PCR templates for the induction of chromosome translocation in budding yeast by the bridge-induced translocation system. Cells in which translocation was induced carried chromosomal rearrangements as expected and exhibited resistance to both, G418 and hygromycin B. These features make our constructs very handy tools for many molecular biology applications. PMID:27956856

  19. Genome-Wide Requirements for Resistance to Functionally Distinct DNA-Damaging Agents

    PubMed Central

    Proctor, Michael; Flaherty, Patrick; Jordan, Michael I; Arkin, Adam P; Davis, Ronald W; Nislow, Corey; Giaever, Guri

    2005-01-01

    The mechanistic and therapeutic differences in the cellular response to DNA-damaging compounds are not completely understood, despite intense study. To expand our knowledge of DNA damage, we assayed the effects of 12 closely related DNA-damaging agents on the complete pool of ~4,700 barcoded homozygous deletion strains of Saccharomyces cerevisiae. In our protocol, deletion strains are pooled together and grown competitively in the presence of compound. Relative strain sensitivity is determined by hybridization of PCR-amplified barcodes to an oligonucleotide array carrying the barcode complements. These screens identified genes in well-characterized DNA-damage-response pathways as well as genes whose role in the DNA-damage response had not been previously established. High-throughput individual growth analysis was used to independently confirm microarray results. Each compound produced a unique genome-wide profile. Analysis of these data allowed us to determine the relative importance of DNA-repair modules for resistance to each of the 12 profiled compounds. Clustering the data for 12 distinct compounds uncovered both known and novel functional interactions that comprise the DNA-damage response and allowed us to define the genetic determinants required for repair of interstrand cross-links. Further genetic analysis allowed determination of epistasis for one of these functional groups. PMID:16121259

  20. Trehalose glycopolymer resists allow direct writing of protein patterns by electron-beam lithography

    NASA Astrophysics Data System (ADS)

    Bat, Erhan; Lee, Juneyoung; Lau, Uland Y.; Maynard, Heather D.

    2015-03-01

    Direct-write patterning of multiple proteins on surfaces is of tremendous interest for a myriad of applications. Precise arrangement of different proteins at increasingly smaller dimensions is a fundamental challenge to apply the materials in tissue engineering, diagnostics, proteomics and biosensors. Herein, we present a new resist that protects proteins during electron-beam exposure and its application in direct-write patterning of multiple proteins. Polymers with pendant trehalose units are shown to effectively crosslink to surfaces as negative resists, while at the same time providing stabilization to proteins during the vacuum and electron-beam irradiation steps. In this manner, arbitrary patterns of several different classes of proteins such as enzymes, growth factors and immunoglobulins are realized. Utilizing the high-precision alignment capability of electron-beam lithography, surfaces with complex patterns of multiple proteins are successfully generated at the micrometre and nanometre scale without requiring cleanroom conditions.

  1. 49 CFR 192.328 - Additional construction requirements for steel pipe using alternative maximum allowable operating...

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 49 Transportation 3 2012-10-01 2012-10-01 false Additional construction requirements for steel pipe using alternative maximum allowable operating pressure. 192.328 Section 192.328 Transportation... Lines and Mains § 192.328 Additional construction requirements for steel pipe using alternative maximum...

  2. 49 CFR 192.328 - Additional construction requirements for steel pipe using alternative maximum allowable operating...

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 49 Transportation 3 2014-10-01 2014-10-01 false Additional construction requirements for steel pipe using alternative maximum allowable operating pressure. 192.328 Section 192.328 Transportation... Lines and Mains § 192.328 Additional construction requirements for steel pipe using alternative maximum...

  3. 45 CFR 1310.12 - Required use of School Buses or Allowable Alternate Vehicles.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 45 Public Welfare 4 2014-10-01 2014-10-01 false Required use of School Buses or Allowable Alternate Vehicles. 1310.12 Section 1310.12 Public Welfare Regulations Relating to Public Welfare (Continued) OFFICE OF HUMAN DEVELOPMENT SERVICES, DEPARTMENT OF HEALTH AND HUMAN SERVICES THE ADMINISTRATION FOR...

  4. 45 CFR 1310.12 - Required use of School Buses or Allowable Alternate Vehicles.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 45 Public Welfare 4 2010-10-01 2010-10-01 false Required use of School Buses or Allowable Alternate Vehicles. 1310.12 Section 1310.12 Public Welfare Regulations Relating to Public Welfare (Continued) OFFICE OF HUMAN DEVELOPMENT SERVICES, DEPARTMENT OF HEALTH AND HUMAN SERVICES THE ADMINISTRATION FOR...

  5. 45 CFR 1310.12 - Required use of School Buses or Allowable Alternate Vehicles.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 45 Public Welfare 4 2011-10-01 2011-10-01 false Required use of School Buses or Allowable Alternate Vehicles. 1310.12 Section 1310.12 Public Welfare Regulations Relating to Public Welfare (Continued) OFFICE OF HUMAN DEVELOPMENT SERVICES, DEPARTMENT OF HEALTH AND HUMAN SERVICES THE ADMINISTRATION FOR...

  6. 45 CFR 1310.12 - Required use of School Buses or Allowable Alternate Vehicles.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 45 Public Welfare 4 2013-10-01 2013-10-01 false Required use of School Buses or Allowable Alternate Vehicles. 1310.12 Section 1310.12 Public Welfare Regulations Relating to Public Welfare (Continued) OFFICE OF HUMAN DEVELOPMENT SERVICES, DEPARTMENT OF HEALTH AND HUMAN SERVICES THE ADMINISTRATION FOR CHILDREN, YOUTH AND FAMILIES, HEAD START...

  7. 45 CFR 1310.12 - Required use of School Buses or Allowable Alternate Vehicles.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 45 Public Welfare 4 2012-10-01 2012-10-01 false Required use of School Buses or Allowable Alternate Vehicles. 1310.12 Section 1310.12 Public Welfare Regulations Relating to Public Welfare (Continued) OFFICE OF HUMAN DEVELOPMENT SERVICES, DEPARTMENT OF HEALTH AND HUMAN SERVICES THE ADMINISTRATION FOR CHILDREN, YOUTH AND FAMILIES, HEAD START...

  8. 21 CFR 700.25 - Tamper-resistant packaging requirements for cosmetic products.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... cosmetic products. 700.25 Section 700.25 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) COSMETICS GENERAL Requirements for Specific Cosmetic Products § 700.25 Tamper-resistant packaging requirements for cosmetic products. (a) General. Because most cosmetic liquid...

  9. 21 CFR 700.25 - Tamper-resistant packaging requirements for cosmetic products.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... cosmetic products. 700.25 Section 700.25 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) COSMETICS GENERAL Requirements for Specific Cosmetic Products § 700.25 Tamper-resistant packaging requirements for cosmetic products. (a) General. Because most cosmetic liquid...

  10. 21 CFR 700.25 - Tamper-resistant packaging requirements for cosmetic products.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... cosmetic products. 700.25 Section 700.25 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) COSMETICS GENERAL Requirements for Specific Cosmetic Products § 700.25 Tamper-resistant packaging requirements for cosmetic products. (a) General. Because most cosmetic liquid...

  11. 21 CFR 700.25 - Tamper-resistant packaging requirements for cosmetic products.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... cosmetic products. 700.25 Section 700.25 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) COSMETICS GENERAL Requirements for Specific Cosmetic Products § 700.25 Tamper-resistant packaging requirements for cosmetic products. (a) General. Because most cosmetic liquid...

  12. Allowable stress in piles.

    DOT National Transportation Integrated Search

    1983-12-01

    "This study presents methods for establishing allowable stresses in steel, concrete, and timber piles using load/resistance factor concepts. These methods take into account not only the material properties of the pile itself but also the individual e...

  13. Infection caused by thymidine-requiring, trimethoprim-resistant bacteria.

    PubMed Central

    King, C H; Shlaes, D M; Dul, M J

    1983-01-01

    We first noted the appearance of thymidine-requiring, gram-negative bacilli in clinical specimens 2 years ago. Since then we have seen 10 patients colonized or infected with these organisms. These strains do not grow on Mueller-Hinton media, growth on MacConkey agar is variable, and growth in API 20E (Analytab Products) and Enterobacteriaceae-Plus Cards (AutoMicrobic system; Vitek Systems Inc.) is inadequate for reliable identifications. Thymidine-requiring organisms are routinely resistant to sulfonamides and trimethoprim. Infection or colonization is associated with previous sulfamethoxazole-trimethoprim therapy in most cases. Of 10 patients, 1 had septicemia of urinary tract origin, 5 had urinary tract colonization or infection, 2 had wound colonization, and two had colonization of respiratory secretions. Thymidine-requiring, gram-negative bacilli can be pathogens and present potential problems in diagnosis, identification, and susceptibility testing. PMID:6604070

  14. Clinical evidence on the magnitude of change in growth pathway activity in relation to Tamoxifen resistance is required.

    PubMed

    Mansouri, Sepideh; Farahmand, Leila; Teymourzadeh, Azin; Majidzadeh-A, Keivan

    2017-08-08

    Despite prolonged disease-free survival and overall survival rates in estrogen receptor (ER)-positive patients undergoing adjuvant treatment, Tamoxifen therapy tends to fail due to eventual acquisition of resistance. Although numerous studies have emphasized the role of receptor tyrosine kinases (RTKs) in the development of Tamoxifen resistance, inadequate clinical evidence is available regarding the alteration of biomarker expression during acquired resistance, thus undermining the validity of the findings. Results of two meta-analyses investigating the effect of HER2 status on the prognosis of Tamoxifen-receiving patients have demonstrated that despite HER2-negative patients having longer disease-free survival; there is no difference in overhaul survival between the two groups. Furthermore, due to the intricate molecular interactions among estrogen receptors including ERα36, ERα66, and also RTKs, it is not surprising that RTK suppression does not restore Tamoxifen sensitivity. In considering such a complex network, we speculate that by the time HER2/EGFR is suppressed via targeted therapies, activation of ERα66 and ERα36 initiate molecular signaling pathways downstream of RTKs, thereby enhancing cell proliferation even in the presence of both Tamoxifen and RTK inhibitors. Although clinical findings regarding the molecular pathways downstream of RTKs have been thoroughly discussed in this review, further clinical studies are required in determining a consistency between preclinical and clinical findings. Discovering the best targets in preventing tumor progression requires thorough comprehension of estrogen-dependent and estrogen-independent pathways during Tamoxifen resistance development. Indeed, exploring additional clinically-proven targets would allow for better characterized treatments being available for breast cancer patients. Copyright© Bentham Science Publishers; For any queries, please email at epub@benthamscience.org.

  15. 42 CFR 84.156 - Airflow resistance test; Type C supplied-air respirator, demand class; minimum requirements.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... C supplied-air respirator, demand class; minimum requirements. (a) Inhalation resistance shall not... 42 Public Health 1 2010-10-01 2010-10-01 false Airflow resistance test; Type C supplied-air respirator, demand class; minimum requirements. 84.156 Section 84.156 Public Health PUBLIC HEALTH SERVICE...

  16. Resistance-training exercises with different stability requirements: time course of task specificity.

    PubMed

    Saeterbakken, Atle Hole; Andersen, Vidar; Behm, David G; Krohn-Hansen, Espen Krogseth; Smaamo, Mats; Fimland, Marius Steiro

    2016-12-01

    The aim of the study was to assess the task-specificity (greater improvements in trained compared to non-trained tasks), transferability and time-course adaptations of resistance-training programs with varying instability requirements. Thirty-six resistance-trained men were randomized to train chest press 2 days week -1 for 10 week (6 repetitions × 4 series) using a Swiss ball, Smith machine or dumbbells. A six-repetition maximum-strength test with the aforementioned exercises and traditional barbell chest press were performed by all participants at the first, 7th, 14th and final training session in addition to electromyographic activities of the prime movers measured during isometric bench press. The groups training with the unstable Swiss-ball and dumbbells, but not the stable Smith-machine, demonstrated task-specificity, which became apparent in the early phase and remained throughout the study. The improvements in the trained exercise tended to increase more with instability (dumbbells vs. Smith machine, p = 0.061). The group training with Smith machine had similar improvements in the non-trained exercises. Greater improvements were observed in the early phase of the strength-training program (first-7th session) for all groups in all three exercises, but most notably for the unstable exercises. No differences were observed between the groups or testing times for EMG activity. These findings suggest that among resistance-trained individuals, the concept of task-specificity could be most relevant in resistance training with greater stability requirements, particularly due to rapid strength improvements for unstable resistance exercises.

  17. 42 CFR 84.112 - Canisters and cartridges in parallel; resistance requirements.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 42 Public Health 1 2011-10-01 2011-10-01 false Canisters and cartridges in parallel; resistance requirements. 84.112 Section 84.112 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE...

  18. 42 CFR 84.112 - Canisters and cartridges in parallel; resistance requirements.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 42 Public Health 1 2013-10-01 2013-10-01 false Canisters and cartridges in parallel; resistance requirements. 84.112 Section 84.112 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE...

  19. 42 CFR 84.112 - Canisters and cartridges in parallel; resistance requirements.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 42 Public Health 1 2012-10-01 2012-10-01 false Canisters and cartridges in parallel; resistance requirements. 84.112 Section 84.112 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE...

  20. 42 CFR 84.112 - Canisters and cartridges in parallel; resistance requirements.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 42 Public Health 1 2014-10-01 2014-10-01 false Canisters and cartridges in parallel; resistance requirements. 84.112 Section 84.112 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE...

  1. 42 CFR 84.112 - Canisters and cartridges in parallel; resistance requirements.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Canisters and cartridges in parallel; resistance requirements. 84.112 Section 84.112 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF RESPIRATORY PROTECTIVE...

  2. Directly observed treatment, short-course strategy and multidrug-resistant tuberculosis: are any modifications required?

    PubMed Central

    Bastian, I.; Rigouts, L.; Van Deun, A.; Portaels, F.

    2000-01-01

    Multidrug-resistant tuberculosis (MDRTB) should be defined as tuberculosis with resistance to at least isoniazid and rifampicin because these drugs are the cornerstone of short-course chemotherapy, and combined isoniazid and rifampicin resistance requires prolonged treatment with second-line agents. Short-course chemotherapy is a key ingredient in the tuberculosis control strategy known as directly observed treatment, short-course (DOTS). For populations in which multidrug-resistant tuberculosis is endemic, the outcome of the standard short-course chemotherapy regimen remains uncertain. Unacceptable failure rates have been reported and resistance to additional agents may be induced. As a consequence there have been calls for well-functioning DOTS programmes to provide additional services in areas with high rates of multidrug-resistant tuberculosis. These "DOTS-plus for MDRTB programmes" may need to modify all five elements of the DOTS strategy: the treatment may need to be individualized rather than standardized; laboratory services may need to provide facilities for on-site culture and antibiotic susceptibility testing; reliable supplies of a wide range of expensive second-line agents would have to be supplied; operational studies would be required to determine the indications for and format of the expanded programmes; financial and technical support from international organizations and Western governments would be needed in addition to that obtained from local governments. PMID:10743297

  3. 21 CFR 700.25 - Tamper-resistant packaging requirements for cosmetic products.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 7 2010-04-01 2010-04-01 false Tamper-resistant packaging requirements for cosmetic products. 700.25 Section 700.25 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH... of cosmetic product packages. The Food and Drug Administration has the authority and responsibility...

  4. Using the written description requirement to limit broad patent scope, allow competition, and encourage innovation in biotechnology.

    PubMed

    Mull, William C

    2004-01-01

    The biotechnology research and development process is extremely expensive and companies must attract investors to this high-risk industry to pay for these costs. Biotechnology companies rely on their ability to exclude others from exploiting the benefits of their research through patent protection to attract these investors. Consequently, they seek strong patent protection for their inventions by claiming a broad scope of patent protection for their inventions. Biotechnology is an industry where the scope of protection should be limited. Science-based technologies exploit the perceived technological opportunities from recent scientific developments, concentrating the attention of many inventors on the same areas. This poses several unique problems. First, only the first of several inventors will receive a patent to the invention. Second, due to publicly available, basic techniques, the actual contribution made by the inventor may be relatively small. Finally, there is a significant risk that permitting an overbroad patent scope may permit original patentees to control a variety of improvements and a number of applications. Additionally, a broad scope of protection for an invention tends to cause underutilization of many potential inventions or improvements. By limiting the scope of protection, one allows competitors to utilize these potential inventions or improvements and encourages the advancement of the technology. Traditionally, courts have used the scope of the disclosure to limit a patent with an overly broad scope of protection. The Federal Circuit is correctly applying the written description requirement as part of the disclosure to limit broad claim scope in biotechnology patents. The written description requirement is separate from the enablement requirement and applies to all claims. By requiring a written description to allow a PHOSITA to determine the structural characteristics of the claimed invention, the Federal Circuit is able limit biotechnology

  5. Community-associated urinary infections requiring hospitalization: risk factors, microbiological characteristics and patterns of antibiotic resistance.

    PubMed

    Medina-Polo, J; Guerrero-Ramos, F; Pérez-Cadavid, S; Arrébola-Pajares, A; Sopeña-Sutil, R; Benítez-Sala, R; Jiménez-Alcaide, E; García-González, L; Alonso-Isa, M; Lara-Isla, A; Passas-Martínez, J B; Tejido-Sánchez, Á

    2015-03-01

    Although patients with urinary tract infections (UTIs) are usually managed as outpatients, a percentage of them requires hospitalization. To review risk factors and microbiological characteristics of community-associated UTIs (CAUTIs) requiring hospitalization has been our objective. A prospective observational study was carried out from November 2011 to December 2013. Incidence, microbiological characteristics and antibiotic resistance patterns in patients with CAUTIs that required hospitalization were analyzed. Risk factors (including diabetes mellitus, urolithiasis, urinary catheterization) and resistance rates of each pathogen were also analyzed. Four hundred and fifty seven patients were hospitalized in our department with CAUTI. The mean age was 56.2±19.85 years. Of them, 52.1% patients were women, 19.7% had urinary indwelling catheter and 11.4% have had a previous UTI. The most frequently isolated pathogens were Escherichia coli (60.6%), followed by Klebsiella (9.2%), Enterococcus (8.4%) and Pseudomonas (7.2%). Enterobacteriaceae other than E.coli were more prevalent in male and older patients. On the other side the most frequently isolated pathogen in patients with a previous UTI and a urinary catheter was Entercoccus. The resistance rates E. coli against ampicillin/amoxicillin + β lactamase inhibitor was 23.5%, against third-generation cephalosporins 16.6%, against fluoroquinolones 31.3% and 16.7% against aminoglycosides. 11.4% E. coli strains were producers of extended-spectrum Beta-lactamases (ESBL). Finally, the resistance rates of Enterococcus and Pseudomonas against quinolones were of 50.0% and 61.5%, respectively. CAUTIs that require hospitalization are most frequent in older age, male gender, and presence of urinary catheter, with urolithiasis and with previous episodes of UTI. These factors are also related to isolation of pathogens other than E. coli and higher resistance rates. Copyright © 2014 AEU. Publicado por Elsevier España, S.L.U. All

  6. Role of resistant starch in improving gut health, adiposity, and insulin resistance.

    PubMed

    Keenan, Michael J; Zhou, June; Hegsted, Maren; Pelkman, Christine; Durham, Holiday A; Coulon, Diana B; Martin, Roy J

    2015-03-01

    The realization that low-glycemic index diets were formulated using resistant starch led to more than a decade of research on the health effects of resistant starch. Determination of the metabolizable energy of the resistant starch product allowed for the performance of isocaloric studies. Fermentation of resistant starch in rodent studies results in what appears to be a healthier gut, demonstrated by increased amounts of short-chain fatty acids, an apparent positive change in the microbiota, and increased gene expression for gene products involved in normal healthy proliferation and apoptosis of potential cancer cells. Additionally, consumption of resistant starch was associated with reduced abdominal fat and improved insulin sensitivity. Increased serum glucagon-like peptide 1 (GLP-1) likely plays a role in promoting these health benefits. One rodent study that did not use isocaloric diets demonstrated that the use of resistant starch at 8% of the weight of the diet reduced body fat. This appears to be approximately equivalent to the human fiber requirement. In human subjects, insulin sensitivity is increased with the feeding of resistant starch. However, only 1 of several studies reports an increase in serum GLP-1 associated with resistant starch added to the diet. This means that other mechanisms, such as increased intestinal gluconeogenesis or increased adiponectin, may be involved in the promotion of improved insulin sensitivity. Future research may confirm that there will be improved health if human individuals consume the requirement for dietary fiber and a large amount of the fiber is fermentable. © 2015 American Society for Nutrition.

  7. PmrD is Required for Modifications to Escherichia Coli Endotoxin that Promote Antimicrobial Resistance

    DTIC Science & Technology

    2015-01-20

    is unlimited. PmrD Is Required for Modifications to Escherichia coli Endotoxin That Promote Antimicrobial Resistance The views, opinions and/or...East 27th Street Suite 5.300 Austin, TX 78712 -1532 ABSTRACT PmrD Is Required for Modifications to Escherichia coli Endotoxin That Promote...PhoPQ and PmrAB in E. coli than previously understood. PmrD Is Required for Modifications to Escherichia coli Endotoxin That Promote Antimicrobial

  8. 21 CFR 1311.45 - Requirements for registrants that allow powers of attorney to obtain CSOS digital certificates...

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... attorney to obtain CSOS digital certificates under their DEA registration. 1311.45 Section 1311.45 Food and... PRESCRIPTIONS (Eff. 6-1-10) Obtaining and Using Digital Certificates for Electronic Orders § 1311.45 Requirements for registrants that allow powers of attorney to obtain CSOS digital certificates under their DEA...

  9. Calcineurin is required for pseudohyphal growth, virulence, and drug resistance in Candida lusitaniae.

    PubMed

    Zhang, Jing; Silao, Fitz Gerald S; Bigol, Ursela G; Bungay, Alice Alma C; Nicolas, Marilou G; Heitman, Joseph; Chen, Ying-Lien

    2012-01-01

    Candida lusitaniae is an emerging fungal pathogen that infects immunocompromised patients including HIV/AIDS, cancer, and neonatal pediatric patients. Though less prevalent than other Candida species, C. lusitaniae is unique in its ability to develop resistance to amphotericin B. We investigated the role of the calcium-activated protein phosphatase calcineurin in several virulence attributes of C. lusitaniae including pseudohyphal growth, serum survival, and growth at 37°C. We found that calcineurin and Crz1, a C. albicans Crz1 homolog acting as a downstream target of calcineurin, are required for C. lusitaniae pseudohyphal growth, a process for which the underlying mechanism remains largely unknown in C. lusitaniae but hyphal growth is fundamental to C. albicans virulence. We demonstrate that calcineurin is required for cell wall integrity, ER stress response, optimal growth in serum, virulence in a murine systemic infection model, and antifungal drug tolerance in C. lusitaniae. To further examine the potential of targeting the calcineurin signaling cascade for antifungal drug development, we examined the activity of a calcineurin inhibitor FK506 in combination with caspofungin against echinocandin resistant C. lusitaniae clinical isolates. Broth microdilution and drug disk diffusion assays demonstrate that FK506 has synergistic fungicidal activity with caspofungin against echinocandin resistant isolates. Our findings reveal that pseudohyphal growth is controlled by the calcineurin signaling cascade, and highlight the potential use of calcineurin inhibitors and caspofungin for emerging drug-resistant C. lusitaniae infections.

  10. Calcineurin Is Required for Pseudohyphal Growth, Virulence, and Drug Resistance in Candida lusitaniae

    PubMed Central

    Zhang, Jing; Silao, Fitz Gerald S.; Bigol, Ursela G.; Bungay, Alice Alma C.; Nicolas, Marilou G.; Heitman, Joseph; Chen, Ying-Lien

    2012-01-01

    Candida lusitaniae is an emerging fungal pathogen that infects immunocompromised patients including HIV/AIDS, cancer, and neonatal pediatric patients. Though less prevalent than other Candida species, C. lusitaniae is unique in its ability to develop resistance to amphotericin B. We investigated the role of the calcium-activated protein phosphatase calcineurin in several virulence attributes of C. lusitaniae including pseudohyphal growth, serum survival, and growth at 37°C. We found that calcineurin and Crz1, a C. albicans Crz1 homolog acting as a downstream target of calcineurin, are required for C. lusitaniae pseudohyphal growth, a process for which the underlying mechanism remains largely unknown in C. lusitaniae but hyphal growth is fundamental to C. albicans virulence. We demonstrate that calcineurin is required for cell wall integrity, ER stress response, optimal growth in serum, virulence in a murine systemic infection model, and antifungal drug tolerance in C. lusitaniae. To further examine the potential of targeting the calcineurin signaling cascade for antifungal drug development, we examined the activity of a calcineurin inhibitor FK506 in combination with caspofungin against echinocandin resistant C. lusitaniae clinical isolates. Broth microdilution and drug disk diffusion assays demonstrate that FK506 has synergistic fungicidal activity with caspofungin against echinocandin resistant isolates. Our findings reveal that pseudohyphal growth is controlled by the calcineurin signaling cascade, and highlight the potential use of calcineurin inhibitors and caspofungin for emerging drug-resistant C. lusitaniae infections. PMID:22952924

  11. 38 CFR 49.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND AGREEMENTS WITH INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, AND OTHER NON-PROFIT ORGANIZATIONS Post-Award Requirements Financial and Program Management § 49.27 Allowable...-Profit Organizations.” The allowability of costs incurred by institutions of higher education is...

  12. 41 CFR 102-39.80 - What are the accounting requirements for exchange allowances or proceeds of sale?

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... the general finance and accounting rules applicable to you. Except as otherwise authorized by law, all... 41 Public Contracts and Property Management 3 2010-07-01 2010-07-01 false What are the accounting... Exchange/Sale Methods and Reports § 102-39.80 What are the accounting requirements for exchange allowances...

  13. Role of Resistant Starch in Improving Gut Health, Adiposity, and Insulin Resistance1234

    PubMed Central

    Keenan, Michael J; Zhou, June; Hegsted, Maren; Pelkman, Christine; Durham, Holiday A; Coulon, Diana B; Martin, Roy J

    2015-01-01

    The realization that low–glycemic index diets were formulated using resistant starch led to more than a decade of research on the health effects of resistant starch. Determination of the metabolizable energy of the resistant starch product allowed for the performance of isocaloric studies. Fermentation of resistant starch in rodent studies results in what appears to be a healthier gut, demonstrated by increased amounts of short-chain fatty acids, an apparent positive change in the microbiota, and increased gene expression for gene products involved in normal healthy proliferation and apoptosis of potential cancer cells. Additionally, consumption of resistant starch was associated with reduced abdominal fat and improved insulin sensitivity. Increased serum glucagon-like peptide 1 (GLP-1) likely plays a role in promoting these health benefits. One rodent study that did not use isocaloric diets demonstrated that the use of resistant starch at 8% of the weight of the diet reduced body fat. This appears to be approximately equivalent to the human fiber requirement. In human subjects, insulin sensitivity is increased with the feeding of resistant starch. However, only 1 of several studies reports an increase in serum GLP-1 associated with resistant starch added to the diet. This means that other mechanisms, such as increased intestinal gluconeogenesis or increased adiponectin, may be involved in the promotion of improved insulin sensitivity. Future research may confirm that there will be improved health if human individuals consume the requirement for dietary fiber and a large amount of the fiber is fermentable. PMID:25770258

  14. 22 CFR 135.22 - Allowable costs.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 22 Foreign Relations 1 2012-04-01 2012-04-01 false Allowable costs. 135.22 Section 135.22 Foreign Relations DEPARTMENT OF STATE MISCELLANEOUS UNIFORM ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND COOPERATIVE AGREEMENTS TO STATE AND LOCAL GOVERNMENTS Post-Award Requirements Financial Administration § 135.22 Allowable...

  15. 22 CFR 135.22 - Allowable costs.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 22 Foreign Relations 1 2013-04-01 2013-04-01 false Allowable costs. 135.22 Section 135.22 Foreign Relations DEPARTMENT OF STATE MISCELLANEOUS UNIFORM ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND COOPERATIVE AGREEMENTS TO STATE AND LOCAL GOVERNMENTS Post-Award Requirements Financial Administration § 135.22 Allowable...

  16. 22 CFR 135.22 - Allowable costs.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 22 Foreign Relations 1 2014-04-01 2014-04-01 false Allowable costs. 135.22 Section 135.22 Foreign Relations DEPARTMENT OF STATE MISCELLANEOUS UNIFORM ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND COOPERATIVE AGREEMENTS TO STATE AND LOCAL GOVERNMENTS Post-Award Requirements Financial Administration § 135.22 Allowable...

  17. 22 CFR 135.22 - Allowable costs.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 22 Foreign Relations 1 2011-04-01 2011-04-01 false Allowable costs. 135.22 Section 135.22 Foreign Relations DEPARTMENT OF STATE MISCELLANEOUS UNIFORM ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND COOPERATIVE AGREEMENTS TO STATE AND LOCAL GOVERNMENTS Post-Award Requirements Financial Administration § 135.22 Allowable...

  18. Double-stranded RNA-binding protein 4 is required for resistance signaling against viral and bacterial pathogens.

    PubMed

    Zhu, Shifeng; Jeong, Rae-Dong; Lim, Gah-Hyun; Yu, Keshun; Wang, Caixia; Chandra-Shekara, A C; Navarre, Duroy; Klessig, Daniel F; Kachroo, Aardra; Kachroo, Pradeep

    2013-09-26

    Plant viruses often encode suppressors of host RNA silencing machinery, which occasionally function as avirulence factors that are recognized by host resistance (R) proteins. For example, the Arabidopsis R protein, hypersensitive response to TCV (HRT), recognizes the turnip crinkle virus (TCV) coat protein (CP). HRT-mediated resistance requires the RNA-silencing component double-stranded RNA-binding protein 4 (DRB4) even though it neither is associated with the accumulation of TCV-specific small RNA nor requires the RNA silencing suppressor function of CP. HRT interacts with the cytosolic fraction of DRB4. Interestingly, TCV infection both increases the cytosolic DRB4 pool and inhibits the HRT-DRB4 interaction. The virulent R8A CP derivative, which induces a subset of HRT-derived responses, also disrupts this interaction. The differential localization of DRB4 in the presence of wild-type and R8A CP implies the importance of subcellular compartmentalization of DRB4. The requirement of DRB4 in resistance to bacterial infection suggests a universal role in R-mediated defense signaling. Copyright © 2013 The Authors. Published by Elsevier Inc. All rights reserved.

  19. Evolutionary ARMS Race: Antimalarial Resistance Molecular Surveillance.

    PubMed

    Prosser, Christiane; Meyer, Wieland; Ellis, John; Lee, Rogan

    2018-04-01

    Molecular surveillance of antimalarial drug resistance markers has become an important part of resistance detection and containment. In the current climate of multidrug resistance, including resistance to the global front-line drug artemisinin, there is a consensus to upscale molecular surveillance. The most salient limitation to current surveillance efforts is that skill and infrastructure requirements preclude many regions. This includes sub-Saharan Africa, where Plasmodium falciparum is responsible for most of the global malaria disease burden. New molecular and data technologies have emerged with an emphasis on accessibility. These may allow surveillance to be conducted in broad settings where it is most needed, including at the primary healthcare level in endemic countries, and extending to the village health worker. Copyright © 2018 Elsevier Ltd. All rights reserved.

  20. 46 CFR 154.440 - Allowable stress.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 5 2010-10-01 2010-10-01 false Allowable stress. 154.440 Section 154.440 Shipping COAST... Tank Type A § 154.440 Allowable stress. (a) The allowable stresses for an independent tank type A must... Commandant (CG-522). (b) A greater allowable stress than required in paragraph (a)(1) of this section may be...

  1. 46 CFR 154.440 - Allowable stress.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 5 2011-10-01 2011-10-01 false Allowable stress. 154.440 Section 154.440 Shipping COAST... Tank Type A § 154.440 Allowable stress. (a) The allowable stresses for an independent tank type A must... Commandant (CG-522). (b) A greater allowable stress than required in paragraph (a)(1) of this section may be...

  2. 42 CFR 84.153 - Airflow resistance test, Type A and Type AE supplied-air respirators; minimum requirements.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 89 300 91 4.0 102 (c) The exhalation resistance shall not exceed 25 mm. (1 inch) of water-column... 42 Public Health 1 2010-10-01 2010-10-01 false Airflow resistance test, Type A and Type AE supplied-air respirators; minimum requirements. 84.153 Section 84.153 Public Health PUBLIC HEALTH SERVICE...

  3. Corrosion-resistant fuel cladding allow for liquid metal fast breeder reactors

    DOEpatents

    Brehm, Jr., William F.; Colburn, Richard P.

    1982-01-01

    An aluminide coating for a fuel cladding tube for LMFBRs (liquid metal fast breeder reactors) such as those using liquid sodium as a heat transfer agent. The coating comprises a mixture of nickel-aluminum intermetallic phases and presents good corrosion resistance to liquid sodium at temperatures up to 700.degree. C. while additionally presenting a barrier to outward diffusion of .sup.54 Mn.

  4. Control of Antimicrobial Resistance Requires an Ethical Approach

    PubMed Central

    Parsonage, Ben; Hagglund, Philip K.; Keogh, Lloyd; Wheelhouse, Nick; Brown, Richard E.; Dancer, Stephanie J.

    2017-01-01

    Ethical behavior encompasses actions that benefit both self and society. This means that tackling antimicrobial resistance (AMR) becomes an ethical obligation, because the prospect of declining anti-infectives affects everyone. Without preventive action, loss of drugs that have saved lives over the past century, will condemn ourselves, people we know, and people we don’t know, to unacceptable risk of untreatable infection. Policies aimed at extending antimicrobial life should be considered within an ethical framework, in order to balance the choice, range, and quality of drugs against stewardship activities. Conserving availability and effectiveness for future use should not compromise today’s patients. Practices such as antimicrobial prophylaxis for healthy people ‘at risk’ should receive full debate. There are additional ethical considerations for AMR involving veterinary care, agriculture, and relevant bio-industries. Restrictions for farmers potentially threaten the quality and quantity of food production with economic consequences. Antibiotics for companion animals do not necessarily spare those used for humans. While low-income countries cannot afford much-needed drugs, pharmaceutical companies are reluctant to develop novel agents for short-term return only. Public demand encourages over-the-counter, internet, black market, and counterfeit drugs, all of which compromise international control. Prescribers themselves require educational support to balance therapeutic choice against collateral damage to both body and environment. Predicted mortality due to AMR provides justification for international co-operation, commitment and investment to support surveillance and stewardship along with development of novel antimicrobial drugs. Ethical arguments for, and against, control of antimicrobial resistance strategies are presented and discussed in this review. PMID:29163414

  5. 44 CFR 206.439 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 44 Emergency Management and Assistance 1 2010-10-01 2010-10-01 false Allowable costs. 206.439... Allowable costs. (a) General requirements for determining allowable costs are established in 44 CFR 13.22... section. (b) Administrative and management costs for major disasters will be paid in accordance with 44...

  6. The suppression of mitogen responses associated with resistance to experimental autoimmune encephalomyelitis requires adherent and T cells.

    PubMed

    Lyman, W D; Brosnan, C F; Kadish, A S; Raine, C S

    1984-05-01

    Resistance to experimental autoimmune encephalomyelitis (EAE) in Hartley guinea pigs has previously been reported to be associated with disease-specific antigen-induced suppression of mitogen responses in vitro. The present studies were initiated to investigate the requirement for different cell populations in this suppression. Intact and adherent-cell-depleted cultures of spleen cells from experimental and control animals were incubated with myelin basic protein (MBP), the major antigen of EAE, with the T-cell mitogen concanavalin A (Con A) alone or with Con A in the presence of MBP. In agreement with previous studies, MBP-induced suppression of the Con A response was observed only in cultures derived from resistant animals. In addition, it was observed that this suppression was abrogated by depletion of adherent cells. When cells from resistant and susceptible animals were mixed, suppression occurred only in the presence of nonadherent cells from resistant guinea pigs. Adherent cells from either resistant or susceptible animals functioned equally well. Cultures of purified E-rosette-forming cells (E+) from resistant animals (i.e., T cells) showed no suppression. Similarly, cells from these same animals which were depleted of E+ cells (i.e., non-T cells) did not demonstrate suppression in vitro. Upon reconstitution of spleen cell populations from resistant guinea pigs by mixing E+ and E- cells, suppression was restored. These experiments show that this model of suppression in vitro requires adherent cells as well as T cells and suggests that antigen-induced suppression of mitogen responses is dependent upon a cell-mediated immunologic mechanism.

  7. Report: EPA’s Allowing States to Use Bonds to Meet Revolving Fund Match Requirements Reduces Funds Available for Water Projects

    EPA Pesticide Factsheets

    Report #2007-P-00012, March 29, 2007. EPA regulations and policies allowing States to use bonds repaid from SRF interest to meet SRF match requirements are resulting in fewer dollars being available for water projects.

  8. The Toll-Dorsal Pathway Is Required for Resistance to Viral Oral Infection in Drosophila

    PubMed Central

    Ferreira, Álvaro Gil; Naylor, Huw; Esteves, Sara Santana; Pais, Inês Silva; Martins, Nelson Eduardo; Teixeira, Luis

    2014-01-01

    Pathogen entry route can have a strong impact on the result of microbial infections in different hosts, including insects. Drosophila melanogaster has been a successful model system to study the immune response to systemic viral infection. Here we investigate the role of the Toll pathway in resistance to oral viral infection in D. melanogaster. We show that several Toll pathway components, including Spätzle, Toll, Pelle and the NF-kB-like transcription factor Dorsal, are required to resist oral infection with Drosophila C virus. Furthermore, in the fat body Dorsal is translocated from the cytoplasm to the nucleus and a Toll pathway target gene reporter is upregulated in response to Drosophila C Virus infection. This pathway also mediates resistance to several other RNA viruses (Cricket paralysis virus, Flock House virus, and Nora virus). Compared with control, viral titres are highly increased in Toll pathway mutants. The role of the Toll pathway in resistance to viruses in D. melanogaster is restricted to oral infection since we do not observe a phenotype associated with systemic infection. We also show that Wolbachia and other Drosophila-associated microbiota do not interact with the Toll pathway-mediated resistance to oral infection. We therefore identify the Toll pathway as a new general inducible pathway that mediates strong resistance to viruses with a route-specific role. These results contribute to a better understanding of viral oral infection resistance in insects, which is particularly relevant in the context of transmission of arboviruses by insect vectors. PMID:25473839

  9. Genomic and metagenomic technologies to explore the antibiotic resistance mobilome.

    PubMed

    Martínez, José L; Coque, Teresa M; Lanza, Val F; de la Cruz, Fernando; Baquero, Fernando

    2017-01-01

    Antibiotic resistance is a relevant problem for human health that requires global approaches to establish a deep understanding of the processes of acquisition, stabilization, and spread of resistance among human bacterial pathogens. Since natural (nonclinical) ecosystems are reservoirs of resistance genes, a health-integrated study of the epidemiology of antibiotic resistance requires the exploration of such ecosystems with the aim of determining the role they may play in the selection, evolution, and spread of antibiotic resistance genes, involving the so-called resistance mobilome. High-throughput sequencing techniques allow an unprecedented opportunity to describe the genetic composition of a given microbiome without the need to subculture the organisms present inside. However, bioinformatic methods for analyzing this bulk of data, mainly with respect to binning each resistance gene with the organism hosting it, are still in their infancy. Here, we discuss how current genomic methodologies can serve to analyze the resistance mobilome and its linkage with different bacterial genomes and metagenomes. In addition, we describe the drawbacks of current methodologies for analyzing the resistance mobilome, mainly in cases of complex microbiotas, and discuss the possibility of implementing novel tools to improve our current metagenomic toolbox. © 2016 New York Academy of Sciences.

  10. A weed resistance management game: a teaching tool.

    PubMed

    Frisvold, George B

    2018-04-15

    This article provides instructions and materials to moderate an interactive resistance management game. The game is designed to generate discussion about the challenges and possibilities of coordinating resistance management activities among groups of farmers. The game has been successfully applied in classroom settings, extension workshops, and at professional weed science meetings. Research has found farmers often perceive the success of their own resistance management may be thwarted if their neighbors are not adequately managing resistance as well. This can lead to negative 'tragedy of the commons' outcomes. In past applications of the game exercise, participants have often responded in ways consistent with similar studies in experimental and behavioral economics. This includes dividing benefits evenly (even though this is not a requirement of the game) or treating one-time transactions as potentially repeated exchanges. Player behavior may also be greatly influenced by their attitudes toward monetary risks. The game allows participants to explore ways to overcome the tragedy of the commons and illustrates the roles of information sharing and economic incentives in finding solutions. It also allows participants to experiment with bottom-up voluntary approaches toward resistance management as an alternative to top-down regulatory approaches. © 2018 Society of Chemical Industry. © 2018 Society of Chemical Industry.

  11. 24 CFR 85.22 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... uniform cost accounting standards that comply with cost principles acceptable to the Federal agency. ... TRIBAL GOVERNMENTS Post-Award Requirements Financial Administration § 85.22 Allowable costs. (a... increment above allowable costs) to the grantee or subgrantee. (b) Applicable cost principles. For each kind...

  12. 32 CFR 33.22 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... accounting standards that comply with cost principles acceptable to the Federal agency. ... Post-Award Requirements Financial Administration § 33.22 Allowable costs. (a) Limitation on use of... allowable costs) to the grantee or subgrantee. (b) Applicable cost principles. For each kind of organization...

  13. 29 CFR 97.22 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... accounting standards that comply with cost principles acceptable to the Federal agency. [53 FR 8069, 8087... LOCAL GOVERNMENTS Post-Award Requirements Financial Administration § 97.22 Allowable costs. (a... increment above allowable costs) to the grantee or subgrantee. (b) Applicable cost principles. For each kind...

  14. 36 CFR 1207.22 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... uniform cost accounting standards that comply with cost principles acceptable to the Federal agency. ... GOVERNMENTS Post-Award Requirements Financial Administration § 1207.22 Allowable costs. (a) Limitation on use... increment above allowable costs) to the grantee or subgrantee. (b) Applicable cost principles. For each kind...

  15. 44 CFR 13.22 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... uniform cost accounting standards that comply with cost principles acceptable to the Federal agency. ... STATE AND LOCAL GOVERNMENTS Post-Award Requirements Financial Administration § 13.22 Allowable costs. (a... increment above allowable costs) to the grantee or subgrantee. (b) Applicable cost principles. For each kind...

  16. 34 CFR 74.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... Procedures or uniform cost accounting standards that comply with cost principles acceptable to ED. (b) The... OF HIGHER EDUCATION, HOSPITALS, AND OTHER NON-PROFIT ORGANIZATIONS Post-Award Requirements Financial... principles for determining allowable costs. Allowability of costs are determined in accordance with the cost...

  17. 45 CFR 2543.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... GRANTS AND AGREEMENTS WITH INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, AND OTHER NON-PROFIT ORGANIZATIONS Post-Award Requirements Financial and Program Management § 2543.27 Allowable costs. For each kind... Organizations.” The allowability of costs incurred by institutions of higher education is determined in...

  18. Preoperative Recipient Parameters Allow Early Estimation of Postoperative Outcome and Intraoperative Transfusion Requirements in Liver Transplantation.

    PubMed

    Schumacher, Carsten; Eismann, Hendrik; Sieg, Lion; Friedrich, Lars; Scheinichen, Dirk; Vondran, Florian W R; Johanning, Kai

    2018-01-01

    Liver transplantation is a complex intervention, and early anticipation of personnel and logistic requirements is of great importance. Early identification of high-risk patients could prove useful. We therefore evaluated prognostic values of recipient parameters commonly available in the early preoperative stage regarding postoperative 30- and 90-day outcomes and intraoperative transfusion requirements in liver transplantation. All adult patients undergoing first liver transplantation at Hannover Medical School between January 2005 and December 2010 were included in this retrospective study. Demographic, clinical, and laboratory data as well as clinical courses were recorded. Prognostic values regarding 30- and 90-day outcomes were evaluated by uni- and multivariate statistical tests. Identified risk parameters were used to calculate risk scores. There were 426 patients (40.4% female) included with a mean age of 48.6 (11.9) years. Absolute 30-day mortality rate was 9.9%, and absolute 90-day mortality rate was 13.4%. Preoperative leukocyte count >5200/μL, platelet count <91 000/μL, and creatinine values ≥77 μmol/L were relevant risk factors for both observation periods ( P < .05, respectively). A score based on these factors significantly differentiated between groups of varying postoperative outcomes and intraoperative transfusion requirements ( P < .05, respectively). A score based on preoperative creatinine, leukocyte, and platelet values allowed early estimation of postoperative 30- and 90-day outcomes and intraoperative transfusion requirements in liver transplantation. Results might help to improve timely logistic and personal strategies.

  19. 22 CFR 135.22 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... Procedures, or uniform cost accounting standards that comply with cost principles acceptable to the Federal... AGREEMENTS TO STATE AND LOCAL GOVERNMENTS Post-Award Requirements Financial Administration § 135.22 Allowable... principles. For each kind of organization, there is a set of Federal principles for determining allowable...

  20. 15 CFR 14.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... GRANTS AND AGREEMENTS WITH INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, OTHER NON-PROFIT, AND COMMERCIAL ORGANIZATIONS Post-Award Requirements Financial and Program Management § 14.27 Allowable costs. For each kind of... Organizations.” The allowability of costs incurred by institutions of higher education is determined in...

  1. Signalling requirements for Erwinia amylovora-induced disease resistance, callose deposition and cell growth in the non-host Arabidopsis thaliana.

    PubMed

    Hamdoun, Safae; Gao, Min; Gill, Manroop; Kwon, Ashley; Norelli, John L; Lu, Hua

    2018-05-01

    Erwinia amylovora is the causal agent of the fire blight disease in some plants of the Rosaceae family. The non-host plant Arabidopsis serves as a powerful system for the dissection of mechanisms of resistance to E. amylovora. Although not yet known to mount gene-for-gene resistance to E. amylovora, we found that Arabidopsis activated strong defence signalling mediated by salicylic acid (SA), with kinetics and amplitude similar to that induced by the recognition of the bacterial effector avrRpm1 by the resistance protein RPM1. Genetic analysis further revealed that SA signalling, but not signalling mediated by ethylene (ET) and jasmonic acid (JA), is required for E. amylovora resistance. Erwinia amylovora induces massive callose deposition on infected leaves, which is independent of SA, ET and JA signalling and is necessary for E. amylovora resistance in Arabidopsis. We also observed tumour-like growths on E. amylovora-infected Arabidopsis leaves, which contain enlarged mesophyll cells with increased DNA content and are probably a result of endoreplication. The formation of such growths is largely independent of SA signalling and some E. amylovora effectors. Together, our data reveal signalling requirements for E. amylovora-induced disease resistance, callose deposition and cell fate change in the non-host plant Arabidopsis. Knowledge from this study could facilitate a better understanding of the mechanisms of host defence against E. amylovora and eventually improve host resistance to the pathogen. © 2017 BSPP AND JOHN WILEY & SONS LTD.

  2. Impact resistance of materials for guards on cutting machine tools--requirements in future European safety standards.

    PubMed

    Mewes, D; Trapp, R P

    2000-01-01

    Guards on machine tools are meant to protect operators from injuries caused by tools, workpieces, and fragments hurled out of the machine's working zone. This article presents the impact resistance requirements, which guards according to European safety standards for machine tools must satisfy. Based upon these standards the impact resistance of different guard materials was determined using cylindrical steel projectiles. Polycarbonate proves to be a suitable material for vision panels because of its high energy absorption capacity. The impact resistance of 8-mm thick polycarbonate is roughly equal to that of a 3-mm thick steel sheet Fe P01. The limited ageing stability, however, makes it necessary to protect polycarbonate against cooling lubricants by means of additional panes on both sides.

  3. 42 CFR 84.1149 - Airflow resistance tests; all dust, fume, and mist respirators; minimum requirements.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 42 Public Health 1 2014-10-01 2014-10-01 false Airflow resistance tests; all dust, fume, and mist respirators; minimum requirements. 84.1149 Section 84.1149 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF...

  4. 42 CFR 84.1149 - Airflow resistance tests; all dust, fume, and mist respirators; minimum requirements.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 42 Public Health 1 2013-10-01 2013-10-01 false Airflow resistance tests; all dust, fume, and mist respirators; minimum requirements. 84.1149 Section 84.1149 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF...

  5. 42 CFR 84.1149 - Airflow resistance tests; all dust, fume, and mist respirators; minimum requirements.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 42 Public Health 1 2011-10-01 2011-10-01 false Airflow resistance tests; all dust, fume, and mist respirators; minimum requirements. 84.1149 Section 84.1149 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF...

  6. 42 CFR 84.1149 - Airflow resistance tests; all dust, fume, and mist respirators; minimum requirements.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Airflow resistance tests; all dust, fume, and mist respirators; minimum requirements. 84.1149 Section 84.1149 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF...

  7. 42 CFR 84.1149 - Airflow resistance tests; all dust, fume, and mist respirators; minimum requirements.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 42 Public Health 1 2012-10-01 2012-10-01 false Airflow resistance tests; all dust, fume, and mist respirators; minimum requirements. 84.1149 Section 84.1149 Public Health PUBLIC HEALTH SERVICE, DEPARTMENT OF HEALTH AND HUMAN SERVICES OCCUPATIONAL SAFETY AND HEALTH RESEARCH AND RELATED ACTIVITIES APPROVAL OF...

  8. 15 CFR 921.81 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... ESTUARINE RESEARCH RESERVE SYSTEM REGULATIONS General Financial Assistance Provisions § 921.81 Allowable..., the fair market value of real property allowable as match is limited to the fair market value of a real property interest equivalent to, or required to attain, the level of control over such land(s...

  9. Inducible Lung Epithelial Resistance Requires Multisource Reactive Oxygen Species Generation To Protect against Viral Infections

    PubMed Central

    2018-01-01

    ABSTRACT Viral pneumonias cause profound worldwide morbidity, necessitating novel strategies to prevent and treat these potentially lethal infections. Stimulation of intrinsic lung defenses via inhalation of synergistically acting Toll-like receptor (TLR) agonists protects mice broadly against pneumonia, including otherwise-lethal viral infections, providing a potential opportunity to mitigate infectious threats. As intact lung epithelial TLR signaling is required for the inducible resistance and as these cells are the principal targets of many respiratory viruses, the capacity of lung epithelial cells to be therapeutically manipulated to function as autonomous antiviral effectors was investigated. Our work revealed that mouse and human lung epithelial cells could be stimulated to generate robust antiviral responses that both reduce viral burden and enhance survival of isolated cells and intact animals. The antiviral protection required concurrent induction of epithelial reactive oxygen species (ROS) from both mitochondrial and dual oxidase sources, although neither type I interferon enrichment nor type I interferon signaling was required for the inducible protection. Taken together, these findings establish the sufficiency of lung epithelial cells to generate therapeutically inducible antiviral responses, reveal novel antiviral roles for ROS, provide mechanistic insights into inducible resistance, and may provide an opportunity to protect patients from viral pneumonia during periods of peak vulnerability. PMID:29764948

  10. Cancer resistance of SR/CR mice in the genetic knockout backgrounds of leukocyte effector mechanisms: determinations for functional requirements.

    PubMed

    Sanders, Anne M; Stehle, John R; Blanks, Michael J; Riedlinger, Gregory; Kim-Shapiro, Jung W; Monjazeb, Arta M; Adams, Jonathan M; Willingham, Mark C; Cui, Zheng

    2010-03-31

    Spontaneous Regression/Complete Resistant (SR/CR) mice are a colony of cancer-resistant mice that can detect and rapidly destroy malignant cells with innate cellular immunity, predominately mediated by granulocytes. Our previous studies suggest that several effector mechanisms, such as perforin, granzymes, or complements, may be involved in the killing of cancer cells. However, none of these effector mechanisms is known as critical for granulocytes. Additionally, it is unclear which effector mechanisms are required for the cancer killing activity of specific leukocyte populations and the survival of SR/CR mice against the challenges of lethal cancer cells. We hypothesized that if any of these effector mechanisms was required for the resistance to cancer cells, its functional knockout in SR/CR mice should render them sensitive to cancer challenges. This was tested by cross breeding SR/CR mice into the individual genetic knockout backgrounds of perforin (Prf-/-), superoxide (Cybb-/), or inducible nitric oxide (Nos2-/). SR/CR mice were bred into individual Prf-/-, Cybb-/-, or Nos2-/- genetic backgrounds and then challenged with sarcoma 180 (S180). Their overall survival was compared to controls. The cancer killing efficiency of purified populations of macrophages and neutrophils from these immunodeficient mice was also examined. When these genetically engineered mice were challenged with cancer cells, the knockout backgrounds of Prf-/-, Cybb-/-, or Nos2-/- did not completely abolish the SR/CR cancer resistant phenotype. However, the Nos2-/- background did appear to weaken the resistance. Incidentally, it was also observed that the male mice in these immunocompromised backgrounds tended to be less cancer-resistant than SR/CR controls. Despite the previously known roles of perforin, superoxide or nitric oxide in the effector mechanisms of innate immune responses, these effector mechanisms were not required for cancer-resistance in SR/CR mice. The resistance was

  11. 49 CFR 236.552 - Insulation resistance; requirement.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... ADMINISTRATION, DEPARTMENT OF TRANSPORTATION RULES, STANDARDS, AND INSTRUCTIONS GOVERNING THE INSTALLATION... resistance between wiring and ground of continuous inductive automatic cab signal system, automatic train...

  12. Development of Fire Resistant/Heat Resistant Sewing Thread

    DTIC Science & Technology

    2016-03-01

    Final 3. DATES COVERED (From - To) October 2014 – June 2015 4. TITLE AND SUBTITLE DEVELOPMENT OF FIRE RESISTANT /HEAT RESISTANT SEWING THREAD 5a...core to sheath ratio of 70:30 will offer a high performance, low cost sewing thread with required fire resistant /heat resistant properties. 15...Properties ............................................................................... 18        1      DEVELOPMENT OF  FIRE   RESISTANT /HEAT

  13. 24 CFR 242.28 - Allowable costs for consultants.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 24 Housing and Urban Development 2 2011-04-01 2011-04-01 false Allowable costs for consultants... AUTHORITIES MORTGAGE INSURANCE FOR HOSPITALS Mortgage Requirements § 242.28 Allowable costs for consultants.... Allowable consulting fees include those for analysis of market demand, expected revenues, and costs; site...

  14. 24 CFR 242.28 - Allowable costs for consultants.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 24 Housing and Urban Development 2 2014-04-01 2014-04-01 false Allowable costs for consultants... AUTHORITIES MORTGAGE INSURANCE FOR HOSPITALS Mortgage Requirements § 242.28 Allowable costs for consultants.... Allowable consulting fees include those for analysis of market demand, expected revenues, and costs; site...

  15. 24 CFR 242.28 - Allowable costs for consultants.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 24 Housing and Urban Development 2 2010-04-01 2010-04-01 false Allowable costs for consultants... AUTHORITIES MORTGAGE INSURANCE FOR HOSPITALS Mortgage Requirements § 242.28 Allowable costs for consultants.... Allowable consulting fees include those for analysis of market demand, expected revenues, and costs; site...

  16. 41 CFR 105-71.122 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... uniform cost accounting standards that comply with cost principles acceptable to the Federal agency. ... GOVERNMENTS 71.12-Post-Award Requirements/Financial Administration § 105-71.122 Allowable costs. (a... increment above allowable costs) to the grantee or subgrantee. (b) Applicable cost principles. For each kind...

  17. 32 CFR 37.680 - Must I require a participant to report when it enters into a subaward allowing a for-profit firm...

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... INVESTMENT AGREEMENTS Award Terms Affecting Participants' Financial, Property, and Purchasing Systems Financial Matters § 37.680 Must I require a participant to report when it enters into a subaward allowing a... does not itself have to be reported again. Property ...

  18. 32 CFR 37.680 - Must I require a participant to report when it enters into a subaward allowing a for-profit firm...

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... INVESTMENT AGREEMENTS Award Terms Affecting Participants' Financial, Property, and Purchasing Systems Financial Matters § 37.680 Must I require a participant to report when it enters into a subaward allowing a... does not itself have to be reported again. Property ...

  19. Temperate and lytic bacteriophages programmed to sensitize and kill antibiotic-resistant bacteria

    PubMed Central

    Yosef, Ido; Manor, Miriam; Kiro, Ruth

    2015-01-01

    The increasing threat of pathogen resistance to antibiotics requires the development of novel antimicrobial strategies. Here we present a proof of concept for a genetic strategy that aims to sensitize bacteria to antibiotics and selectively kill antibiotic-resistant bacteria. We use temperate phages to deliver a functional clustered regularly interspaced short palindromic repeats (CRISPR)–CRISPR-associated (Cas) system into the genome of antibiotic-resistant bacteria. The delivered CRISPR-Cas system destroys both antibiotic resistance-conferring plasmids and genetically modified lytic phages. This linkage between antibiotic sensitization and protection from lytic phages is a key feature of the strategy. It allows programming of lytic phages to kill only antibiotic-resistant bacteria while protecting antibiotic-sensitized bacteria. Phages designed according to this strategy may be used on hospital surfaces and hand sanitizers to facilitate replacement of antibiotic-resistant pathogens with sensitive ones. PMID:26060300

  20. Temperate and lytic bacteriophages programmed to sensitize and kill antibiotic-resistant bacteria.

    PubMed

    Yosef, Ido; Manor, Miriam; Kiro, Ruth; Qimron, Udi

    2015-06-09

    The increasing threat of pathogen resistance to antibiotics requires the development of novel antimicrobial strategies. Here we present a proof of concept for a genetic strategy that aims to sensitize bacteria to antibiotics and selectively kill antibiotic-resistant bacteria. We use temperate phages to deliver a functional clustered regularly interspaced short palindromic repeats (CRISPR)-CRISPR-associated (Cas) system into the genome of antibiotic-resistant bacteria. The delivered CRISPR-Cas system destroys both antibiotic resistance-conferring plasmids and genetically modified lytic phages. This linkage between antibiotic sensitization and protection from lytic phages is a key feature of the strategy. It allows programming of lytic phages to kill only antibiotic-resistant bacteria while protecting antibiotic-sensitized bacteria. Phages designed according to this strategy may be used on hospital surfaces and hand sanitizers to facilitate replacement of antibiotic-resistant pathogens with sensitive ones.

  1. VraT/YvqF Is Required for Methicillin Resistance and Activation of the VraSR Regulon in Staphylococcus aureus

    PubMed Central

    Yin, Shouhui; Jo, Dae Sun; Montgomery, Christopher P.; Daum, Robert S.

    2013-01-01

    Staphylococcus aureus infections caused by strains that are resistant to all forms of penicillin, so-called methicillin-resistant S. aureus (MRSA) strains, have become common. One strategy to counter MRSA infections is to use compounds that resensitize MRSA to methicillin. S. aureus responds to diverse classes of cell wall-inhibitory antibiotics, like methicillin, using the two-component regulatory system VraSR (vra) to up- or downregulate a set of genes (the cell wall stimulon) that presumably facilitates resistance to these antibiotics. Accordingly, VraS and VraR mutations decrease resistance to methicillin, vancomycin, and daptomycin cell wall antimicrobials. vraS and vraR are encoded together on a transcript downstream of two other genes, which we call vraU and vraT (previously called yvqF). By producing nonpolar deletions in vraU and vraT in a USA300 MRSA clinical isolate, we demonstrate that vraT is essential for optimal expression of methicillin resistance in vitro, whereas vraU is not required for this phenotype. The deletion of vraT also improved the outcomes of oxacillin therapy in mouse models of lung and skin infection. Since vraT expressed in trans did not complement a vra operon deletion, we conclude that VraT does not inactivate the antimicrobial. Genome-wide transcriptional microarray experiments reveal that VraT facilitates resistance by playing a necessary regulatory role in the VraSR-mediated cell wall stimulon. Our data prove that VraTSR comprise a novel three-component regulatory system required to facilitate resistance to cell wall agents in S. aureus. We also provide the first in vivo proof of principle for using VraT as a sole target to resensitize MRSA to β-lactams. PMID:23070169

  2. Towards a tolerance toolkit: Gene expression signatures enabling the emergence of resistant bacterial strains

    NASA Astrophysics Data System (ADS)

    Erickson, Keesha; Chatterjee, Anushree

    2014-03-01

    Microbial pathogens are able to rapidly acquire tolerance to chemical toxins. Developing next-generation antibiotics that impede the emergence of resistance will help avoid a world-wide health crisis. Conversely, the ability to induce rapid tolerance gains could lead to high-yielding strains for sustainable production of biofuels and commodity chemicals. Achieving these goals requires an understanding of the general mechanisms allowing microbes to become resistant to diverse toxins. We apply top-down and bottom-up methodologies to identify biological network changes leading to adaptation and tolerance. Using a top-down approach, we perform evolution experiments to isolate resistant strains, collect samples for transcriptomic and proteomic analysis, and use the omics data to inform mathematical gene regulatory models. Using a bottom-up approach, we build and test synthetic genetic devices that enable increased or decreased expression of selected genes. Unique patterns in gene expression are identified in cultures actively gaining resistance, especially in pathways known to be involved with stress response, efflux, and mutagenesis. Genes correlated with tolerance could potentially allow the design of resistance-free antibiotics or robust chemical production strains.

  3. Effect of barnacle fouling on ship resistance and powering.

    PubMed

    Demirel, Yigit Kemal; Uzun, Dogancan; Zhang, Yansheng; Fang, Ho-Chun; Day, Alexander H; Turan, Osman

    2017-11-01

    Predictions of added resistance and the effective power of ships were made for varying barnacle fouling conditions. A series of towing tests was carried out using flat plates covered with artificial barnacles. The tests were designed to allow the examination of the effects of barnacle height and percentage coverage on the resistance and effective power of ships. The drag coefficients and roughness function values were evaluated for the flat plates. The roughness effects of the fouling conditions on the ships' frictional resistances were predicted. Added resistance diagrams were then plotted using these predictions, and powering penalties for these ships were calculated using the diagrams generated. The results indicate that the effect of barnacle size is significant, since a 10% coverage of barnacles each 5 mm in height caused a similar level of added power requirements to a 50% coverage of barnacles each 1.25 mm in height.

  4. Addressing Antibiotic Resistance Requires Robust International Accountability Mechanisms.

    PubMed

    Hoffman, Steven J; Ottersen, Trygve

    2015-01-01

    A proposed international agreement on antibiotic resistance will depend on robust accountability mechanisms for real-world impact. This article examines the central aspects of accountability relationships in international agreements and lays out ways to strengthen them. We provide a menu of accountability mechanisms that facilitate transparency, oversight, complaint, and enforcement, describe how these mechanisms can promote compliance, and identify key considerations for a proposed international agreement on antibiotic resistance. These insights can be useful for bringing about the revolutionary changes that new international agreements aspire to achieve. © 2015 American Society of Law, Medicine & Ethics, Inc.

  5. A model for prediction of the transient rolling resistance of tyres based on inner-liner temperatures

    NASA Astrophysics Data System (ADS)

    Greiner, Matthias; Unrau, Hans-Joachim; Gauterin, Frank

    2018-01-01

    Measurements of rolling resistance in thermal equilibrium of a tyre, like measurements according to ISO 28580, do not allow statements about rolling resistances under other driving conditions. Such statements, however, are necessary to determine the energy consumption in driving cycles. Especially for the proper calculation of electric-vehicle remaining ranges and the selection of the respective driving strategies, the real amount of energy consumption is required. This paper presents a model approach, which by means of only one standardised rolling resistance measurement can be parameterised and, considering the present driving speed and tyre temperature, can predict the respective current rolling resistance.

  6. Antifungal resistance in mucorales.

    PubMed

    Dannaoui, E

    2017-11-01

    The order Mucorales, which includes the agents of mucormycosis, comprises a large number of species. These fungi are characterised by high-level resistance to most currently available antifungal drugs. Standardised antifungal susceptibility testing methods are now available, allowing a better understanding of the in vitro activity of antifungal drugs against members of Mucorales. Such tests have made apparent that antifungal susceptibility within this group may be species-specific. Experimental animal models of mucormycosis have also been developed and are of great importance in bridging the gap between in vitro results and clinical trials. Amphotericin B, posaconazole and isavuconazole are currently the most active agents against Mucorales; however, their activity remains suboptimal and new therapeutic strategies are needed. Combination therapy could be a promising approach to overcome resistance, but further studies are required to confirm its benefits and safety for patients. Copyright © 2017 Elsevier B.V. and International Society of Chemotherapy. All rights reserved.

  7. A single mutation in the GSTe2 gene allows tracking of metabolically based insecticide resistance in a major malaria vector

    PubMed Central

    2014-01-01

    Background Metabolic resistance to insecticides is the biggest threat to the continued effectiveness of malaria vector control. However, its underlying molecular basis, crucial for successful resistance management, remains poorly characterized. Results Here, we demonstrate that the single amino acid change L119F in an upregulated glutathione S-transferase gene, GSTe2, confers high levels of metabolic resistance to DDT in the malaria vector Anopheles funestus. Genome-wide transcription analysis revealed that GSTe2 was the most over-expressed detoxification gene in DDT and permethrin-resistant mosquitoes from Benin. Transgenic expression of GSTe2 in Drosophila melanogaster demonstrated that over-transcription of this gene alone confers DDT resistance and cross-resistance to pyrethroids. Analysis of GSTe2 polymorphism established that the point mutation is tightly associated with metabolic resistance to DDT and its geographical distribution strongly correlates with DDT resistance patterns across Africa. Functional characterization of recombinant GSTe2 further supports the role of the L119F mutation, with the resistant allele being more efficient at metabolizing DDT than the susceptible one. Importantly, we also show that GSTe2 directly metabolizes the pyrethroid permethrin. Structural analysis reveals that the mutation confers resistance by enlarging the GSTe2 DDT-binding cavity, leading to increased DDT access and metabolism. Furthermore, we show that GSTe2 is under strong directional selection in resistant populations, and a restriction of gene flow is observed between African regions, enabling the prediction of the future spread of this resistance. Conclusions This first DNA-based metabolic resistance marker in mosquitoes provides an essential tool to track the evolution of resistance and to design suitable resistance management strategies. PMID:24565444

  8. Cooperation, competition and antibiotic resistance in bacterial colonies.

    PubMed

    Frost, Isabel; Smith, William P J; Mitri, Sara; Millan, Alvaro San; Davit, Yohan; Osborne, James M; Pitt-Francis, Joe M; MacLean, R Craig; Foster, Kevin R

    2018-06-01

    Bacteria commonly live in dense and genetically diverse communities associated with surfaces. In these communities, competition for resources and space is intense, and yet we understand little of how this affects the spread of antibiotic-resistant strains. Here, we study interactions between antibiotic-resistant and susceptible strains using in vitro competition experiments in the opportunistic pathogen Pseudomonas aeruginosa and in silico simulations. Selection for intracellular resistance to streptomycin is very strong in colonies, such that resistance is favoured at very low antibiotic doses. In contrast, selection for extracellular resistance to carbenicillin is weak in colonies, and high doses of antibiotic are required to select for resistance. Manipulating the density and spatial structure of colonies reveals that this difference is partly explained by the fact that the local degradation of carbenicillin by β-lactamase-secreting cells protects neighbouring sensitive cells from carbenicillin. In addition, we discover a second unexpected effect: the inducible elongation of cells in response to carbenicillin allows sensitive cells to better compete for the rapidly growing colony edge. These combined effects mean that antibiotic treatment can select against antibiotic-resistant strains, raising the possibility of treatment regimes that suppress sensitive strains while limiting the rise of antibiotic resistance. We argue that the detailed study of bacterial interactions will be fundamental to understanding and overcoming antibiotic resistance.

  9. Protein Requirements and Recommendations for Older People: A Review.

    PubMed

    Nowson, Caryl; O'Connell, Stella

    2015-08-14

    Declines in skeletal muscle mass and strength are major contributors to increased mortality, morbidity and reduced quality of life in older people. Recommended Dietary Allowances/Intakes have failed to adequately consider the protein requirements of the elderly with respect to function. The aim of this paper was to review definitions of optimal protein status and the evidence base for optimal dietary protein. Current recommended protein intakes for older people do not account for the compensatory loss of muscle mass that occurs on lower protein intakes. Older people have lower rates of protein synthesis and whole-body proteolysis in response to an anabolic stimulus (food or resistance exercise). Recommendations for the level of adequate dietary intake of protein for older people should be informed by evidence derived from functional outcomes. Randomized controlled trials report a clear benefit of increased dietary protein on lean mass gain and leg strength, particularly when combined with resistance exercise. There is good consistent evidence (level III-2 to IV) that consumption of 1.0 to 1.3 g/kg/day dietary protein combined with twice-weekly progressive resistance exercise reduces age-related muscle mass loss. Older people appear to require 1.0 to 1.3 g/kg/day dietary protein to optimize physical function, particularly whilst undertaking resistance exercise recommendations.

  10. TaCPK2-A, a calcium-dependent protein kinase gene that is required for wheat powdery mildew resistance enhances bacterial blight resistance in transgenic rice.

    PubMed

    Geng, Shuaifeng; Li, Aili; Tang, Lichuan; Yin, Lingjie; Wu, Liang; Lei, Cailin; Guo, Xiuping; Zhang, Xin; Jiang, Guanghuai; Zhai, Wenxue; Wei, Yuming; Zheng, Youliang; Lan, Xiujin; Mao, Long

    2013-08-01

    Calcium-dependent protein kinases (CPKs) are important Ca2+ signalling components involved in complex immune and stress signalling networks; but the knowledge of CPK gene functions in the hexaploid wheat is limited. Previously, TaCPK2 was shown to be inducible by powdery mildew (Blumeria graminis tritici, Bgt) infection in wheat. Here, its functions in disease resistance are characterized further. This study shows the presence of defence-response and cold-response cis-elements on the promoters of the A subgenome homoeologue (TaCPK2-A) and D subgenome homoeologue (TaCPK2-D), respectively. Their expression patterns were then confirmed by quantitative real-time PCR (qRT-PCR) using genome-specific primers, where TaCPK2-A was induced by Bgt treatment while TaCPK2-D mainly responded to cold treatment. Downregulation of TaCPK2-A by virus-induced gene silencing (VIGS) causes loss of resistance to Bgt in resistant wheat lines, indicating that TaCPK2-A is required for powdery mildew resistance. Furthermore, overexpression of TaCPK2-A in rice enhanced bacterial blight (Xanthomonas oryzae pv. oryzae, Xoo) resistance. qRT-PCR analysis showed that overexpression of TaCPK2-A in rice promoted the expression of OsWRKY45-1, a transcription factor involved in both fungal and bacterial resistance by regulating jasmonic acid and salicylic acid signalling genes. The opposite effect was found in wheat TaCPK2-A VIGS plants, where the homologue of OsWRKY45-1 was significantly repressed. These data suggest that modulation of WRKY45-1 and associated defence-response genes by CPK2 genes may be the common mechanism for multiple disease resistance in grass species, which may have undergone subfunctionalization in promoters before the formation of hexaploid wheat.

  11. TaCPK2-A, a calcium-dependent protein kinase gene that is required for wheat powdery mildew resistance enhances bacterial blight resistance in transgenic rice

    PubMed Central

    Geng, Shuaifeng; Li, Aili; Tang, Lichuan; Lan, Xiujin; Mao, Long

    2013-01-01

    Calcium-dependent protein kinases (CPKs) are important Ca2+ signalling components involved in complex immune and stress signalling networks; but the knowledge of CPK gene functions in the hexaploid wheat is limited. Previously, TaCPK2 was shown to be inducible by powdery mildew (Blumeria graminis tritici, Bgt) infection in wheat. Here, its functions in disease resistance are characterized further. This study shows the presence of defence-response and cold-response cis-elements on the promoters of the A subgenome homoeologue (TaCPK2-A) and D subgenome homoeologue (TaCPK2-D), respectively. Their expression patterns were then confirmed by quantitative real-time PCR (qRT-PCR) using genome-specific primers, where TaCPK2-A was induced by Bgt treatment while TaCPK2-D mainly responded to cold treatment. Downregulation of TaCPK2-A by virus-induced gene silencing (VIGS) causes loss of resistance to Bgt in resistant wheat lines, indicating that TaCPK2-A is required for powdery mildew resistance. Furthermore, overexpression of TaCPK2-A in rice enhanced bacterial blight (Xanthomonas oryzae pv. oryzae, Xoo) resistance. qRT-PCR analysis showed that overexpression of TaCPK2-A in rice promoted the expression of OsWRKY45-1, a transcription factor involved in both fungal and bacterial resistance by regulating jasmonic acid and salicylic acid signalling genes. The opposite effect was found in wheat TaCPK2-A VIGS plants, where the homologue of OsWRKY45-1 was significantly repressed. These data suggest that modulation of WRKY45-1 and associated defence-response genes by CPK2 genes may be the common mechanism for multiple disease resistance in grass species, which may have undergone subfunctionalization in promoters before the formation of hexaploid wheat. PMID:23918959

  12. Development of a Protocol for Predicting Bacterial Resistance to Microbicides

    PubMed Central

    Knapp, Laura; Amézquita, Alejandro; McClure, Peter; Stewart, Sara

    2015-01-01

    Regulations dealing with microbicides in Europe and the United States are evolving and now require data on the risk of the development of resistance in organisms targeted by microbicidal products. There is no standard protocol to assess the risk of the development of resistance to microbicidal formulations. This study aimed to validate the use of changes in microbicide and antibiotic susceptibility as initial markers for predicting microbicide resistance and cross-resistance to antibiotics. Three industrial isolates (Pseudomonas aeruginosa, Burkholderia cepacia, and Klebsiella pneumoniae) and two Salmonella enterica serovar Typhimurium strains (SL1344 and 14028S) were exposed to a shampoo, a mouthwash, eye makeup remover, and the microbicides contained within these formulations (chlorhexidine digluconate [CHG] and benzalkonium chloride [BZC]) under realistic, in-use conditions. Baseline and postexposure data were compared. No significant increases in the MIC or the minimum bactericidal concentration (MBC) were observed for any strain after exposure to the three formulations. Increases as high as 100-fold in the MICs and MBCs of CHG and BZC for SL1344 and 14028S were observed but were unstable. Changes in antibiotic susceptibility were not clinically significant. The use of MICs and MBCs combined with antibiotic susceptibility profiling and stability testing generated reproducible data that allowed for an initial prediction of the development of resistance to microbicides. These approaches measure characteristics that are directly relevant to the concern over resistance and cross-resistance development following the use of microbicides. These are low-cost, high-throughput techniques, allowing manufacturers to provide to regulatory bodies, promptly and efficiently, data supporting an early assessment of the risk of resistance development. PMID:25636848

  13. Enhancing Integrated Pest Management in GM Cotton Systems Using Host Plant Resistance

    PubMed Central

    Trapero, Carlos; Wilson, Iain W.; Stiller, Warwick N.; Wilson, Lewis J.

    2016-01-01

    Cotton has lost many ancestral defensive traits against key invertebrate pests. This is suggested by the levels of resistance to some pests found in wild cotton genotypes as well as in cultivated landraces and is a result of domestication and a long history of targeted breeding for yield and fiber quality, along with the capacity to control pests with pesticides. Genetic modification (GM) allowed integration of toxins from a bacteria into cotton to control key Lepidopteran pests. Since the mid-1990s, use of GM cotton cultivars has greatly reduced the amount of pesticides used in many cotton systems. However, pests not controlled by the GM traits have usually emerged as problems, especially the sucking bug complex. Control of this complex with pesticides often causes a reduction in beneficial invertebrate populations, allowing other secondary pests to increase rapidly and require control. Control of both sucking bug complex and secondary pests is problematic due to the cost of pesticides and/or high risk of selecting for pesticide resistance. Deployment of host plant resistance (HPR) provides an opportunity to manage these issues in GM cotton systems. Cotton cultivars resistant to the sucking bug complex and/or secondary pests would require fewer pesticide applications, reducing costs and risks to beneficial invertebrate populations and pesticide resistance. Incorporation of HPR traits into elite cotton cultivars with high yield and fiber quality offers the potential to further reduce pesticide use and increase the durability of pest management in GM cotton systems. We review the challenges that the identification and use of HPR against invertebrate pests brings to cotton breeding. We explore sources of resistance to the sucking bug complex and secondary pests, the mechanisms that control them and the approaches to incorporate these defense traits to commercial cultivars. PMID:27148323

  14. Loss of compatibility might explain resistance of the Arabidopsis thaliana accession Te-0 to Golovinomyces cichoracearum.

    PubMed

    Fabro, Georgina; Alvarez, María Elena

    2012-08-11

    The establishment of compatibility between plants and pathogens requires compliance with various conditions, such as recognition of the right host, suppression of defence mechanisms, and maintenance of an environment allowing pathogen reproduction. To date, most of the plant factors required to sustain compatibility remain unknown, with the few best characterized being those interfering with defence responses. A suitable system to study host compatibility factors is the interaction between Arabidopsis thaliana and the powdery mildew (PM) Golovinomyces cichoracearum. As an obligate biotrophic pathogen, this fungus must establish compatibility in order to perpetuate. In turn, A. thaliana displays natural variation for susceptibility to this invader, with some accessions showing full susceptibility (Col-0), and others monogenic dominant resistance (Kas-1). Interestingly, Te-0, among other accessions, displays recessive partial resistance to this PM. In this study, we characterized the interaction of G. cichoracearum with Te-0 plants to investigate the basis of this plant resistance. We found that Te-0's incompatibility was not associated with hyper-activation of host inducible defences. Te-0 plants allowed germination of conidia and development of functional haustoria, but could not support the formation of mature conidiophores. Using a suppressive subtractive hybridization technique, we identified plant genes showing differential expression between resistant Te-0 and susceptible Col-0 plants at the fungal pre-conidiation stage. Te-0 resistance is likely caused by loss of host compatibility and not by stimulation of inducible defences. Conidiophores formation is the main constraint for completion of fungal life cycle in Te-0 plants. The system here described allowed the identification of genes proposed as markers for susceptibility to this PM.

  15. Loss of compatibility might explain resistance of the Arabidopsis thaliana accession Te-0 to Golovinomyces cichoracearum

    PubMed Central

    2012-01-01

    Background The establishment of compatibility between plants and pathogens requires compliance with various conditions, such as recognition of the right host, suppression of defence mechanisms, and maintenance of an environment allowing pathogen reproduction. To date, most of the plant factors required to sustain compatibility remain unknown, with the few best characterized being those interfering with defence responses. A suitable system to study host compatibility factors is the interaction between Arabidopsis thaliana and the powdery mildew (PM) Golovinomyces cichoracearum. As an obligate biotrophic pathogen, this fungus must establish compatibility in order to perpetuate. In turn, A. thaliana displays natural variation for susceptibility to this invader, with some accessions showing full susceptibility (Col-0), and others monogenic dominant resistance (Kas-1). Interestingly, Te-0, among other accessions, displays recessive partial resistance to this PM. Results In this study, we characterized the interaction of G. cichoracearum with Te-0 plants to investigate the basis of this plant resistance. We found that Te-0´s incompatibility was not associated with hyper-activation of host inducible defences. Te-0 plants allowed germination of conidia and development of functional haustoria, but could not support the formation of mature conidiophores. Using a suppressive subtractive hybridization technique, we identified plant genes showing differential expression between resistant Te-0 and susceptible Col-0 plants at the fungal pre-conidiation stage. Conclusions Te-0 resistance is likely caused by loss of host compatibility and not by stimulation of inducible defences. Conidiophores formation is the main constraint for completion of fungal life cycle in Te-0 plants. The system here described allowed the identification of genes proposed as markers for susceptibility to this PM. PMID:22883024

  16. Requirement of the CroRS Two-Component System for Resistance to Cell Wall-Targeting Antimicrobials in Enterococcus faecium.

    PubMed

    Kellogg, Stephanie L; Little, Jaime L; Hoff, Jessica S; Kristich, Christopher J

    2017-05-01

    Enterococci are serious opportunistic pathogens that are resistant to many cell wall-targeting antibiotics. The CroRS two-component signaling system responds to antibiotic-mediated cell wall stress and is critical for resistance to cell wall-targeting antibiotics in Enterococcus faecalis Here, we identify and characterize an orthologous two-component system found in Enterococcus faecium that is functionally equivalent to the CroRS system of E. faecalis Deletion of croRS in E. faecium resulted in marked susceptibility to cell wall-targeting agents including cephalosporins and bacitracin, as well as moderate susceptibility to ampicillin and vancomycin. As in E. faecalis , exposure to bacitracin and vancomycin stimulates signaling through the CroRS system in E. faecium Moreover, the CroRS system is critical in E. faecium for enhanced beta-lactam resistance mediated by overexpression of Pbp5. Expression of a Pbp5 variant that confers enhanced beta-lactam resistance cannot overcome the requirement for CroRS function. Thus, the CroRS system is a conserved signaling system that responds to cell wall stress to promote intrinsic resistance to important cell wall-targeting antibiotics in clinically relevant enterococci. Copyright © 2017 American Society for Microbiology.

  17. Requirement of the CroRS Two-Component System for Resistance to Cell Wall-Targeting Antimicrobials in Enterococcus faecium

    PubMed Central

    Kellogg, Stephanie L.; Little, Jaime L.; Hoff, Jessica S.

    2017-01-01

    ABSTRACT Enterococci are serious opportunistic pathogens that are resistant to many cell wall-targeting antibiotics. The CroRS two-component signaling system responds to antibiotic-mediated cell wall stress and is critical for resistance to cell wall-targeting antibiotics in Enterococcus faecalis. Here, we identify and characterize an orthologous two-component system found in Enterococcus faecium that is functionally equivalent to the CroRS system of E. faecalis. Deletion of croRS in E. faecium resulted in marked susceptibility to cell wall-targeting agents including cephalosporins and bacitracin, as well as moderate susceptibility to ampicillin and vancomycin. As in E. faecalis, exposure to bacitracin and vancomycin stimulates signaling through the CroRS system in E. faecium. Moreover, the CroRS system is critical in E. faecium for enhanced beta-lactam resistance mediated by overexpression of Pbp5. Expression of a Pbp5 variant that confers enhanced beta-lactam resistance cannot overcome the requirement for CroRS function. Thus, the CroRS system is a conserved signaling system that responds to cell wall stress to promote intrinsic resistance to important cell wall-targeting antibiotics in clinically relevant enterococci. PMID:28223383

  18. 30 CFR 14.20 - Flame resistance.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... MINING PRODUCTS REQUIREMENTS FOR THE APPROVAL OF FLAME-RESISTANT CONVEYOR BELTS Technical Requirements § 14.20 Flame resistance. Conveyor belts for use in underground coal mines must be flame-resistant and...

  19. Inactivation of an integrated antibiotic resistance gene in mammalian cells to re-enable antibiotic selection.

    PubMed

    Ni, Peiling; Zhang, Qian; Chen, Haixia; Chen, Lingyi

    2014-01-01

    Removing an antibiotic resistance gene allows the same antibiotic to be re-used in the next round of genetic manipulation. Here we applied the CRISPR/Cas system to disrupt the puromycin resistance gene in an engineered mouse embryonic stem cell line and then re-used puromycin selection in the resulting cells to establish stable reporter cell lines. With the CRISPR/Cas system, pre-engineered sequences, such as loxP or FRT, are not required. Thus, this technique can be used to disrupt antibiotic resistance genes that cannot be removed by the Cre-loxP and Flp-FRT systems.

  20. Lipid-induced insulin resistance mediated by the proinflammatory receptor TLR4 requires saturated fatty acid-induced ceramide biosynthesis in mice.

    PubMed

    Holland, William L; Bikman, Benjamin T; Wang, Li-Ping; Yuguang, Guan; Sargent, Katherine M; Bulchand, Sarada; Knotts, Trina A; Shui, Guanghou; Clegg, Deborah J; Wenk, Markus R; Pagliassotti, Michael J; Scherer, Philipp E; Summers, Scott A

    2011-05-01

    Obesity is associated with an enhanced inflammatory response that exacerbates insulin resistance and contributes to diabetes, atherosclerosis, and cardiovascular disease. One mechanism accounting for the increased inflammation associated with obesity is activation of the innate immune signaling pathway triggered by TLR4 recognition of saturated fatty acids, an event that is essential for lipid-induced insulin resistance. Using in vitro and in vivo systems to model lipid induction of TLR4-dependent inflammatory events in rodents, we show here that TLR4 is an upstream signaling component required for saturated fatty acid-induced ceramide biosynthesis. This increase in ceramide production was associated with the upregulation of genes driving ceramide biosynthesis, an event dependent of the activity of the proinflammatory kinase IKKβ. Importantly, increased ceramide production was not required for TLR4-dependent induction of inflammatory cytokines, but it was essential for TLR4-dependent insulin resistance. These findings suggest that sphingolipids such as ceramide might be key components of the signaling networks that link lipid-induced inflammatory pathways to the antagonism of insulin action that contributes to diabetes.

  1. Lipid-induced insulin resistance mediated by the proinflammatory receptor TLR4 requires saturated fatty acid–induced ceramide biosynthesis in mice

    PubMed Central

    Holland, William L.; Bikman, Benjamin T.; Wang, Li-Ping; Yuguang, Guan; Sargent, Katherine M.; Bulchand, Sarada; Knotts, Trina A.; Shui, Guanghou; Clegg, Deborah J.; Wenk, Markus R.; Pagliassotti, Michael J.; Scherer, Philipp E.; Summers, Scott A.

    2011-01-01

    Obesity is associated with an enhanced inflammatory response that exacerbates insulin resistance and contributes to diabetes, atherosclerosis, and cardiovascular disease. One mechanism accounting for the increased inflammation associated with obesity is activation of the innate immune signaling pathway triggered by TLR4 recognition of saturated fatty acids, an event that is essential for lipid-induced insulin resistance. Using in vitro and in vivo systems to model lipid induction of TLR4-dependent inflammatory events in rodents, we show here that TLR4 is an upstream signaling component required for saturated fatty acid–induced ceramide biosynthesis. This increase in ceramide production was associated with the upregulation of genes driving ceramide biosynthesis, an event dependent of the activity of the proinflammatory kinase IKKβ. Importantly, increased ceramide production was not required for TLR4-dependent induction of inflammatory cytokines, but it was essential for TLR4-dependent insulin resistance. These findings suggest that sphingolipids such as ceramide might be key components of the signaling networks that link lipid-induced inflammatory pathways to the antagonism of insulin action that contributes to diabetes. PMID:21490391

  2. P-glycoproteins and other multidrug resistance transporters in the pharmacology of anthelmintics: Prospects for reversing transport-dependent anthelmintic resistance

    PubMed Central

    Lespine, Anne; Ménez, Cécile; Bourguinat, Catherine; Prichard, Roger K.

    2011-01-01

    Parasitic helminths cause significant disease in animals and humans. In the absence of alternative treatments, anthelmintics remain the principal agents for their control. Resistance extends to the most important class of anthelmintics, the macrocyclic lactone endectocides (MLs), such as ivermectin, and presents serious problems for the livestock industries and threatens to severely limit current parasite control strategies in humans. Understanding drug resistance is important for optimizing and monitoring control, and reducing further selection for resistance. Multidrug resistance (MDR) ABC transporters have been implicated in ML resistance and contribute to resistance to a number of other anthelmintics. MDR transporters, such as P-glycoproteins, are essential for many cellular processes that require the transport of substrates across cell membranes. Being overexpressed in response to chemotherapy in tumour cells and to ML-based treatment in nematodes, they lead to therapy failure by decreasing drug concentration at the target. Several anthelmintics are inhibitors of these efflux pumps and appropriate combinations can result in higher treatment efficacy against parasites and reversal of resistance. However, this needs to be balanced against possible increased toxicity to the host, or the components of the combination selecting on the same genes involved in the resistance. Increased efficacy could result from modifying anthelmintic pharmacokinetics in the host or by blocking parasite transporters involved in resistance. Combination of anthelmintics can be beneficial for delaying selection for resistance. However, it should be based on knowledge of resistance mechanisms and not simply on mode of action classes, and is best started before resistance has been selected to any member of the combination. Increasing knowledge of the MDR transporters involved in anthelmintic resistance in helminths will play an important role in allowing for the identification of markers

  3. [Resistance of Listeria monocytogenes to physical exposure].

    PubMed

    Augustin, J C

    1996-11-01

    The resistance of Listeria monocytogenes to physical processing, particularly heat resistance and radioresistance, is widely dependent on the method involved, the physiological state of the strain used, and, obviously, the substrate in which the organism is. HTST pasteurization of milk would allow at least 11 decimal reductions of the potentially present population of L. monocytogenes, and then greatly minimizes the risks of survival of the organism. On the other hand, high and low pasteurizations of egg products may involve only 4 to 5 decimal reductions and appear then not very reliable towards Listeria. Similarly, meat products cooking can, in some conditions, be inadequate to allow the total inactivation of contaminant L. monocytogenes. A 3 kGy irradiation of meat products should allow, on an average, 6 decimal reductions. These results must incite the manufacturers to take into account factors present in their products which allow L. monocytogenes to better resist and this in order to adapt processing to these conditions of increased resistance.

  4. 45 CFR 74.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... FOR AWARDS AND SUBAWARDS TO INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, OTHER NONPROFIT ORGANIZATIONS, AND COMMERCIAL ORGANIZATIONS Post-Award Requirements Financial and Program Management § 74.27... Organizations” and paragraph (b) of this section. The allowability of costs incurred by institutions of higher...

  5. 22 CFR 145.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... Relations DEPARTMENT OF STATE CIVIL RIGHTS GRANTS AND AGREEMENTS WITH INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, AND OTHER NON-PROFIT ORGANIZATIONS Post-Award Requirements Financial and Program Management § 145...-Profit Organizations.” The allowability of costs incurred by institutions of higher education is...

  6. 20 CFR 435.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 20 Employees' Benefits 2 2010-04-01 2010-04-01 false Allowable costs. 435.27 Section 435.27 Employees' Benefits SOCIAL SECURITY ADMINISTRATION UNIFORM ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND AGREEMENTS WITH INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, OTHER NON-PROFIT ORGANIZATIONS, AND COMMERCIAL...

  7. 43 CFR 12.62 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... uniform cost accounting standards that comply with cost principles acceptable to the Federal agency. ... COST PRINCIPLES FOR ASSISTANCE PROGRAMS Uniform Administrative Requirements for Grants and Cooperative... increment above allowable costs) to the grantee or subgrantee. (b) Applicable cost principles. For each kind...

  8. 40 CFR 30.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND AGREEMENTS WITH INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, AND OTHER...-Profit Organizations.” The allowability of costs incurred by institutions of higher education is... include transportation and subsistence costs for travel performed; recipients will pay these in accordance...

  9. 50 CFR 80.15 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ...) FINANCIAL ASSISTANCE-WILDLIFE SPORT FISH RESTORATION PROGRAM ADMINISTRATIVE REQUIREMENTS, PITTMAN-ROBERTSON WILDLIFE RESTORATION AND DINGELL-JOHNSON SPORT FISH RESTORATION ACTS § 80.15 Allowable costs. (a) What are... designed to include purposes other than those eligible under either the Dingell-Johnson Sport Fish...

  10. [Management of multidrug-resistant tuberculosis].

    PubMed

    Tritar, F; Daghfous, H; Ben Saad, S; Slim-Saidi, L

    2015-01-01

    The emergence of drug-resistant TB in many countries has become a major public health problem and an obstacle to effective tuberculosis control. Multidrug-resistant tuberculosis (MDR-TB), which is most often the result of poor adherence, is a particularly dangerous form of tuberculosis because it is caused by bacilli resistant to at least isoniazid and rifampicin, the two most effective anti-tuberculosis drugs. Techniques for rapid diagnosis of resistance have greatly improved the care of patients by allowing early treatment which remains complex and costly establishment, and requires skills and resources. The treatment is not standardized but it includes in all cases attack phase with five drugs (there must be an injectable agent and a fluoroquinolone that form the basis of the regimen) for eight months and a maintenance phase (without injectable agent) with a total duration of 20 months on average. Surgery may be beneficial as long as the lesions are localized and the patient has a good cardiorespiratory function. Evolution of MDR-TB treated is less favorable than tuberculosis with germ sensitive. The cure rate varies from 60 to 75% for MDR-TB, and drops to 30 to 40% for XDR-TB. Mortality remains high, ranging from 20 to 40% even up to 70-90% in people co-infected with HIV. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  11. 38 CFR 43.22 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... accounting standards that comply with cost principles acceptable to the Federal agency. ... Requirements Financial Administration § 43.22 Allowable costs. (a) Limitation on use of funds. Grant funds may... the grantee or subgrantee. (b) Applicable cost principles. For each kind of organization, there is a...

  12. 7 CFR 3016.22 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... uniform cost accounting standards that comply with cost principles acceptable to the Federal agency. ... Regulations of the Department of Agriculture (Continued) OFFICE OF THE CHIEF FINANCIAL OFFICER, DEPARTMENT OF... GOVERNMENTS Post-Award Requirements Financial Administration § 3016.22 Allowable costs. (a) Limitation on use...

  13. 45 CFR 74.27 - Allowable costs.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 45 Public Welfare 1 2013-10-01 2013-10-01 false Allowable costs. 74.27 Section 74.27 Public Welfare DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL ADMINISTRATION UNIFORM ADMINISTRATIVE REQUIREMENTS FOR AWARDS AND SUBAWARDS TO INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, OTHER NONPROFIT ORGANIZATIONS...

  14. 45 CFR 74.27 - Allowable costs.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 45 Public Welfare 1 2011-10-01 2011-10-01 false Allowable costs. 74.27 Section 74.27 Public Welfare DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL ADMINISTRATION UNIFORM ADMINISTRATIVE REQUIREMENTS FOR AWARDS AND SUBAWARDS TO INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, OTHER NONPROFIT ORGANIZATIONS...

  15. 45 CFR 74.27 - Allowable costs.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 45 Public Welfare 1 2012-10-01 2012-10-01 false Allowable costs. 74.27 Section 74.27 Public Welfare DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL ADMINISTRATION UNIFORM ADMINISTRATIVE REQUIREMENTS FOR AWARDS AND SUBAWARDS TO INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, OTHER NONPROFIT ORGANIZATIONS...

  16. 45 CFR 74.27 - Allowable costs.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 45 Public Welfare 1 2014-10-01 2014-10-01 false Allowable costs. 74.27 Section 74.27 Public Welfare Department of Health and Human Services GENERAL ADMINISTRATION UNIFORM ADMINISTRATIVE REQUIREMENTS FOR AWARDS AND SUBAWARDS TO INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, OTHER NONPROFIT ORGANIZATIONS...

  17. 34 CFR 74.27 - Allowable costs.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 34 Education 1 2011-07-01 2011-07-01 false Allowable costs. 74.27 Section 74.27 Education Office of the Secretary, Department of Education ADMINISTRATION OF GRANTS AND AGREEMENTS WITH INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, AND OTHER NON-PROFIT ORGANIZATIONS Post-Award Requirements Financial...

  18. Protein Requirements and Recommendations for Older People: A Review

    PubMed Central

    Nowson, Caryl; O’Connell, Stella

    2015-01-01

    Declines in skeletal muscle mass and strength are major contributors to increased mortality, morbidity and reduced quality of life in older people. Recommended Dietary Allowances/Intakes have failed to adequately consider the protein requirements of the elderly with respect to function. The aim of this paper was to review definitions of optimal protein status and the evidence base for optimal dietary protein. Current recommended protein intakes for older people do not account for the compensatory loss of muscle mass that occurs on lower protein intakes. Older people have lower rates of protein synthesis and whole-body proteolysis in response to an anabolic stimulus (food or resistance exercise). Recommendations for the level of adequate dietary intake of protein for older people should be informed by evidence derived from functional outcomes. Randomized controlled trials report a clear benefit of increased dietary protein on lean mass gain and leg strength, particularly when combined with resistance exercise. There is good consistent evidence (level III-2 to IV) that consumption of 1.0 to 1.3 g/kg/day dietary protein combined with twice-weekly progressive resistance exercise reduces age-related muscle mass loss. Older people appear to require 1.0 to 1.3 g/kg/day dietary protein to optimize physical function, particularly whilst undertaking resistance exercise recommendations. PMID:26287239

  19. 40 CFR 31.22 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... accounting standards that comply with cost principles acceptable to the Federal agency. ... Requirements Financial Administration § 31.22 Allowable costs. (a) Limitation on use of funds. Grant funds may... the grantee or sub-grantee. (b) Applicable cost principles. For each kind of organization, there is a...

  20. 34 CFR 80.22 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... CFR part 31. Contract Cost Principles and Procedures, or uniform cost accounting standards that comply... COOPERATIVE AGREEMENTS TO STATE AND LOCAL GOVERNMENTS Post-Award Requirements Financial Administration § 80.22... kind of organization, there is a set of Federal principles for determining allowable costs. For the...

  1. 28 CFR 70.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 28 Judicial Administration 2 2010-07-01 2010-07-01 false Allowable costs. 70.27 Section 70.27 Judicial Administration DEPARTMENT OF JUSTICE (CONTINUED) UNIFORM ADMINISTRATIVE REQUIREMENTS FOR GRANTS... accordance with the provisions of OMB Circular A-21, “Cost Principles for Educational Institutions.” The...

  2. 49 CFR 18.22 - Allowable costs.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 49 Transportation 1 2011-10-01 2011-10-01 false Allowable costs. 18.22 Section 18.22... COOPERATIVE AGREEMENTS TO STATE AND LOCAL GOVERNMENTS Post-Award Requirements Financial Administration § 18.22... A-87. Private nonprofit organization other than an (1) institution of higher education, (2) hospital...

  3. Oxidation-resistant cermet

    NASA Technical Reports Server (NTRS)

    Phillips, W. M.

    1977-01-01

    Chromium metal alloys and chromium oxide ceramic are combined to produce cermets with oxidation-resistant properties. Application of cermets includes use in hot corrosive environments requiring strong resistive materials.

  4. 22 CFR 518.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... INSTITUTIONS OF HIGHER EDUCATION, HOSPITALS, AND OTHER NON-PROFIT ORGANIZATIONS Post-Award Requirements Financial and Program Management § 518.27 Allowable costs. For each kind of recipient, there is a set of... by institutions of higher education is determined in accordance with the provisions of OMB Circular A...

  5. 22 CFR 226.27 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... Relations AGENCY FOR INTERNATIONAL DEVELOPMENT ADMINISTRATION OF ASSISTANCE AWARDS TO U.S. NON-GOVERNMENTAL ORGANIZATIONS Post-award Requirements Financial and Program Management § 226.27 Allowable costs. For each kind... organizations is determined in accordance with the provisions of OMB Circular A-122, “Cost Principles for Non...

  6. 24 CFR 85.22 - Allowable costs.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 24 Housing and Urban Development 1 2014-04-01 2014-04-01 false Allowable costs. 85.22 Section 85.22 Housing and Urban Development Office of the Secretary, Department of Housing and Urban Development ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND COOPERATIVE AGREEMENTS TO STATE, LOCAL AND FEDERALLY RECOGNIZED INDIAN...

  7. 24 CFR 85.22 - Allowable costs.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 24 Housing and Urban Development 1 2011-04-01 2011-04-01 false Allowable costs. 85.22 Section 85.22 Housing and Urban Development Office of the Secretary, Department of Housing and Urban Development ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND COOPERATIVE AGREEMENTS TO STATE, LOCAL AND FEDERALLY RECOGNIZED INDIAN...

  8. 24 CFR 85.22 - Allowable costs.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 24 Housing and Urban Development 1 2012-04-01 2012-04-01 false Allowable costs. 85.22 Section 85.22 Housing and Urban Development Office of the Secretary, Department of Housing and Urban Development ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND COOPERATIVE AGREEMENTS TO STATE, LOCAL AND FEDERALLY RECOGNIZED INDIAN...

  9. 24 CFR 85.22 - Allowable costs.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 24 Housing and Urban Development 1 2013-04-01 2013-04-01 false Allowable costs. 85.22 Section 85.22 Housing and Urban Development Office of the Secretary, Department of Housing and Urban Development ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND COOPERATIVE AGREEMENTS TO STATE, LOCAL AND FEDERALLY RECOGNIZED INDIAN...

  10. 40 CFR 35.2025 - Allowance and advance of allowance.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... advance of allowance. (a) Allowance. Step 2+3 and Step 3 grant agreements will include an allowance for facilities planning and design of the project and Step 7 agreements will include an allowance for facility... 40 Protection of Environment 1 2010-07-01 2010-07-01 false Allowance and advance of allowance. 35...

  11. 45 CFR 2400.50 - Allowances and Summer Institute costs.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 45 Public Welfare 4 2013-10-01 2013-10-01 false Allowances and Summer Institute costs. 2400.50 Section 2400.50 Public Welfare Regulations Relating to Public Welfare (Continued) JAMES MADISON MEMORIAL FELLOWSHIP FOUNDATION FELLOWSHIP PROGRAM REQUIREMENTS Graduate Study § 2400.50 Allowances and Summer...

  12. 45 CFR 2400.50 - Allowances and Summer Institute costs.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 45 Public Welfare 4 2012-10-01 2012-10-01 false Allowances and Summer Institute costs. 2400.50 Section 2400.50 Public Welfare Regulations Relating to Public Welfare (Continued) JAMES MADISON MEMORIAL FELLOWSHIP FOUNDATION FELLOWSHIP PROGRAM REQUIREMENTS Graduate Study § 2400.50 Allowances and Summer...

  13. 45 CFR 2400.50 - Allowances and Summer Institute costs.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 45 Public Welfare 4 2014-10-01 2014-10-01 false Allowances and Summer Institute costs. 2400.50 Section 2400.50 Public Welfare Regulations Relating to Public Welfare (Continued) JAMES MADISON MEMORIAL FELLOWSHIP FOUNDATION FELLOWSHIP PROGRAM REQUIREMENTS Graduate Study § 2400.50 Allowances and Summer...

  14. 45 CFR 2400.50 - Allowances and Summer Institute costs.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 45 Public Welfare 4 2010-10-01 2010-10-01 false Allowances and Summer Institute costs. 2400.50 Section 2400.50 Public Welfare Regulations Relating to Public Welfare (Continued) JAMES MADISON MEMORIAL FELLOWSHIP FOUNDATION FELLOWSHIP PROGRAM REQUIREMENTS Graduate Study § 2400.50 Allowances and Summer...

  15. 45 CFR 2400.50 - Allowances and Summer Institute costs.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 45 Public Welfare 4 2011-10-01 2011-10-01 false Allowances and Summer Institute costs. 2400.50 Section 2400.50 Public Welfare Regulations Relating to Public Welfare (Continued) JAMES MADISON MEMORIAL FELLOWSHIP FOUNDATION FELLOWSHIP PROGRAM REQUIREMENTS Graduate Study § 2400.50 Allowances and Summer...

  16. 21 CFR 1403.22 - Allowable costs.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 9 2011-04-01 2011-04-01 false Allowable costs. 1403.22 Section 1403.22 Food and Drugs OFFICE OF NATIONAL DRUG CONTROL POLICY UNIFORM ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND... the applicable cost principles. For the costs of a— Use the principles in— State, local or Indian...

  17. Aphid Resistance in Medicago truncatula Involves Antixenosis and Phloem-Specific, Inducible Antibiosis, and Maps to a Single Locus Flanked by NBS-LRR Resistance Gene Analogs1

    PubMed Central

    Klingler, John; Creasy, Robert; Gao, Lingling; Nair, Ramakrishnan M.; Calix, Alonso Suazo; Jacob, Helen Spafford; Edwards, Owain R.; Singh, Karam B.

    2005-01-01

    Aphids and related insects feed from a single cell type in plants: the phloem sieve element. Genetic resistance to Acyrthosiphon kondoi Shinji (bluegreen aphid or blue alfalfa aphid) has been identified in Medicago truncatula Gaert. (barrel medic) and backcrossed into susceptible cultivars. The status of M. truncatula as a model legume allows an in-depth study of defense against this aphid at physiological, biochemical, and molecular levels. In this study, two closely related resistant and susceptible genotypes were used to characterize the aphid-resistance phenotype. Resistance conditions antixenosis since migratory aphids were deterred from settling on resistant plants within 6 h of release, preferring to settle on susceptible plants. Analysis of feeding behavior revealed the trait affects A. kondoi at the level of the phloem sieve element. Aphid reproduction on excised shoots demonstrated that resistance requires an intact plant. Antibiosis against A. kondoi is enhanced by prior infestation, indicating induction of this phloem-specific defense. Resistance segregates as a single dominant gene, AKR (Acyrthosiphon kondoi resistance), in two mapping populations, which have been used to map the locus to a region flanked by resistance gene analogs predicted to encode the CC-NBS-LRR subfamily of resistance proteins. This work provides the basis for future molecular analysis of defense against phloem parasitism in a plant model system. PMID:15778464

  18. Functional Dissection of the CroRS Two-Component System Required for Resistance to Cell Wall Stressors in Enterococcus faecalis.

    PubMed

    Kellogg, Stephanie L; Kristich, Christopher J

    2016-04-01

    Bacteria use two-component signal transduction systems (TCSs) to sense and respond to environmental changes via a conserved phosphorelay between a sensor histidine kinase and its cognate response regulator. The opportunistic pathogen Enterococcus faecalis utilizes a TCS comprised of the histidine kinase CroS and the response regulator CroR to mediate resistance to cell wall stresses such as cephalosporin antibiotics, but the molecular details by which CroRS promotes cephalosporin resistance have not been elucidated. Here, we analyzed mutants of E. faecalis carrying substitutions in CroR and CroS to demonstrate that phosphorylated CroR drives resistance to cephalosporins, and that CroS exhibits kinase and phosphatase activities to control the level of CroR phosphorylation in vivo. Deletion of croS in various lineages of E. faecalis revealed a CroS-independent mechanism for CroR phosphorylation and led to the identification of a noncognate histidine kinase capable of influencing CroR (encoded by OG1RF_12162; here called cisS). Further analysis of this TCS network revealed that both systems respond to cell wall stress. TCSs allow bacteria to sense and respond to many different environmental conditions. The opportunistic pathogen Enterococcus faecalis utilizes the CroRS TCS to mediate resistance to cell wall stresses, including clinically relevant antibiotics such as cephalosporins and glycopeptides. In this study, we use genetic and biochemical means to investigate the relationship between CroRS signaling and cephalosporin resistance in E. faecalis cells. Through this, we uncovered a signaling network formed between the CroRS TCS and a previously uncharacterized TCS that also responds to cell wall stress. This study provides mechanistic insights into CroRS signaling and cephalosporin resistance in E. faecalis. Copyright © 2016, American Society for Microbiology. All Rights Reserved.

  19. Chest Press Exercises With Different Stability Requirements Result in Similar Muscle Damage Recovery in Resistance-Trained Men.

    PubMed

    Ferreira, Diogo V; Ferreira-Júnior, João B; Soares, Saulo R S; Cadore, Eduardo L; Izquierdo, Mikel; Brown, Lee E; Bottaro, Martim

    2017-01-01

    Ferreira, DV, Ferreira-Júnior, JB, Soares, SRS, Cadore, EL, Izquierdo, M, Brown, LE, and Bottaro, M. Chest press exercises with different stability requirements result in similar muscle damage recovery in resistance trained men. J Strength Cond Res 31(1): 71-79, 2017-This study investigated the time course of 96 hours of muscle recovery after 3 different chest press exercises with different stability requirements in resistance-trained men. Twenty-seven men (23.5 ± 3.8 years) were randomly assigned to one of the 3 groups: (a) Smith machine bench press; (b) barbell bench press; or (c) dumbbell bench press. Participants performed 8 sets of 10 repetition maximum with 2 minutes rest between sets. Muscle thickness, peak torque (PT), and soreness were measured pre, post, 24, 48, 72, and 96 hours after exercise. There were no differences in the time course of PT or muscle thickness values of the pectoralis major (p = 0.98 and p = 0.91, respectively) or elbow extensors (p = 0.07 and p = 0.86, respectively) between groups. Muscle soreness of the pectoralis major was also not different between groups (p > 0.05). However, the Smith machine and barbell groups recovered from triceps brachii muscle soreness by 72 hours after exercise (p > 0.05), whereas the dumbbell group did not present any triceps brachii muscle soreness after exercise (p > 0.05). In conclusion, resistance-trained men experience similar muscle damage recovery after Smith machine, barbell, and dumbbell chest press exercise. However, muscle soreness of the elbow extensors takes a longer time to recover after using a barbell chest press exercise.

  20. Genome-assisted Breeding For Drought Resistance

    PubMed Central

    Khan, Awais; Sovero, Valpuri; Gemenet, Dorcus

    2016-01-01

    Drought stress caused by unpredictable precipitation poses a major threat to food production worldwide, and its impact is only expected to increase with the further onset of climate change. Understanding the effect of drought stress on crops and plants' response is critical for developing improved varieties with stable high yield to fill a growing food gap from an increasing population depending on decreasing land and water resources. When a plant encounters drought stress, it may use multiple response types, depending on environmental conditions, drought stress intensity and duration, and the physiological stage of the plant. Drought stress responses can be divided into four broad types: drought escape, drought avoidance, drought tolerance, and drought recovery, each characterized by interacting mechanisms, which may together be referred to as drought resistance mechanisms. The complex nature of drought resistance requires a multi-pronged approach to breed new varieties with stable and enhanced yield under drought stress conditions. High throughput genomics and phenomics allow marker-assisted selection (MAS) and genomic selection (GS), which offer rapid and targeted improvement of populations and identification of parents for rapid genetic gains and improved drought-resistant varieties. Using these approaches together with appropriate genetic diversity, databases, analytical tools, and well-characterized drought stress scenarios, weather and soil data, new varieties with improved drought resistance corresponding to grower preferences can be introduced into target regions rapidly. PMID:27499682

  1. Germanium resistance thermometer calibration at superfluid helium temperatures

    NASA Technical Reports Server (NTRS)

    Mason, F. C.

    1985-01-01

    The rapid increase in resistance of high purity semi-conducting germanium with decreasing temperature in the superfluid helium range of temperatures makes this material highly adaptable as a very sensitive thermometer. Also, a germanium thermometer exhibits a highly reproducible resistance versus temperature characteristic curve upon cycling between liquid helium temperatures and room temperature. These two factors combine to make germanium thermometers ideally suited for measuring temperatures in many cryogenic studies at superfluid helium temperatures. One disadvantage, however, is the relatively high cost of calibrated germanium thermometers. In space helium cryogenic systems, many such thermometers are often required, leading to a high cost for calibrated thermometers. The construction of a thermometer calibration cryostat and probe which will allow for calibrating six germanium thermometers at one time, thus effecting substantial savings in the purchase of thermometers is considered.

  2. Estimating allowable-cut by area-scheduling

    Treesearch

    William B. Leak

    2011-01-01

    Estimation of the regulated allowable-cut is an important step in placing a forest property under management and ensuring a continued supply of timber over time. Regular harvests also provide for the maintenance of needed wildlife habitat. There are two basic approaches: (1) volume, and (2) area/volume regulation, with many variations of each. Some require...

  3. Improved fire-resistant coatings

    NASA Technical Reports Server (NTRS)

    Hutt, J. B.; Stuart, J. W.

    1971-01-01

    Water-base coatings containing potassium silicate show improvement in areas of quick air-drying, crack, craze, and abrasion resistance, adherence, and leach resistance. Coatings are useful as thermal-barrier layers in furnaces, and as general purpose fire resistant surfaces where vapor impermeability is not a requirement.

  4. 30 CFR 1206.179 - What general requirements regarding processing allowances apply to me?

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ..., DEPARTMENT OF THE INTERIOR NATURAL RESOURCES REVENUE PRODUCT VALUATION Indian Gas Processing Allowances... gas plant product under § 1206.174, you may deduct from value the reasonable actual costs of processing. (b) You must allocate processing costs among the gas plant products. You must determine a...

  5. 30 CFR 1206.179 - What general requirements regarding processing allowances apply to me?

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ..., DEPARTMENT OF THE INTERIOR NATURAL RESOURCES REVENUE PRODUCT VALUATION Indian Gas Processing Allowances... gas plant product under § 1206.174, you may deduct from value the reasonable actual costs of processing. (b) You must allocate processing costs among the gas plant products. You must determine a...

  6. 30 CFR 1206.179 - What general requirements regarding processing allowances apply to me?

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ..., DEPARTMENT OF THE INTERIOR NATURAL RESOURCES REVENUE PRODUCT VALUATION Indian Gas Processing Allowances... gas plant product under § 1206.174, you may deduct from value the reasonable actual costs of processing. (b) You must allocate processing costs among the gas plant products. You must determine a...

  7. β-Catenin is required for intrinsic but not extrinsic BCR-ABL1 kinase-independent resistance to tyrosine kinase inhibitors in chronic myeloid leukemia.

    PubMed

    Eiring, A M; Khorashad, J S; Anderson, D J; Yu, F; Redwine, H M; Mason, C C; Reynolds, K R; Clair, P M; Gantz, K C; Zhang, T Y; Pomicter, A D; Kraft, I L; Bowler, A D; Johnson, K; Partlin, M Mac; O'Hare, T; Deininger, M W

    2015-12-01

    Activation of nuclear β-catenin and expression of its transcriptional targets promotes chronic myeloid leukemia (CML) progression, tyrosine kinase inhibitor (TKI) resistance, and leukemic stem cell self-renewal. We report that nuclear β-catenin has a role in leukemia cell-intrinsic but not -extrinsic BCR-ABL1 kinase-independent TKI resistance. Upon imatinib inhibition of BCR-ABL1 kinase activity, β-catenin expression was maintained in intrinsically resistant cells grown in suspension culture and sensitive cells cultured in direct contact (DC) with bone marrow (BM) stromal cells. Thus, TKI resistance uncouples β-catenin expression from BCR-ABL1 kinase activity. In β-catenin reporter assays, intrinsically resistant cells showed increased transcriptional activity versus parental TKI-sensitive controls, and this was associated with restored expression of β-catenin target genes. In contrast, DC with BM stromal cells promoted TKI resistance, but had little effects on Lef/Tcf reporter activity and no consistent effects on cytoplasmic β-catenin levels, arguing against a role for β-catenin in extrinsic TKI resistance. N-cadherin or H-cadherin blocking antibodies abrogated DC-based resistance despite increasing Lef/Tcf reporter activity, suggesting that factors other than β-catenin contribute to extrinsic, BM-derived TKI resistance. Our data indicate that, while nuclear β-catenin enhances survival of intrinsically TKI-resistant CML progenitors, it is not required for extrinsic resistance mediated by the BM microenvironment.

  8. Saccharomyces cerevisiae sigma 1278b has novel genes of the N-acetyltransferase gene superfamily required for L-proline analogue resistance.

    PubMed

    Takagi, H; Shichiri, M; Takemura, M; Mohri, M; Nakamori, S

    2000-08-01

    We discovered on the chromosome of Saccharomyces cerevisiae Sigma 1278b novel genes involved in L-proline analogue L-azetidine-2-carboxylic acid resistance which are not present in the standard laboratory strains. The 5.4 kb-DNA fragment was cloned from the genomic library of the L-azetidine-2-carboxylic acid-resistant mutant derived from a cross between S. cerevisiae strains S288C and Sigma 1278b. The nucleotide sequence of a 4.5-kb segment exhibited no identity with the sequence in the genome project involving strain S288C. Deletion analysis indicated that one open reading frame encoding a predicted protein of 229 amino acids is indispensable for L-azetidine-2-carboxylic acid resistance. The protein sequence was found to be a member of the N-acetyltransferase superfamily. Genomic Southern analysis and gene disruption showed that two copies of the novel gene with one amino acid change at position 85 required for L-azetidine-2-carboxylic acid resistance were present on chromosomes X and XIV of Sigma 1278b background strains. When this novel MPR1 or MPR2 gene (sigma 1278b gene for L-proline analogue resistance) was introduced into the other S. cerevisiae strains, all of the recombinants were resistant to L-azetidine-2-carboxylic acid, indicating that both MPR1 and MPR2 are expressed and have a global function in S. cerevisiae.

  9. Wafer plane inspection with soft resist thresholding

    NASA Astrophysics Data System (ADS)

    Hess, Carl; Shi, Rui-fang; Wihl, Mark; Xiong, Yalin; Pang, Song

    2008-10-01

    Wafer Plane Inspection (WPI) is an inspection mode on the KLA-Tencor TeraScaTM platform that uses the high signalto- noise ratio images from the high numerical aperture microscope, and then models the entire lithographic process to enable defect detection on the wafer plane[1]. This technology meets the needs of some advanced mask manufacturers to identify the lithographically-significant defects while ignoring the other non-lithographically-significant defects. WPI accomplishes this goal by performing defect detection based on a modeled image of how the mask features would actually print in the photoresist. There are several advantages to this approach: (1) the high fidelity of the images provide a sensitivity advantage over competing approaches; (2) the ability to perform defect detection on the wafer plane allows one to only see those defects that have a printing impact on the wafer; (3) the use of modeling on the lithographic portion of the flow enables unprecedented flexibility to support arbitrary illumination profiles, process-window inspection in unit time, and combination modes to find both printing and non-printing defects. WPI is proving to be a valuable addition to the KLA-Tencor detection algorithm suite. The modeling portion of WPI uses a single resist threshold as the final step in the processing. This has been shown to be adequate on several advanced customer layers, but is not ideal for all layers. Actual resist chemistry has complicated processes including acid and base-diffusion and quench that are not consistently well-modeled with a single resist threshold. We have considered the use of an advanced resist model for WPI, but rejected it because the burdensome requirements for the calibration of the model were not practical for reticle inspection. This paper describes an alternative approach that allows for a "soft" resist threshold to be applied that provides a more robust solution for the most challenging processes. This approach is just

  10. 30 CFR 206.177 - What general requirements regarding transportation allowances apply to me?

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ..., or gas plant product. For purposes of this section, natural gas liquids are considered one product..., DEPARTMENT OF THE INTERIOR MINERALS REVENUE MANAGEMENT PRODUCT VALUATION Indian Gas Transportation Allowances... gas under § 206.174 at a point off the lease, unit, or communitized area (for example, sales point or...

  11. 41 CFR 105-72.307 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 41 Public Contracts and Property Management 3 2010-07-01 2010-07-01 false Allowable costs. 105-72.307 Section 105-72.307 Public Contracts and Property Management Federal Property Management... Administration 72-UNIFORM ADMINISTRATIVE REQUIREMENTS FOR GRANTS AND AGREEMENTS WITH INSTITUTIONS OF HIGHER...

  12. Extremely Low Operating Current Resistive Memory Based on Exfoliated 2D Perovskite Single Crystals for Neuromorphic Computing.

    PubMed

    Tian, He; Zhao, Lianfeng; Wang, Xuefeng; Yeh, Yao-Wen; Yao, Nan; Rand, Barry P; Ren, Tian-Ling

    2017-12-26

    Extremely low energy consumption neuromorphic computing is required to achieve massively parallel information processing on par with the human brain. To achieve this goal, resistive memories based on materials with ionic transport and extremely low operating current are required. Extremely low operating current allows for low power operation by minimizing the program, erase, and read currents. However, materials currently used in resistive memories, such as defective HfO x , AlO x , TaO x , etc., cannot suppress electronic transport (i.e., leakage current) while allowing good ionic transport. Here, we show that 2D Ruddlesden-Popper phase hybrid lead bromide perovskite single crystals are promising materials for low operating current nanodevice applications because of their mixed electronic and ionic transport and ease of fabrication. Ionic transport in the exfoliated 2D perovskite layer is evident via the migration of bromide ions. Filaments with a diameter of approximately 20 nm are visualized, and resistive memories with extremely low program current down to 10 pA are achieved, a value at least 1 order of magnitude lower than conventional materials. The ionic migration and diffusion as an artificial synapse is realized in the 2D layered perovskites at the pA level, which can enable extremely low energy neuromorphic computing.

  13. Interfamilial recombination between viruses led to acquisition of a novel translation-enhancing RNA element that allows resistance breaking.

    PubMed

    Miras, Manuel; Sempere, Raquel N; Kraft, Jelena J; Miller, W Allen; Aranda, Miguel A; Truniger, Veronica

    2014-04-01

    Many plant viruses depend on functional RNA elements, called 3'-UTR cap-independent translation enhancers (3'-CITEs), for translation of their RNAs. In this manuscript we provide direct proof for the existing hypothesis that 3'-CITEs are modular and transferable by recombination in nature, and that this is associated with an advantage for the created virus. By characterizing a newly identified Melon necrotic spot virus (MNSV; Tombusviridae) isolate, which is able to overcome eukaryotic translation initiation factor 4E (eIF4E)-mediated resistance, we found that it contains a 55 nucleotide insertion in its 3'-UTR. We provide strong evidence that this insertion was acquired by interfamilial recombination with the 3'-UTR of an Asiatic Cucurbit aphid-borne yellows virus (CABYV; Luteoviridae). By constructing chimeric viruses, we showed that this recombined sequence is responsible for resistance breaking. Analysis of the translational efficiency of reporter constructs showed that this sequence functions as a novel 3'-CITE in both resistant and susceptible plants, being essential for translation control in resistant plants. In conclusion, we showed that a recombination event between two clearly identified viruses from different families led to the transfer of exactly the sequence corresponding to a functional RNA element, giving rise to a new isolate with the capacity to infect an otherwise nonsusceptible host. © 2013 The Authors. New Phytologist © 2013 New Phytologist Trust.

  14. Interfamilial recombination between viruses led to acquisition of a novel translation-enhancing RNA element that allows resistance breaking

    PubMed Central

    Miras, Manuel; Sempere, Raquel N.; Kraft, Jelena J.; Miller, W. Allen; Aranda, Miguel A.; Truniger, Veronica

    2015-01-01

    Summary Many plant viruses depend on functional RNA elements, called 3′-UTR cap-independent translation enhancers (3′-CITEs), for translation of their RNAs. In this manuscript we provide direct proof for the existing hypothesis that 3′-CITEs are modular and transferable by recombination in nature, and that this is associated with an advantage for the created virus. By characterizing a newly identified Melon necrotic spot virus (MNSV; Tombusviridae) isolate, which is able to overcome eukaryotic translation initiation factor 4E (eIF4E)-mediated resistance, we found that it contains a 55 nucleotide insertion in its 3′-UTR. We provide strong evidence that this insertion was acquired by interfamilial recombination with the 3′-UTR of an Asiatic Cucurbit aphid-borne yellows virus (CABYV; Luteoviridae). By constructing chimeric viruses, we showed that this recombined sequence is responsible for resistance breaking. Analysis of the translational efficiency of reporter constructs showed that this sequence functions as a novel 3′-CITE in both resistant and susceptible plants, being essential for translation control in resistant plants. In conclusion, we showed that a recombination event between two clearly identified viruses from different families led to the transfer of exactly the sequence corresponding to a functional RNA element, giving rise to a new isolate with the capacity to infect an otherwise non-susceptible host. PMID:24372390

  15. Determination of the influence of dispersion pattern of pesticide-resistant individuals on the reliability of resistance estimates using different sampling plans.

    PubMed

    Shah, R; Worner, S P; Chapman, R B

    2012-10-01

    Pesticide resistance monitoring includes resistance detection and subsequent documentation/ measurement. Resistance detection would require at least one (≥1) resistant individual(s) to be present in a sample to initiate management strategies. Resistance documentation, on the other hand, would attempt to get an estimate of the entire population (≥90%) of the resistant individuals. A computer simulation model was used to compare the efficiency of simple random and systematic sampling plans to detect resistant individuals and to document their frequencies when the resistant individuals were randomly or patchily distributed. A patchy dispersion pattern of resistant individuals influenced the sampling efficiency of systematic sampling plans while the efficiency of random sampling was independent of such patchiness. When resistant individuals were randomly distributed, sample sizes required to detect at least one resistant individual (resistance detection) with a probability of 0.95 were 300 (1%) and 50 (10% and 20%); whereas, when resistant individuals were patchily distributed, using systematic sampling, sample sizes required for such detection were 6000 (1%), 600 (10%) and 300 (20%). Sample sizes of 900 and 400 would be required to detect ≥90% of resistant individuals (resistance documentation) with a probability of 0.95 when resistant individuals were randomly dispersed and present at a frequency of 10% and 20%, respectively; whereas, when resistant individuals were patchily distributed, using systematic sampling, a sample size of 3000 and 1500, respectively, was necessary. Small sample sizes either underestimated or overestimated the resistance frequency. A simple random sampling plan is, therefore, recommended for insecticide resistance detection and subsequent documentation.

  16. Comparison of genes required for H2O2 resistance in Streptococcus gordonii and Streptococcus sanguinis

    PubMed Central

    Xu, Yifan; Itzek, Andreas

    2014-01-01

    Hydrogen peroxide (H2O2) is produced by several members of the genus Streptococcus mainly through the pyruvate oxidase SpxB under aerobic growth conditions. The acute toxic nature of H2O2 raises the interesting question of how streptococci cope with intrinsically produced H2O2, which subsequently accumulates in the microenvironment and threatens the closely surrounding population. Here, we investigate the H2O2 susceptibility of oral Streptococcus gordonii and Streptococcus sanguinis and elucidate potential mechanisms of how they protect themselves from the deleterious effect of H2O2. Both organisms are considered primary colonizers and occupy the same intraoral niche making them potential targets for H2O2 produced by other species. We demonstrate that S. gordonii produces relatively more H2O2 and has a greater ability for resistance to H2O2 stress. Functional studies show that, unlike in Streptococcus pneumoniae, H2O2 resistance is not dependent on a functional SpxB and confirms the important role of the ferritin-like DNA-binding protein Dps. However, the observed increased H2O2 resistance of S. gordonii over S. sanguinis is likely to be caused by an oxidative stress protection machinery present even under anaerobic conditions, while S. sanguinis requires a longer period of time for adaptation. The ability to produce more H2O2 and be more resistant to H2O2 might aid S. gordonii in the competitive oral biofilm environment, since it is lower in abundance yet manages to survive quite efficiently in the oral biofilm. PMID:25280752

  17. 42 CFR 61.9 - Payments: Stipends; dependency allowances; travel allowances.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 42 Public Health 1 2014-10-01 2014-10-01 false Payments: Stipends; dependency allowances; travel... FELLOWSHIPS, INTERNSHIPS, TRAINING FELLOWSHIPS Regular Fellowships § 61.9 Payments: Stipends; dependency allowances; travel allowances. Payments for stipends, dependency allowances, and the travel allowances...

  18. 42 CFR 61.9 - Payments: Stipends; dependency allowances; travel allowances.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 42 Public Health 1 2012-10-01 2012-10-01 false Payments: Stipends; dependency allowances; travel... FELLOWSHIPS, INTERNSHIPS, TRAINING FELLOWSHIPS Regular Fellowships § 61.9 Payments: Stipends; dependency allowances; travel allowances. Payments for stipends, dependency allowances, and the travel allowances...

  19. 42 CFR 61.9 - Payments: Stipends; dependency allowances; travel allowances.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 42 Public Health 1 2011-10-01 2011-10-01 false Payments: Stipends; dependency allowances; travel... FELLOWSHIPS, INTERNSHIPS, TRAINING FELLOWSHIPS Regular Fellowships § 61.9 Payments: Stipends; dependency allowances; travel allowances. Payments for stipends, dependency allowances, and the travel allowances...

  20. 42 CFR 61.9 - Payments: Stipends; dependency allowances; travel allowances.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 42 Public Health 1 2013-10-01 2013-10-01 false Payments: Stipends; dependency allowances; travel... FELLOWSHIPS, INTERNSHIPS, TRAINING FELLOWSHIPS Regular Fellowships § 61.9 Payments: Stipends; dependency allowances; travel allowances. Payments for stipends, dependency allowances, and the travel allowances...

  1. 42 CFR 61.9 - Payments: Stipends; dependency allowances; travel allowances.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Payments: Stipends; dependency allowances; travel... FELLOWSHIPS, INTERNSHIPS, TRAINING FELLOWSHIPS Regular Fellowships § 61.9 Payments: Stipends; dependency allowances; travel allowances. Payments for stipends, dependency allowances, and the travel allowances...

  2. The emergence of extensively drug-resistant tuberculosis: a global health crisis requiring new interventions: part I: the origins and nature of the problem.

    PubMed

    Ellner, Jerrold J

    2008-12-01

    Surveillance studies and outbreak investigations indicate that an extensively drug-resistant (XDR) form of tuberculosis (TB) is increasing in prevalence worldwide. In outbreak settings among HIV-infected, there is a high-case fatality rate. Better outcomes occur in HIV-uninfected, particularly if drug susceptibility test (DST) results are available rapidly to allow tailoring of drug therapy. This review will be presented in two segments. The first characterizes the problem posed by XDR-TB, addressing the epidemiology and evolution of XDR-TB and treatment outcomes. The second reviews technologic advances that may contribute to the solution, new diagnostics, and advances in understanding drug resistance and in the development of new drugs.

  3. The Helicase Aquarius/EMB-4 Is Required to Overcome Intronic Barriers to Allow Nuclear RNAi Pathways to Heritably Silence Transcription.

    PubMed

    Akay, Alper; Di Domenico, Tomas; Suen, Kin M; Nabih, Amena; Parada, Guillermo E; Larance, Mark; Medhi, Ragini; Berkyurek, Ahmet C; Zhang, Xinlian; Wedeles, Christopher J; Rudolph, Konrad L M; Engelhardt, Jan; Hemberg, Martin; Ma, Ping; Lamond, Angus I; Claycomb, Julie M; Miska, Eric A

    2017-08-07

    Small RNAs play a crucial role in genome defense against transposable elements and guide Argonaute proteins to nascent RNA transcripts to induce co-transcriptional gene silencing. However, the molecular basis of this process remains unknown. Here, we identify the conserved RNA helicase Aquarius/EMB-4 as a direct and essential link between small RNA pathways and the transcriptional machinery in Caenorhabditis elegans. Aquarius physically interacts with the germline Argonaute HRDE-1. Aquarius is required to initiate small-RNA-induced heritable gene silencing. HRDE-1 and Aquarius silence overlapping sets of genes and transposable elements. Surprisingly, removal of introns from a target gene abolishes the requirement for Aquarius, but not HRDE-1, for small RNA-dependent gene silencing. We conclude that Aquarius allows small RNA pathways to compete for access to nascent transcripts undergoing co-transcriptional splicing in order to detect and silence transposable elements. Thus, Aquarius and HRDE-1 act as gatekeepers coordinating gene expression and genome defense. Copyright © 2017 The Authors. Published by Elsevier Inc. All rights reserved.

  4. Search Engine for Antimicrobial Resistance: A Cloud Compatible Pipeline and Web Interface for Rapidly Detecting Antimicrobial Resistance Genes Directly from Sequence Data.

    PubMed

    Rowe, Will; Baker, Kate S; Verner-Jeffreys, David; Baker-Austin, Craig; Ryan, Jim J; Maskell, Duncan; Pearce, Gareth

    2015-01-01

    Antimicrobial resistance remains a growing and significant concern in human and veterinary medicine. Current laboratory methods for the detection and surveillance of antimicrobial resistant bacteria are limited in their effectiveness and scope. With the rapidly developing field of whole genome sequencing beginning to be utilised in clinical practice, the ability to interrogate sequencing data quickly and easily for the presence of antimicrobial resistance genes will become increasingly important and useful for informing clinical decisions. Additionally, use of such tools will provide insight into the dynamics of antimicrobial resistance genes in metagenomic samples such as those used in environmental monitoring. Here we present the Search Engine for Antimicrobial Resistance (SEAR), a pipeline and web interface for detection of horizontally acquired antimicrobial resistance genes in raw sequencing data. The pipeline provides gene information, abundance estimation and the reconstructed sequence of antimicrobial resistance genes; it also provides web links to additional information on each gene. The pipeline utilises clustering and read mapping to annotate full-length genes relative to a user-defined database. It also uses local alignment of annotated genes to a range of online databases to provide additional information. We demonstrate SEAR's application in the detection and abundance estimation of antimicrobial resistance genes in two novel environmental metagenomes, 32 human faecal microbiome datasets and 126 clinical isolates of Shigella sonnei. We have developed a pipeline that contributes to the improved capacity for antimicrobial resistance detection afforded by next generation sequencing technologies, allowing for rapid detection of antimicrobial resistance genes directly from sequencing data. SEAR uses raw sequencing data via an intuitive interface so can be run rapidly without requiring advanced bioinformatic skills or resources. Finally, we show that SEAR

  5. 48 CFR 217.7404-6 - Allowable profit.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... ensure the profit allowed reflects— (a) Any reduced cost risk to the contractor for costs incurred during contract performance before negotiation of the final price; (b) The contractor's reduced cost risk for costs incurred during performance of the remainder of the contract; and (c) The requirements at 215.404...

  6. Promoting Improved Ballistic Resistance of Transparent Armor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wereszczak, Andrew A; Patel, P; Templeton, D W

    2011-01-01

    Transparent armor is a material or system of materials designed to be optically transparent, yet protect from fragmentation or ballistic impacts. Although engineered to defeat specific threats, or a range of threats, there are general requirements common to all of these designs. The primary requirement for a transparent armor system is to not only defeat the designated threat but also provide a multi-hit capability with minimized distortion of surrounding areas. Ground platforms have several parameters that must be optimized, such as weight, space efficiency, and cost versus performance. Glass exhibits tensile failure stress that is very much dependent on themore » amount of material being stressed, the side being tensile-stressed (i.e., air-versus tin-side if a float glass), and where it is being tensile stressed (i.e., in the middle or near an edge). An axiom arising from those effects is a greater amount of allowable deflection (i.e., higher failure stress) of a ballistically impacted transparent armor will result in improved ballistic resistance. Therefore, the interpretation and management of those tensile-failure-stress dependencies shall ultimately improve ballistic resistance and its predictability of transparent armor. Each of those three dependencies (size, side, and location) in a soda-lime silicate glass is described.« less

  7. Rapid evolution to host plant resistance by an invasive herbivore: soybean aphid (Aphis glycines) virulence in North America to aphid resistant cultivars.

    PubMed

    O'Neal, Matthew E; Varenhorst, Adam J; Kaiser, Matthew C

    2018-04-01

    Preventing rapid evolution of herbivores to plant traits that confer resistance is an area of active research for applied entomologists. The subfield of insect resistance management (IRM) uses elements of population genetics and ecology to prevent increases in the frequency of virulent (i.e. resistant) sub-populations of an insect pest. Efforts to delay such an increase include using highly lethal toxins (i.e., a high dose), combining multiple resistance traits in one cultivar (i.e., pyramids), and using susceptible plants (i.e. a refuge) within or near plantings of the resistant crop. Even if fully implemented, theoretical models suggest that IRM plans for asexually-reproducing insects (e.g. aphids) cannot limit the frequency of resistance to provide sustainable use of a pest-resistant cultivar. We discuss how feeding by conspecifics aphids induces susceptibility such that a "within plant" refuge is created, allowing both virulent and avirulent (i.e. susceptible) populations to persist. We use the soybean aphid (Aphis glycines Matsumura), and the rapid occurrence of virulence in the US to resistant cultivars of soybean (Glycine max). We describe how feeding by A. glycines on soybeans alters the quality of the plant as a host. These systemic changes to the plants' physiology allow avirulent A. glycines to thrive on resistant cultivars. We explore how the induction of susceptibility by a herbivore can slow an increase in the frequency of virulent populations to resistant host plants. We suggest that a within plant refuge, combined with standard IRM practices, can allow for sustainable use of plant resistance to asexually-reproducing insect pests. Published by Elsevier Inc.

  8. Differential protein expression and post-translational modifications in metronidazole-resistant Giardia duodenalis.

    PubMed

    Emery, Samantha J; Baker, Louise; Ansell, Brendan R E; Mirzaei, Mehdi; Haynes, Paul A; McConville, Malcom J; Svärd, Staffan G; Jex, Aaron R

    2018-04-01

    Metronidazole (Mtz) is the frontline drug treatment for multiple anaerobic pathogens, including the gastrointestinal protist, Giardia duodenalis. However, treatment failure is common and linked to in vivo drug resistance. In Giardia, in vitro drug-resistant lines allow controlled experimental interrogation of resistance mechanisms in isogenic cultures. However, resistance-associated changes are inconsistent between lines, phenotypic data are incomplete, and resistance is rarely genetically fixed, highlighted by reversion to sensitivity after drug selection ceases or via passage through the life cycle. Comprehensive quantitative approaches are required to resolve isolate variability, fully define Mtz resistance phenotypes, and explore the role of post-translational modifications therein. We performed quantitative proteomics to describe differentially expressed proteins in 3 seminal Mtz-resistant lines compared to their isogenic, Mtz-susceptible, parental line. We also probed changes in post-translational modifications including protein acetylation, methylation, ubiquitination, and phosphorylation via immunoblotting. We quantified more than 1,000 proteins in each genotype, recording substantial genotypic variation in differentially expressed proteins between isotypes. Our data confirm substantial changes in the antioxidant network, glycolysis, and electron transport and indicate links between protein acetylation and Mtz resistance, including cross-resistance to deacetylase inhibitor trichostatin A in Mtz-resistant lines. Finally, we performed the first controlled, longitudinal study of Mtz resistance stability, monitoring lines after cessation of drug selection, revealing isolate-dependent phenotypic plasticity. Our data demonstrate understanding that Mtz resistance must be broadened to post-transcriptional and post-translational responses and that Mtz resistance is polygenic, driven by isolate-dependent variation, and is correlated with changes in protein

  9. Determining cyclic corrosion cracking resistance for titanium alloys with allowance for electrochemical conditions at the fatigue corrosion crack tip

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Panasyuk, V.V.; Ratich, L.V.; Petranyuk, I.Ya.

    1994-08-01

    Published data are examined on how various factors affect fatigue crack growth rates. Basic diagrams have been constructed for the cyclic cracking resistance in Ti-6Al-4V and Ti-6Al-2Sn alloys in air, distilled water, and 3.5% NaCl for use in working-life calculations. Appropriate heat treatment can produce two microstructures in a titanium alloy, one of which has the largest cyclic cracking resistance, while in the second, the cracks grow at the lowest rate. The cyclic corrosion cracking resistance for a titanium alloy should be determined in relation to the state of stress and strain and to the electrochemical conditions at the corrosionmore » fatigue crack tip, while the variations in fatigue crack growth rate for a given stress intensity factor in a corrosive medium are due to differing electrochemical conditions at the crack tip during the testing on different specimens. Basic diagrams can be derived for titanium alloys by using a physically sound methodology developed previously for steels, which is based on invariant diagrams for cyclic cracking resistance in air and in the corresponding medium, which can be constructed in relation to extremal working and electrochemical conditions at corrosion-fatigue crack tips.« less

  10. The Emergence of Extensively Drug‐Resistant Tuberculosis: A Global Health Crisis Requiring New Interventions: Part I: The Origins and Nature of the Problem

    PubMed Central

    Ellner, Jerrold J.

    2008-01-01

    Abstract Surveillance studies and outbreak investigations indicate that an extensively drug‐resistant (XDR) form of tuberculosis (TB) is increasing in prevalence worldwide. In outbreak settings among HIV‐infected, there is a high‐case fatality rate. Better outcomes occur in HIV‐uninfected, particularly if drug susceptibility test (DST) results are available rapidly to allow tailoring of drug therapy. This review will be presented in two segments. The first characterizes the problem posed by XDR‐TB, addressing the epidemiology and evolution of XDR‐TB and treatment outcomes. The second reviews technologic advances that may contribute to the solution, new diagnostics, and advances in understanding drug resistance and in the development of new drugs. PMID:20443856

  11. Meta-analysis and time series modeling allow a systematic review of primary HIV-1 drug-resistant prevalence in Latin America and Caribbean.

    PubMed

    Coelho, Antonio Victor Campos; De Moura, Ronald Rodrigues; Da Silva, Ronaldo Celerino; Kamada, Anselmo Jiro; Guimarães, Rafael Lima; Brandão, Lucas André Cavalcanti; Coelho, Hemílio Fernandes Campos; Crovella, Sergio

    2015-01-01

    Here we review the prevalence of HIV-1 primary drug resistance in Latin America and Caribbean using meta-analysis as well as time-series modeling. We also discuss whether there could be a drawback to HIV/AIDS programs due to drug resistance in Latin America and Caribbean in the next years. We observed that, although some studies report low or moderate primary drug resistance prevalence in Caribbean countries, this evidence needs to be updated. In other countries, such as Brazil and Argentina, the prevalence of drug resistance appears to be rising. Mutations conferring resistance against reverse transcriptase inhibitors were the most frequent in the analyzed populations (70% of all mutational events). HIV-1 subtype B was the most prevalent in Latin America and the Caribbean, although subtype C and B/F recombinants have significant contributions in Argentina and Brazil. Thus, we suggest that primary drug resistance in Latin America and the Caribbean could have been underestimated. Clinical monitoring should be improved to offer better therapy, reducing the risk for HIV-1 resistance emergence and spread, principally in vulnerable populations, such as men who have sex with men transmission group, sex workers and intravenous drug users.

  12. Glyphosate resistance: state of knowledge

    PubMed Central

    Sammons, Robert Douglas; Gaines, Todd A

    2014-01-01

    Studies of mechanisms of resistance to glyphosate have increased current understanding of herbicide resistance mechanisms. Thus far, single-codon non-synonymous mutations of EPSPS (5-enolypyruvylshikimate-3-phosphate synthase) have been rare and, relative to other herbicide mode of action target-site mutations, unconventionally weak in magnitude for resistance to glyphosate. However, it is possible that weeds will emerge with non-synonymous mutations of two codons of EPSPS to produce an enzyme endowing greater resistance to glyphosate. Today, target-gene duplication is a common glyphosate resistance mechanism and could become a fundamental process for developing any resistance trait. Based on competition and substrate selectivity studies in several species, rapid vacuole sequestration of glyphosate occurs via a transporter mechanism. Conversely, as the chloroplast requires transporters for uptake of important metabolites, transporters associated with the two plastid membranes may separately, or together, successfully block glyphosate delivery. A model based on finite glyphosate dose and limiting time required for chloroplast loading sets the stage for understanding how uniquely different mechanisms can contribute to overall glyphosate resistance. PMID:25180399

  13. An OGA-Resistant Probe Allows Specific Visualization and Accurate Identification of O-GlcNAc-Modified Proteins in Cells.

    PubMed

    Li, Jing; Wang, Jiajia; Wen, Liuqing; Zhu, He; Li, Shanshan; Huang, Kenneth; Jiang, Kuan; Li, Xu; Ma, Cheng; Qu, Jingyao; Parameswaran, Aishwarya; Song, Jing; Zhao, Wei; Wang, Peng George

    2016-11-18

    O-linked β-N-acetyl-glucosamine (O-GlcNAc) is an essential and ubiquitous post-translational modification present in nucleic and cytoplasmic proteins of multicellular eukaryotes. The metabolic chemical probes such as GlcNAc or GalNAc analogues bearing ketone or azide handles, in conjunction with bioorthogonal reactions, provide a powerful approach for detecting and identifying this modification. However, these chemical probes either enter multiple glycosylation pathways or have low labeling efficiency. Therefore, selective and potent probes are needed to assess this modification. We report here the development of a novel probe, 1,3,6-tri-O-acetyl-2-azidoacetamido-2,4-dideoxy-d-glucopyranose (Ac 3 4dGlcNAz), that can be processed by the GalNAc salvage pathway and transferred by O-GlcNAc transferase (OGT) to O-GlcNAc proteins. Due to the absence of a hydroxyl group at C4, this probe is less incorporated into α/β 4-GlcNAc or GalNAc containing glycoconjugates. Furthermore, the O-4dGlcNAz modification was resistant to the hydrolysis of O-GlcNAcase (OGA), which greatly enhanced the efficiency of incorporation for O-GlcNAcylation. Combined with a click reaction, Ac 3 4dGlcNAz allowed the selective visualization of O-GlcNAc in cells and accurate identification of O-GlcNAc-modified proteins with LC-MS/MS. This probe represents a more potent and selective tool in tracking, capturing, and identifying O-GlcNAc-modified proteins in cells and cell lysates.

  14. Azithromycin Resistance in Shigella spp. in Southeast Asia

    PubMed Central

    Darton, Thomas C.; Tuyen, Ha Thanh; The, Hao Chung; Newton, Paul N.; Phetsouvanh, Rattanaphone; Davong, Viengmon; Campbell, James I.; Hoang, Nguyen Van Minh; Thwaites, Guy E.; Parry, Christopher M.; Thanh, Duy Pham

    2018-01-01

    ABSTRACT Infection by Shigella spp. is a common cause of dysentery in Southeast Asia. Antimicrobials are thought to be beneficial for treatment; however, antimicrobial resistance in Shigella spp. is becoming widespread. We aimed to assess the frequency and mechanisms associated with decreased susceptibility to azithromycin in Southeast Asian Shigella isolates and use these data to assess appropriate susceptibility breakpoints. Shigella isolates recovered in Vietnam and Laos were screened for susceptibility to azithromycin (15 μg) by disc diffusion and MIC. Phenotypic resistance was confirmed by PCR amplification of macrolide resistance loci. We compared the genetic relationships and plasmid contents of azithromycin-resistant Shigella sonnei isolates using whole-genome sequences. From 475 available Shigella spp. isolated in Vietnam and Laos between 1994 and 2012, 6/181 S. flexneri isolates (3.3%, MIC ≥ 16 g/liter) and 16/294 S. sonnei isolates (5.4%, MIC ≥ 32 g/liter) were phenotypically resistant to azithromycin. PCR amplification confirmed a resistance mechanism in 22/475 (4.6%) isolates (mphA in 19 isolates and ermB in 3 isolates). The susceptibility data demonstrated the acceptability of the S. flexneri (MIC ≥ 16 g/liter, zone diameter ≤ 15 mm) and S. sonnei (MIC ≥ 32 g/liter, zone diameter ≤ 11 mm) breakpoints with a <3% discrepancy. Phylogenetic analysis demonstrated that decreased susceptibility has arisen sporadically in Vietnamese S. sonnei isolates on at least seven occasions between 2000 and 2009 but failed to become established. While the proposed susceptibility breakpoints may allow better recognition of resistant isolates, additional studies are required to assess the impact on the clinical outcome. The potential emergence of azithromycin resistance highlights the need for alternative options for management of Shigella infections in countries where Shigella is endemic. PMID:29378707

  15. A Simple Technique for High Resistance Measurement

    ERIC Educational Resources Information Center

    Aguilar, Horacio Munguia; Landin, Ramon Ochoa

    2012-01-01

    A simple electronic system for the measurement of high values of resistance is shown. This system allows the measurement of resistance in the range of a few megohm up to 10[superscript 9] [omega]. We have used this system for the evaluation of CdS thin film resistance, but other practical uses in the basic physics laboratory are presented.…

  16. 42 CFR 61.8 - Benefits: Stipends; dependency allowances; travel allowances; vacation.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 42 Public Health 1 2014-10-01 2014-10-01 false Benefits: Stipends; dependency allowances; travel...; dependency allowances; travel allowances; vacation. Individuals awarded regular fellowships shall be entitled...) Stipend. (b) Dependency allowances. (c) When authorized in advance, separate allowances for travel. Such...

  17. 42 CFR 61.8 - Benefits: Stipends; dependency allowances; travel allowances; vacation.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 42 Public Health 1 2011-10-01 2011-10-01 false Benefits: Stipends; dependency allowances; travel...; dependency allowances; travel allowances; vacation. Individuals awarded regular fellowships shall be entitled...) Stipend. (b) Dependency allowances. (c) When authorized in advance, separate allowances for travel. Such...

  18. 42 CFR 61.8 - Benefits: Stipends; dependency allowances; travel allowances; vacation.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 42 Public Health 1 2010-10-01 2010-10-01 false Benefits: Stipends; dependency allowances; travel...; dependency allowances; travel allowances; vacation. Individuals awarded regular fellowships shall be entitled...) Stipend. (b) Dependency allowances. (c) When authorized in advance, separate allowances for travel. Such...

  19. 42 CFR 61.8 - Benefits: Stipends; dependency allowances; travel allowances; vacation.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 42 Public Health 1 2012-10-01 2012-10-01 false Benefits: Stipends; dependency allowances; travel...; dependency allowances; travel allowances; vacation. Individuals awarded regular fellowships shall be entitled...) Stipend. (b) Dependency allowances. (c) When authorized in advance, separate allowances for travel. Such...

  20. 42 CFR 61.8 - Benefits: Stipends; dependency allowances; travel allowances; vacation.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 42 Public Health 1 2013-10-01 2013-10-01 false Benefits: Stipends; dependency allowances; travel...; dependency allowances; travel allowances; vacation. Individuals awarded regular fellowships shall be entitled...) Stipend. (b) Dependency allowances. (c) When authorized in advance, separate allowances for travel. Such...

  1. Scenario Evaluator for Electrical Resistivity survey pre-modeling tool

    USGS Publications Warehouse

    Terry, Neil; Day-Lewis, Frederick D.; Robinson, Judith L.; Slater, Lee D.; Halford, Keith J.; Binley, Andrew; Lane, John W.; Werkema, Dale D.

    2017-01-01

    Geophysical tools have much to offer users in environmental, water resource, and geotechnical fields; however, techniques such as electrical resistivity imaging (ERI) are often oversold and/or overinterpreted due to a lack of understanding of the limitations of the techniques, such as the appropriate depth intervals or resolution of the methods. The relationship between ERI data and resistivity is nonlinear; therefore, these limitations depend on site conditions and survey design and are best assessed through forward and inverse modeling exercises prior to field investigations. In this approach, proposed field surveys are first numerically simulated given the expected electrical properties of the site, and the resulting hypothetical data are then analyzed using inverse models. Performing ERI forward/inverse modeling, however, requires substantial expertise and can take many hours to implement. We present a new spreadsheet-based tool, the Scenario Evaluator for Electrical Resistivity (SEER), which features a graphical user interface that allows users to manipulate a resistivity model and instantly view how that model would likely be interpreted by an ERI survey. The SEER tool is intended for use by those who wish to determine the value of including ERI to achieve project goals, and is designed to have broad utility in industry, teaching, and research.

  2. Spiral microstrip antenna with resistance

    NASA Technical Reports Server (NTRS)

    Shively, David G. (Inventor)

    1994-01-01

    The present invention relates to microstrip antennas, and more particularly to wide bandwidth spiral antennas with resistive loading. A spiral microstrip antenna having resistor element embedded in each of the spiral arms is provided. The antenna is constructed using a conductive back plane as a base. The back plane supports a dielectric slab having a thickness between one-sixteenth and one-quarter of an inch. A square spiral, having either two or four arms, is attached to the dielectric slab. Each arm of the spiral has resistor elements thereby dissipating an excess energy not already emitted through radiation. The entire configuration provides a thin, flat, high gain, wide bandwidth antenna which requires no underlying cavity. The configuration allows the antenna to be mounted conformably on an aircraft surface.

  3. Antibiotic-Resistant Bacteria.

    ERIC Educational Resources Information Center

    Longenecker, Nevin E.; Oppenheimer, Dan

    1982-01-01

    A study conducted by high school advanced bacteriology students appears to confirm the hypothesis that the incremental administration of antibiotics on several species of bacteria (Escherichia coli, Staphylococcus epidermis, Bacillus sublitus, Bacillus megaterium) will allow for the development of antibiotic-resistant strains. (PEB)

  4. Resistive dissipation and magnetic field topology in the stellar corona

    NASA Technical Reports Server (NTRS)

    Parker, E. N.

    1993-01-01

    Tangential discontinuities, or current sheets, in a magnetic field embedded in a fluid with vanishing resistivity are created by discontinuous fluid motion. Tangential discontinuities are also created when a magnetic field is allowed to relax to magnetostatic equilibrium after mixing by fluid motions (either continuous or discontinuous) into any but the simplest topologies. This paper shows by formal examples that the current sheets arising solely from discontinuous fluid motions do not contribute significantly to the dissipation of magnetic free energy when a small resistivity is introduced. Dissipation that is significant under coronal conditions occurs only by rapid reconnection, which arises when, and only when, the current sheets are required by the field topology. Hence it is topological dissipation that is primarily responsible for heating tenuous coronal gases in astronomical settings, whether the fluid displacements of the field are continuous or discontinuous.

  5. Delineating the requirements for spontaneous DNA damage resistance pathways in genome maintenance and viability in Saccharomyces cerevisiae.

    PubMed

    Morey, Natalie J; Doetsch, Paul W; Jinks-Robertson, Sue

    2003-06-01

    Cellular metabolic processes constantly generate reactive species that damage DNA. To counteract this relentless assault, cells have developed multiple pathways to resist damage. The base excision repair (BER) and nucleotide excision repair (NER) pathways remove damage whereas the recombination (REC) and postreplication repair (PRR) pathways bypass the damage, allowing deferred removal. Genetic studies in yeast indicate that these pathways can process a common spontaneous lesion(s), with mutational inactivation of any pathway increasing the burden on the remaining pathways. In this study, we examine the consequences of simultaneously compromising three or more of these pathways. Although the presence of a functional BER pathway alone is able to support haploid growth, retention of the NER, REC, or PRR pathway alone is not, indicating that BER is the key damage resistance pathway in yeast and may be responsible for the removal of the majority of either spontaneous DNA damage or specifically those lesions that are potentially lethal. In the diploid state, functional BER, NER, or REC alone can support growth, while PRR alone is insufficient for growth. In diploids, the presence of PRR alone may confer a lethal mutation load or, alternatively, PRR alone may be insufficient to deal with potentially lethal, replication-blocking lesions.

  6. Rapid determination of antibiotic resistance in E. coli using dielectrophoresis

    NASA Astrophysics Data System (ADS)

    Hoettges, Kai F.; Dale, Jeremy W.; Hughes, Michael P.

    2007-09-01

    In recent years, infections due to antibiotic-resistant strains of bacteria such as methillicin-resistant Staphylococcus aureus and ciprofloxacin-resistant Escherichia coli are on the rise, and with them the demand for rapid antibiotic testing is also rising. Conventional tests, such as disc diffusion testing, require a primary sample to be tested in the presence of a number of antibiotics to verify which antibiotics suppress growth, which take approximately 24 h to complete and potentially place the patient at severe risk. In this paper we describe the use of dielectrophoresis as a rapid marker of cell death, by detecting changes in the electrophysiology of the cell caused by the administration of an antibiotic. In contrast to other markers, the electrophysiology of the cell changes rapidly during cell death allowing live cells to be distinguished from dead (or dying) cells without the need for culturing. Using polymyxin B as an example antibiotic, our studies indicate that significant changes in cell characteristics can be observed as soon as 1 h passes after isolating a culture from nutrient broth.

  7. Genetic Determinants of Drug Resistance in Mycobacterium tuberculosis and Their Diagnostic Value.

    PubMed

    Farhat, Maha R; Sultana, Razvan; Iartchouk, Oleg; Bozeman, Sam; Galagan, James; Sisk, Peter; Stolte, Christian; Nebenzahl-Guimaraes, Hanna; Jacobson, Karen; Sloutsky, Alexander; Kaur, Devinder; Posey, James; Kreiswirth, Barry N; Kurepina, Natalia; Rigouts, Leen; Streicher, Elizabeth M; Victor, Tommie C; Warren, Robin M; van Soolingen, Dick; Murray, Megan

    2016-09-01

    The development of molecular diagnostics that detect both the presence of Mycobacterium tuberculosis in clinical samples and drug resistance-conferring mutations promises to revolutionize patient care and interrupt transmission by ensuring early diagnosis. However, these tools require the identification of genetic determinants of resistance to the full range of antituberculosis drugs. To determine the optimal molecular approach needed, we sought to create a comprehensive catalog of resistance mutations and assess their sensitivity and specificity in diagnosing drug resistance. We developed and validated molecular inversion probes for DNA capture and deep sequencing of 28 drug-resistance loci in M. tuberculosis. We used the probes for targeted sequencing of a geographically diverse set of 1,397 clinical M. tuberculosis isolates with known drug resistance phenotypes. We identified a minimal set of mutations to predict resistance to first- and second-line antituberculosis drugs and validated our predictions in an independent dataset. We constructed and piloted a web-based database that provides public access to the sequence data and prediction tool. The predicted resistance to rifampicin and isoniazid exceeded 90% sensitivity and specificity but was lower for other drugs. The number of mutations needed to diagnose resistance is large, and for the 13 drugs studied it was 238 across 18 genetic loci. These data suggest that a comprehensive M. tuberculosis drug resistance diagnostic will need to allow for a high dimension of mutation detection. They also support the hypothesis that currently unknown genetic determinants, potentially discoverable by whole-genome sequencing, encode resistance to second-line tuberculosis drugs.

  8. Insecticide resistance, control failure likelihood and the First Law of Geography.

    PubMed

    Guedes, Raul Narciso C

    2017-03-01

    Insecticide resistance is a broadly recognized ecological backlash resulting from insecticide use and is widely reported among arthropod pest species with well-recognized underlying mechanisms and consequences. Nonetheless, insecticide resistance is the subject of evolving conceptual views that introduces a different concept useful if recognized in its own right - the risk or likelihood of control failure. Here we suggest an experimental approach to assess the likelihood of control failure of an insecticide allowing for consistent decision-making regarding management of insecticide resistance. We also challenge the current emphasis on limited spatial sampling of arthropod populations for resistance diagnosis in favor of comprehensive spatial sampling. This necessarily requires larger population sampling - aiming to use spatial analysis in area-wide surveys - to recognize focal points of insecticide resistance and/or control failure that will better direct management efforts. The continuous geographical scale of such surveys will depend on the arthropod pest species, the pattern of insecticide use and many other potential factors. Regardless, distance dependence among sampling sites should still hold, following the maxim that the closer two things are, the more they resemble each other, which is the basis of Tobler's First Law of Geography. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  9. Differential protein expression and post-translational modifications in metronidazole-resistant Giardia duodenalis

    PubMed Central

    Emery, Samantha J; Baker, Louise; Ansell, Brendan R E; Mirzaei, Mehdi; Haynes, Paul A; McConville, Malcom J; Svärd, Staffan G; Jex, Aaron R

    2018-01-01

    Abstract Background Metronidazole (Mtz) is the frontline drug treatment for multiple anaerobic pathogens, including the gastrointestinal protist, Giardia duodenalis. However, treatment failure is common and linked to in vivo drug resistance. In Giardia, in vitro drug-resistant lines allow controlled experimental interrogation of resistance mechanisms in isogenic cultures. However, resistance-associated changes are inconsistent between lines, phenotypic data are incomplete, and resistance is rarely genetically fixed, highlighted by reversion to sensitivity after drug selection ceases or via passage through the life cycle. Comprehensive quantitative approaches are required to resolve isolate variability, fully define Mtz resistance phenotypes, and explore the role of post-translational modifications therein. Findings We performed quantitative proteomics to describe differentially expressed proteins in 3 seminal Mtz-resistant lines compared to their isogenic, Mtz-susceptible, parental line. We also probed changes in post-translational modifications including protein acetylation, methylation, ubiquitination, and phosphorylation via immunoblotting. We quantified more than 1,000 proteins in each genotype, recording substantial genotypic variation in differentially expressed proteins between isotypes. Our data confirm substantial changes in the antioxidant network, glycolysis, and electron transport and indicate links between protein acetylation and Mtz resistance, including cross-resistance to deacetylase inhibitor trichostatin A in Mtz-resistant lines. Finally, we performed the first controlled, longitudinal study of Mtz resistance stability, monitoring lines after cessation of drug selection, revealing isolate-dependent phenotypic plasticity. Conclusions Our data demonstrate understanding that Mtz resistance must be broadened to post-transcriptional and post-translational responses and that Mtz resistance is polygenic, driven by isolate-dependent variation, and is

  10. γ-Secretase inhibitor–resistant glioblastoma stem cells require RBPJ to propagate

    PubMed Central

    Fan, Xing

    2016-01-01

    Targeting glioblastoma stem cells with γ-secretase inhibitors (GSIs) disrupts the Notch pathway and has shown some benefit in both pre-clinical models and in patients during phase I/II clinical trials. However, it is largely unknown why some glioblastoma (GBM) does not respond to GSI treatment. In this issue of the JCI, Xie et al. determined that GSI-resistant brain tumor–initiating cells (BTICs) from GBM express a higher level of the gene RBPJ, which encodes a mediator of canonical Notch signaling, compared to non-BTICs. Knockdown of RBPJ in BTICs decreased propagation in vitro and in vivo by inducing apoptosis. Interestingly, RBPJ was shown to regulate a different transcription program than Notch in BTICs by binding CDK9, thereby affecting Pol II–regulated transcript elongation. Targeting CDK9 or c-MYC, an upstream regulator of RBPJ, with small molecules also decreased BTIC propagation, and prolonged survival in mice bearing orthotopic GBM xenografts. This study not only provides a mechanism for GSI treatment resistance, but also identifies two potential therapeutic strategies to target GSI-resistant BTICs. PMID:27322058

  11. γ-Secretase inhibitor-resistant glioblastoma stem cells require RBPJ to propagate.

    PubMed

    Fan, Xing

    2016-07-01

    Targeting glioblastoma stem cells with γ-secretase inhibitors (GSIs) disrupts the Notch pathway and has shown some benefit in both pre-clinical models and in patients during phase I/II clinical trials. However, it is largely unknown why some glioblastoma (GBM) does not respond to GSI treatment. In this issue of the JCI, Xie et al. determined that GSI-resistant brain tumor-initiating cells (BTICs) from GBM express a higher level of the gene RBPJ, which encodes a mediator of canonical Notch signaling, compared to non-BTICs. Knockdown of RBPJ in BTICs decreased propagation in vitro and in vivo by inducing apoptosis. Interestingly, RBPJ was shown to regulate a different transcription program than Notch in BTICs by binding CDK9, thereby affecting Pol II-regulated transcript elongation. Targeting CDK9 or c-MYC, an upstream regulator of RBPJ, with small molecules also decreased BTIC propagation, and prolonged survival in mice bearing orthotopic GBM xenografts. This study not only provides a mechanism for GSI treatment resistance, but also identifies two potential therapeutic strategies to target GSI-resistant BTICs.

  12. 46 CFR 52.01-55 - Increase in maximum allowable working pressure.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 2 2011-10-01 2011-10-01 false Increase in maximum allowable working pressure. 52.01-55 Section 52.01-55 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) MARINE ENGINEERING POWER BOILERS General Requirements § 52.01-55 Increase in maximum allowable working pressure. (a) When...

  13. 46 CFR 52.01-55 - Increase in maximum allowable working pressure.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 46 Shipping 2 2012-10-01 2012-10-01 false Increase in maximum allowable working pressure. 52.01-55 Section 52.01-55 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) MARINE ENGINEERING POWER BOILERS General Requirements § 52.01-55 Increase in maximum allowable working pressure. (a) When...

  14. 46 CFR 52.01-55 - Increase in maximum allowable working pressure.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 2 2010-10-01 2010-10-01 false Increase in maximum allowable working pressure. 52.01-55 Section 52.01-55 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) MARINE ENGINEERING POWER BOILERS General Requirements § 52.01-55 Increase in maximum allowable working pressure. (a) When...

  15. 46 CFR 52.01-55 - Increase in maximum allowable working pressure.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 46 Shipping 2 2014-10-01 2014-10-01 false Increase in maximum allowable working pressure. 52.01-55 Section 52.01-55 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) MARINE ENGINEERING POWER BOILERS General Requirements § 52.01-55 Increase in maximum allowable working pressure. (a) When...

  16. 46 CFR 52.01-55 - Increase in maximum allowable working pressure.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 46 Shipping 2 2013-10-01 2013-10-01 false Increase in maximum allowable working pressure. 52.01-55 Section 52.01-55 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) MARINE ENGINEERING POWER BOILERS General Requirements § 52.01-55 Increase in maximum allowable working pressure. (a) When...

  17. Self-assembled oxide films with tailored nanoscale ionic and electronic channels for controlled resistive switching

    NASA Astrophysics Data System (ADS)

    Cho, Seungho; Yun, Chao; Tappertzhofen, Stefan; Kursumovic, Ahmed; Lee, Shinbuhm; Lu, Ping; Jia, Quanxi; Fan, Meng; Jian, Jie; Wang, Haiyan; Hofmann, Stephan; MacManus-Driscoll, Judith L.

    2016-08-01

    Resistive switches are non-volatile memory cells based on nano-ionic redox processes that offer energy efficient device architectures and open pathways to neuromorphics and cognitive computing. However, channel formation typically requires an irreversible, not well controlled electroforming process, giving difficulty to independently control ionic and electronic properties. The device performance is also limited by the incomplete understanding of the underlying mechanisms. Here, we report a novel memristive model material system based on self-assembled Sm-doped CeO2 and SrTiO3 films that allow the separate tailoring of nanoscale ionic and electronic channels at high density (~1012 inch-2). We systematically show that these devices allow precise engineering of the resistance states, thus enabling large on-off ratios and high reproducibility. The tunable structure presents an ideal platform to explore ionic and electronic mechanisms and we expect a wide potential impact also on other nascent technologies, ranging from ionic gating to micro-solid oxide fuel cells and neuromorphics.

  18. Online purchases of an expanded range of condom sizes in comparison to current dimensional requirements allowable by US national standards.

    PubMed

    Cecil, Michael; Warner, Lee; Siegler, Aaron J

    2013-11-01

    Across studies, 35-50% of men describe condoms as fitting poorly. Rates of condom use may be inhibited in part due to the inaccessibility of appropriately sized condoms. As regulated medical devices, condom sizes conform to national standards such as those developed by the American Society for Testing and Materials (ASTM) or international standards such as those developed by the International Organisation for Standardisation (ISO). We describe the initial online sales experience of an expanded range of condom sizes and assess uptake in relation to the current required standard dimensions of condoms. Data regarding the initial 1000 sales of an expanded range of condom sizes in the United Kingdom were collected from late 2011 through to early 2012. Ninety-five condom sizes, comprising 14 lengths (83-238mm) and 12 widths (41-69mm), were available. For the first 1000 condom six-pack units that were sold, a total of 83 of the 95 unique sizes were purchased, including all 14 lengths and 12 widths, and both the smallest and largest condoms. Initial condom purchases were made by 572 individuals from 26 countries. Only 13.4% of consumer sales were in the ASTM's allowable range of sizes. These initial sales data suggest consumer interest in an expanded choice of condom sizes that fall outside the range currently allowable by national and international standards organisations.

  19. RNAi validation of resistance genes and their interactions in the highly DDT-resistant 91-R strain of Drosophila melanogaster.

    PubMed

    Gellatly, Kyle J; Yoon, Kyong Sup; Doherty, Jeffery J; Sun, Weilin; Pittendrigh, Barry R; Clark, J Marshall

    2015-06-01

    4,4'-dichlorodiphenyltrichloroethane (DDT) has been re-recommended by the World Health Organization for malaria mosquito control. Previous DDT use has resulted in resistance, and with continued use resistance will increase in terms of level and extent. Drosophila melanogaster is a model dipteran that has many available genetic tools, numerous studies done on insecticide resistance mechanisms, and is related to malaria mosquitoes allowing for extrapolation. The 91-R strain of D. melanogaster is highly resistant to DDT (>1500-fold), however, there is no mechanistic scheme that accounts for this level of resistance. Recently, reduced penetration, increased detoxification, and direct excretion have been identified as resistance mechanisms in the 91-R strain. Their interactions, however, remain unclear. Use of UAS-RNAi transgenic lines of D. melanogaster allowed for the targeted knockdown of genes putatively involved in DDT resistance and has validated the role of several cuticular proteins (Cyp4g1 and Lcp1), cytochrome P450 monooxygenases (Cyp6g1 and Cyp12d1), and ATP binding cassette transporters (Mdr50, Mdr65, and Mrp1) involved in DDT resistance. Further, increased sensitivity to DDT in the 91-R strain after intra-abdominal dsRNA injection for Mdr50, Mdr65, and Mrp1 was determined by a DDT contact bioassay, directly implicating these genes in DDT efflux and resistance. Copyright © 2015 Elsevier Inc. All rights reserved.

  20. Required Accuracy of Structural Constraints in the Inversion of Electrical Resistivity Data for Improved Water Content Estimation

    NASA Astrophysics Data System (ADS)

    Heinze, T.; Budler, J.; Weigand, M.; Kemna, A.

    2017-12-01

    Water content distribution in the ground is essential for hazard analysis during monitoring of landslide prone hills. Geophysical methods like electrical resistivity tomography (ERT) can be utilized to determine the spatial distribution of water content using established soil physical relationships between bulk electrical resistivity and water content. However, often more dominant electrical contrasts due to lithological structures outplay these hydraulic signatures and blur the results in the inversion process. Additionally, the inversion of ERT data requires further constraints. In the standard Occam inversion method, a smoothness constraint is used, assuming that soil properties change softly in space. While this applies in many scenarios, sharp lithological layers with strongly divergent hydrological parameters, as often found in landslide prone hillslopes, are typically badly resolved by standard ERT. We use a structurally constrained ERT inversion approach for improving water content estimation in landslide prone hills by including a-priori information about lithological layers. The smoothness constraint is reduced along layer boundaries identified using seismic data. This approach significantly improves water content estimations, because in landslide prone hills often a layer of rather high hydraulic conductivity is followed by a hydraulic barrier like clay-rich soil, causing higher pore pressures. One saturated layer and one almost drained layer typically result also in a sharp contrast in electrical resistivity, assuming that surface conductivity of the soil does not change in similar order. Using synthetic data, we study the influence of uncertainties in the a-priori information on the inverted resistivity and estimated water content distribution. We find a similar behavior over a broad range of models and depths. Based on our simulation results, we provide best-practice recommendations for field applications and suggest important tests to obtain reliable

  1. 20 CFR 702.507 - Vocational rehabilitation; maintenance allowance.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... Director that a trainee is not complying reasonably with the terms of the training plan or is absenting... meet additional costs by reason of being in training. (b) When required by reason of personal illness or hardship, limited periods of absence from training may be allowed without terminating the...

  2. Genetic Determinants of Drug Resistance in Mycobacterium tuberculosis and Their Diagnostic Value

    PubMed Central

    Sultana, Razvan; Iartchouk, Oleg; Bozeman, Sam; Galagan, James; Sisk, Peter; Stolte, Christian; Nebenzahl-Guimaraes, Hanna; Jacobson, Karen; Sloutsky, Alexander; Kaur, Devinder; Posey, James; Kreiswirth, Barry N.; Kurepina, Natalia; Rigouts, Leen; Streicher, Elizabeth M.; Victor, Tommie C.; Warren, Robin M.; van Soolingen, Dick; Murray, Megan

    2016-01-01

    Rationale: The development of molecular diagnostics that detect both the presence of Mycobacterium tuberculosis in clinical samples and drug resistance–conferring mutations promises to revolutionize patient care and interrupt transmission by ensuring early diagnosis. However, these tools require the identification of genetic determinants of resistance to the full range of antituberculosis drugs. Objectives: To determine the optimal molecular approach needed, we sought to create a comprehensive catalog of resistance mutations and assess their sensitivity and specificity in diagnosing drug resistance. Methods: We developed and validated molecular inversion probes for DNA capture and deep sequencing of 28 drug-resistance loci in M. tuberculosis. We used the probes for targeted sequencing of a geographically diverse set of 1,397 clinical M. tuberculosis isolates with known drug resistance phenotypes. We identified a minimal set of mutations to predict resistance to first- and second-line antituberculosis drugs and validated our predictions in an independent dataset. We constructed and piloted a web-based database that provides public access to the sequence data and prediction tool. Measurements and Main Results: The predicted resistance to rifampicin and isoniazid exceeded 90% sensitivity and specificity but was lower for other drugs. The number of mutations needed to diagnose resistance is large, and for the 13 drugs studied it was 238 across 18 genetic loci. Conclusions: These data suggest that a comprehensive M. tuberculosis drug resistance diagnostic will need to allow for a high dimension of mutation detection. They also support the hypothesis that currently unknown genetic determinants, potentially discoverable by whole-genome sequencing, encode resistance to second-line tuberculosis drugs. PMID:26910495

  3. 16 CFR 1210.3 - Requirements for cigarette lighters.

    Code of Federal Regulations, 2012 CFR

    2012-01-01

    ... 16 Commercial Practices 2 2012-01-01 2012-01-01 false Requirements for cigarette lighters. 1210.3... REGULATIONS SAFETY STANDARD FOR CIGARETTE LIGHTERS Requirements for Child Resistance § 1210.3 Requirements for cigarette lighters. (a) A lighter subject to this part 1210 shall be resistant to successful operation by at...

  4. 16 CFR 1210.3 - Requirements for cigarette lighters.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 16 Commercial Practices 2 2010-01-01 2010-01-01 false Requirements for cigarette lighters. 1210.3... REGULATIONS SAFETY STANDARD FOR CIGARETTE LIGHTERS Requirements for Child Resistance § 1210.3 Requirements for cigarette lighters. (a) A lighter subject to this part 1210 shall be resistant to successful operation by at...

  5. 16 CFR 1210.3 - Requirements for cigarette lighters.

    Code of Federal Regulations, 2011 CFR

    2011-01-01

    ... 16 Commercial Practices 2 2011-01-01 2011-01-01 false Requirements for cigarette lighters. 1210.3... REGULATIONS SAFETY STANDARD FOR CIGARETTE LIGHTERS Requirements for Child Resistance § 1210.3 Requirements for cigarette lighters. (a) A lighter subject to this part 1210 shall be resistant to successful operation by at...

  6. 16 CFR 1210.3 - Requirements for cigarette lighters.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 16 Commercial Practices 2 2014-01-01 2014-01-01 false Requirements for cigarette lighters. 1210.3... REGULATIONS SAFETY STANDARD FOR CIGARETTE LIGHTERS Requirements for Child Resistance § 1210.3 Requirements for cigarette lighters. (a) A lighter subject to this part 1210 shall be resistant to successful operation by at...

  7. Drug concentration heterogeneity facilitates the evolution of drug resistance.

    PubMed

    Kepler, T B; Perelson, A S

    1998-09-29

    Pathogenic microorganisms use Darwinian processes to circumvent attempts at their control through chemotherapy. In the case of HIV-1 infection, in which drug resistance is a continuing problem, we show that in one-compartment systems, there is a relatively narrow window of drug concentrations that allows evolution of resistant variants. When the system is enlarged to two spatially distinct compartments held at different drug concentrations with transport of virus between them, the range of average drug concentrations that allow evolution of resistance is significantly increased. For high average drug concentrations, resistance is very unlikely to arise without spatial heterogeneity. We argue that a quantitative understanding of the role played by heterogeneity in drug levels and pathogen transport is crucial for attempts to control re-emergent infectious disease.

  8. Accuracy and Resolution Analysis of a Direct Resistive Sensor Array to FPGA Interface

    PubMed Central

    Oballe-Peinado, Óscar; Vidal-Verdú, Fernando; Sánchez-Durán, José A.; Castellanos-Ramos, Julián; Hidalgo-López, José A.

    2016-01-01

    Resistive sensor arrays are formed by a large number of individual sensors which are distributed in different ways. This paper proposes a direct connection between an FPGA and a resistive array distributed in M rows and N columns, without the need of analog-to-digital converters to obtain resistance values in the sensor and where the conditioning circuit is reduced to the use of a capacitor in each of the columns of the matrix. The circuit allows parallel measurements of the N resistors which form each of the rows of the array, eliminating the resistive crosstalk which is typical of these circuits. This is achieved by an addressing technique which does not require external elements to the FPGA. Although the typical resistive crosstalk between resistors which are measured simultaneously is eliminated, other elements that have an impact on the measurement of discharge times appear in the proposed architecture and, therefore, affect the uncertainty in resistance value measurements; these elements need to be studied. Finally, the performance of different calibration techniques is assessed experimentally on a discrete resistor array, obtaining for a new model of calibration, a maximum relative error of 0.066% in a range of resistor values which correspond to a tactile sensor. PMID:26840321

  9. Accuracy and Resolution Analysis of a Direct Resistive Sensor Array to FPGA Interface.

    PubMed

    Oballe-Peinado, Óscar; Vidal-Verdú, Fernando; Sánchez-Durán, José A; Castellanos-Ramos, Julián; Hidalgo-López, José A

    2016-02-01

    Resistive sensor arrays are formed by a large number of individual sensors which are distributed in different ways. This paper proposes a direct connection between an FPGA and a resistive array distributed in M rows and N columns, without the need of analog-to-digital converters to obtain resistance values in the sensor and where the conditioning circuit is reduced to the use of a capacitor in each of the columns of the matrix. The circuit allows parallel measurements of the N resistors which form each of the rows of the array, eliminating the resistive crosstalk which is typical of these circuits. This is achieved by an addressing technique which does not require external elements to the FPGA. Although the typical resistive crosstalk between resistors which are measured simultaneously is eliminated, other elements that have an impact on the measurement of discharge times appear in the proposed architecture and, therefore, affect the uncertainty in resistance value measurements; these elements need to be studied. Finally, the performance of different calibration techniques is assessed experimentally on a discrete resistor array, obtaining for a new model of calibration, a maximum relative error of 0.066% in a range of resistor values which correspond to a tactile sensor.

  10. Multilevel Resistance Programming in Conductive Bridge Resistive Memory

    NASA Astrophysics Data System (ADS)

    Mahalanabis, Debayan

    This work focuses on the existence of multiple resistance states in a type of emerging non-volatile resistive memory device known commonly as Programmable Metallization Cell (PMC) or Conductive Bridge Random Access Memory (CBRAM), which can be important for applications such as multi-bit memory as well as non-volatile logic and neuromorphic computing. First, experimental data from small signal, quasi-static and pulsed mode electrical characterization of such devices are presented which clearly demonstrate the inherent multi-level resistance programmability property in CBRAM devices. A physics based analytical CBRAM compact model is then presented which simulates the ion-transport dynamics and filamentary growth mechanism that causes resistance change in such devices. Simulation results from the model are fitted to experimental dynamic resistance switching characteristics. The model designed using Verilog-a language is computation-efficient and can be integrated with industry standard circuit simulation tools for design and analysis of hybrid circuits involving both CMOS and CBRAM devices. Three main circuit applications for CBRAM devices are explored in this work. Firstly, the susceptibility of CBRAM memory arrays to single event induced upsets is analyzed via compact model simulation and experimental heavy ion testing data that show possibility of both high resistance to low resistance and low resistance to high resistance transitions due to ion strikes. Next, a non-volatile sense amplifier based flip-flop architecture is proposed which can help make leakage power consumption negligible by allowing complete shutdown of power supply while retaining its output data in CBRAM devices. Reliability and energy consumption of the flip-flop circuit for different CBRAM low resistance levels and supply voltage values are analyzed and compared to CMOS designs. Possible extension of this architecture for threshold logic function computation using the CBRAM devices as re

  11. Caracterisation electrique et vieillissement de resistances de silicium polycristallin modifiees par laser

    NASA Astrophysics Data System (ADS)

    Fantoni, Julie

    2011-12-01

    Several classes of integrated microelectronic circuits require highly precise and stable analog components that cannot be obtained directly through standard CMOS fabrication processes. Those components must thus be calibrated either by a modification of the fabrication process or by the application of a post-fabrication tuning procedure. Many successful post-fabrication tuning processes have been introduced in the field of resistor calibration, including resistor laser trimming which is the core subject of this thesis. In this thesis, trimmed components are standard CMOS 180nm technology polysilicon resistors, integrated in circuits specially designed to allow laser intervention on their surface. The laser used is a nanosecond pulsed laser for which the fluence is set below the melting threshold of polysilicon in order to prevent damage to the material structure. This novel low-power highly localized procedure reduces the risk of damaging sensitive surrounding circuits and requires no additional fabrication step, allowing smaller dies areas and reduced costs. Precise, reliable and reproducible devices have been tuned using this technique with a precision below 500 ppm. The main objective of this research is to study and analyze the effect of the laser parameters variation on the trimmed component properties and to optimize those parameters in regard of the desired precision and stability of the final product. Raman spectroscopic measurements are performed to observe and characterize structural modifications of the polysilicon material following laser irradiation as precise resistance measurements and standardized in-oven aging tests allow the complete characterization of the device in regard of precision and stability. It is shown that for a given precision, this novel low-power trimming technique produces devices with a stability comparable to those obtained with another trimming technology such as the pulsed current method. An electrical model is also developed

  12. Microfluidics: innovative approaches for rapid diagnosis of antibiotic-resistant bacteria.

    PubMed

    Aroonnual, Amornrat; Janvilisri, Tavan; Ounjai, Puey; Chankhamhaengdecha, Surang

    2017-02-28

    The emergence of antibiotic-resistant bacteria has become a major global health concern. Rapid and accurate diagnostic strategies to determine the antibiotic susceptibility profile prior to antibiotic prescription and treatment are critical to control drug resistance. The standard diagnostic procedures for the detection of antibiotic-resistant bacteria, which rely mostly on phenotypic characterization, are time consuming, insensitive and often require skilled personnel, making them unsuitable for point-of-care (POC) diagnosis. Various molecular techniques have therefore been implemented to help speed up the process and increase sensitivity. Over the past decade, microfluidic technology has gained great momentum in medical diagnosis as a series of fluid handling steps in a laboratory can be simplified and miniaturized on to a small platform, allowing marked reduction of sample amount, high portability and tremendous possibility for integration with other detection technologies. These advantages render the microfluidic system a great candidate to be developed into an easy-to-use sample-to-answer POC diagnosis suitable for application in remote clinical settings. This review provides an overview of the current development of microfluidic technologies for the nucleic acid based and phenotypic-based detections of antibiotic resistance. © 2017 The Author(s). Published by Portland Press Limited on behalf of the Biochemical Society.

  13. Flame Resistant Foam

    NASA Technical Reports Server (NTRS)

    1984-01-01

    Solimide manufactured by Imi-Tech Corporation, is a lightweight fire resistant material produced under a manufacturing process that allows it to be uniformly foamed. Can be produced in a variety of densities and structural configurations and remains resilient under exposure to temperatures ranging from minus 300 to plus 500 degrees Fahrenheit. Is resistant to open flame and generates virtually no smoke or toxic by-products. Used in aircraft for its superior damping characteristics, lighter weight and fire barrier properties, it's also applicable to ships and surface transportation systems such as transit cars, trains, buses and automobiles.

  14. Long-distance communication and signal amplification in systemic acquired resistance

    PubMed Central

    Shah, Jyoti; Zeier, Jürgen

    2013-01-01

    Systemic acquired resistance (SAR) is an inducible defense mechanism in plants that confers enhanced resistance against a variety of pathogens. SAR is activated in the uninfected systemic (distal) organs in response to a prior (primary) infection elsewhere in the plant. SAR is associated with the activation of salicylic acid (SA) signaling and the priming of defense responses for robust activation in response to subsequent infections. The activation of SAR requires communication by the primary infected tissues with the distal organs. The vasculature functions as a conduit for the translocation of factors that facilitate long-distance intra-plant communication. In recent years, several metabolites putatively involved in long-distance signaling have been identified. These include the methyl ester of SA (MeSA), the abietane diterpenoid dehydroabietinal (DA), the dicarboxylic acid azelaic acid (AzA), and a glycerol-3-phosphate (G3P)-dependent factor. Long-distance signaling by some of these metabolites also requires the lipid-transfer protein DIR1 (DEFECTIVE IN INDUCED RESISTANCE 1). The relative contribution of these factors in long-distance signaling is likely influenced by environmental conditions, for example light. In the systemic leaves, the AGD2-LIKE DEFENSE RESPONSE PROTEIN1 (ALD1)-dependent production of the lysine catabolite pipecolic acid (Pip), FLAVIN-DEPENDENT MONOOXYGENASE1 (FMO1) signaling, as well as SA synthesis and downstream signaling are required for the activation of SAR. This review summarizes the involvement and interaction between long-distance SAR signals and details the recently discovered role of Pip in defense amplification and priming that allows plants to acquire immunity at the systemic level. Recent advances in SA signaling and perception are also highlighted. PMID:23440336

  15. Rapid detection of multidrug-resistant Mycobacterium tuberculosis using the malachite green decolourisation assay

    PubMed Central

    Coban, Ahmet Yilmaz; Uzun, Meltem

    2013-01-01

    Early detection of drug resistance in Mycobacterium tuberculosis isolates allows for earlier and more effective treatment of patients. The aim of this study was to investigate the performance of the malachite green decolourisation assay (MGDA) in detecting isoniazid (INH) and rifampicin (RIF) resistance in M. tuberculosis clinical isolates. Fifty M. tuberculosis isolates, including 19 multidrug-resistant, eight INH-resistant and 23 INH and RIF-susceptible samples, were tested. The sensitivity, specificity, positive predictive value (PPV), negative predictive value (NPV) and agreement of the assay for INH were 92.5%, 91.3%, 92.5%, 91.3% and 92%, respectively. Similarly, the sensitivity, specificity, PPV, NPV and agreement of the assay for RIF were 94.7%, 100%, 100%, 96.8% and 98%, respectively. There was a major discrepancy in the tests of two isolates, as they were sensitive to INH by the MGDA test, but resistant by the reference method. There was a minor discrepancy in the tests of two additional isolates, as they were sensitive to INH by the reference method, but resistant by the MGDA test. The drug susceptibility test results were obtained within eight-nine days. In conclusion, the MGDA test is a reliable and accurate method for the rapid detection of INH and RIF resistance compared with the reference method and the MGDA test additionally requires less time to obtain results. PMID:24402143

  16. Lack of a Cytoplasmic RLK, Required for ROS Homeostasis, Induces Strong Resistance to Bacterial Leaf Blight in Rice.

    PubMed

    Yoo, Youngchul; Park, Jong-Chan; Cho, Man-Ho; Yang, Jungil; Kim, Chi-Yeol; Jung, Ki-Hong; Jeon, Jong-Seong; An, Gynheung; Lee, Sang-Won

    2018-01-01

    Many scientific findings have been reported on the beneficial function of reactive oxygen species (ROS) in various cellular processes, showing that they are not just toxic byproducts. The double-edged role of ROS shows the importance of the regulation of ROS level. We report a gene, rrsRLK (required for ROS-scavenging receptor-like kinase), that encodes a cytoplasmic RLK belonging to the non-RD kinase family. The gene was identified by screening rice RLK mutant lines infected with Xanthomonas oryzae pv. oryzae ( Xoo ), an agent of bacterial leaf blight of rice. The mutant (Δ rrsRLK ) lacking the Os01g02290 gene was strongly resistant to many Xoo strains, but not to the fungal pathogen Magnaporthe grisea . Δ rrsRLK showed significantly higher expression of OsPR1a , OsPR1b , OsLOX , RBBTI4 , and jasmonic acid-related genes than wild type. We showed that rrsRLK protein interacts with OsVOZ1 (vascular one zinc-finger 1) and OsPEX11 (peroxisomal biogenesis factor 11). In the further experiments, abnormal biogenesis of peroxisomes, hydrogen peroxide (H 2 O 2 ) accumulation, and reduction of activity of ROS-scavenging enzymes were investigated in Δ rrsRLK . These results suggest that the enhanced resistance in Δ rrsRLK is due to H 2 O 2 accumulation caused by irregular ROS-scavenging mechanism, and rrsRLK is most likely a key regulator required for ROS homeostasis in rice.

  17. Peptidoglycan Association of Murein Lipoprotein Is Required for KpsD-Dependent Group 2 Capsular Polysaccharide Expression and Serum Resistance in a Uropathogenic Escherichia coli Isolate.

    PubMed

    Diao, Jingyu; Bouwman, Catrien; Yan, Donghong; Kang, Jing; Katakam, Anand K; Liu, Peter; Pantua, Homer; Abbas, Alexander R; Nickerson, Nicholas N; Austin, Cary; Reichelt, Mike; Sandoval, Wendy; Xu, Min; Whitfield, Chris; Kapadia, Sharookh B

    2017-05-23

    Murein lipoprotein (Lpp) and peptidoglycan-associated lipoprotein (Pal) are major outer membrane lipoproteins in Escherichia coli Their roles in cell-envelope integrity have been documented in E. coli laboratory strains, and while Lpp has been linked to serum resistance in vitro , the underlying mechanism has not been established. Here, lpp and pal mutants of uropathogenic E. coli strain CFT073 showed reduced survival in a mouse bacteremia model, but only the lpp mutant was sensitive to serum killing in vitro The peptidoglycan-bound Lpp form was specifically required for preventing complement-mediated bacterial lysis in vitro and complement-mediated clearance in vivo Compared to the wild-type strain, the lpp mutant had impaired K2 capsular polysaccharide production and was unable to respond to exposure to serum by elevating capsular polysaccharide amounts. These properties correlated with altered cellular distribution of KpsD, the predicted outer membrane translocon for "group 2" capsular polysaccharides. We identified a novel Lpp-dependent association between functional KpsD and peptidoglycan, highlighting important interplay between cell envelope components required for resistance to complement-mediated lysis in uropathogenic E. coli isolates. IMPORTANCE Uropathogenic E. coli (UPEC) isolates represent a significant cause of nosocomial urinary tract and bloodstream infections. Many UPEC isolates are resistant to serum killing. Here, we show that a major cell-envelope lipoprotein (murein lipoprotein) is required for serum resistance in vitro and for complement-mediated bacterial clearance in vivo This is mediated, in part, through a novel mechanism by which murein lipoprotein affects the proper assembly of a key component of the machinery involved in production of "group 2" capsules. The absence of murein lipoprotein results in impaired production of the capsule layer, a known participant in complement resistance. These results demonstrate an important role for

  18. Gas mixture studies for streamer operated Resistive Plate Chambers

    NASA Astrophysics Data System (ADS)

    Paoloni, A.; Longhin, A.; Mengucci, A.; Pupilli, F.; Ventura, M.

    2016-06-01

    Resistive Plate Chambers operated in streamer mode are interesting detectors in neutrino and astro-particle physics applications (like OPERA and ARGO experiments). Such experiments are typically characterized by large area apparatuses with no stringent requirements on detector aging and rate capabilities. In this paper, results of cosmic ray tests performed on a RPC prototype using different gas mixtures are presented, the principal aim being the optimization of the TetraFluoroPropene concentration in Argon-based mixtures. The introduction of TetraFluoroPropene, besides its low Global Warming Power, is helpful because it simplifies safety requirements allowing to remove also isobutane from the mixture. Results obtained with mixtures containing SF6, CF4, CO2, N2 and He are also shown, presented both in terms of detectors properties (efficiency, multiple-streamer probability and time resolution) and in terms of streamer characteristics.

  19. Resistive RAMs as analog trimming elements

    NASA Astrophysics Data System (ADS)

    Aziza, H.; Perez, A.; Portal, J. M.

    2018-04-01

    This work investigates the use of Resistive Random Access Memory (RRAM) as an analog trimming device. The analog storage feature of the RRAM cell is evaluated and the ability of the RRAM to hold several resistance states is exploited to propose analog trim elements. To modulate the memory cell resistance, a series of short programming pulses are applied across the RRAM cell allowing a fine calibration of the RRAM resistance. The RRAM non volatility feature makes the analog device powers up already calibrated for the system in which the analog trimmed structure is embedded. To validate the concept, a test structure consisting of a voltage reference is evaluated.

  20. Resistance to organic hydroperoxides requires ohr and ohrR genes in Sinorhizobium meliloti

    PubMed Central

    2011-01-01

    Background Sinorhizobium meliloti is a symbiotic nitrogen-fixing bacterium that elicits nodules on roots of host plants Medicago sativa. During nodule formation bacteria have to withstand oxygen radicals produced by the plant. Resistance to H2O2 and superoxides has been extensively studied in S. meliloti. In contrast resistance to organic peroxides has not been investigated while S. meliloti genome encodes putative organic peroxidases. Organic peroxides are produced by plants and are highly toxic. The resistance to these oxygen radicals has been studied in various bacteria but never in plant nodulating bacteria. Results In this study we report the characterisation of organic hydroperoxide resistance gene ohr and its regulator ohrR in S. meliloti. The inactivation of ohr affects resistance to cumene and ter-butyl hydroperoxides but not to hydrogen peroxide or menadione in vitro. The expression of ohr and ohrR genes is specifically induced by organic peroxides. OhrR binds to the intergenic region between the divergent genes ohr and ohrR. Two binding sites were characterised. Binding to the operator is prevented by OhrR oxidation that promotes OhrR dimerisation. The inactivation of ohr did not affect symbiosis and nitrogen fixation, suggesting that redundant enzymatic activity exists in this strain. Both ohr and ohrR are expressed in nodules suggesting that they play a role during nitrogen fixation. Conclusions This report demonstrates the significant role Ohr and OhrR proteins play in bacterial stress resistance against organic peroxides in S. meliloti. The ohr and ohrR genes are expressed in nodule-inhabiting bacteroids suggesting a role during nodulation. PMID:21569462

  1. Child-resistant and tamper-resistant packaging: A systematic review to inform tobacco packaging regulation.

    PubMed

    Jo, Catherine L; Ambs, Anita; Dresler, Carolyn M; Backinger, Cathy L

    2017-02-01

    We aimed to investigate the effects of special packaging (child-resistant, adult-friendly) and tamper-resistant packaging on health and behavioral outcomes in order to identify research gaps and implications for packaging standards for tobacco products. We searched seven databases for keywords related to special and tamper-resistant packaging, consulted experts, and reviewed citations of potentially relevant studies. 733 unique papers were identified. Two coders independently screened each title and abstract for eligibility. They then reviewed the full text of the remaining papers for a second round of eligibility screening. Included studies investigated a causal relationship between type of packaging or packaging regulation and behavioral or health outcomes and had a study population composed of consumers. Studies were excluded on the basis of publication type, if they were not peer-reviewed, and if they had low external validity. Two reviewers independently coded each paper for study and methodological characteristics and limitations. Discrepancies were discussed and resolved. The review included eight studies: four assessing people's ability to access the contents of different packaging types and four evaluating the impact of packaging requirements on health-related outcomes. Child-resistant packaging was generally more difficult to open than non-child-resistant packaging. Child-resistant packaging requirements have been associated with reductions in child mortality. Child-resistant packaging holds the expectation to reduce tobacco product poisonings among children under six. Published by Elsevier Inc.

  2. The rice blast resistance gene Ptr encodes an atypical protein required for broad spectrum disease resistance

    USDA-ARS?s Scientific Manuscript database

    Plant resistance (R) genes typically encode proteins with nucleotide binding site-leucine rich repeat (NLR) domains. We identified a novel, broad-spectrum rice blast R gene, Ptr, encoding a non-NLR protein with four Armadillo repeats. Ptr was originally identified by fast neutron mutagenesis as a ...

  3. Extensively Drug-Resistant Tuberculosis: Principles of Resistance, Diagnosis, and Management.

    PubMed

    Wilson, John W; Tsukayama, Dean T

    2016-04-01

    Extensively drug-resistant (XDR) tuberculosis (TB) is an unfortunate by-product of mankind's medical and pharmaceutical ingenuity during the past 60 years. Although new drug developments have enabled TB to be more readily curable, inappropriate TB management has led to the emergence of drug-resistant disease. Extensively drug-resistant TB describes Mycobacterium tuberculosis that is collectively resistant to isoniazid, rifampin, a fluoroquinolone, and an injectable agent. It proliferates when established case management and infection control procedures are not followed. Optimized treatment outcomes necessitate time-sensitive diagnoses, along with expanded combinations and prolonged durations of antimicrobial drug therapy. The challenges to public health institutions are immense and most noteworthy in underresourced communities and in patients coinfected with human immunodeficiency virus. A comprehensive and multidisciplinary case management approach is required to optimize outcomes. We review the principles of TB drug resistance and the risk factors, diagnosis, and managerial approaches for extensively drug-resistant TB. Treatment outcomes, cost, and unresolved medical issues are also discussed. Copyright © 2016 Mayo Foundation for Medical Education and Research. Published by Elsevier Inc. All rights reserved.

  4. 46 CFR 162.028-3 - Requirements.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... a normal fashion; (ii) Any coating required in this section to be corrosion resistant must remain... hours. The gauge shall be constructed of corrosion-resistant materials, so that the pointer or face...

  5. 46 CFR 162.028-3 - Requirements.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... a normal fashion; (ii) Any coating required in this section to be corrosion resistant must remain... hours. The gauge shall be constructed of corrosion-resistant materials, so that the pointer or face...

  6. USEPA Resistance Management Model development

    EPA Science Inventory

    The US EPA requires registrants of plant incorporated protectant (PIP) crops to provide information relating to the time frame for pest resistance development related to the control traits of the crop. Simulation models are used to evaluate the future conditions for resistance de...

  7. Resist heating effect on e-beam mask writing at 75 kV and 60 A/cm2

    NASA Astrophysics Data System (ADS)

    Benes, Zdenek; Deverich, Christina; Huang, Chester; Lawliss, Mark

    2003-12-01

    Resist heating has been known to be one of the main contributors to local CD variation in mask patterning using variable shape e-beam tools. Increasingly complex mask patterns require increased number of shapes which drives the need for higher electron beam current densities to maintain reasonable write times. As beam current density is increased, CD error resulting from resist heating may become a dominating contributor to local CD variations. In this experimental study, the IBM EL4+ mask writer with high voltage and high current density has been used to quantitatively investigate the effect of resist heating on the local CD uniformity. ZEP 7000 and several chemically amplified resists have been evaluated under various exposure conditions (single-pass, multi-pass, variable spot size) and pattern densities. Patterns were designed specifically to allow easy measurement of local CD variations with write strategies designed to maximize the effect of resist heating. Local CD variations as high as 15 nm in 18.75 × 18.75 μm sub-field size have been observed for ZEP 7000 in a single-pass writing with full 1000 nm spots at 50% pattern density. This number can be reduced by increasing the number of passes or by decreasing the maximum spot size. The local CD variation has been reduced to as low as 2 nm for ZEP 7000 for the same pattern under modified exposure conditions. The effectiveness of various writing strategies is discussed as well as their possible deficiencies. Minimal or no resist heating effects have been observed for the chemically amplified resists studied. The results suggest that the resist heating effect can be well controlled by careful selection of the resist/process system and/or writing strategy and that resist heating does not have to pose a problem for high throughput e-beam mask making that requires high voltage and high current densities.

  8. Amino acid sequence requirements at residues 69 and 238 for the SME-1 beta-lactamase to confer resistance to beta-lactam antibiotics.

    PubMed

    Majiduddin, Fahd K; Palzkill, Timothy

    2003-03-01

    Carbapenem antibiotics have been used to counteract resistant strains of bacteria harboring beta-lactamases and extended-spectrum beta-lactamases. Four enzymes from the class A group of beta-lactamases, NMC-A, IMI-1, SME-1, and KPC-1, efficiently hydrolyze carbapenem antibiotics. Sequence comparisons and structural information indicate that cysteines at amino acid residues 69 and 238, which are conserved in all four of these enzymes, form a disulfide bond that is unique to these beta-lactamases. To test whether this disulfide bond is required for catalytic activity, the codons for residues Cys69 and Cys238 were randomized individually and simultaneously by PCR-based mutagenesis to create random replacement libraries for these positions. Mutants that were able to confer resistance to ampicillin, imipenem, or cefotaxime were selected from these libraries. The results indicate that positions Cys69 and Cys238 are critical for hydrolysis of all of the antibiotics tested, suggesting that the disulfide bond is generally required for this enzyme to catalyze the hydrolysis of beta-lactam antibiotics.

  9. Amino Acid Sequence Requirements at Residues 69 and 238 for the SME-1 β-Lactamase To Confer Resistance to β-Lactam Antibiotics

    PubMed Central

    Majiduddin, Fahd K.; Palzkill, Timothy

    2003-01-01

    Carbapenem antibiotics have been used to counteract resistant strains of bacteria harboring β-lactamases and extended-spectrum β-lactamases. Four enzymes from the class A group of β-lactamases, NMC-A, IMI-1, SME-1, and KPC-1, efficiently hydrolyze carbapenem antibiotics. Sequence comparisons and structural information indicate that cysteines at amino acid residues 69 and 238, which are conserved in all four of these enzymes, form a disulfide bond that is unique to these β-lactamases. To test whether this disulfide bond is required for catalytic activity, the codons for residues Cys69 and Cys238 were randomized individually and simultaneously by PCR-based mutagenesis to create random replacement libraries for these positions. Mutants that were able to confer resistance to ampicillin, imipenem, or cefotaxime were selected from these libraries. The results indicate that positions Cys69 and Cys238 are critical for hydrolysis of all of the antibiotics tested, suggesting that the disulfide bond is generally required for this enzyme to catalyze the hydrolysis of β-lactam antibiotics. PMID:12604542

  10. Resistance of a Wire as a Function of Temperature.

    ERIC Educational Resources Information Center

    Henry, David

    1995-01-01

    Presents a simple experiment that enables students to get a quantitative measure of the relationship between the resistance of a wire and the temperature of the wire allowing the calculation of the temperature coefficient of resistance. (JRH)

  11. Persistent damaged bases in DNA allow mutagenic break repair in Escherichia coli

    PubMed Central

    Moore, Jessica M.; Correa, Raul; Rosenberg, Susan M.

    2017-01-01

    Bacteria, yeast and human cancer cells possess mechanisms of mutagenesis upregulated by stress responses. Stress-inducible mutagenesis potentially accelerates adaptation, and may provide important models for mutagenesis that drives cancers, host pathogen interactions, antibiotic resistance and possibly much of evolution generally. In Escherichia coli repair of double-strand breaks (DSBs) becomes mutagenic, using low-fidelity DNA polymerases under the control of the SOS DNA-damage response and RpoS general stress response, which upregulate and allow the action of error-prone DNA polymerases IV (DinB), II and V to make mutations during repair. Pol IV is implied to compete with and replace high-fidelity DNA polymerases at the DSB-repair replisome, causing mutagenesis. We report that up-regulated Pol IV is not sufficient for mutagenic break repair (MBR); damaged bases in the DNA are also required, and that in starvation-stressed cells, these are caused by reactive-oxygen species (ROS). First, MBR is reduced by either ROS-scavenging agents or constitutive activation of oxidative-damage responses, both of which reduce cellular ROS levels. The ROS promote MBR other than by causing DSBs, saturating mismatch repair, oxidizing proteins, or inducing the SOS response or the general stress response. We find that ROS drive MBR through oxidized guanines (8-oxo-dG) in DNA, in that overproduction of a glycosylase that removes 8-oxo-dG from DNA prevents MBR. Further, other damaged DNA bases can substitute for 8-oxo-dG because ROS-scavenged cells resume MBR if either DNA pyrimidine dimers or alkylated bases are induced. We hypothesize that damaged bases in DNA pause the replisome and allow the critical switch from high fidelity to error-prone DNA polymerases in the DSB-repair replisome, thus allowing MBR. The data imply that in addition to the indirect stress-response controlled switch to MBR, a direct cis-acting switch to MBR occurs independently of DNA breakage, caused by ROS

  12. Persistent damaged bases in DNA allow mutagenic break repair in Escherichia coli.

    PubMed

    Moore, Jessica M; Correa, Raul; Rosenberg, Susan M; Hastings, P J

    2017-07-01

    Bacteria, yeast and human cancer cells possess mechanisms of mutagenesis upregulated by stress responses. Stress-inducible mutagenesis potentially accelerates adaptation, and may provide important models for mutagenesis that drives cancers, host pathogen interactions, antibiotic resistance and possibly much of evolution generally. In Escherichia coli repair of double-strand breaks (DSBs) becomes mutagenic, using low-fidelity DNA polymerases under the control of the SOS DNA-damage response and RpoS general stress response, which upregulate and allow the action of error-prone DNA polymerases IV (DinB), II and V to make mutations during repair. Pol IV is implied to compete with and replace high-fidelity DNA polymerases at the DSB-repair replisome, causing mutagenesis. We report that up-regulated Pol IV is not sufficient for mutagenic break repair (MBR); damaged bases in the DNA are also required, and that in starvation-stressed cells, these are caused by reactive-oxygen species (ROS). First, MBR is reduced by either ROS-scavenging agents or constitutive activation of oxidative-damage responses, both of which reduce cellular ROS levels. The ROS promote MBR other than by causing DSBs, saturating mismatch repair, oxidizing proteins, or inducing the SOS response or the general stress response. We find that ROS drive MBR through oxidized guanines (8-oxo-dG) in DNA, in that overproduction of a glycosylase that removes 8-oxo-dG from DNA prevents MBR. Further, other damaged DNA bases can substitute for 8-oxo-dG because ROS-scavenged cells resume MBR if either DNA pyrimidine dimers or alkylated bases are induced. We hypothesize that damaged bases in DNA pause the replisome and allow the critical switch from high fidelity to error-prone DNA polymerases in the DSB-repair replisome, thus allowing MBR. The data imply that in addition to the indirect stress-response controlled switch to MBR, a direct cis-acting switch to MBR occurs independently of DNA breakage, caused by ROS

  13. Assessment of the Efficiency of Consolidation Treatment through Injections of Expanding Resins by Geotechnical Tests and 3D Electrical Resistivity Tomography

    PubMed Central

    2015-01-01

    The design and execution of consolidation treatment of settled foundations by means of injection of polyurethane expanding resins require a proper investigation of the state of the foundation soil, in order to better identify anomalies responsible for the instability. To monitor the injection process, a procedure has been developed, which involves, in combination with traditional geotechnical tests, the application of a noninvasive, geophysical technique based on the electrical resistivity, which is strongly sensitive to presence of water or voids. Three-dimensional electrical resistivity tomography is a useful tool to produce effective 3D images of the foundation soils before, during, and after the injections. The achieved information allows designing the consolidation scheme and monitoring its effects on the treated volumes in real time. To better understand the complex processes induced by the treatment and to learn how variations of resistivity accompany increase of stiffness, an experiment was carried out in a full-scale test site. Injections of polyurethane expanding resin were performed as in real worksite conditions. Results confirm that the experimented approach by means of 3D resistivity imaging allows a reliable procedure of consolidation, and geotechnical tests demonstrate the increase of mechanical stiffness. PMID:26167521

  14. 42 CFR 447.54 - Maximum allowable and nominal charges.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... nonemergency services furnished in a hospital emergency room. (c) Institutional services. For institutional... Deductible, Coinsurance, Co-Payment Or Similar Cost-Sharing Charge § 447.54 Maximum allowable and nominal... that cost sharing amounts be nominal. Upon approval from CMS, the requirement that cost sharing charges...

  15. Resistant hypertension: diagnosis, evaluation, and treatment: a scientific statement from the American Heart Association Professional Education Committee of the Council for High Blood Pressure Research.

    PubMed

    Calhoun, David A; Jones, Daniel; Textor, Stephen; Goff, David C; Murphy, Timothy P; Toto, Robert D; White, Anthony; Cushman, William C; White, William; Sica, Domenic; Ferdinand, Keith; Giles, Thomas D; Falkner, Bonita; Carey, Robert M

    2008-06-24

    Resistant hypertension is a common clinical problem faced by both primary care clinicians and specialists. While the exact prevalence of resistant hypertension is unknown, clinical trials suggest that it is not rare, involving perhaps 20% to 30% of study participants. As older age and obesity are 2 of the strongest risk factors for uncontrolled hypertension, the incidence of resistant hypertension will likely increase as the population becomes more elderly and heavier. The prognosis of resistant hypertension is unknown, but cardiovascular risk is undoubtedly increased as patients often have a history of long-standing, severe hypertension complicated by multiple other cardiovascular risk factors such as obesity, sleep apnea, diabetes, and chronic kidney disease. The diagnosis of resistant hypertension requires use of good blood pressure technique to confirm persistently elevated blood pressure levels. Pseudoresistance, including lack of blood pressure control secondary to poor medication adherence or white coat hypertension, must be excluded. Resistant hypertension is almost always multifactorial in etiology. Successful treatment requires identification and reversal of lifestyle factors contributing to treatment resistance; diagnosis and appropriate treatment of secondary causes of hypertension; and use of effective multidrug regimens. As a subgroup, patients with resistant hypertension have not been widely studied. Observational assessments have allowed for identification of demographic and lifestyle characteristics associated with resistant hypertension, and the role of secondary causes of hypertension in promoting treatment resistance is well documented; however, identification of broader mechanisms of treatment resistance is lacking. In particular, attempts to elucidate potential genetic causes of resistant hypertension have been limited. Recommendations for the pharmacological treatment of resistant hypertension remain largely empiric due to the lack of

  16. Resistant hypertension: diagnosis, evaluation, and treatment. A scientific statement from the American Heart Association Professional Education Committee of the Council for High Blood Pressure Research.

    PubMed

    Calhoun, David A; Jones, Daniel; Textor, Stephen; Goff, David C; Murphy, Timothy P; Toto, Robert D; White, Anthony; Cushman, William C; White, William; Sica, Domenic; Ferdinand, Keith; Giles, Thomas D; Falkner, Bonita; Carey, Robert M

    2008-06-01

    Resistant hypertension is a common clinical problem faced by both primary care clinicians and specialists. While the exact prevalence of resistant hypertension is unknown, clinical trials suggest that it is not rare, involving perhaps 20% to 30% of study participants. As older age and obesity are 2 of the strongest risk factors for uncontrolled hypertension, the incidence of resistant hypertension will likely increase as the population becomes more elderly and heavier. The prognosis of resistant hypertension is unknown, but cardiovascular risk is undoubtedly increased as patients often have a history of long-standing, severe hypertension complicated by multiple other cardiovascular risk factors such as obesity, sleep apnea, diabetes, and chronic kidney disease. The diagnosis of resistant hypertension requires use of good blood pressure technique to confirm persistently elevated blood pressure levels. Pseudoresistance, including lack of blood pressure control secondary to poor medication adherence or white coat hypertension, must be excluded. Resistant hypertension is almost always multifactorial in etiology. Successful treatment requires identification and reversal of lifestyle factors contributing to treatment resistance; diagnosis and appropriate treatment of secondary causes of hypertension; and use of effective multidrug regimens. As a subgroup, patients with resistant hypertension have not been widely studied. Observational assessments have allowed for identification of demographic and lifestyle characteristics associated with resistant hypertension, and the role of secondary causes of hypertension in promoting treatment resistance is well documented; however, identification of broader mechanisms of treatment resistance is lacking. In particular, attempts to elucidate potential genetic causes of resistant hypertension have been limited. Recommendations for the pharmacological treatment of resistant hypertension remain largely empiric due to the lack of

  17. 16 CFR § 1210.3 - Requirements for cigarette lighters.

    Code of Federal Regulations, 2013 CFR

    2013-01-01

    ... 16 Commercial Practices 2 2013-01-01 2013-01-01 false Requirements for cigarette lighters. § 1210... ACT REGULATIONS SAFETY STANDARD FOR CIGARETTE LIGHTERS Requirements for Child Resistance § 1210.3 Requirements for cigarette lighters. (a) A lighter subject to this part 1210 shall be resistant to successful...

  18. Lack of Cross-Resistance of Imazaquin-Resistant Xanthium strumarium Acetolactate Synthase to Flumetsulam and Chlorimuron.

    PubMed

    Schmitzer, P. R.; Eilers, R. J.; Cseke, C.

    1993-09-01

    Acetolactate synthase (ALS) was isolated from a field population of cocklebur (Xanthium strumarium) that developed resistance to the herbicide Scepter following three consecutive years of application. The active ingredient of Scepter, imazaquin, gave an inhibitor concentration required to produce 50% inhibition of the enzyme activity that was more than 300 times greater for the resistant enzyme than for the wild-type cocklebur ALS. Tests with flumetsulam and chlorimuron show that the resistant ALS was not cross-resistant to these two other classes of ALS inhibitors.

  19. Lack of Cross-Resistance of Imazaquin-Resistant Xanthium strumarium Acetolactate Synthase to Flumetsulam and Chlorimuron.

    PubMed Central

    Schmitzer, P. R.; Eilers, R. J.; Cseke, C.

    1993-01-01

    Acetolactate synthase (ALS) was isolated from a field population of cocklebur (Xanthium strumarium) that developed resistance to the herbicide Scepter following three consecutive years of application. The active ingredient of Scepter, imazaquin, gave an inhibitor concentration required to produce 50% inhibition of the enzyme activity that was more than 300 times greater for the resistant enzyme than for the wild-type cocklebur ALS. Tests with flumetsulam and chlorimuron show that the resistant ALS was not cross-resistant to these two other classes of ALS inhibitors. PMID:12231935

  20. 49 CFR 585.116 - Reporting requirements.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... ADMINISTRATION, DEPARTMENT OF TRANSPORTATION (CONTINUED) PHASE-IN REPORTING REQUIREMENTS Roof Crush Resistance Phase-in Reporting Requirements § 585.116 Reporting requirements. (a) General reporting requirements... years, or, at the manufacturer's option, for the current production year. A new manufacturer that has...

  1. 49 CFR 585.116 - Reporting requirements.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... ADMINISTRATION, DEPARTMENT OF TRANSPORTATION (CONTINUED) PHASE-IN REPORTING REQUIREMENTS Roof Crush Resistance Phase-in Reporting Requirements § 585.116 Reporting requirements. (a) General reporting requirements... years, or, at the manufacturer's option, for the current production year. A new manufacturer that has...

  2. New multifunctional Escherichia coli-Streptomyces shuttle vectors allowing blue-white screening on XGal plates.

    PubMed

    Wehmeier, U F

    1995-11-07

    Four new shuttle vectors for Escherichia coli (Ec) and Streptomyces, pUWL218, pUWL219, pUWL-SK and pUWL-KS, which permit recognition of recombinant (re-) plasmids on XGal plates in Ec, were constructed. These vectors contain the replication functions of the Streptomyces wide-host-range multicopy plasmid pIJ101, the tsr gene conferring resistance to thiostrepton in Streptomyces, the ColEI origin of replication from the pUC plasmids for replication in Ec and the bla gene conferring resistance to ampicillin in Ec. They possess multiple cloning sites with a number of unique restriction sites and allow direct sequencing of re-derivatives using the pUC sequencing primers.

  3. Can multi-scale calibrations allow MT-derived resistivities to be used to probe the structure of the deep crust?

    NASA Astrophysics Data System (ADS)

    Toy, Virginia; Billia, Marco; Easingwood, Richard; Kirilova, Martina; Kluge, Emma; Sauer, Katrina; Sutherland, Rupert; Timms, Nicholas; Townend, John

    2017-04-01

    Our current knowledge of microstructural and mechanical controls on rock resistivity is such that identical magnetotelluric (MT) anomalies could result from a highly mineralized but extinct shear zone, or from an unmineralized, fluid saturated, active shear zone. In pursuit of the ability to interpret the structure and activity (rather than just the presence) of buried geological structures from electromagnetic data, we are investigating correlations between rock structure and electrical properties of ductile shear zone rocks recovered from the active Alpine Fault Zone, New Zealand. Multi-scale measurements of resistivity exist for this zone: its ductile portions have anomalously high electrical conductivity identified in MT models constructed as part of the South Island Geophysical Transect (SIGHT). Additionally wireline resistivities were measured in situ to 820 m depth during the recent Deep Fault Drilling Project (DFDP-2), and resisistivity of hand samples has been measured at laboratory conditions [Kluge et al., Abstract EGU2017-10139]. In exhumed and borehole samples, the distributions and arrangements of conductivity carriers - graphite, amorphous carbon, and grain boundary pores that would have contained brines or other conductive fluids at depth, have been characterised. These vary systematically according to the total ductile shear strain they have accommodated [Kirilova et al., Abstract EGU2017-5773; Sauer et al., Abstract EGU2017-10485]. Transmission electron microscopy analyses of grain boundaries also indicate that they contain carbon. The next phases of our investigation involve: (i) construction of crustal fluid composition models by quantitative microstructural and compositional/mineralogical mapping of fluid remnants and their solid residues and calibration of these using in situ measurements of fluid composition in DFDP-2 at depths to 820 m; (ii) calculation of resistivities for real microstructures based on electrical properties of the

  4. The outer membrane protein TolC is required for phytoalexin resistance and virulence of the fire blight pathogen Erwinia amylovora.

    PubMed

    Al-Karablieh, Nehaya; Weingart, Helge; Ullrich, Matthias S

    2009-07-01

    Erwinia amylovora causes fire blight on several plant species such as apple and pear, which produce diverse phytoalexins as defence mechanisms. An evolutionary successful pathogen thus must develop resistance mechanisms towards these toxic compounds. The E. amylovora outer membrane protein, TolC, might mediate phytoalexin resistance through its interaction with the multidrug efflux pump, AcrAB. To prove this, a tolC mutant and an acrB/tolC double mutant were constructed. The minimal inhibitory concentrations of diverse antimicrobials and phytoalexins were determined for these mutants and compared with that of a previously generated acrB mutant. The tolC and arcB/tolC mutants were considerably more susceptible than the wild type but showed similar levels as the acrB mutant. The results clearly indicated that neither TolC nor AcrAB significantly interacted with other transport systems during the efflux of the tested toxic compounds. Survival and virulence assays on inoculated apple plants showed that pathogenicity and the ability of E. amylovora to colonize plant tissue were equally impaired by mutations of tolC and acrB/tolC. Our results allowed the conclusion that TolC plays an important role as a virulence and fitness factor of E. amylovora by mediating resistance towards phytoalexins through its exclusive interaction with AcrAB. © 2009 The Authors. Journal compilation © 2009 Society for Applied Microbiology and Blackwell Publishing Ltd.

  5. A nonlinear HP-type complementary resistive switch

    NASA Astrophysics Data System (ADS)

    Radtke, Paul K.; Schimansky-Geier, Lutz

    2016-05-01

    Resistive Switching (RS) is the change in resistance of a dielectric under the influence of an external current or electric field. This change is non-volatile, and the basis of both the memristor and resistive random access memory. In the latter, high integration densities favor the anti-serial combination of two RS-elements to a single cell, termed the complementary resistive switch (CRS). Motivated by the irregular shape of the filament protruding into the device, we suggest a nonlinearity in the resistance-interpolation function, characterized by a single parameter p. Thereby the original HP-memristor is expanded upon. We numerically simulate and analytically solve this model. Further, the nonlinearity allows for its application to the CRS.

  6. Resistive instabilities in tokamaks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rutherford, P.H.

    1985-10-01

    Low-m tearing modes constitute the dominant instability problem in present-day tokamaks. In this lecture, the stability criteria for representative current profiles with q(0)-values slightly less than unit are reviewed; ''sawtooth'' reconnection to q(0)-values just at, or slightly exceeding, unity is generally destabilizing to the m = 2, n = 1 and m = 3, n = 2 modes, and severely limits the range of stable profile shapes. Feedback stabilization of m greater than or equal to 2 modes by rf heating or current drive, applied locally at the magnetic islands, appears feasible; feedback by island current drive is much moremore » efficient, in terms of the radio-frequency power required, then feedback by island heating. Feedback stabilization of the m = 1 mode - although yielding particularly beneficial effects for resistive-tearing and high-beta stability by allowing q(0)-values substantially below unity - is more problematical, unless the m = 1 ideal-MHD mode can be made positively stable by strong triangular shaping of the central flux surfaces. Feedback techniques require a detectable, rotating MHD-like signal; the slowing of mode rotation - or the excitation of non-rotating modes - by an imperfectly conducting wall is also discussed.« less

  7. Staphylococcus aureus: methicillin-susceptible S. aureus to methicillin-resistant S. aureus and vancomycin-resistant S. aureus.

    PubMed

    Rehm, Susan J; Tice, Alan

    2010-09-15

    The evolution of methicillin-resistant and vancomycin-resistant Staphylococcus aureus has demanded serious review of antimicrobial use and development of new agents and revised approaches to prevent and overcome drug resistance. Depending on local conditions and patient risk factors, empirical therapy of suspected S. aureus infection may require coverage of drug-resistant organisms with newer agents and novel antibiotic combinations. The question of treatment with inappropriate antibiotics raises grave concerns with regard to methicillin-resistant S. aureus selection, overgrowth, and increased virulence. Several strategies to reduce the nosocomial burden of resistance are suggested, including shortened hospital stays and outpatient parenteral antimicrobial therapy of the most serious infections.

  8. Child-resistant and tamper-resistant packaging: A systematic review to inform tobacco packaging regulation

    PubMed Central

    Jo, Catherine L.; Ambs, Anita; Dresler, Carolyn M.; Backinger, Cathy L.

    2017-01-01

    Objective We aimed to investigate the effects of special packaging (child-resistant, adult-friendly) and tamper-resistant packaging on health and behavioral outcomes in order to identify research gaps and implications for packaging standards for tobacco products. Methods We searched seven databases for keywords related to special and tamper-resistant packaging, consulted experts, and reviewed citations of potentially relevant studies. 733 unique papers were identified. Two coders independently screened each title and abstract for eligibility. They then reviewed the full text of the remaining papers for a second round of eligibility screening. Included studies investigated a causal relationship between type of packaging or packaging regulation and behavioral or health outcomes and had a study population composed of consumers. Studies were excluded on the basis of publication type, if they were not peer-reviewed, and if they had low external validity. Two reviewers independently coded each paper for study and methodological characteristics and limitations. Discrepancies were discussed and resolved. Results The review included eight studies: four assessing people’s ability to access the contents of different packaging types and four evaluating the impact of packaging requirements on health-related outcomes. Child-resistant packaging was generally more difficult to open than non-child-resistant packaging. Child-resistant packaging requirements have been associated with reductions in child mortality. Conclusions Child-resistant packaging holds the expectation to reduce tobacco product poisonings among children under six. PMID:27939602

  9. Department of Defense Environmental Cleanup Cost Allowability Policy.

    DTIC Science & Technology

    1994-12-01

    The environment is directly affected by the industrial requirements and manufacturing processes necessary to provide those goods and services. As...and the industrial base. To begin the process , DCMC initiated the Environmental Initiatives Task Force Pilot Cost Allowance Program at five locations...policy covering environmental cleanup costs. Information will be provided to assist in the decision making process regarding the factors affecting the

  10. Compact, Controlled Resistance Exercise Device

    NASA Technical Reports Server (NTRS)

    Paulus, David C.; DeWitt, John K.; Reich, Alton J.; Shaw, James E.; Deaconu, Stelu S.

    2011-01-01

    Spaceflight leads to muscle and bone atrophy. Isoinertial (free-weight) exercises provide a sufficient stimulus to elicit increases in both muscle strength and bone mineral density in Earth-based studies. While exercise equipment is in use on the International Space Station for crewmember health maintenance, current devices are too large to place in a transport vehicle or small spacecraft. Therefore, a portable computer controlled resistance exercise device is being developed that is able to simulate the inertial loading experienced when lifting a mass on Earth. This portable device weighs less than 50 lb and can simulate the resistance of lifting and lowering up to 600 lb of free-weights. The objective is to allow crewmembers to perform resistance exercise with loads capable of maintaining muscle and bone health. The device is reconfigurable and allows for the performance of typical Earth-based free-weight exercises. Forces exerted, volume of work, range of motion, time-under-tension, and speed/ acceleration of movement are recorded and can be remotely monitored to track progress and modify individual protocols based on exercise session data. A performance evaluation will be completed and data will be presented that include ground-reaction force comparisons between the device and free-weight dead-lifts over a spectrum of resistance levels. Movement biomechanics will also be presented.

  11. Metallography of Battery Resistance Spot Welds

    NASA Technical Reports Server (NTRS)

    Martinez, J. E.; Johannes, L. B.; Gonzalez, D.; Yayathi, S.; Figuered, J. M.; Darcy, E. C.; Bilc, Z. M.

    2015-01-01

    Li-ion cells provide an energy dense solution for systems that require rechargeable electrical power. However, these cells can undergo thermal runaway, the point at which the cell becomes thermally unstable and results in hot gas, flame, electrolyte leakage, and in some cases explosion. The heat and fire associated with this type of event is generally violent and can subsequently cause damage to the surrounding system or present a dangerous risk to the personnel nearby. The space flight environment is especially sensitive to risks particularly when it involves potential for fire within the habitable volume of the International Space Station (ISS). In larger battery packs such as Robonaut 2 (R2), numerous Li-ion cells are placed in parallel-series configurations to obtain the required stack voltage and desired run-time or to meet specific power requirements. This raises a second and less obvious concern for batteries that undergo certification for space flight use: the joining quality at the resistance spot weld of battery cells to component wires/leads and battery tabs, bus bars or other electronic components and assemblies. Resistance spot welds undergo materials evaluation, visual inspection, conductivity (resistivity) testing, destructive peel testing, and metallurgical examination in accordance with applicable NASA Process Specifications. Welded components are cross-sectioned to ensure they are free of cracks or voids open to any exterior surface. Pore and voids contained within the weld zone but not open to an exterior surface, and are not determined to have sharp notch like characteristics, shall be acceptable. Depending on requirements, some battery cells are constructed of aluminum canisters while others are constructed of steel. Process specific weld schedules must be developed and certified for each possible joining combination. The aluminum canisters' positive terminals were particularly difficult to weld due to a bi-metal strip that comes ultrasonically

  12. Offset-electrode profile acquisition strategy for electrical resistivity tomography

    NASA Astrophysics Data System (ADS)

    Robbins, Austin R.; Plattner, Alain

    2018-04-01

    We present an electrode layout strategy that allows electrical resistivity profiles to image the third dimension close to the profile plane. This "offset-electrode profile" approach involves laterally displacing electrodes away from the profile line in an alternating fashion and then inverting the resulting data using three-dimensional electrical resistivity tomography software. In our synthetic and field surveys, the offset-electrode method succeeds in revealing three-dimensional structures in the vicinity of the profile plane, which we could not achieve using three-dimensional inversions of linear profiles. We confirm and explain the limits of linear electrode profiles through a discussion of the three-dimensional sensitivity patterns: For a homogeneous starting model together with a linear electrode layout, all sensitivities remain symmetric with respect to the profile plane through each inversion step. This limitation can be overcome with offset-electrode layouts by breaking the symmetry pattern among the sensitivities. Thanks to freely available powerful three-dimensional resistivity tomography software and cheap modern computing power, the requirement for full three-dimensional calculations does not create a significant burden and renders the offset-electrode approach a cost-effective method. By offsetting the electrodes in an alternating pattern, as opposed to laying the profile out in a U-shape, we minimize shortening the profile length.

  13. Multi-transmitter/multi-receiver high-speed measurements of soil resistivity and induced polarization - Hydrological application

    NASA Astrophysics Data System (ADS)

    Gance, Julien; Texier, Benoît; Leite, Orlando; Bernard, Jean; Truffert, Catherine; Lebert, François; Yamashita, Yoshihiro

    2016-04-01

    Electrical resistivity tomography (ERT) is an adapted tool for the monitoring of soil moisture variations in aquifers (Binley et al., 2015). Nevertheless, in some specific cases, like for highly permeable soils or fractured aquifers, the measurements from the device can be slower than the water flow through the entire investigated zone. Therefore, the monitoring of such phenomena cannot be performed with classical devices. In such cases, we require a high-speed measurement of soils resistivity. Since 20 years, the speed of acquisition of the resistivity meters has been improved by the development of multi-channel devices allowing to perform multi-electrode (> 4) measurements. The switching capabilities of the actual devices allow to measure over long profiles up to hundreds of electrodes only using one transmitter. Based on this multi-receiver technology and on previous work from Yamashita et al. (2013), authors have developed a 250 W multi-transmitter device for the high speed measurement of resistivity and induced polarization. Current is therefore injected simultaneously in the soil through six injection electrodes. The injected current is coded for each transmitter using Code Division Multiple Access (CDMA, Yamashita et al., 2014) so that the different voltages induced by each sources can be reconstructed from the total potential measurement signal at each receiver, allowing to save acquisition time. The first operational prototype features 3 transmitters and 6 receivers. Its performances are compared to a mono-transmitter device for different sequences of acquisition in 2D and 3D configurations both in theory and on real field data acquired on a shallow sedimentary aquifer in the Loire valley in France. This device is promising for the accurate monitoring of rapid water flows in heterogeneous aquifers.

  14. Update on antimicrobial resistance.

    PubMed

    Weber, Carol J

    2005-02-01

    WHO experts believe that antimicrobial resistance is potentially containable, but the window of opportunity to control and eventually eliminate the most dangerous infectious diseases is closing. If we miss our opportunity, it may become very difficult and expensive--and in some cases impossible--to treat infectious diseases. WHO's global strategy to contain antimicrobial resistance requires a massive effort and an alliance among countries, governments, international organizations, drug manufacturers, and private and public health care sectors. If infectious diseases are fought wisely and widely by the international community, drug resistance can be controlled and lives saved.

  15. Self-assembled oxide films with tailored nanoscale ionic and electronic channels for controlled resistive switching

    DOE PAGES

    Cho, Seungho; Yun, Chao; Tappertzhofen, Stefan; ...

    2016-08-05

    Resistive switches are non-volatile memory cells based on nano-ionic redox processes that offer energy efficient device architectures and open pathways to neuromorphics and cognitive computing. However, channel formation typically requires an irreversible, not well controlled electroforming process, giving difficulty to independently control ionic and electronic properties. The device performance is also limited by the incomplete understanding of the underlying mechanisms. Here, we report a novel memristive model material system based on self-assembled Sm-doped CeO 2 and SrTiO 3 films that allow the separate tailoring of nanoscale ionic and electronic channels at high density (~10 12 inch –2). Here, we systematicallymore » show that these devices allow precise engineering of the resistance states, thus enabling large on–off ratios and high reproducibility. The tunable structure presents an ideal platform to explore ionic and electronic mechanisms and we expect a wide potential impact also on other nascent technologies, ranging from ionic gating to micro-solid oxide fuel cells and neuromorphics.« less

  16. GigA and GigB are Master Regulators of Antibiotic Resistance, Stress Responses, and Virulence in Acinetobacter baumannii

    PubMed Central

    Shuman, Howard A.

    2017-01-01

    ABSTRACT A critical component of bacterial pathogenesis is the ability of an invading organism to sense and adapt to the harsh environment imposed by the host's immune system. This is especially important for opportunistic pathogens, such as Acinetobacter baumannii, a nutritionally versatile environmental organism that has recently gained attention as a life-threatening human pathogen. The emergence of A. baumannii is closely linked to antibiotic resistance, and many contemporary isolates are multidrug resistant (MDR). Unlike many other MDR pathogens, the molecular mechanisms underlying A. baumannii pathogenesis remain largely unknown. We report here the characterization of two recently identified virulence determinants, GigA and GigB, which comprise a signal transduction pathway required for surviving environmental stresses, causing infection and antibiotic resistance. Through transcriptome analysis, we show that GigA and GigB coordinately regulate the expression of many genes and are required for generating an appropriate transcriptional response during antibiotic exposure. Genetic and biochemical data demonstrate a direct link between GigA and GigB and the nitrogen phosphotransferase system (PTSNtr), establishing a novel connection between a novel stress response module and a well-conserved metabolic-sensing pathway. Based on the results presented here, we propose that GigA and GigB are master regulators of a global stress response in A. baumannii, and coupling this pathway with the PTSNtr allows A. baumannii to integrate cellular metabolic status with external environmental cues. IMPORTANCE Opportunistic pathogens, including Acinetobacter baumannii, encounter many harsh environments during the infection cycle, including antibiotic exposure and the hostile environment within a host. While the development of antibiotic resistance in A. baumannii has been well studied, how this organism senses and responds to environmental cues remain largely unknown. Herein, we

  17. GigA and GigB are Master Regulators of Antibiotic Resistance, Stress Responses, and Virulence in Acinetobacter baumannii.

    PubMed

    Gebhardt, Michael J; Shuman, Howard A

    2017-05-15

    A critical component of bacterial pathogenesis is the ability of an invading organism to sense and adapt to the harsh environment imposed by the host's immune system. This is especially important for opportunistic pathogens, such as Acinetobacter baumannii , a nutritionally versatile environmental organism that has recently gained attention as a life-threatening human pathogen. The emergence of A. baumannii is closely linked to antibiotic resistance, and many contemporary isolates are multidrug resistant (MDR). Unlike many other MDR pathogens, the molecular mechanisms underlying A. baumannii pathogenesis remain largely unknown. We report here the characterization of two recently identified virulence determinants, GigA and GigB, which comprise a signal transduction pathway required for surviving environmental stresses, causing infection and antibiotic resistance. Through transcriptome analysis, we show that GigA and GigB coordinately regulate the expression of many genes and are required for generating an appropriate transcriptional response during antibiotic exposure. Genetic and biochemical data demonstrate a direct link between GigA and GigB and the nitrogen phosphotransferase system (PTS Ntr ), establishing a novel connection between a novel stress response module and a well-conserved metabolic-sensing pathway. Based on the results presented here, we propose that GigA and GigB are master regulators of a global stress response in A. baumannii , and coupling this pathway with the PTS Ntr allows A. baumannii to integrate cellular metabolic status with external environmental cues. IMPORTANCE Opportunistic pathogens, including Acinetobacter baumannii , encounter many harsh environments during the infection cycle, including antibiotic exposure and the hostile environment within a host. While the development of antibiotic resistance in A. baumannii has been well studied, how this organism senses and responds to environmental cues remain largely unknown. Herein, we

  18. Resistant hypertension.

    PubMed

    Wei, Fang-Fei; Zhang, Zhen-Yu; Huang, Qi-Fang; Yang, Wen-Yi; Staessen, Jan A

    2018-06-15

    The publication of the first non-randomised proof-of-concept trial of renal denervation as a treatment modality in treatment-resistant hypertension set the stage for a search for novel devices with the expectation that technology would reduce the burden of hypertension by reducing or eliminating the costly and lifelong use of blood pressure lowering medications. As we demonstrate in this review, this idea so attractive to manufacturers and invasive cardiologists and radiologists overlooked decades of careful pathophysiological research in a disease, which still remains enigmatic but remains the major cause of cardiovascular mortality worldwide. To make our point, we first reviewed the prevalence and risks associated with treatment-resistant hypertension. Next, we highlighted the key points required for the diagnosis of treatment-resistant hypertension, including the recording of the ambulatory blood pressure and the assessment of adherence to medication. Finally, we summarised new insights in the management of treatment-resistant hypertension by medication and devices and in the future research. Throughout our review, we focused on new evidence became available since 2013. Our conclusion is that optimising medical treatment based on simple algorithms remains the state of the art in treatment-resistant hypertension.

  19. An intragenic approach to confer glyphosate resistance in chile (Capsicum annuum) by introducing an in vitro mutagenized chile EPSPS gene encoding for a glyphosate resistant EPSPS protein

    PubMed Central

    Bagga, Suman; Apodaca, Kimberly; Lucero, Yvonne

    2018-01-01

    Chile pepper (Capsicum annuum) is an important high valued crop worldwide, and when grown on a large scale has problems with weeds. One important herbicide used is glyphosate. Glyphosate inactivates the enzyme 5-enolpyruvylshikimate-3-phosphate synthase (EPSPS), a key enzyme in the synthesis of aromatic amino acids. A transgenic approach towards making glyphosate resistant plants, entails introducing copies of a gene encoding for glyphosate-resistant EPSPS enzyme into the plant. The main objective of our work was to use an intragenic approach to confer resistance to glyphosate in chile which would require using only chile genes for transformation including the selectable marker. Tobacco was used as the transgenic system to identify different gene constructs that would allow for the development of the intragenic system for chile, since chile transformation is inefficient. An EPSPS gene was isolated from chile and mutagenized to introduce substitutions that are known to make the encoded enzyme resistant to glyphosate. The promoter for EPSPS gene was isolated from chile and the mutagenized chile EPSPS cDNA was engineered behind both the CaMV35S promoter and the EPSPS promoter. The leaves from the transformants were checked for resistance to glyphosate using a cut leaf assay. In tobacco, though both gene constructs exhibited some degree of resistance to glyphosate, the construct with the CaMV35S promoter was more effective and as such chile was transformed with this gene construct. The chile transformants showed resistance to low concentrations of glyphosate. Furthermore, preliminary studies showed that the mutated EPSPS gene driven by the CaMV35S promoter could be used as a selectable marker for transformation. We have shown that an intragenic approach can be used to confer glyphosate-resistance in chile. However, we need a stronger chile promoter and a mutated chile gene that encodes for a more glyphosate resistant EPSPS protein. PMID:29649228

  20. In Situ Measurement of Ground-Surface Flow Resistivity

    NASA Technical Reports Server (NTRS)

    Zuckerwar, A. J.

    1984-01-01

    New instrument allows in situ measurement of flow resistivity on Earth's ground surface. Nonintrusive instrument includes specimen holder inserted into ground. Flow resistivity measured by monitoring compressed air passing through flow-meters; pressure gages record pressure at ground surface. Specimen holder with knife-edged inner and outer cylinders easily driven into ground. Air-stream used in measuring flow resistivity of ground enters through quick-connect fitting and exits through screen and venthole.

  1. Resist Parameter Extraction from Line-and-Space Patterns of Chemically Amplified Resist for Extreme Ultraviolet Lithography

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro; Oizumi, Hiroaki; Itani, Toshiro; Tagawa, Seiichi

    2010-11-01

    The development of extreme ultraviolet (EUV) lithography has progressed owing to worldwide effort. As the development status of EUV lithography approaches the requirements for the high-volume production of semiconductor devices with a minimum line width of 22 nm, the extraction of resist parameters becomes increasingly important from the viewpoints of the accurate evaluation of resist materials for resist screening and the accurate process simulation for process and mask designs. In this study, we demonstrated that resist parameters (namely, quencher concentration, acid diffusion constant, proportionality constant of line edge roughness, and dissolution point) can be extracted from the scanning electron microscopy (SEM) images of patterned resists without the knowledge on the details of resist contents using two types of latest EUV resist.

  2. History Teaches Us That Confronting Antibiotic Resistance Requires Stronger Global Collective Action.

    PubMed

    Podolsky, Scott H; Bud, Robert; Gradmann, Christoph; Hobaek, Bård; Kirchhelle, Claas; Mitvedt, Tore; Santesmases, María Jesús; Thoms, Ulrike; Berild, Dag; Kveim Lie, Anne

    2015-01-01

    Antibiotic development and usage, and antibiotic resistance in particular, are today considered global concerns, simultaneously mandating local and global perspectives and actions. Yet such global considerations have not always been part of antibiotic policy formation, and those who attempt to formulate a globally coordinated response to antibiotic resistance will need to confront a history of heterogeneous, often uncoordinated, and at times conflicting reform efforts, whose legacies remain apparent today. Historical analysis permits us to highlight such entrenched trends and processes, helping to frame contemporary efforts to improve access, conservation and innovation. © 2015 American Society of Law, Medicine & Ethics, Inc.

  3. Skid Resistance Research

    NASA Technical Reports Server (NTRS)

    1977-01-01

    Skidding causes many traffic accidents. Streets and highways with skid-resisting surfaces reduce the incidence of such accidents. In fact, resurfacing roads to improve skid resistance is now required by federal law. Skid resistance is measured by road testing with specially equipped skid trailers. A project underway at NASA-Langley may considerably reduce the cost of skid trailers, thus making them more widely available to highway departments. For testing the skid resistance of aircraft runways, Langley engineers developed a relatively inexpensive test vehicle and a "pulsed braking" technique that is now being applied experimentally to road testing. The vehicle is a standard automobile modified to incorporate instrumentation, special test tires and valves, and a trailing fifth wheel for monitoring distance and velocity. The instrumentation includes a low-cost meter, a set of accelerometers that sense motion changes, and a chart recorder.

  4. 41 CFR 302-2.3 - What determines my entitlements and allowances for relocation?

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 41 Public Contracts and Property Management 4 2010-07-01 2010-07-01 false What determines my entitlements and allowances for relocation? 302-2.3 Section 302-2.3 Public Contracts and Property Management Federal Travel Regulation System RELOCATION ALLOWANCES INTRODUCTION 2-EMPLOYEES ELIGIBILITY REQUIREMENTS...

  5. Progress Report on Alloy 617 Time Dependent Allowables

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wright, Julie Knibloe

    2015-06-01

    Time dependent allowable stresses are required in the ASME Boiler and Pressure Vessel Code for design of components in the temperature range where time dependent deformation (i.e., creep) is expected to become significant. There are time dependent allowable stresses in Section IID of the Code for use in the non-nuclear construction codes, however, there are additional criteria that must be considered in developing time dependent allowables for nuclear components. These criteria are specified in Section III NH. St is defined as the lesser of three quantities: 100% of the average stress required to obtain a total (elastic, plastic, primary andmore » secondary creep) strain of 1%; 67% of the minimum stress to cause rupture; and 80% of the minimum stress to cause the initiation of tertiary creep. The values are reported for a range of temperatures and for time increments up to 100,000 hours. These values are determined from uniaxial creep tests, which involve the elevated temperature application of a constant load which is relatively small, resulting in deformation over a long time period prior to rupture. The stress which is the minimum resulting from these criteria is the time dependent allowable stress St. In this report data from a large number of creep and creep-rupture tests on Alloy 617 are analyzed using the ASME Section III NH criteria. Data which are used in the analysis are from the ongoing DOE sponsored high temperature materials program, form Korea Atomic Energy Institute through the Generation IV VHTR Materials Program and historical data from previous HTR research and vendor data generated in developing the alloy. It is found that the tertiary creep criterion determines St at highest temperatures, while the stress to cause 1% total strain controls at low temperatures. The ASME Section III Working Group on Allowable Stress Criteria has recommended that the uncertainties associated with determining the onset of tertiary creep and the lack of significant

  6. The US national antimicrobial resistance monitoring system.

    PubMed

    Gilbert, Jeffrey M; White, David G; McDermott, Patrick F

    2007-10-01

    The use of antimicrobial agents in food animals can select for resistant bacterial pathogens that may be transmitted to humans via the commercial meat supply. In the USA, the FDA's Center for Veterinary Medicine regulatory duties require a determination that antimicrobial drugs are safe and effective for use in food animals. In addition, a qualitative assessment of risks to human health from antimicrobial resistance requires development. This risk assessment process is supported by data generated by the FDA's National Antimicrobial Resistance Monitoring System (NARMS) for enteric bacteria. NARMS data on antimicrobial susceptibility among Salmonella, Campylobacter, Escherichia coli and Enterococcus is collected. Research activities defining the genetic bases of resistance helps to understand the potential public health risks posed by the spread of antimicrobial resistance from food animal antimicrobial use. These activities help insure that antimicrobials are used judiciously to promote human and animal health.

  7. Diagnosis of cancer multidrug resistance by bacterium-mediated imaging.

    PubMed

    Elkadi, Omar Anwar; Abdelbasset, Muhammad

    2016-04-01

    Multidrug resistance (MDR) is a phenomenon expressed by many tumors affecting the chemotherapy efficacy, treatment decision, and the disease prognosis. Considering its great implication, non-invasive approaches are needed to identify this phenomenon in early stages of the disease. This article discusses the potential of the emerging non-invasive bacterium-mediated imaging of cancer in diagnosis of MDR. This potential is derived from the effect of cancer MDR on the pharmacokinetics of certain antibiotics, which are substrates of the MDR proteins. Since MDR proteins actively pump their substrates outside the resistant cancer cells, the elimination of the employed reporter bacteria, proliferating within MDR cancer cells, would require a larger dose of these antibiotics compared to those inside non-MDR cancer cells. These bacteria bear reporter genes that produce specific signals such as bioluminescent, fluorescent, magnetic, or radioactive signals that can be detected by non-invasive imaging modalities. Therefore, the presence, degree, and mechanism of MDR can be estimated by comparing the concentration of the employed antibiotic, required to cease these signals (reflecting the elimination of the bacteria), to a pre-determined reference. The real time imaging of MDR cancer and the early diagnosis of MDR, offered by this approach, would provide a better tool for preclinical studies of MDR, and allow a prompt choice of the most appropriate therapy. Copyright © 2016 Elsevier Ltd. All rights reserved.

  8. Hidden reservoir of resistant parasites: the missing link in the elimination of falciparum malaria.

    PubMed

    Abdul-Ghani, Rashad; Mahdy, Mohammed A K; Beier, John C; Basco, Leonardo K

    2017-02-06

    To successfully eliminate malaria, an integrated system that includes a number of approaches and interventions-aimed at overcoming the threat of antimalarial drug resistance-is required. Significant progress has been made in reducing malaria incidence through large-scale use of artemisinin-based combination therapies and insecticide-treated nets. To consolidate these gains, attention should be paid to the missing links in the elimination of malaria. One of these gaps is the residual reservoir of submicroscopic resistant parasites, which remains after case management or other control measures have been carried out. Therefore, the present opinion piece highlights the importance of exploring the role that submicroscopic resistant parasites could play in hindering malaria elimination by allowing the persistence of transmission, particularly in areas of low transmission or in the pre-elimination and/or elimination phase. If malaria elimination interventions are to be effective, the relative role of the hidden reservoir of resistant parasites needs to be assessed, particularly in regions that are low-transmission settings and/or in pre-elimination and/or elimination phases. Various ongoing studies are focusing on the role of submicroscopic malaria infections in malaria transmission but overlook the possible build-up of resistance to antimalarial drugs among submicroscopic parasite populations. This is an important factor as it may eventually limit the effectiveness of malaria elimination strategies. An evidence-based estimation of the "true" reservoir of resistant parasites can help target the existing and emerging foci of resistant parasites before they spread. Emergence and spread of artemisinin-resistant Plasmodium falciparum malaria in Southeast Asia underline the need to contain drug resistance.

  9. Copper/Zinc-Superoxide Dismutase Is Required for Oxytetracycline Resistance of Saccharomyces cerevisiae

    PubMed Central

    Avery, Simon V.; Malkapuram, Srividya; Mateus, Carolina; Babb, Kimberly S.

    2000-01-01

    Saccharomyces cerevisiae, along with other eukaryotes, is resistant to tetracyclines. We found that deletion of SOD1 (encoding Cu/Zn superoxide dismutase) rendered S. cerevisiae hypersensitive to oxytetracycline (OTC): a sod1Δ mutant exhibited a >95% reduction in colony-forming ability at an OTC concentration of 20 μg ml−1, whereas concentrations of up to 1,000 μg ml−1 had no effect on the growth of the wild type. OTC resistance was restored in the sod1Δ mutant by complementation with wild-type SOD1. The effect of OTC appeared to be cytotoxic and was not evident in a ctt1Δ (cytosolic catalase) mutant or in the presence of tetracycline. SOD1 transcription was not induced by OTC, suggesting that constitutive SOD1 expression is sufficient for wild-type OTC resistance. OTC uptake levels in wild-type and sod1Δ strains were similar. However, lipid peroxidation and protein oxidation were both enhanced during exposure of the sod1Δ mutant, but not the wild type, to OTC. We propose that Sod1p protects S. cerevisiae against a mode of OTC action that is dependent on oxidative damage. PMID:10613865

  10. Shop fabricated corrosion-resistant underground storage tanks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geyer, W.B.; Stellmach, W.A.

    1995-12-31

    Integral corrosion resistance has long been incorporated into shop fabricated steel underground storage tank design. Since 1969, an industry standard has been the sti-P{sub 3}{reg_sign} (P3) tank. However, the past decade has seen the development of several alternative corrosion resistant and secondary containment technologies. Fiberglass-coated steel composite tanks, and jacketed tanks utilizing various materials as a secondary wall, provide corrosion resistance without the cathodic protection monitoring requirements mandated by the EPA for single-wall P3 tanks. On the other hand, the P3 tank is the only tank technology commonly marketed today with an integral ability to verify its corrosion resistance overmore » the life of the tank. Many existing USTs remain to be replaced or upgraded with corrosion resistance (and other requirements) by the end of 1998. Steel tanks built and installed prior to the advent of pre-engineered, factory-supplied protection against corrosion can be retrofitted with cathodic protection or can be internally lined. Specific installation standards developed by the steel tank industry and the petroleum industry must be followed so as to assure the integrity of the various corrosion resistant technologies developed by the Steel Tank Institute. The technologies describes in this paper will ensure compliance with the corrosion protection requirements of new storage tanks.« less

  11. Resistance and cross-resistance profile of the diaryltriazine NNRTI and candidate microbicide UAMC01398.

    PubMed

    Ariën, Kevin K; Venkatraj, Muthusamy; Michiels, Johan; Joossens, Jurgen; Vereecken, Katleen; Van der Veken, Pieter; Heeres, Jan; De Winter, Hans; Heyndrickx, Leo; Augustyns, Koen; Vanham, Guido

    2016-05-01

    The resistance development, cross-resistance to other NNRTIs and the impact of resistance on viral replicative fitness were studied for the new and potent NNRTI UAMC01398. Resistance was selected by dose escalation and by single high-dose selection against a comprehensive panel of NNRTIs used as therapeutics and NNRTIs under investigation for pre-exposure prophylaxis of sexual HIV transmission. A panel of 27 site-directed mutants with single mutations or combinations of mutations involved in reverse transcriptase (RT) inhibitor-mediated resistance was developed and used to confirm resistance to UAMC01398. Cross-resistance to other NNRTIs was assessed, as well as susceptibility of UAMC01398-resistant HIV to diarylpyrimidine-resistant viruses. Finally, the impact of UAMC01398 resistance on HIV replicative fitness was studied. We showed that UAMC01398 has potent activity against dapivirine-resistant HIV, that at least four mutations in the RT are required in concert for resistance and that the resistance profile is similar to rilpivirine, both genotypically and phenotypically. Resistance development to UAMC01398 is associated with a severe fitness cost. These data, together with the enhanced safety profile and good solubility in aqueous gels, make UAMC01398 an excellent candidate for HIV topical prevention. © The Author 2016. Published by Oxford University Press on behalf of the British Society for Antimicrobial Chemotherapy. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.

  12. 12 CFR 621.5 - Accounting for the allowance for loan losses and chargeoffs.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 12 Banks and Banking 6 2010-01-01 2010-01-01 false Accounting for the allowance for loan losses... ACCOUNTING AND REPORTING REQUIREMENTS General Rules § 621.5 Accounting for the allowance for loan losses and... according to generally accepted accounting principles. (b) Develop, adopt, and consistently apply policies...

  13. Cyclic Dipeptides from Bacillus vallismortis BS07 Require Key Components of Plant Immunity to Induce Disease Resistance in Arabidopsis against Pseudomonas Infection

    PubMed Central

    Noh, Seong Woo; Seo, Rira; Park, Jung-Kwon; Manir, Md. Maniruzzaman; Park, Kyungseok; Sang, Mee Kyung; Moon, Surk-Sik; Jung, Ho Won

    2017-01-01

    Cyclic dipeptides (CDPs) are one of the simplest compounds produced by living organisms. Plant-growth promoting rhizobacteria (PGPRs) also produce CDPs that can induce disease resistance. Bacillus vallismortis strain BS07 producing various CDPs has been evaluated as a potential biocontrol agent against multiple plant pathogens in chili pepper. However, plant signal pathway triggered by CDPs has not been fully elucidated yet. Here we introduce four CDPs, cyclo(Gly-L-Pro) previously identified from Aspergillus sp., and cyclo(L-Ala-L-Ile), cyclo(L-Ala-L-Leu), and cyclo(LLeu-L-Pro) identified from B. vallismortis BS07, which induce disease resistance in Arabidopsis against Pseudomonas syringae infection. The CDPs do not directly inhibit fungal and oomycete growth in vitro. These CDPs require PHYTOALEXIN DEFICIENT4, SALICYLIC ACID INDUCTION DEFICIENT2, and NONEXPRESSOR OF PATHOGENESIS-RELATED PROTEINS1 important for salicylic acid-dependent defense to induce resistance. On the other hand, regulators involved in jasmonate-dependent event, such as ETHYLENE RECEPTOR1, JASMONATE RESPONSE1, and JASMONATE INSENSITIVE1, are necessary to the CDP-induced resistance. Furthermore, treatment of these CDPs primes Arabidopsis plants to rapidly express PATHOGENESIS-RELATED PROTEIN4 at early infection phase. Taken together, we propose that these CDPs from PGPR strains accelerate activation of jasmonate-related signaling pathway during infection. PMID:28811757

  14. Genome Sequence of Staphylococcus aureus VC40, a Vancomycin- and Daptomycin-Resistant Strain, To Study the Genetics of Development of Resistance to Currently Applied Last-Resort Antibiotics

    PubMed Central

    Berscheid, Anne; Jansen, Andrea; Oedenkoven, Marion; Szekat, Christiane; Strittmatter, Axel; Gottschalk, Gerhard; Bierbaum, Gabriele

    2012-01-01

    The increasing emergence of multidrug-resistant Staphylococcus aureus is a problem of global importance. Here, we report the genome of S. aureus VC40, which is resistant to the last-resort antibiotics vancomycin and daptomycin. Its genome sequence will allow insights into the mechanisms that convey full resistance to these compounds. PMID:22461548

  15. Is bearing resistance negligible during wheelchair locomotion? Design and validation of a testing device.

    PubMed

    Bascou, Joseph; Sauret, Christophe; Lavaste, Francois; Pillet, Hélène

    2017-01-01

    Among the different resistances occurring during wheelchair locomotion and that limit the user autonomy, bearing resistance is generally neglected, based on a few studies carried out in static conditions and by manufacturer's assertion. Therefore, no special attention is generally paid to the mounting and the maintenance of manual wheelchair bearings. However, the effect of inadequate mounting or maintenance on wheelchair bearing resistance has still to be clarified. This study aimed at filling this gap by developing and validating a specific device allowing the measurement of wheelchair bearing friction, characterized by low speed velocities, with an accuracy lower than 0.003 Nm. The bearing resistance measured by the device was compared to free deceleration measurement, intra and inter operator reproducibility were assessed. A factorial experiment allowed the effects of various functioning parameters (axial and radial loads, velocity) to be classified. The device allowed significant differences in the bearing resistance of static and rotating conditions to be measured, even if a relatively high proportionality was found between both conditions. The factorial experiment allowed the expected impact of the radial load on bearing resistance as well as the predominant effect of the axial load to be demonstrated. As a consequence, it appeared that the control of the axial load is compulsory for measurement purposes or during wheel mounting, to avoid significant increase of global resistance during wheelchair locomotion. The findings of this study could help enhancing the models which assess manual wheelchair mechanical power from its settings and use conditions.

  16. Live and let die--Arabidopsis nonhost resistance to powdery mildews.

    PubMed

    Lipka, Ulrike; Fuchs, Rene; Kuhns, Christine; Petutschnig, Elena; Lipka, Volker

    2010-01-01

    The term "nonhost resistance" (NHR) describes the phenomenon that an entire plant species is resistant to all genetic variants of a non-adapted pathogen species. In nature, NHR represents the most robust form of plant immunity and is therefore of scientific as well as economic importance. Due to its highly complex nature, NHR has previously not been studied in detail. Recently, the establishment of model interaction systems utilizing Arabidopsis and non-adapted powdery mildews allowed the identification of several key components and conceptual conclusions. It is now generally accepted that NHR of Arabidopsis to powdery mildews comprises two distinct layers of defence: pre-invasion entry control at the cell periphery and post-invasion resistance based on cell death execution. The timely production and localised discharge of toxic compounds at sites of fungal attack appear to be pivotal for entry control. This process requires proteins involved in secretion and trans-membrane transport, synthesis and activation of indolic glucosinolates as well as gene regulation and post-translational protein modification. Post-invasion defence relies on lipase-like proteins and salicylic acid signalling. To what extent pathogen-associated molecular pattern- or effector-triggered immunity contribute to NHR remains to be investigated and is likely to depend on the model system studied. Copyright 2009 Elsevier GmbH. All rights reserved.

  17. Does human activity impact the natural antibiotic resistance background? Abundance of antibiotic resistance genes in 21 Swiss lakes.

    PubMed

    Czekalski, Nadine; Sigdel, Radhika; Birtel, Julia; Matthews, Blake; Bürgmann, Helmut

    2015-08-01

    Antibiotic resistance genes (ARGs) are emerging environmental contaminants, known to be continuously discharged into the aquatic environment via human and animal waste. Freshwater aquatic environments represent potential reservoirs for ARG and potentially allow sewage-derived ARG to persist and spread in the environment. This may create increased opportunities for an eventual contact with, and gene transfer to, human and animal pathogens via the food chain or drinking water. However, assessment of this risk requires a better understanding of the level and variability of the natural resistance background and the extent of the human impact. We have analyzed water samples from 21 Swiss lakes, taken at sampling points that were not under the direct influence of local contamination sources and analyzed the relative abundance of ARG using quantitative real-time PCR. Copy numbers of genes mediating resistance to three different broad-spectrum antibiotic classes (sulfonamides: sul1, sul2, tetracyclines: tet(B), tet(M), tet(W) and fluoroquinolones: qnrA) were normalized to copy numbers of bacterial 16S rRNA genes. We used multiple linear regression to assess if ARG abundance is related to human activities in the catchment, microbial community composition and the eutrophication status of the lakes. Sul genes were detected in all sampled lakes, whereas only four lakes contained quantifiable numbers of tet genes, and qnrA remained below detection in all lakes. Our data indicate higher abundance of sul1 in lakes with increasing number and capacity of wastewater treatment plants (WWTPs) in the catchment. sul2 abundance was rather related to long water residence times and eutrophication status. Our study demonstrates the potential of freshwater lakes to preserve antibiotic resistance genes, and provides a reference for ARG abundance from lake systems with low human impact as a baseline for assessing ARG contamination in lake water. Copyright © 2015 Elsevier Ltd. All rights

  18. QTL meta-analysis provides a comprehensive view of loci controlling partial resistance to Aphanomyces euteiches in four sources of resistance in pea

    USDA-ARS?s Scientific Manuscript database

    More knowledge about diversity of Quantitative Trait Loci (QTL) controlling polygenic disease resistance in natural genetic variation of crop species is required for durably improving plant genetic resistances to pathogens. Polygenic partial resistance to Aphanomyces root rot, due to Aphanomcyces eu...

  19. Resistance to dual-gene Bt maize in Spodoptera frugiperda: selection, inheritance, and cross-resistance to other transgenic events

    PubMed Central

    Santos-Amaya, Oscar F.; Rodrigues, João V. C.; Souza, Thadeu C.; Tavares, Clébson S.; Campos, Silverio O.; Guedes, Raul N.C.; Pereira, Eliseu J.G.

    2015-01-01

    Transgenic crop “pyramids” producing two or more Bacillus thuringiensis (Bt) toxins active against the same pest are used to delay evolution of resistance in insect pest populations. Laboratory and greenhouse experiments were performed with fall armyworm, Spodoptera frugiperda, to characterize resistance to Bt maize producing Cry1A.105 and Cry2Ab and test some assumptions of the “pyramid” resistance management strategy. Selection of a field-derived strain of S. frugiperda already resistant to Cry1F maize with Cry1A.105 + Cry2Ab maize for ten generations produced resistance that allowed the larvae to colonize and complete the life cycle on these Bt maize plants. Greenhouse experiments revealed that the resistance was completely recessive (Dx = 0), incomplete, autosomal, and without maternal effects or cross-resistance to the Vip3Aa20 toxin produced in other Bt maize events. This profile of resistance supports some of the assumptions of the pyramid strategy for resistance management. However, laboratory experiments with purified Bt toxin and plant leaf tissue showed that resistance to Cry1A.105 + Cry2Ab2 maize further increased resistance to Cry1Fa, which indicates that populations of fall armyworm have high potential for developing resistance to some currently available pyramided maize used against this pest, especially where resistance to Cry1Fa was reported in the field. PMID:26675246

  20. Resistance to dual-gene Bt maize in Spodoptera frugiperda: selection, inheritance, and cross-resistance to other transgenic events.

    PubMed

    Santos-Amaya, Oscar F; Rodrigues, João V C; Souza, Thadeu C; Tavares, Clébson S; Campos, Silverio O; Guedes, Raul N C; Pereira, Eliseu J G

    2015-12-17

    Transgenic crop "pyramids" producing two or more Bacillus thuringiensis (Bt) toxins active against the same pest are used to delay evolution of resistance in insect pest populations. Laboratory and greenhouse experiments were performed with fall armyworm, Spodoptera frugiperda, to characterize resistance to Bt maize producing Cry1A.105 and Cry2Ab and test some assumptions of the "pyramid" resistance management strategy. Selection of a field-derived strain of S. frugiperda already resistant to Cry1F maize with Cry1A.105 + Cry2Ab maize for ten generations produced resistance that allowed the larvae to colonize and complete the life cycle on these Bt maize plants. Greenhouse experiments revealed that the resistance was completely recessive (Dx = 0), incomplete, autosomal, and without maternal effects or cross-resistance to the Vip3Aa20 toxin produced in other Bt maize events. This profile of resistance supports some of the assumptions of the pyramid strategy for resistance management. However, laboratory experiments with purified Bt toxin and plant leaf tissue showed that resistance to Cry1A.105 + Cry2Ab2 maize further increased resistance to Cry1Fa, which indicates that populations of fall armyworm have high potential for developing resistance to some currently available pyramided maize used against this pest, especially where resistance to Cry1Fa was reported in the field.

  1. RESIDENTIAL RADON RESISTANT CONSTRUCTION FEATURE SELECTION SYSTEM

    EPA Science Inventory

    The report describes a proposed residential radon resistant construction feature selection system. The features consist of engineered barriers to reduce radon entry and accumulation indoors. The proposed Florida standards require radon resistant features in proportion to regional...

  2. Phosphine resistance does not confer cross-resistance to sulfuryl fluoride in four major stored grain insect pests.

    PubMed

    Jagadeesan, Rajeswaran; Nayak, Manoj K

    2017-07-01

    Susceptibility to phosphine (PH 3 ) and sulfuryl fluoride (SF) and cross-resistance to SF were evaluated in two life stages (eggs and adults) of key grain insect pests, Rhyzopertha dominca (F.), Sitophilus oryzae (L.), Cryptolestes ferrugineus (Stephens), and Tribolium castaneum (Herbst). This study was performed with an aim to integrate SF into phosphine resistance management programmes in Australia. Characterisation of susceptibility and resistance to phosphine in eggs and adults showed that C. ferrugineus was the most tolerant as well as resistant species. Mortality responses of eggs and adults to SF at 25 °C revealed T. castaneum to be the most tolerant species followed by S. oryzae, C. ferrugineus and R. dominica. A high dose range of SF, 50.8-62.2 mg L -1 over 48 h, representing c (concentration) × t (time) products of 2438-2985 gh m -3 , was required for complete control of eggs of T. castaneum, whereas eggs of the least tolerant R. dominca required only 630 gh m -3 for 48 h (13.13 mg L -1 ). Mortality response of eggs and adults of phosphine-resistant strains to SF in all four species confirmed the lack of cross-resistance to SF. Our research concludes that phosphine resistance does not confer cross-resistance to SF in grain insect pests irrespective of the variation in levels of tolerance to SF itself or resistance to phosphine in their egg and adult stages. While our study confirms that SF has potential as a 'phosphine resistance breaker', the observed higher tolerance in eggs stresses the importance of developing SF fumigation protocols with longer exposure periods. © 2016 Society of Chemical Industry. © 2016 Society of Chemical Industry.

  3. The Arabidopsis Elongator complex is required for nonhost resistance against the bacterial pathogens Xanthomonas citri subsp. citri and Pseudomonas syringae pv. phaseolicola NPS3121.

    PubMed

    An, Chuanfu; Wang, Chenggang; Mou, Zhonglin

    2017-05-01

    Although in recent years nonhost resistance has attracted considerable attention for its broad spectrum and durability, the genetic and mechanistic components of nonhost resistance have not been fully understood. We used molecular and histochemical approaches including quantitative PCR, chromatin immunoprecipitation, and 3,3'-diaminobenzidine and aniline blue staining. The evolutionarily conserved histone acetyltransferase complex Elongator was identified as a major component of nonhost resistance against Xanthomonas citri subsp. citri (Xcc) and Pseudomonas syringae pv. phaseolicola (Psp) NPS3121. Mutations in Elongator genes inhibit Xcc-, Psp NPS3121- and/or flg22-induced defense responses including defense gene expression, callose deposition, and reactive oxygen species (ROS) and salicylic acid (SA) accumulation. Mutations in Elongator also attenuate the ROS-SA amplification loop. We show that suppressed ROS and SA accumulation in Elongator mutants is correlated with reduced expression of the Arabidopsis respiratory burst oxidase homologue AtrbohD and the SA biosynthesis gene ISOCHORISMATE SYNTHASE1 (ICS1). Furthermore, we found that the Elongator subunit ELP2 is associated with the chromatin of AtrbohD and ICS1 and is required for maintaining basal histone H3 acetylation levels in these key defense genes. As both AtrbohD and ICS1 contribute to nonhost resistance against Xcc, our results reveal an epigenetic mechanism by which Elongator regulates nonhost resistance in Arabidopsis. © 2017 The Authors. New Phytologist © 2017 New Phytologist Trust.

  4. Functional Analysis of Genes Comprising the Locus of Heat Resistance in Escherichia coli

    PubMed Central

    Mercer, Ryan; Nguyen, Oanh; Ou, Qixing; McMullen, Lynn

    2017-01-01

    of pathogens by current food processing and preparation techniques. The function of LHR-comprising genes and their regulation, however, remain largely unknown. This study defines a core complement of LHR-encoded proteins that are necessary for heat resistance and demonstrates that regulation of the LHR in E. coli requires a chromosomal copy of the gene encoding EvgA. This study provides insight into the function of a transmissible genomic island that allows otherwise heat-sensitive enteric bacteria, including pathogens, to lead a thermoduric lifestyle and thus contributes to the detection and control of heat-resistant enteric bacteria in food. PMID:28802266

  5. The Role of Oxidoreductases in Determining the Function of the Neisserial Lipid A Phosphoethanolamine Transferase Required for Resistance to Polymyxin

    PubMed Central

    Piek, Susannah; Wang, Zhirui; Ganguly, Jhuma; Lakey, Adam M.; Bartley, Stephanie N.; Mowlaboccus, Shakeel; Anandan, Anandhi; Stubbs, Keith A.; Scanlon, Martin J.; Vrielink, Alice; Azadi, Parastoo; Carlson, Russell W.; Kahler, Charlene M.

    2014-01-01

    The decoration of the lipid A headgroups of the lipooligosaccharide (LOS) by the LOS phosphoethanolamine (PEA) transferase (LptA) in Neisseria spp. is central for resistance to polymyxin. The structure of the globular domain of LptA shows that the protein has five disulphide bonds, indicating that it is a potential substrate of the protein oxidation pathway in the bacterial periplasm. When neisserial LptA was expressed in Escherichia coli in the presence of the oxidoreductase, EcDsbA, polymyxin resistance increased 30-fold. LptA decorated one position of the E. coli lipid A headgroups with PEA. In the absence of the EcDsbA, LptA was degraded in E. coli. Neisseria spp. express three oxidoreductases, DsbA1, DsbA2 and DsbA3, each of which appear to donate disulphide bonds to different targets. Inactivation of each oxidoreductase in N. meningitidis enhanced sensitivity to polymyxin with combinatorial mutants displaying an additive increase in sensitivity to polymyxin, indicating that the oxidoreductases were required for multiple pathways leading to polymyxin resistance. Correlates were sought between polymyxin sensitivity, LptA stability or activity and the presence of each of the neisserial oxidoreductases. Only meningococcal mutants lacking DsbA3 had a measurable decrease in the amount of PEA decoration on lipid A headgroups implying that LptA stability was supported by the presence of DsbA3 but did not require DsbA1/2 even though these oxidoreductases could oxidise the protein. This is the first indication that DsbA3 acts as an oxidoreductase in vivo and that multiple oxidoreductases may be involved in oxidising the one target in N. meningitidis. In conclusion, LptA is stabilised by disulphide bonds within the protein. This effect was more pronounced when neisserial LptA was expressed in E. coli than in N. meningitidis and may reflect that other factors in the neisserial periplasm have a role in LptA stability. PMID:25215579

  6. High Velocity Oxidation and Hot Corrosion Resistance of Some ODS Alloys

    NASA Technical Reports Server (NTRS)

    Lowell, C. E.; Deadmore, D. L.

    1977-01-01

    Several oxide dispersion strengthened (ODS) alloys were tested for cyclic, high velocity, oxidation, and hot corrosion resistance. These results were compared to the resistance of an advanced, NiCrAl coated superalloy. An ODS FeCrAl were identified as having sufficient oxidation and hot corrosion resistance to allow potential use in an aircraft gas turbine without coating.

  7. A spatial approach for the epidemiology of antibiotic use and resistance in community-based studies: the emergence of urban clusters of Escherichia coli quinolone resistance in Sao Paulo, Brasil

    PubMed Central

    2011-01-01

    Background Population antimicrobial use may influence resistance emergence. Resistance is an ecological phenomenon due to potential transmissibility. We investigated spatial and temporal patterns of ciprofloxacin (CIP) population consumption related to E. coli resistance emergence and dissemination in a major Brazilian city. A total of 4,372 urinary tract infection E. coli cases, with 723 CIP resistant, were identified in 2002 from two outpatient centres. Cases were address geocoded in a digital map. Raw CIP consumption data was transformed into usage density in DDDs by CIP selling points influence zones determination. A stochastic model coupled with a Geographical Information System was applied for relating resistance and usage density and for detecting city areas of high/low resistance risk. Results E. coli CIP resistant cluster emergence was detected and significantly related to usage density at a level of 5 to 9 CIP DDDs. There were clustered hot-spots and a significant global spatial variation in the residual resistance risk after allowing for usage density. Conclusions There were clustered hot-spots and a significant global spatial variation in the residual resistance risk after allowing for usage density. The usage density of 5-9 CIP DDDs per 1,000 inhabitants within the same influence zone was the resistance triggering level. This level led to E. coli resistance clustering, proving that individual resistance emergence and dissemination was affected by antimicrobial population consumption. PMID:21356088

  8. [Molecular characterization of resistance mechanisms: methicillin resistance Staphylococcus aureus, extended spectrum β-lactamases and carbapenemases].

    PubMed

    Oteo, Jesús; Belén Aracil, María

    2015-07-01

    Multi-drug resistance in bacterial pathogens increases morbidity and mortality in infected patients and it is a threat to public health concern by their high capacity to spread. For both reasons, the rapid detection of multi-drug resistant bacteria is critical. Standard microbiological procedures require 48-72 h to provide the antimicrobial susceptibility results, thus there is emerging interest in the development of rapid detection techniques. In recent years, the use of selective and differential culture-based methods has widely spread. However, the capacity for detecting antibiotic resistance genes and their low turnaround times has made molecular methods a reference for diagnosis of multidrug resistance. This review focusses on the molecular methods for detecting some mechanisms of antibiotic resistance with a high clinical and epidemiological impact: a) Enzymatic resistance to broad spectrum β-lactam antibiotics in Enterobacteriaceae, mainly extended spectrum β-lactamases (ESBL) and carbapenemases; and b) methicillin resistance in Staphylococcus aureus. Copyright © 2015 Elsevier España, S.L.U. All rights reserved.

  9. Cross-Resistance: A Consequence of Bi-partite Host-Parasite Coevolution

    PubMed Central

    Joop, Gerrit

    2018-01-01

    Host-parasite coevolution can influence interactions of the host and parasite with the wider ecological community. One way that this may manifest is in cross-resistance towards other parasites, which has been observed to occur in some host-parasite evolution experiments. In this paper, we test for cross-resistance towards Bacillus thuringiensis and Pseudomonas entomophila in the red flour beetle Tribolium castaneum, which was previously allowed to coevolve with the generalist entomopathogenic fungus Beauveria bassiana. We combine survival and gene expression assays upon infection to test for cross-resistance and underlying mechanisms. We show that larvae of T. castaneum that evolved with B. bassiana under coevolutionary conditions were positively cross-resistant to the bacterium B. thuringiensis, but not P. entomophila. Positive cross-resistance was mirrored at the gene expression level with markers that were representative of the oral route of infection being upregulated upon B. bassiana exposure. We find that positive cross-resistance towards B. thuringiensis evolved in T. castaneum as a consequence of its coevolutionary interactions with B. bassiana. This cross-resistance appears to be a consequence of resistance to oral toxicity. The fact that coevolution with B. bassiana results in resistance to B. thuringiensis, but not P. entomophila implies that B. thuringiensis and B. bassiana may share mechanisms of infection or toxicity not shared by P. entomophila. This supports previous suggestions that B. bassiana may possess Cry-like toxins, similar to those found in B. thuringiensis, which allow it to infect orally. PMID:29495405

  10. Systematic drug screening reveals specific vulnerabilities and co-resistance patterns in endocrine-resistant breast cancer.

    PubMed

    Kangaspeska, Sara; Hultsch, Susanne; Jaiswal, Alok; Edgren, Henrik; Mpindi, John-Patrick; Eldfors, Samuli; Brück, Oscar; Aittokallio, Tero; Kallioniemi, Olli

    2016-07-04

    The estrogen receptor (ER) inhibitor tamoxifen reduces breast cancer mortality by 31 % and has served as the standard treatment for ER-positive breast cancers for decades. However, 50 % of advanced ER-positive cancers display de novo resistance to tamoxifen, and acquired resistance evolves in 40 % of patients who initially respond. Mechanisms underlying resistance development remain poorly understood and new therapeutic opportunities are urgently needed. Here, we report the generation and characterization of seven tamoxifen-resistant breast cancer cell lines from four parental strains. Using high throughput drug sensitivity and resistance testing (DSRT) with 279 approved and investigational oncology drugs, exome-sequencing and network analysis, we for the first time, systematically determine the drug response profiles specific to tamoxifen resistance. We discovered emerging vulnerabilities towards specific drugs, such as ERK1/2-, proteasome- and BCL-family inhibitors as the cells became tamoxifen-resistant. Co-resistance to other drugs such as the survivin inhibitor YM155 and the chemotherapeutic agent paclitaxel also occurred. This study indicates that multiple molecular mechanisms dictate endocrine resistance, resulting in unexpected vulnerabilities to initially ineffective drugs, as well as in emerging co-resistances. Thus, combatting drug-resistant tumors will require patient-tailored strategies in order to identify new drug vulnerabilities, and to understand the associated co-resistance patterns.

  11. Potential mechanisms of resistance to microtubule inhibitors.

    PubMed

    Kavallaris, Maria; Annereau, Jean-Philippe; Barret, Jean-Marc

    2008-06-01

    Antimitotic drugs targeting the microtubules, such as the taxanes and vinca alkaloids, are widely used in the treatment of neoplastic diseases. Development of drug resistance over time, however, limits the efficacy of these agents and poses a clinical challenge to long-term improvement of patient outcomes. Understanding the mechanism(s) of drug resistance becomes paramount to allowing for alternative, if not improved, therapeutic options that might circumvent this challenge. Vinflunine, a novel microtubule inhibitor, has shown superior preclinical antitumor activity, and displays a different pattern of resistance, compared with other agents in the vinca alkaloid class.

  12. 5 CFR 591.104 - Higher initial maximum uniform allowance rate.

    Code of Federal Regulations, 2010 CFR

    2010-01-01

    ... 5 Administrative Personnel 1 2010-01-01 2010-01-01 false Higher initial maximum uniform allowance rate. 591.104 Section 591.104 Administrative Personnel OFFICE OF PERSONNEL MANAGEMENT CIVIL SERVICE... initial year a new style or type of minimum basic uniform is required for a category of employees, an...

  13. Simple Method for Markerless Gene Deletion in Multidrug-Resistant Acinetobacter baumannii

    PubMed Central

    Oh, Man Hwan; Lee, Je Chul; Kim, Jungmin

    2015-01-01

    The traditional markerless gene deletion technique based on overlap extension PCR has been used for generating gene deletions in multidrug-resistant Acinetobacter baumannii. However, the method is time-consuming because it requires restriction digestion of the PCR products in DNA cloning and the construction of new vectors containing a suitable antibiotic resistance cassette for the selection of A. baumannii merodiploids. Moreover, the availability of restriction sites and the selection of recombinant bacteria harboring the desired chimeric plasmid are limited, making the construction of a chimeric plasmid more difficult. We describe a rapid and easy cloning method for markerless gene deletion in A. baumannii, which has no limitation in the availability of restriction sites and allows for easy selection of the clones carrying the desired chimeric plasmid. Notably, it is not necessary to construct new vectors in our method. This method utilizes direct cloning of blunt-end DNA fragments, in which upstream and downstream regions of the target gene are fused with an antibiotic resistance cassette via overlap extension PCR and are inserted into a blunt-end suicide vector developed for blunt-end cloning. Importantly, the antibiotic resistance cassette is placed outside the downstream region in order to enable easy selection of the recombinants carrying the desired plasmid, to eliminate the antibiotic resistance cassette via homologous recombination, and to avoid the necessity of constructing new vectors. This strategy was successfully applied to functional analysis of the genes associated with iron acquisition by A. baumannii ATCC 19606 and to ompA gene deletion in other A. baumannii strains. Consequently, the proposed method is invaluable for markerless gene deletion in multidrug-resistant A. baumannii. PMID:25746991

  14. Resistivity Measurement by Dual-Configuration Four-Probe Method

    NASA Astrophysics Data System (ADS)

    Yamashita, Masato; Nishii, Toshifumi; Mizutani, Hiroya

    2003-02-01

    The American Society for Testing and Materials (ASTM) Committee has published a new technique for the measurement of resistivity which is termed the dual-configuration four-probe method. The resistivity correction factor is the function of only the data which are obtained from two different electrical configurations of the four probes. The measurement of resistivity and sheet resistance are performed for graphite rectangular plates and indium tin oxide (ITO) films by the conventional four-probe method and the dual-configuration four-probe method. It is demonstrated that the dual-configuration four-probe method which includes a probe array with equal separations of 10 mm can be applied to specimens having thicknesses up to 3.7 mm if a relative resistivity difference up to 5% is allowed.

  15. Analytical method for establishing indentation rolling resistance

    NASA Astrophysics Data System (ADS)

    Gładysiewicz, Lech; Konieczna, Martyna

    2018-01-01

    Belt conveyors are highly reliable machines able to work in special operating conditions. Harsh environment, long distance of transporting and great mass of transported martials are cause of high energy usage. That is why research in the field of belt conveyor transportation nowadays focuses on reducing the power consumption without lowering their efficiency. In this paper, previous methods for testing rolling resistance are described, and new method designed by authors was presented. New method of testing rolling resistance is quite simple and inexpensive. Moreover it allows to conduct the experimental tests of the impact of different parameters on the value of indentation rolling resistance such as core design, cover thickness, ambient temperature, idler travel frequency, or load value as well. Finally results of tests of relationship between rolling resistance and idler travel frequency and between rolling resistance and idler travel speed was presented.

  16. An LRR/Malectin Receptor-Like Kinase Mediates Resistance to Non-adapted and Adapted Powdery Mildew Fungi in Barley and Wheat.

    PubMed

    Rajaraman, Jeyaraman; Douchkov, Dimitar; Hensel, Götz; Stefanato, Francesca L; Gordon, Anna; Ereful, Nelzo; Caldararu, Octav F; Petrescu, Andrei-Jose; Kumlehn, Jochen; Boyd, Lesley A; Schweizer, Patrick

    2016-01-01

    Pattern recognition receptors (PRRs) belonging to the multigene family of receptor-like kinases (RLKs) are the sensing devices of plants for microbe- or pathogen-associated molecular patterns released from microbial organisms. Here we describe Rnr8 (for Required for non-host resistance 8 ) encoding HvLEMK1, a LRR-malectin domain-containing transmembrane RLK that mediates non-host resistance of barley to the non-adapted wheat powdery mildew fungus Blumeria graminis f.sp. tritici . Transgenic barley lines with silenced HvLEMK1 allow entry and colony growth of the non-adapted pathogen, although sporulation was reduced and final colony size did not reach that of the adapted barley powdery mildew fungus B. graminis f.sp. hordei . Transient expression of the barley or wheat LEMK1 genes enhanced resistance in wheat to the adapted wheat powdery mildew fungus while expression of the same genes did not protect barley from attack by the barley powdery mildew fungus. The results suggest that HvLEMK1 is a factor mediating non-host resistance in barley and quantitative host resistance in wheat to the wheat powdery mildew fungus.

  17. 40 CFR 157.30 - Voluntary use of child-resistant packaging.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... packaging. 157.30 Section 157.30 Protection of Environment ENVIRONMENTAL PROTECTION AGENCY (CONTINUED) PESTICIDE PROGRAMS PACKAGING REQUIREMENTS FOR PESTICIDES AND DEVICES Child-Resistant Packaging § 157.30 Voluntary use of child-resistant packaging. A registrant whose product is not required to be in child...

  18. Multilevel resistive information storage and retrieval

    DOEpatents

    Lohn, Andrew; Mickel, Patrick R.

    2016-08-09

    The present invention relates to resistive random-access memory (RRAM or ReRAM) systems, as well as methods of employing multiple state variables to form degenerate states in such memory systems. The methods herein allow for precise write and read steps to form multiple state variables, and these steps can be performed electrically. Such an approach allows for multilevel, high density memory systems with enhanced information storage capacity and simplified information retrieval.

  19. 46 CFR 111.20-1 - General requirements.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... REQUIREMENTS Transformer Construction, Installation, and Protection § 111.20-1 General requirements. Each transformer winding must be resistant to moisture, sea atmosphere, and oil vapor, unless special precautions...

  20. 46 CFR 111.20-1 - General requirements.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... REQUIREMENTS Transformer Construction, Installation, and Protection § 111.20-1 General requirements. Each transformer winding must be resistant to moisture, sea atmosphere, and oil vapor, unless special precautions...

  1. 46 CFR 111.20-1 - General requirements.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... REQUIREMENTS Transformer Construction, Installation, and Protection § 111.20-1 General requirements. Each transformer winding must be resistant to moisture, sea atmosphere, and oil vapor, unless special precautions...

  2. 46 CFR 111.20-1 - General requirements.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... REQUIREMENTS Transformer Construction, Installation, and Protection § 111.20-1 General requirements. Each transformer winding must be resistant to moisture, sea atmosphere, and oil vapor, unless special precautions...

  3. 46 CFR 111.20-1 - General requirements.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... REQUIREMENTS Transformer Construction, Installation, and Protection § 111.20-1 General requirements. Each transformer winding must be resistant to moisture, sea atmosphere, and oil vapor, unless special precautions...

  4. Recessive Resistance to Plant Viruses: Potential Resistance Genes Beyond Translation Initiation Factors

    PubMed Central

    Hashimoto, Masayoshi; Neriya, Yutaro; Yamaji, Yasuyuki; Namba, Shigetou

    2016-01-01

    The ability of plant viruses to propagate their genomes in host cells depends on many host factors. In the absence of an agrochemical that specifically targets plant viral infection cycles, one of the most effective methods for controlling viral diseases in plants is taking advantage of the host plant’s resistance machinery. Recessive resistance is conferred by a recessive gene mutation that encodes a host factor critical for viral infection. It is a branch of the resistance machinery and, as an inherited characteristic, is very durable. Moreover, recessive resistance may be acquired by a deficiency in a negative regulator of plant defense responses, possibly due to the autoactivation of defense signaling. Eukaryotic translation initiation factor (eIF) 4E and eIF4G and their isoforms are the most widely exploited recessive resistance genes in several crop species, and they are effective against a subset of viral species. However, the establishment of efficient, recessive resistance-type antiviral control strategies against a wider range of plant viral diseases requires genetic resources other than eIF4Es. In this review, we focus on recent advances related to antiviral recessive resistance genes evaluated in model plants and several crop species. We also address the roles of next-generation sequencing and genome editing technologies in improving plant genetic resources for recessive resistance-based antiviral breeding in various crop species. PMID:27833593

  5. Comparison of joint kinetics during free weight and flywheel resistance exercise.

    PubMed

    Chiu, Loren Z F; Salem, George J

    2006-08-01

    The most common modality for resistance exercise is free weight resistance. Alternative methods of providing external resistance have been investigated, in particular for use in microgravity environments such as space flight. One alternative modality is flywheel inertial resistance, which generates resistance as a function of the mass, distribution of mass, and angular acceleration of the flywheel. The purpose of this investigation was to characterize net joint kinetics of multijoint exercises performed with a flywheel inertial resistance device in comparison to free weights. Eleven trained men and women performed the front squat, lunge, and push press on separate days with free weight or flywheel resistance, while instrumented for biomechanical analysis. Front squats performed with flywheel resistance required greater contribution of the hip and ankle, and less contribution of the knee, compared to free weight. Push presses performed with flywheel resistance had similar impulse requirements at the knee compared to free weight, but greater impulse requirement at the hip and ankle. As used in this investigation, flywheel inertial resistance increases the demand on the hip extensors and ankle plantarflexors and decreases the mechanical demand on the knee extensors for lower extremity exercises such as the front squat and lunge. Exercises involving dynamic lower and upper extremity actions, such as the push press, may benefit from flywheel inertial resistance, due to the increased mechanical demand on the knee extensors.

  6. Overview of glyphosate-resistant weeds worldwide.

    PubMed

    Heap, Ian; Duke, Stephen O

    2018-05-01

    Glyphosate is the most widely used and successful herbicide discovered to date, but its utility is now threatened by the occurrence of several glyphosate-resistant weed species. Glyphosate resistance first appeared in Lolium rigidum in an apple orchard in Australia in 1996, ironically the year that the first glyphosate-resistant crop (soybean) was introduced in the USA. Thirty-eight weed species have now evolved resistance to glyphosate, distributed across 37 countries and in 34 different crops and six non-crop situations. Although glyphosate-resistant weeds have been identified in orchards, vineyards, plantations, cereals, fallow and non-crop situations, it is the glyphosate-resistant weeds in glyphosate-resistant crop systems that dominate the area infested and growing economic impact. Glyphosate-resistant weeds present the greatest threat to sustained weed control in major agronomic crops because this herbicide is used to control weeds with resistance to herbicides with other sites of action, and no new herbicide sites of action have been introduced for over 30 years. Industry has responded by developing herbicide resistance traits in major crops that allow existing herbicides to be used in a new way. However, over reliance on these traits will result in multiple-resistance in weeds. Weed control in major crops is at a precarious point, where we must maintain the utility of the herbicides we have until we can transition to new weed management technologies. © 2017 Society of Chemical Industry. © 2017 Society of Chemical Industry.

  7. Muscle Activity in Upper-Body Single-Joint Resistance Exercises with Elastic Resistance Bands vs. Free Weights

    PubMed Central

    Bergquist, Ronny; Iversen, Vegard Moe; Mork, Paul J; Fimland, Marius Steiro

    2018-01-01

    Abstract Elastic resistance bands require little space, are light and portable, but their efficacy has not yet been established for several resistance exercises. The main objective of this study was to compare the muscle activation levels induced by elastic resistance bands versus conventional resistance training equipment (dumbbells) in the upper-body resistance exercises flyes and reverse flyes. The level of muscle activation was measured with surface electromyography in 29 men and women in a cross-over design where resistance loadings with elastic resistance bands and dumbbells were matched using 10-repetition maximum loadings. Elastic resistance bands induced slightly lower muscle activity in the muscles most people aim to activate during flyes and reverse flies, namely pectoralis major and deltoideus posterior, respectively. However, elastic resistance bands increased the muscle activation level substantially in perceived ancillary muscles, that is deltoideus anterior in flyes, and deltoideus medius and trapezius descendens in reverse flyes, possibly due to elastic bands being a more unstable resistance modality. Overall, the results show that elastic resistance bands can be considered a feasible alternative to dumbbells in flyes and reverse flyes. PMID:29599855

  8. 40 CFR 280.94 - Allowable mechanisms and combinations of mechanisms.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... requirements of the financial test under this rule, the financial statements of the owner or operator are not consolidated with the financial statements of the guarantor. [53 FR 43370, Oct. 26, 1988, as amended at 58 FR... OPERATORS OF UNDERGROUND STORAGE TANKS (UST) Financial Responsibility § 280.94 Allowable mechanisms and...

  9. Tackling antibiotic resistance: the environmental framework.

    PubMed

    Berendonk, Thomas U; Manaia, Célia M; Merlin, Christophe; Fatta-Kassinos, Despo; Cytryn, Eddie; Walsh, Fiona; Bürgmann, Helmut; Sørum, Henning; Norström, Madelaine; Pons, Marie-Noëlle; Kreuzinger, Norbert; Huovinen, Pentti; Stefani, Stefania; Schwartz, Thomas; Kisand, Veljo; Baquero, Fernando; Martinez, José Luis

    2015-05-01

    Antibiotic resistance is a threat to human and animal health worldwide, and key measures are required to reduce the risks posed by antibiotic resistance genes that occur in the environment. These measures include the identification of critical points of control, the development of reliable surveillance and risk assessment procedures, and the implementation of technological solutions that can prevent environmental contamination with antibiotic resistant bacteria and genes. In this Opinion article, we discuss the main knowledge gaps, the future research needs and the policy and management options that should be prioritized to tackle antibiotic resistance in the environment.

  10. van der Pauw's Theorem on Sheet Resistance

    ERIC Educational Resources Information Center

    Bolt, Michael

    2017-01-01

    The sheet resistance of a conducting material of uniform thickness is analogous to the resistivity of a solid material and provides a measure of electrical resistance. In 1958, L. J. van der Pauw found an effective method for computing sheet resistance that requires taking two electrical measurements from four points on the edge of a simply…

  11. Are Recommended Daily Allowances for Vitamin C Adequate?

    PubMed Central

    Pauling, Linus

    1974-01-01

    The Recommended Dietary Allowance of vitamin C (ascorbic acid) for adults has now been set at 45 mg day-1 by the U.S. Food and Nutrition Board. This intake suffices to prevent scurvy in most people. It is, however, much less than the optimum intake, the intake that leads to the best of health. A larger intake decreases the incidence and severity of the common cold and other diseases. Ascorbic acid has antiviral and antibacterial activity and is required for phagocytic activity of leukocytes. Several arguments indicate that for different human beings the optimum intake lies between 250 mg day-1 and a much higher value, 5000 mg day-1 or more. It is proposed that the present Recommended Dietary Allowance of 45 mg day-1 of vitamin C for adults be renamed the Minimum Dietary Allowance, defined as the amount needed to prevent scurvy, and that, after consideration of the evidence about intake and the best of health, there be formulated another category of values, the Recommended Daily Intake, with the suggested range of 250 mg day-1 to 4000 mg day-1 of ascorbic acid for an adult. PMID:4612519

  12. Use of Allowable Emissions for NAAQS Impact Analysis Under the Requirements for PSD

    EPA Pesticide Factsheets

    This document may be of assistance in applying the New Source Review (NSR) air permitting regulations including the Prevention of Significant Deterioration (PSD) requirements. This document is part of the NSR Policy and Guidance Database. Some documents in the database are a scanned or retyped version of a paper photocopy of the original. Although we have taken considerable effort to quality assure the documents, some may contain typographical errors. Contact the office that issued the document if you need a copy of the original.

  13. Material and cooling requirements for poly-Bitter resistive magnets and hybrid inserts generating continuous fields up to 50 T

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, B.J.; Bird, M.D.; Eyssa, Y.M.

    1994-07-01

    The new National High Magnetic Field Laboratory (NHMFL), equipped with a 40 MW DC power supply, will design and construct the next generation of high field resistive magnets and hybrid inserts generating DC fields up to 50 T. The authors present a study on the required materials and the necessary cooling characteristics, these magnets need. The configuration selected for this study consists of a combination of thin poly-Bitter and thick Bitter coils optimized in dimensions and power under constraint of maximum design stress and heat removal to obtain maximum field. The study shows that each design requires a different optimummore » ratio of conductor strength to electrical conductivity and that efficient cooling is only advantageous if strong copper alloys are used. For efficient use of the available power the development of new high strength, high conductivity materials will be necessary. Equally important are improvements in the heat transfer characteristics of these high power density magnets.« less

  14. The evolution of resistance genes in multi-protein plant resistance systems.

    PubMed

    Friedman, Aaron R; Baker, Barbara J

    2007-12-01

    The genomic perspective aids in integrating the analysis of single resistance (R-) genes into a higher order model of complex plant resistance systems. The majority of R-genes encode a class of proteins with nucleotide binding (NB) and leucine-rich repeat (LRR) domains. Several R-proteins act in multi-protein R-complexes that mediate interaction with pathogen effectors to induce resistance signaling. The complexity of these systems seems to have resulted from multiple rounds of plant-pathogen co-evolution. R-gene evolution is thought to be facilitated by the formation of R-gene clusters, which permit sequence exchanges via recombinatorial mispairing and generate high haplotypic diversity. This pattern of evolution may also generate diversity at other loci that contribute to the R-complex. The rate of recombination at R-clusters is not necessarily homogeneous or consistent over evolutionary time: recent evidence suggests that recombination at R-clusters is increased following pathogen infection, suggesting a mechanism that induces temporary genome instability in response to extreme stress. DNA methylation and chromatin modifications may allow this instability to be conditionally regulated and targeted to specific genome regions. Knowledge of natural R-gene evolution may contribute to strategies for artificial evolution of novel resistance specificities.

  15. Abrasion-Resistant Coating for Flexible Insulation

    NASA Technical Reports Server (NTRS)

    Mui, D.; Headding, R. E.

    1986-01-01

    Ceramic coating increases durability and heat resistance of flexible high-temperature insulation. Coating compatible with quartz-fabric insulation allowing it to remain flexible during and after repeated exposures to temperatures of 1,800 degree F (982 degree C). Prevents fabric from becoming brittle while increasing resistance to aerodynamic abrasion and loading. Coating consists of penetrating precoat and topcoat. Major ingredients high-purity colloidal silica binder and ground silica filler, which ensure stability and compatibility with fabric at high temperatures. Both precoat and topcoat cured at room temperature.

  16. New high resolution Random Telegraph Noise (RTN) characterization method for resistive RAM

    NASA Astrophysics Data System (ADS)

    Maestro, M.; Diaz, J.; Crespo-Yepes, A.; Gonzalez, M. B.; Martin-Martinez, J.; Rodriguez, R.; Nafria, M.; Campabadal, F.; Aymerich, X.

    2016-01-01

    Random Telegraph Noise (RTN) is one of the main reliability problems of resistive switching-based memories. To understand the physics behind RTN, a complete and accurate RTN characterization is required. The standard equipment used to analyse RTN has a typical time resolution of ∼2 ms which prevents evaluating fast phenomena. In this work, a new RTN measurement procedure, which increases the measurement time resolution to 2 μs, is proposed. The experimental set-up, together with the recently proposed Weighted Time Lag (W-LT) method for the analysis of RTN signals, allows obtaining a more detailed and precise information about the RTN phenomenon.

  17. Extensive Gene Amplification as a Mechanism for Piperacillin-Tazobactam Resistance in Escherichia coli.

    PubMed

    Schechter, Lisa M; Creely, David P; Garner, Cherilyn D; Shortridge, Dee; Nguyen, Hoan; Chen, Lei; Hanson, Blake M; Sodergren, Erica; Weinstock, George M; Dunne, W Michael; van Belkum, Alex; Leopold, Shana R

    2018-04-24

    Although the TEM-1 β-lactamase (Bla TEM-1 ) hydrolyzes penicillins and narrow-spectrum cephalosporins, organisms expressing this enzyme are typically susceptible to β-lactam/β-lactamase inhibitor combinations such as piperacillin-tazobactam (TZP). However, our previous work led to the discovery of 28 clinical isolates of Escherichia coli resistant to TZP that contained only bla TEM-1 One of these isolates, E. coli 907355, was investigated further in this study. E. coli 907355 exhibited significantly higher β-lactamase activity and Bla TEM-1 protein levels when grown in the presence of subinhibitory concentrations of TZP. A corresponding TZP-dependent increase in bla TEM-1 copy number was also observed, with as many as 113 copies of the gene detected per cell. These results suggest that TZP treatment promotes an increase in bla TEM-1 gene dosage, allowing Bla TEM-1 to reach high enough levels to overcome inactivation by the available tazobactam in the culture. To better understand the nature of the bla TEM-1 copy number proliferation, whole-genome sequence (WGS) analysis was performed on E. coli 907355 in the absence and presence of TZP. The WGS data revealed that the bla TEM-1 gene is located in a 10-kb genomic resistance module (GRM) that contains multiple resistance genes and mobile genetic elements. The GRM was found to be tandemly repeated at least 5 times within a p1ESCUM/p1ECUMN-like plasmid when bacteria were grown in the presence of TZP. IMPORTANCE Understanding how bacteria acquire resistance to antibiotics is essential for treating infected patients effectively, as well as preventing the spread of resistant organisms. In this study, a clinical isolate of E. coli was identified that dedicated more than 15% of its genome toward tandem amplification of a ~10-kb resistance module, allowing it to escape antibiotic-mediated killing. Our research is significant in that it provides one possible explanation for clinical isolates that exhibit discordant

  18. Metabolic vulnerability of cisplatin-resistant cancers.

    PubMed

    Obrist, Florine; Michels, Judith; Durand, Sylvere; Chery, Alexis; Pol, Jonathan; Levesque, Sarah; Joseph, Adrien; Astesana, Valentina; Pietrocola, Federico; Wu, Gen Sheng; Castedo, Maria; Kroemer, Guido

    2018-06-06

    Cisplatin is the most widely used chemotherapeutic agent, and resistance of neoplastic cells against this cytoxicant poses a major problem in clinical oncology. Here, we explored potential metabolic vulnerabilities of cisplatin-resistant non-small human cell lung cancer and ovarian cancer cell lines. Cisplatin-resistant clones were more sensitive to killing by nutrient deprivation in vitro and in vivo than their parental cisplatin-sensitive controls. The susceptibility of cisplatin-resistant cells to starvation could be explained by a particularly strong dependence on glutamine. Glutamine depletion was sufficient to restore cisplatin responses of initially cisplatin-resistant clones, and glutamine supplementation rescued cisplatin-resistant clones from starvation-induced death. Mass spectrometric metabolomics and specific interventions on glutamine metabolism revealed that, in cisplatin-resistant cells, glutamine is mostly required for nucleotide biosynthesis rather than for anaplerotic, bioenergetic or redox reactions. As a result, cisplatin-resistant cancers became exquisitely sensitive to treatment with antimetabolites that target nucleoside metabolism. © 2018 The Authors.

  19. Analysis of Student Loan Special Rate Allowances and Servicing Costs.

    ERIC Educational Resources Information Center

    Technology Management, Inc., Cambridge, MA.

    This document addresses two separate, though related aspects of the operation of the Guaranteed Student Loan Program (GSLP): (1) the mechanism for setting the special rate allowance (SRA); and (2) the effect of the operating requirements of the program on lender servicing costs. The study develops recommendations for improving both aspects of the…

  20. Granulocyte colony stimulating factor (G-CSF) can allow treatment with clozapine in a patient with severe benign ethnic neutropaenia (BEN): a case report.

    PubMed

    Spencer, Benjamin W J; Williams, Hugh R J; Gee, Siobhan H; Whiskey, Eromona; Rodrigues, Joseph P; Mijovic, Aleksandar; MacCabe, James H

    2012-09-01

    Clozapine is the treatment of choice for treatment-resistant schizophrenia, but it is associated with a risk of neutropaenia and agranulocytosis. Clozapine use is regulated by mandatory blood monitoring in the UK, requiring cessation of treatment should the absolute neutrophil count (ANC) drop below specified values. Benign reductions in the ANC in non-white populations are common, and this can preclude a patient from receiving treatment with clozapine. A diagnosis of benign ethnic neutropaenia can reduce these treatment restrictions (UK specific), but the degree of neutropaenia can be significant enough to still prevent treatment. In this report, we show that response to granulocyte colony stimulating factor (G-CSF) may be quite variable and difficult to predict, but with careful monitoring it can be used to increase the ANC count and allow continued treatment with clozapine.

  1. Modelling density-dependent resistance in insect-pathogen interactions.

    PubMed

    White, K A; Wilson, K

    1999-10-01

    We consider a mathematical model for a host-pathogen interaction where the host population is split into two categories: those susceptible to disease and those resistant to disease. Since the model was motivated by studies on insect populations, we consider a discrete-time model to reflect the discrete generations which are common among insect species. Whether an individual is born susceptible or resistant to disease depends on the local population levels at the start of each generation. In particular, we are interested in the case where the fraction of resistant individuals in the population increases as the total population increases. This may be seen as a positive feedback mechanism since disease is the only population control imposed upon the system. Moreover, it reflects recent experimental observations from noctuid moth-baculovirus interactions that pathogen resistance may increase with larval density. We find that the inclusion of a resistant class can stabilise unstable host-pathogen interactions but there is greatest regulation when the fraction born resistant is density independent. Nonetheless, inclusion of density dependence can still allow intrinsically unstable host-pathogen dynamics to be stabilised provided that this effect is sufficiently small. Moreover, inclusion of density-dependent resistance to disease allows the system to give rise to bistable dynamics in which the final outcome is dictated by the initial conditions for the model system. This has implications for the management of agricultural pests using biocontrol agents-in particular, it is suggested that the propensity for density-dependent resistance be determined prior to such a biocontrol attempt in order to be sure that this will result in the prevention of pest outbreaks, rather than their facilitation. Finally we consider how the cost of resistance to disease affects model outcomes and discover that when there is no cost to resistance, the model predicts stable periodic outbreaks of

  2. Micromolded PDMS planar electrode allows patch clamp electrical recordings from cells.

    PubMed

    Klemic, Kathryn G; Klemic, James F; Reed, Mark A; Sigworth, Fred J

    2002-06-01

    The patch clamp method measures membrane currents at very high resolution when a high-resistance 'gigaseal' is established between the glass microelectrode and the cell membrane (Pflugers Arch. 391 (1981) 85; Neuron 8 (1992) 605). Here we describe the first use of the silicone elastomer, poly(dimethylsiloxane) (PDMS), for patch clamp electrodes. PDMS is an attractive material for patch clamp recordings. It has low dielectric loss and can be micromolded (Annu. Rev. Mat. Sci. 28 (1998) 153) into a shape that mimics the tip of the glass micropipette. Also, the surface chemistry of PDMS may be altered to mimic the hydrophilic nature of glass (J. Appl. Polym. Sci. 14 (1970) 2499; Annu. Rev. Mat. Sci. 28 (1998) 153), thereby allowing a high-resistance seal to a cell membrane. We present a planar electrode geometry consisting of a PDMS partition with a small aperture sealed between electrode and bath chambers. We demonstrate that a planar PDMS patch electrode, after oxidation of the elastomeric surface, permits patch clamp recording on Xenopus oocytes. Our results indicate the potential for high-throughput patch clamp recording with a planar array of PDMS electrodes.

  3. Position-sensitive proportional counter with low-resistance metal-wire anode

    DOEpatents

    Kopp, Manfred K.

    1980-01-01

    A position-sensitive proportional counter circuit is provided which allows the use of a conventional (low-resistance, metal-wire anode) proportional counter for spatial resolution of an ionizing event along the anode of the counter. A pair of specially designed active-capacitance preamplifiers are used to terminate the anode ends wherein the anode is treated as an RC line. The preamplifiers act as stabilized active capacitance loads and each is composed of a series-feedback, low-noise amplifier, a unity-gain, shunt-feedback amplifier whose output is connected through a feedback capacitor to the series-feedback amplifier input. The stabilized capacitance loading of the anode allows distributed RC-line position encoding and subsequent time difference decoding by sensing the difference in rise times of pulses at the anode ends where the difference is primarily in response to the distributed capacitance along the anode. This allows the use of lower resistance wire anodes for spatial radiation detection which simplifies the counter construction and handling of the anodes, and stabilizes the anode resistivity at high count rates (>10.sup.6 counts/sec).

  4. The theoretical relationship between foliage temperature and canopy resistance in sparse crops

    NASA Technical Reports Server (NTRS)

    Shuttleworth, W. James; Gurney, Robert J.

    1990-01-01

    One-dimensional, sparse-crop interaction theory is reformulated to allow calculation of the canopy resistance from measurements of foliage temperature. A submodel is introduced to describe eddy diffusion within the canopy which provides a simple, empirical simulation of the reported behavior obtained from a second-order closure model. The sensitivity of the calculated canopy resistance to the parameters and formulas assumed in the model is investigated. The calculation is shown to exhibit a significant but acceptable sensitivity to extreme changes in canopy aerodynamics, and to changes in the surface resistance of the substrate beneath the canopy at high and intermediate values of leaf area index. In very sparse crops changes in the surface resistance of the substrate are shown to contaminate the calculated canopy resistance, tending to amplify the apparent response to changes in water availability. The theory is developed to allow the use of a measurement of substrate temperature as an option to mitigate this contamination.

  5. Resistive foil edge grading for accelerator and other high voltage structures

    DOEpatents

    Caporaso, George J.; Sampayan, Stephen F.; Sanders, David M.

    2014-06-10

    In a structure or device having a pair of electrical conductors separated by an insulator across which a voltage is placed, resistive layers are formed around the conductors to force the electric potential within the insulator to distribute more uniformly so as to decrease or eliminate electric field enhancement at the conductor edges. This is done by utilizing the properties of resistive layers to allow the voltage on the electrode to diffuse outwards, reducing the field stress at the conductor edge. Preferably, the resistive layer has a tapered resistivity, with a lower resistivity adjacent to the conductor and a higher resistivity away from the conductor. Generally, a resistive path across the insulator is provided, preferably by providing a resistive region in the bulk of the insulator, with the resistive layer extending over the resistive region.

  6. A critical role for Arabidopsis MILDEW RESISTANCE LOCUS O2 in systemic acquired resistance.

    PubMed

    Gruner, Katrin; Zeier, Tatyana; Aretz, Christina; Zeier, Jürgen

    2018-04-16

    Members of the MILDEW RESISTANCE LOCUS O (MLO) gene family confer susceptibility to powdery mildews in different plant species, and their existence therefore seems to be disadvantageous for the plant. We recognized that expression of the Arabidopsis MLO2 gene is induced after inoculation with the bacterial pathogen Pseudomonas syringae, promoted by salicylic acid (SA) signaling, and systemically enhanced in the foliage of plants exhibiting systemic acquired resistance (SAR). Importantly, distinct mlo2 mutant lines were unable to systemically increase resistance to bacterial infection after inoculation with P. syringae, indicating that the function of MLO2 is necessary for biologically induced SAR in Arabidopsis. Our data also suggest that the close homolog MLO6 has a supportive but less critical role in SAR. In contrast to SAR, basal resistance to bacterial infection was not affected in mlo2. Remarkably, SAR-defective mlo2 mutants were still competent in systemically increasing the levels of the SAR-activating metabolites pipecolic acid (Pip) and SA after inoculation, and to enhance SAR-related gene expression in distal plant parts. Furthermore, although MLO2 was not required for SA- or Pip-inducible defense gene expression, it was essential for the proper induction of disease resistance by both SAR signals. We conclude that MLO2 acts as a critical downstream component in the execution of SAR to bacterial infection, being required for the translation of elevated defense responses into disease resistance. Moreover, our data suggest a function for MLO2 in the activation of plant defense priming during challenge by P. syringae. © 2018 The Authors The Plant Journal © 2018 John Wiley & Sons Ltd.

  7. Improvements in resist performance towards EUV HVM

    NASA Astrophysics Data System (ADS)

    Yildirim, Oktay; Buitrago, Elizabeth; Hoefnagels, Rik; Meeuwissen, Marieke; Wuister, Sander; Rispens, Gijsbert; van Oosten, Anton; Derks, Paul; Finders, Jo; Vockenhuber, Michaela; Ekinci, Yasin

    2017-03-01

    Extreme ultraviolet (EUV) lithography with 13.5 nm wavelength is the main option for sub-10nm patterning in the semiconductor industry. We report improvements in resist performance towards EUV high volume manufacturing. A local CD uniformity (LCDU) model is introduced and validated with experimental contact hole (CH) data. Resist performance is analyzed in terms of ultimate printing resolution (R), line width roughness (LWR), sensitivity (S), exposure latitude (EL) and depth of focus (DOF). Resist performance of dense lines at 13 nm half-pitch and beyond is shown by chemical amplified resist (CAR) and non-CAR (Inpria YA Series) on NXE scanner. Resolution down to 10nm half pitch (hp) is shown by Inpria YA Series resist exposed on interference lithography at the Paul Sherrer Institute. Contact holes contrast and consequent LCDU improvement is achieved on a NXE:3400 scanner by decreasing the pupil fill ratio. State-of-the-art imaging meets 5nm node requirements for CHs. A dynamic gas lock (DGL) membrane is introduced between projection optics box (POB) and wafer stage. The DGL membrane will suppress the negative impact of resist outgassing on the projection optics by 100%, enabling a wider range of resist materials to be used. The validated LCDU model indicates that the imaging requirements of the 3nm node can be met with single exposure using a high-NA EUV scanner. The current status, trends, and potential roadblocks for EUV resists are discussed. Our results mark the progress and the improvement points in EUV resist materials to support EUV ecosystem.

  8. A strand-passage conformation of DNA gyrase is required to allow the bacterial toxin, CcdB, to access its binding site

    PubMed Central

    Smith, Andrew B.; Maxwell, Anthony

    2006-01-01

    DNA gyrase is the only topoisomerase able to introduce negative supercoils into DNA. Absent in humans, gyrase is a successful target for antibacterial drugs. However, increasing drug resistance is a serious problem and new agents are urgently needed. The naturally-produced Escherichia coli toxin CcdB has been shown to target gyrase by what is predicted to be a novel mechanism. CcdB has been previously shown to stabilize the gyrase ‘cleavage complex’, but it has not been shown to inhibit the catalytic reactions of gyrase. We present data showing that CcdB does indeed inhibit the catalytic reactions of gyrase by stabilization of the cleavage complex and that the GyrA C-terminal DNA-wrapping domain and the GyrB N-terminal ATPase domain are dispensable for CcdB's action. We further investigate the role of specific GyrA residues in the action of CcdB by site-directed mutagenesis; these data corroborate a model for CcdB action based on a recent crystal structure of a CcdB–GyrA fragment complex. From this work, we are now able to present a model for CcdB action that explains all previous observations relating to CcdB–gyrase interaction. CcdB action requires a conformation of gyrase that is only revealed when DNA strand passage is taking place. PMID:16963775

  9. A strand-passage conformation of DNA gyrase is required to allow the bacterial toxin, CcdB, to access its binding site.

    PubMed

    Smith, Andrew B; Maxwell, Anthony

    2006-01-01

    DNA gyrase is the only topoisomerase able to introduce negative supercoils into DNA. Absent in humans, gyrase is a successful target for antibacterial drugs. However, increasing drug resistance is a serious problem and new agents are urgently needed. The naturally-produced Escherichia coli toxin CcdB has been shown to target gyrase by what is predicted to be a novel mechanism. CcdB has been previously shown to stabilize the gyrase 'cleavage complex', but it has not been shown to inhibit the catalytic reactions of gyrase. We present data showing that CcdB does indeed inhibit the catalytic reactions of gyrase by stabilization of the cleavage complex and that the GyrA C-terminal DNA-wrapping domain and the GyrB N-terminal ATPase domain are dispensable for CcdB's action. We further investigate the role of specific GyrA residues in the action of CcdB by site-directed mutagenesis; these data corroborate a model for CcdB action based on a recent crystal structure of a CcdB-GyrA fragment complex. From this work, we are now able to present a model for CcdB action that explains all previous observations relating to CcdB-gyrase interaction. CcdB action requires a conformation of gyrase that is only revealed when DNA strand passage is taking place.

  10. Resistance controllability and variability improvement in a TaO{sub x}-based resistive memory for multilevel storage application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prakash, A., E-mail: amitknp@postech.ac.kr, E-mail: amit.knp02@gmail.com, E-mail: hwanghs@postech.ac.kr; Song, J.; Hwang, H., E-mail: amitknp@postech.ac.kr, E-mail: amit.knp02@gmail.com, E-mail: hwanghs@postech.ac.kr

    In order to obtain reliable multilevel cell (MLC) characteristics, resistance controllability between the different resistance levels is required especially in resistive random access memory (RRAM), which is prone to resistance variability mainly due to its intrinsic random nature of defect generation and filament formation. In this study, we have thoroughly investigated the multilevel resistance variability in a TaO{sub x}-based nanoscale (<30 nm) RRAM operated in MLC mode. It is found that the resistance variability not only depends on the conductive filament size but also is a strong function of oxygen vacancy concentration in it. Based on the gained insights through experimentalmore » observations and simulation, it is suggested that forming thinner but denser conductive filament may greatly improve the temporal resistance variability even at low operation current despite the inherent stochastic nature of resistance switching process.« less

  11. TDM Status Report: Transportation Allowances

    DOT National Transportation Integrated Search

    1992-08-01

    The status report discusses the following travel allowance programs that provide subsidies to employees in one form or another: transit fare allowances or subsidies; vanpool fare allowances; parking allowances or free parking; and general travel allo...

  12. Use of KRS-XE positive chemically amplified resist for optical mask manufacturing

    NASA Astrophysics Data System (ADS)

    Ashe, Brian; Deverich, Christina; Rabidoux, Paul A.; Peck, Barbara; Petrillo, Karen E.; Angelopoulos, Marie; Huang, Wu-Song; Moreau, Wayne M.; Medeiros, David R.

    2002-03-01

    The traditional mask making process uses chain scission-type resists such as PBS, poly(butene-1-sulfone), and ZEP, poly(methyl a-chloroacrylate-co-a-methylstyrene) for making masks with dimensions greater than 180nm. PBS resist requires a wet etch process to produce patterns in chrome. ZEP was employed for dry etch processing to meet the requirements of shrinking dimensions, optical proximity corrections and phase shift masks. However, ZEP offers low contrast, marginal etch resistance, organic solvent development, and concerns regarding resist heating with its high dose requirements1. Chemically Amplified Resist (CAR) systems are a very good choice for dimensions less than 180nm because of their high sensitivity and contrast, high resolution, dry etch resistance, aqueous development, and process latitude2. KRS-XE was developed as a high contrast CA resist based on ketal protecting groups that eliminate the need for post exposure bake (PEB). This resist can be used for a variety of electron beam exposures, and improves the capability to fabricate masks for devices smaller than 180nm. Many factors influence the performance of resists in mask making such as post apply bake, exposure dose, resist develop, and post exposure bake. These items will be discussed as well as the use of reactive ion etching (RIE) selectivity and pattern transfer.

  13. Clinical Management of HIV Drug Resistance

    PubMed Central

    Cortez, Karoll J.; Maldarelli, Frank

    2011-01-01

    Combination antiretroviral therapy for HIV-1 infection has resulted in profound reductions in viremia and is associated with marked improvements in morbidity and mortality. Therapy is not curative, however, and prolonged therapy is complicated by drug toxicity and the emergence of drug resistance. Management of clinical drug resistance requires in depth evaluation, and includes extensive history, physical examination and laboratory studies. Appropriate use of resistance testing provides valuable information useful in constructing regimens for treatment-experienced individuals with viremia during therapy. This review outlines the emergence of drug resistance in vivo, and describes clinical evaluation and therapeutic options of the individual with rebound viremia during therapy. PMID:21994737

  14. Ethylene Biosynthesis and Signaling Is Required for Rice Immune Response and Basal Resistance Against Magnaporthe oryzae Infection.

    PubMed

    Helliwell, Emily E; Wang, Qin; Yang, Yinong

    2016-11-01

    Recent studies have suggested that ethylene enhances host resistance to fungal pathogen Magnaporthe oryzae, the causal agent of rice blast disease. Among the six 1-aminocyclopropane-1-carboxylic acid synthase genes in rice, OsACS1 and OsACS2 are induced within 24 h of inoculation by M. oryzae. This induction occurs simultaneously with an increase in ethylene production that is noticeable 12 h postinoculation. The purpose of this study was to examine the dynamics of ethylene production and signaling in wild type and RNA interference-mediated suppression lines deficient in ethylene production (acs2) or signaling (eil1) after challenge with M. oryzae as well as fungal cell-wall elicitors. Ethylene-insensitive mutant lines show an attenuated basal defense response including lower basal expression of the genes encoding a chitin-binding receptor, pathogenesis-related (PR) proteins, and the enzymes involved in the synthesis of diterprenoid phytoalexins, a reduction on early hypersensitive response (HR)-like cell death, and reduced incidence of callose deposition. Ethylene-deficient mutants showed an intermediate phenotype, with a significant reduction in expression of defense-related genes and callose deposition, but only a slight reduction in HR-like cell death. As a result, all ethylene-insensitive mutants show increased susceptibility to M. oryzae, whereas the ethylene-deficient lines show a slight but less significant increase in disease severity. These results show that ethylene signaling and, to some extent, ethylene production are required for rice basal resistance against the blast fungus Magnaporthe oryzae.

  15. Temperature induced complementary switching in titanium oxide resistive random access memory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Panda, D., E-mail: dpanda@nist.edu; Department of Electronics Engineering and Institute of Electronics, National Chiao Tung University, Hsinchu 30010, Taiwan; Simanjuntak, F. M.

    2016-07-15

    On the way towards high memory density and computer performance, a considerable development in energy efficiency represents the foremost aspiration in future information technology. Complementary resistive switch consists of two antiserial resistive switching memory (RRAM) elements and allows for the construction of large passive crossbar arrays by solving the sneak path problem in combination with a drastic reduction of the power consumption. Here we present a titanium oxide based complementary RRAM (CRRAM) device with Pt top and TiN bottom electrode. A subsequent post metal annealing at 400°C induces CRRAM. Forming voltage of 4.3 V is required for this device tomore » initiate switching process. The same device also exhibiting bipolar switching at lower compliance current, Ic <50 μA. The CRRAM device have high reliabilities. Formation of intermediate titanium oxi-nitride layer is confirmed from the cross-sectional HRTEM analysis. The origin of complementary switching mechanism have been discussed with AES, HRTEM analysis and schematic diagram. This paper provides valuable data along with analysis on the origin of CRRAM for the application in nanoscale devices.« less

  16. Genomic epidemiology of artemisinin resistant malaria

    PubMed Central

    2016-01-01

    The current epidemic of artemisinin resistant Plasmodium falciparum in Southeast Asia is the result of a soft selective sweep involving at least 20 independent kelch13 mutations. In a large global survey, we find that kelch13 mutations which cause resistance in Southeast Asia are present at low frequency in Africa. We show that African kelch13 mutations have originated locally, and that kelch13 shows a normal variation pattern relative to other genes in Africa, whereas in Southeast Asia there is a great excess of non-synonymous mutations, many of which cause radical amino-acid changes. Thus, kelch13 is not currently undergoing strong selection in Africa, despite a deep reservoir of variations that could potentially allow resistance to emerge rapidly. The practical implications are that public health surveillance for artemisinin resistance should not rely on kelch13 data alone, and interventions to prevent resistance must account for local evolutionary conditions, shown by genomic epidemiology to differ greatly between geographical regions. DOI: http://dx.doi.org/10.7554/eLife.08714.001 PMID:26943619

  17. HPV-16 virions can remain infectious for 2 weeks on senescent cells but require cell cycle re-activation to allow virus entry.

    PubMed

    Broniarczyk, Justyna; Ring, Nadja; Massimi, Paola; Giacca, Mauro; Banks, Lawrence

    2018-01-16

    Successful infection with Human Papillomaviruses requires mitosis, when incoming viral genomes gain access to nuclear components. However, very little is known about how long HPV particles can remain infectious in non-dividing cells or in which cellular compartments these viruses may reside. To investigate these questions we have used BJ cells as a reversible model of senescence and show that HPV-16 can only infect early-passage proliferating cells. Late-passage senescent cells are resistant to HPV infection, but this can be reversed by inducing cell cycle re-entry with a p53 siRNA. In senescent cells we find that efficient virus entry can be attained upon cell cycle re-entry 16 days after infection, demonstrating that HPV can persist for 2 weeks prior to induction of mitosis. However, exposing cells to anti-HPV-16 L1 neutralising antibody blocks infection at these late time points, suggesting that the virions reside near the cell surface. Indeed, immunofluorescence analysis shows that virions accumulate on the cell surface of senescent cells and only enter endocytic vesicles upon stimulation with p53 siRNA. These results demonstrate that HPV-16 virions can remain viable on a non-dividing cell for extended periods of time, but are nonetheless vulnerable to antibody-induced neutralisation throughout.

  18. Extreme ultraviolet resist materials for sub-7 nm patterning.

    PubMed

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P

    2017-08-14

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  19. Functional Analysis of Genes Comprising the Locus of Heat Resistance in Escherichia coli.

    PubMed

    Mercer, Ryan; Nguyen, Oanh; Ou, Qixing; McMullen, Lynn; Gänzle, Michael G

    2017-10-15

    control of pathogens by current food processing and preparation techniques. The function of LHR-comprising genes and their regulation, however, remain largely unknown. This study defines a core complement of LHR-encoded proteins that are necessary for heat resistance and demonstrates that regulation of the LHR in E. coli requires a chromosomal copy of the gene encoding EvgA. This study provides insight into the function of a transmissible genomic island that allows otherwise heat-sensitive enteric bacteria, including pathogens, to lead a thermoduric lifestyle and thus contributes to the detection and control of heat-resistant enteric bacteria in food. Copyright © 2017 American Society for Microbiology.

  20. 78 FR 75483 - Federal Travel Regulation (FTR); Relocation Allowances; Commuted Rate

    Federal Register 2010, 2011, 2012, 2013, 2014

    2013-12-12

    ... Allowances; Commuted Rate AGENCY: Office of Governmentwide Policy (OGP), U.S. General Services Administration (GSA). ACTION: Final rule. SUMMARY: The GSA, OGP, is providing a workable commuted rate to be used by.... 5724(c) requires that GSA maintain a commuted rate incorporating all aspects of household goods...

  1. Cross-resistance, mode of inheritance and stability of resistance to emamectin in Spodoptera litura (Lepidoptera: Noctuidae).

    PubMed

    Shad, Sarfraz Ali; Sayyed, Ali H; Saleem, Mushtaq A

    2010-08-01

    Spodoptera litura (F.) is a cosmopolitan pest that has developed resistance to several insecticides. The aim of the present study was to establish whether an emamectin-selected (Ema-SEL) population could render cross-resistance to other insecticides, and to investigate the genetics of resistance. Bioassays at G(1) gave resistance ratios (RRs) of 80-, 2980-, 3050- and 2800-fold for emamectin, abamectin, indoxacarb and acetamiprid, respectively, compared with a laboratory susceptible population Lab-PK. After three rounds of selection, resistance to emamectin in Ema-SEL increased significantly, with RRs of 730-fold and 13-fold compared with the Lab-PK and unselected (UNSEL) population respectively. Further studies revealed that three generations were required for a tenfold increase in resistance to emamectin. Resistance to abamectin, indoxacarb, acetamiprid and emamectin in UNSEL declined significantly compared with the field population at G(1). Furthermore, selection with emamectin reduced resistance to abamectin, indoxacarb and acetamiprid on a par with UNSEL. Crosses between Ema-SEL and Lab-PK indicated autosomal and incomplete dominance of resistance. A direct test of a monogenic model and Land's method suggested that resistance to emamectin was controlled by more than one locus. Instability of resistance and lack of cross-resistance to other insecticides suggest that insecticides with different modes of action should be recommended to reduce emamectin selection pressure. Copyright (c) 2010 Society of Chemical Industry.

  2. Fracture-tough, corrosion-resistant bearing steels

    NASA Technical Reports Server (NTRS)

    Olson, Gregory B.

    1990-01-01

    The fundamental principles allowing design of stainless bearing steels with enhanced toughness and stress corrosion resistance has involved both investigation of basic phenomena in model alloys and evaluation of a prototype bearing steel based on a conceptual design exercise. Progress in model studies has included a scanning Auger microprobe (SAM) study of the kinetics of interfacial segregation of embrittling impurities which compete with the kinetics of alloy carbide precipitation in secondary hardening steels. These results can define minimum allowable carbide precipitation rates and/or maximum allowable free impurity contents in these ultrahigh strength steels. Characterization of the prototype bearing steel designed to combine precipitated austenite transformation toughening with secondary hardening shows good agreement between predicted and observed solution treatment response including the nature of the high temperature carbides. An approximate equilibrium constraint applied in the preliminary design calculations to maintain a high martensitic temperature proved inadequate, and the solution treated alloy remained fully austenitic down to liquid nitrogen temperature rather than transforming above 200 C. The alloy can be martensitically transformed by cryogenic deformation, and material so processed will be studied further to test predicted carbide and austenite precipitation behavior. A mechanistically-based martensitic kinetic model was developed and parameters are being evaluated from available kinetic data to allow precise control of martensitic temperatures of high alloy steels in future designs. Preliminary calculations incorporating the prototype stability results suggest that the transformation-toughened secondary-hardening martensitic-stainless design concept is still viable, but may require lowering Cr content to 9 wt. pct. and adding 0.5 to 1.0 wt. pct. Al. An alternative design approach based on strain-induced martensitic transformation during

  3. Conformal chemically resistant coatings for microflow devices

    DOEpatents

    Folta, James A.; Zdeblick, Mark

    2003-05-13

    A process for coating the inside surfaces of silicon microflow devices, such as electrophoresis microchannels, with a low-stress, conformal (uniform) silicon nitride film which has the ability to uniformly coat deeply-recessed cavities with, for example, aspect ratios of up to 40:1 or higher. The silicon nitride coating allows extended exposure to caustic solutions. The coating enables a microflow device fabricated in silicon to be resistant to all classes of chemicals: acids, bases, and solvents. The process involves low-pressure (vacuum) chemical vapor deposition. The ultra-low-stress silicon nitride deposition process allows 1-2 .mu.m thick films without cracks, and so enables extended chemical protection of a silicon microflow device against caustics for up to 1 year. Tests have demonstrated the resistance of the films to caustic solutions at both ambient and elevated temperatures to 65.degree. C.

  4. 33 CFR 159.117 - Chemical resistance test.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 33 Navigation and Navigable Waters 2 2010-07-01 2010-07-01 false Chemical resistance test. 159.117...) POLLUTION MARINE SANITATION DEVICES Design, Construction, and Testing § 159.117 Chemical resistance test. (a... disinfectant that is required in the operation of the device. (3) Any chemical compound in solid, liquid or...

  5. 33 CFR 159.117 - Chemical resistance test.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 33 Navigation and Navigable Waters 2 2011-07-01 2011-07-01 false Chemical resistance test. 159.117...) POLLUTION MARINE SANITATION DEVICES Design, Construction, and Testing § 159.117 Chemical resistance test. (a... disinfectant that is required in the operation of the device. (3) Any chemical compound in solid, liquid or...

  6. 33 CFR 159.117 - Chemical resistance test.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 33 Navigation and Navigable Waters 2 2014-07-01 2014-07-01 false Chemical resistance test. 159.117...) POLLUTION MARINE SANITATION DEVICES Design, Construction, and Testing § 159.117 Chemical resistance test. (a... disinfectant that is required in the operation of the device. (3) Any chemical compound in solid, liquid or...

  7. 33 CFR 159.117 - Chemical resistance test.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 33 Navigation and Navigable Waters 2 2012-07-01 2012-07-01 false Chemical resistance test. 159.117...) POLLUTION MARINE SANITATION DEVICES Design, Construction, and Testing § 159.117 Chemical resistance test. (a... disinfectant that is required in the operation of the device. (3) Any chemical compound in solid, liquid or...

  8. 33 CFR 159.117 - Chemical resistance test.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 33 Navigation and Navigable Waters 2 2013-07-01 2013-07-01 false Chemical resistance test. 159.117...) POLLUTION MARINE SANITATION DEVICES Design, Construction, and Testing § 159.117 Chemical resistance test. (a... disinfectant that is required in the operation of the device. (3) Any chemical compound in solid, liquid or...

  9. Mutations in the Plasmodium falciparum Cyclic Amine Resistance Locus (PfCARL) Confer Multidrug Resistance.

    PubMed

    LaMonte, Gregory; Lim, Michelle Yi-Xiu; Wree, Melanie; Reimer, Christin; Nachon, Marie; Corey, Victoria; Gedeck, Peter; Plouffe, David; Du, Alan; Figueroa, Nelissa; Yeung, Bryan; Bifani, Pablo; Winzeler, Elizabeth A

    2016-07-05

    Mutations in the Plasmodium falciparum cyclic amine resistance locus (PfCARL) are associated with parasite resistance to the imidazolopiperazines, a potent class of novel antimalarial compounds that display both prophylactic and transmission-blocking activity, in addition to activity against blood-stage parasites. Here, we show that pfcarl encodes a protein, with a predicted molecular weight of 153 kDa, that localizes to the cis-Golgi apparatus of the parasite in both asexual and sexual blood stages. Utilizing clustered regularly interspaced short palindromic repeat (CRISPR)-mediated gene introduction of 5 variants (L830V, S1076N/I, V1103L, and I1139K), we demonstrate that mutations in pfcarl are sufficient to generate resistance against the imidazolopiperazines in both asexual and sexual blood-stage parasites. We further determined that the mutant PfCARL protein confers resistance to several structurally unrelated compounds. These data suggest that PfCARL modulates the levels of small-molecule inhibitors that affect Golgi-related processes, such as protein sorting or membrane trafficking, and is therefore an important mechanism of resistance in malaria parasites. Several previous in vitro evolution studies have implicated the Plasmodium falciparum cyclic amine resistance locus (PfCARL) as a potential target of imidazolopiperazines, potent antimalarial compounds with broad activity against different parasite life cycle stages. Given that the imidazolopiperazines are currently being tested in clinical trials, understanding their mechanism of resistance and the cellular processes involved will allow more effective clinical usage. Copyright © 2016 LaMonte et al.

  10. Enhanced Locomotor Activity Is Required to Exert Dietary Restriction-Dependent Increase of Stress Resistance in Drosophila.

    PubMed

    Ghimire, Saurav; Kim, Man Su

    2015-01-01

    Dietary restriction (DR) is known to be one of the most effective interventions to increase stress resistance, yet the mechanisms remain elusive. One of the most obvious DR-induced changes in phenotype is an increase in locomotor activity. Although it is conceptually perceivable that nutritional scarcity should prompt enhanced foraging behavior to garner additional dietary resources, the significance of enhanced movement activity has not been associated with the DR-dependent increase of stress resistance. In this study, we confirmed that flies raised on DR exhibited enhanced locomotive activity and increased stress resistance. Excision of fly wings minimized the DR-induced increase in locomotive activity, which resulted in attenuation of the DR-dependent increase of stress resistance. The possibility that wing clipping counteracts the DR by coercing flies to have more intake was ruled out since it did not induce any weight gain. Rather it was found that elimination of reactive oxygen species (ROS) that is enhanced by DR-induced upregulation of expression of antioxidant genes was significantly reduced by wing clipping. Collectively, our data suggests that DR increased stress resistance by increasing the locomotor activity, which upregulated expression of protective genes including, but not limited to, ROS scavenger system.

  11. [Optimization of resistance training using elastic bands].

    PubMed

    Guex, K

    2015-07-15

    Resistance training using elastic bands allows to perform a large variety of exercises for upper and lower body. It can be considered as a real alternative to the use of fitness equipment or free weight. After having determined the goal of the resistance training (i.e., maximal strength, hypertrophy, power or local muscular endurance), the acute program variables (i.e., muscle action, loading, volume, exercise selection and order, rest periods and repetition velocity) must be selected regarding the recommendations for strength training. The load is the most important variable in resistance program design. To determine it in an accurate way, when using elastic bands, it is recommended to use the Multiple RM test.

  12. CARD 2017: expansion and model-centric curation of the comprehensive antibiotic resistance database

    PubMed Central

    Jia, Baofeng; Raphenya, Amogelang R.; Alcock, Brian; Waglechner, Nicholas; Guo, Peiyao; Tsang, Kara K.; Lago, Briony A.; Dave, Biren M.; Pereira, Sheldon; Sharma, Arjun N.; Doshi, Sachin; Courtot, Mélanie; Lo, Raymond; Williams, Laura E.; Frye, Jonathan G.; Elsayegh, Tariq; Sardar, Daim; Westman, Erin L.; Pawlowski, Andrew C.; Johnson, Timothy A.; Brinkman, Fiona S.L.; Wright, Gerard D.; McArthur, Andrew G.

    2017-01-01

    The Comprehensive Antibiotic Resistance Database (CARD; http://arpcard.mcmaster.ca) is a manually curated resource containing high quality reference data on the molecular basis of antimicrobial resistance (AMR), with an emphasis on the genes, proteins and mutations involved in AMR. CARD is ontologically structured, model centric, and spans the breadth of AMR drug classes and resistance mechanisms, including intrinsic, mutation-driven and acquired resistance. It is built upon the Antibiotic Resistance Ontology (ARO), a custom built, interconnected and hierarchical controlled vocabulary allowing advanced data sharing and organization. Its design allows the development of novel genome analysis tools, such as the Resistance Gene Identifier (RGI) for resistome prediction from raw genome sequence. Recent improvements include extensive curation of additional reference sequences and mutations, development of a unique Model Ontology and accompanying AMR detection models to power sequence analysis, new visualization tools, and expansion of the RGI for detection of emergent AMR threats. CARD curation is updated monthly based on an interplay of manual literature curation, computational text mining, and genome analysis. PMID:27789705

  13. Noncontact sheet resistance measurement technique for wafer inspection

    NASA Astrophysics Data System (ADS)

    Kempa, Krzysztof; Rommel, J. Martin; Litovsky, Roman; Becla, Peter; Lojek, Bohumil; Bryson, Frank; Blake, Julian

    1995-12-01

    A new technique, MICROTHERM, has been developed for noncontact sheet resistance measurements of semiconductor wafers. It is based on the application of microwave energy to the wafer, and simultaneous detection of the infrared radiation resulting from ohmic heating. The pattern of the emitted radiation corresponds to the sheet resistance distribution across the wafer. This method is nondestructive, noncontact, and allows for measurements of very small areas (several square microns) of the wafer.

  14. Antibiotic-resistant bacteria show widespread collateral sensitivity to antimicrobial peptides.

    PubMed

    Lázár, Viktória; Martins, Ana; Spohn, Réka; Daruka, Lejla; Grézal, Gábor; Fekete, Gergely; Számel, Mónika; Jangir, Pramod K; Kintses, Bálint; Csörgő, Bálint; Nyerges, Ákos; Györkei, Ádám; Kincses, András; Dér, András; Walter, Fruzsina R; Deli, Mária A; Urbán, Edit; Hegedűs, Zsófia; Olajos, Gábor; Méhi, Orsolya; Bálint, Balázs; Nagy, István; Martinek, Tamás A; Papp, Balázs; Pál, Csaba

    2018-06-01

    Antimicrobial peptides are promising alternative antimicrobial agents. However, little is known about whether resistance to small-molecule antibiotics leads to cross-resistance (decreased sensitivity) or collateral sensitivity (increased sensitivity) to antimicrobial peptides. We systematically addressed this question by studying the susceptibilities of a comprehensive set of 60 antibiotic-resistant Escherichia coli strains towards 24 antimicrobial peptides. Strikingly, antibiotic-resistant bacteria show a high frequency of collateral sensitivity to antimicrobial peptides, whereas cross-resistance is relatively rare. We identify clinically relevant multidrug-resistance mutations that increase bacterial sensitivity to antimicrobial peptides. Collateral sensitivity in multidrug-resistant bacteria arises partly through regulatory changes shaping the lipopolysaccharide composition of the bacterial outer membrane. These advances allow the identification of antimicrobial peptide-antibiotic combinations that enhance antibiotic activity against multidrug-resistant bacteria and slow down de novo evolution of resistance. In particular, when co-administered as an adjuvant, the antimicrobial peptide glycine-leucine-amide caused up to 30-fold decrease in the antibiotic resistance level of resistant bacteria. Our work provides guidelines for the development of efficient peptide-based therapies of antibiotic-resistant infections.

  15. Gravity-independent constant force resistive exercise unit

    NASA Technical Reports Server (NTRS)

    Colosky, Jr., Paul E. (Inventor); Ruttley, Tara M. (Inventor)

    2004-01-01

    This invention describes a novel gravity-independent exercise unit designed for use in microgravity, or on the ground, as a means by which to counter muscle atrophy and bone degradation due to disuse or underuse. Modular resistive packs comprising constant torque springs provide constant force opposing the withdrawal of an exercise cable from the device. In addition to uses within the space program, the compact resistive packs of the CFREU allow the unit to be small enough for easy use as a home gym for personal use, or as a supplement for rehabilitation programs. Resistive packs may be changed conveniently out of the CFREU according to the desired exercise regimen. Thus, the resistive packs replace the need for expensive, heavy, and bulky traditional weight plates. The CFREU may be employed by hospitals, rehabilitation and physical therapy clinics, and other related professional businesses.

  16. Propionibacterium acnes, an emerging pathogen: from acne to implant-infections, from phylotype to resistance.

    PubMed

    Aubin, G G; Portillo, M E; Trampuz, A; Corvec, S

    2014-06-01

    Propionibacterium acnes colonizes the lipid-rich sebaceous glands of the skin. This preferential anaerobic bacterium is easily identified if cultures are prolonged. It is involved in the inflammation process of acne, but until recently, it was neglected in other clinical presentations. Despite a reported low virulence, the new genomic, transcriptomic, and phylogenetic studies have allowed better understanding of this pathogen's importance that causes many chronic and recurrent infections, including orthopedic and cardiac prosthetic, and breast or eye implant-infections. These infections, facilitated by the ability of P. acnes to produce a biofilm, require using anti-biofilm active antibiotics such as rifampicin. The antibiogram of P. acnes is not systematically performed in microbiology laboratories because of its susceptibility to a wide range of antibiotics. However, in the last 10 years, the rate of antibiotic-resistant bacteria has increased, especially for macrolides and tetracyclines. Recently, rpoB gene mutations conferring resistance to rifampicin have been also reported. Thus in case of a biofilm growth mode, the therapeutic strategy should be discussed, according to the resistance phylotype and phenotype so as to optimize the treatment of these severe infections. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  17. Novel Cryogenic Heaters: Sputter Deposited Cermet Materials with Low Temperature Coefficients of Resistivity

    NASA Astrophysics Data System (ADS)

    Yeager, C. J.; Courts, S. S.; Chapin, L.

    2004-06-01

    The electrical properties of a novel cryogenic heater are presented. A new ceramic-metal composition (cermet) has been developed that can be sputter deposited. This material has a very low temperature coefficient of resistivity. Resistivity measurements as a function of temperature are presented. The cermet has a constant resistance to within 0.1% between 77 K and 50 mK. At 4.2 K the d(logR)/d(logT) value is approximately -0.0005. The resistance change between room temperature and 4.2 K is 2.5%. The cermet heater will be compared to other low temperature coefficient of resistivity alloys (Evanohm, phosphor-bronze, nichrome and platinum-tungsten wire) that are used for cryogenic heaters and fixed resistors. Unlike the wire alloys, this material can be sputter deposited. This allows various die designs (meander patterns) to control the final resistance. The die can be mounted into standard commercial cryogenic sensor packages. Compared to other wire alloys, this allows for a simpler implementation for a cryogenic heater and fixed resistance standards. The material can also be deposited onto existing structures such as MEMS based heat capacity chip under development.

  18. Four-terminal electrical testing device. [initiator bridgewire resistance

    NASA Technical Reports Server (NTRS)

    Robinson, Robert L. (Inventor); Graves, Thomas J. (Inventor); Hoffman, William C., III (Inventor)

    1987-01-01

    The invention relates to a four-terminal electrical connector device for testing and measuring unknown resistances of initiators used for starting pyrotechnic events aboard the space shuttle. The testing device minimizes contact resistance degradation effects and so improves the reliability of resistance measurements taken with the device. Separate and independent voltage sensing and current supply circuits each include a pair of socket contacts for mating engagement with the pins of the initiator. The unknown resistance that is measured by the device is the resistance of the bridgewire of the initiator which is required to be between 0.95 and 1.15 ohms.

  19. Allowance market pricing indicators

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Miller, R.W.

    1995-12-31

    Regardless of whether buyers and sellers rely on one allowance price indicator or a combination of several, the publication of price indicators has facilitated trading in the SO{sub 2} allowance market. Buyers and sellers feel more comfortable with a price benchmark against which to measure their actions; liquidity has increased; and transactions are easier to conclude. At this market`s present stage of development, five price indicators are worthy of discussion: EPA Allowance Auctions; Compliance Strategies Review`s EATX; Utility Environment Report`s price range; Cantor Fitzgerald`s Allowance Price Indicators; and Emissions Exchange Corporation`s Exchange Values. But experience in other markets indicates thatmore » (1) others will be created as the market develops, and (2) all published price indicators will tend to converge as time passes.« less

  20. Multidrug-resistant organisms in military wounds from Iraq and Afghanistan.

    PubMed

    Calhoun, Jason H; Murray, Clinton K; Manring, M M

    2008-06-01

    Mortality from battlefield wounds has historically declined, thanks to better surgical management, faster transport of casualties, and improved antibiotics. Today, one of the major challenges facing U.S. military caregivers is the presence of multidrug-resistant organisms in orthopaedic extremity wounds. The most frequently identified resistant strains of bacteria are Staphylococcus aureus, Klebsiella pneumoniae, Pseudomonas aeruginosa, and Acinetobacter calcoaceticus-baumannii complex. Overuse of broad-spectrum antibiotics may be an important factor in building resistant strains. Acinetobacter infections appear to hospital-acquired and not from an initial colonization of the injury. More research is required to give military physicians the tools they require to reduce the infection rate and defeat multidrug-resistant organisms.

  1. An LRR/Malectin Receptor-Like Kinase Mediates Resistance to Non-adapted and Adapted Powdery Mildew Fungi in Barley and Wheat

    PubMed Central

    Rajaraman, Jeyaraman; Douchkov, Dimitar; Hensel, Götz; Stefanato, Francesca L.; Gordon, Anna; Ereful, Nelzo; Caldararu, Octav F.; Petrescu, Andrei-Jose; Kumlehn, Jochen; Boyd, Lesley A.; Schweizer, Patrick

    2016-01-01

    Pattern recognition receptors (PRRs) belonging to the multigene family of receptor-like kinases (RLKs) are the sensing devices of plants for microbe- or pathogen-associated molecular patterns released from microbial organisms. Here we describe Rnr8 (for Required for non-host resistance 8) encoding HvLEMK1, a LRR-malectin domain-containing transmembrane RLK that mediates non-host resistance of barley to the non-adapted wheat powdery mildew fungus Blumeria graminis f.sp. tritici. Transgenic barley lines with silenced HvLEMK1 allow entry and colony growth of the non-adapted pathogen, although sporulation was reduced and final colony size did not reach that of the adapted barley powdery mildew fungus B. graminis f.sp. hordei. Transient expression of the barley or wheat LEMK1 genes enhanced resistance in wheat to the adapted wheat powdery mildew fungus while expression of the same genes did not protect barley from attack by the barley powdery mildew fungus. The results suggest that HvLEMK1 is a factor mediating non-host resistance in barley and quantitative host resistance in wheat to the wheat powdery mildew fungus. PMID:28018377

  2. Hepatitis C Virus Nucleotide Inhibitors PSI-352938 and PSI-353661 Exhibit a Novel Mechanism of Resistance Requiring Multiple Mutations within Replicon RNA▿†

    PubMed Central

    Lam, Angela M.; Espiritu, Christine; Bansal, Shalini; Micolochick Steuer, Holly M.; Zennou, Veronique; Otto, Michael J.; Furman, Phillip A.

    2011-01-01

    PSI-352938, a cyclic phosphate nucleotide, and PSI-353661, a phosphoramidate nucleotide, are prodrugs of β-d-2′-deoxy-2′-α-fluoro-2′-β-C-methylguanosine-5′-monophosphate. Both compounds are metabolized to the same active 5′-triphosphate, PSI-352666, which serves as an alternative substrate inhibitor of the NS5B RNA-dependent RNA polymerase during HCV replication. PSI-352938 and PSI-353661 retained full activity against replicons containing the S282T substitution, which confers resistance to certain 2′-substituted nucleoside/nucleotide analogs. PSI-352666 was also similarly active against both wild-type and S282T NS5B polymerases. In order to identify mutations that confer resistance to these compounds, in vitro selection studies were performed using HCV replicon cells. While no resistant genotype 1a or 1b replicons could be selected, cells containing genotype 2a JFH-1 replicons cultured in the presence of PSI-352938 or PSI-353661 developed resistance to both compounds. Sequencing of the NS5B region identified a number of amino acid changes, including S15G, R222Q, C223Y/H, L320I, and V321I. Phenotypic evaluation of these mutations indicated that single amino acid changes were not sufficient to significantly reduce the activity of PSI-352938 and PSI-353661. Instead, a combination of three amino acid changes, S15G/C223H/V321I, was required to confer a high level of resistance. No cross-resistance exists between the 2′-F-2′-C-methylguanosine prodrugs and other classes of HCV inhibitors, including 2′-modified nucleoside/-tide analogs such as PSI-6130, PSI-7977, INX-08189, and IDX-184. Finally, we determined that in genotype 1b replicons, the C223Y/H mutation failed to support replication, and although the A15G/C223H/V321I triple mutation did confer resistance to PSI-352938 and PSI-353661, this mutant replicated at only about 10% efficiency compared to the wild type. PMID:21957306

  3. A Whole Cell Pathway Screen Reveals Seven Novel Chemosensitizers to Combat Chloroquine Resistant Malaria

    PubMed Central

    Ch'ng, Jun-Hong; Mok, Sachel; Bozdech, Zbynek; Lear, Martin James; Boudhar, Aicha; Russell, Bruce; Nosten, Francois; Tan, Kevin Shyong-Wei

    2013-01-01

    Due to the widespread prevalence of resistant parasites, chloroquine (CQ) was removed from front-line antimalarial chemotherapy in the 1990s despite its initial promise of disease eradication. Since then, resistance-conferring mutations have been identified in transporters such as the PfCRT, that allow for the efflux of CQ from its primary site of action, the parasite digestive vacuole. Chemosensitizing/chemoreversing compounds interfere with the function of these transporters thereby sensitizing parasites to CQ once again. However, compounds identified thus far have disappointing in vivo efficacy and screening for alternative candidates is required to revive this strategy. In this study, we propose a simple and direct means to rapidly screen for such compounds using a fluorescent-tagged CQ molecule. When this screen was applied to a small library, seven novel chemosensitizers (octoclothepin, methiothepin, metergoline, loperamide, chlorprothixene, L-703,606 and mibefradil) were quickly elucidated, including two which showed greater potency than the classical chemosensitizers verapamil and desipramine. PMID:23615863

  4. Peptidoglycan Association of Murein Lipoprotein Is Required for KpsD-Dependent Group 2 Capsular Polysaccharide Expression and Serum Resistance in a Uropathogenic Escherichia coli Isolate

    PubMed Central

    Diao, Jingyu; Bouwman, Catrien; Yan, Donghong; Kang, Jing; Katakam, Anand K.; Liu, Peter; Pantua, Homer; Abbas, Alexander R.; Nickerson, Nicholas N.; Austin, Cary; Reichelt, Mike; Sandoval, Wendy; Xu, Min

    2017-01-01

    ABSTRACT Murein lipoprotein (Lpp) and peptidoglycan-associated lipoprotein (Pal) are major outer membrane lipoproteins in Escherichia coli. Their roles in cell-envelope integrity have been documented in E. coli laboratory strains, and while Lpp has been linked to serum resistance in vitro, the underlying mechanism has not been established. Here, lpp and pal mutants of uropathogenic E. coli strain CFT073 showed reduced survival in a mouse bacteremia model, but only the lpp mutant was sensitive to serum killing in vitro. The peptidoglycan-bound Lpp form was specifically required for preventing complement-mediated bacterial lysis in vitro and complement-mediated clearance in vivo. Compared to the wild-type strain, the lpp mutant had impaired K2 capsular polysaccharide production and was unable to respond to exposure to serum by elevating capsular polysaccharide amounts. These properties correlated with altered cellular distribution of KpsD, the predicted outer membrane translocon for “group 2” capsular polysaccharides. We identified a novel Lpp-dependent association between functional KpsD and peptidoglycan, highlighting important interplay between cell envelope components required for resistance to complement-mediated lysis in uropathogenic E. coli isolates. PMID:28536290

  5. 21 CFR 1311.45 - Requirements for registrants that allow powers of attorney to obtain CSOS digital certificates...

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... attorney to obtain CSOS digital certificates under their DEA registration. 1311.45 Section 1311.45 Food and... registrants that allow powers of attorney to obtain CSOS digital certificates under their DEA registration. (a) A registrant that grants power of attorney must report to the DEA Certification Authority within 6...

  6. Fire resistance of structural composite lumber products

    Treesearch

    Robert H. White

    2006-01-01

    Use of structural composite lumber products is increasing. In applications requiring a fire resistance rating, calculation procedures are used to obtain the fire resistance rating of exposed structural wood products. A critical factor in the calculation procedures is char rate for ASTM E 119 fire exposure. In this study, we tested 14 structural composite lumber...

  7. Personal belief exemptions from school vaccination requirements.

    PubMed

    Diekema, Douglas S

    2014-01-01

    Despite the impact vaccination has had on the control and prevention of many infectious diseases, some parents choose not to vaccinate their children. Although there is no federal law requiring vaccination of children in the United States, all states require evidence of vaccination against at least some diseases as a condition of school entry. Which vaccines are required; how many doses are required; whether entry requirements apply to child care, kindergarten, or middle school; and whether exemptions from vaccine requirements will be allowed all differ by state. All but two states allow some kind of personal belief exemption from school vaccination requirements. This article reviews the history of school vaccination requirements and exemptions, the legal status of state vaccination laws and exemptions, the impact of school vaccination requirements and personal belief exemptions on vaccination rates and disease incidence, and strategies for maintaining adequate vaccination rates in states that allow personal belief exemptions.

  8. QTL Mapping and CRISPR/Cas9 Editing to Identify a Drug Resistance Gene in Toxoplasma gondii.

    PubMed

    Shen, Bang; Powell, Robin H; Behnke, Michael S

    2017-06-22

    Scientific knowledge is intrinsically linked to available technologies and methods. This article will present two methods that allowed for the identification and verification of a drug resistance gene in the Apicomplexan parasite Toxoplasma gondii, the method of Quantitative Trait Locus (QTL) mapping using a Whole Genome Sequence (WGS) -based genetic map and the method of Clustered Regularly Interspaced Short Palindromic Repeats (CRISPR)/Cas9 -based gene editing. The approach of QTL mapping allows one to test if there is a correlation between a genomic region(s) and a phenotype. Two datasets are required to run a QTL scan, a genetic map based on the progeny of a recombinant cross and a quantifiable phenotype assessed in each of the progeny of that cross. These datasets are then formatted to be compatible with R/qtl software that generates a QTL scan to identify significant loci correlated with the phenotype. Although this can greatly narrow the search window of possible candidates, QTLs span regions containing a number of genes from which the causal gene needs to be identified. Having WGS of the progeny was critical to identify the causal drug resistance mutation at the gene level. Once identified, the candidate mutation can be verified by genetic manipulation of drug sensitive parasites. The most facile and efficient method to genetically modify T. gondii is the CRISPR/Cas9 system. This system comprised of just 2 components both encoded on a single plasmid, a single guide RNA (gRNA) containing a 20 bp sequence complementary to the genomic target and the Cas9 endonuclease that generates a double-strand DNA break (DSB) at the target, repair of which allows for insertion or deletion of sequences around the break site. This article provides detailed protocols to use CRISPR/Cas9 based genome editing tools to verify the gene responsible for sinefungin resistance and to construct transgenic parasites.

  9. An eQTL Analysis of Partial Resistance to Puccinia hordei in Barley

    PubMed Central

    Chen, Xinwei; Hackett, Christine A.; Niks, Rients E.; Hedley, Peter E.; Booth, Clare; Druka, Arnis; Marcel, Thierry C.; Vels, Anton; Bayer, Micha; Milne, Iain; Morris, Jenny; Ramsay, Luke; Marshall, David; Cardle, Linda; Waugh, Robbie

    2010-01-01

    Background Genetic resistance to barley leaf rust caused by Puccinia hordei involves both R genes and quantitative trait loci. The R genes provide higher but less durable resistance than the quantitative trait loci. Consequently, exploring quantitative or partial resistance has become a favorable alternative for controlling disease. Four quantitative trait loci for partial resistance to leaf rust have been identified in the doubled haploid Steptoe (St)/Morex (Mx) mapping population. Further investigations are required to study the molecular mechanisms underpinning partial resistance and ultimately identify the causal genes. Methodology/Principal Findings We explored partial resistance to barley leaf rust using a genetical genomics approach. We recorded RNA transcript abundance corresponding to each probe on a 15K Agilent custom barley microarray in seedlings from St and Mx and 144 doubled haploid lines of the St/Mx population. A total of 1154 and 1037 genes were, respectively, identified as being P. hordei-responsive among the St and Mx and differentially expressed between P. hordei-infected St and Mx. Normalized ratios from 72 distant-pair hybridisations were used to map the genetic determinants of variation in transcript abundance by expression quantitative trait locus (eQTL) mapping generating 15685 eQTL from 9557 genes. Correlation analysis identified 128 genes that were correlated with resistance, of which 89 had eQTL co-locating with the phenotypic quantitative trait loci (pQTL). Transcript abundance in the parents and conservation of synteny with rice allowed us to prioritise six genes as candidates for Rphq11, the pQTL of largest effect, and highlight one, a phospholipid hydroperoxide glutathione peroxidase (HvPHGPx) for detailed analysis. Conclusions/Significance The eQTL approach yielded information that led to the identification of strong candidate genes underlying pQTL for resistance to leaf rust in barley and on the general pathogen response pathway

  10. Two-level magnetovariational measurements for the determination of underground resistivity distributions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Patella, D.; Siniscalchi, A.

    1994-07-01

    The authors investigate, from a theoretical point of view, the possibility of performing marine two-level magnetovariational measurements. An apparent resistivity function is defined and calculated after solving the differential equation governing the behavior of the natural magnetic field variations inside a one-dimensional earth. In order to generalize the problem, a frequency-dependent resistivity is assumed to characterize the layers and the distortions caused by the polarization effects are carefully analyzed. The computation of three-layer amplitude and phase diagrams for the apparent resistivity function shows that, in the case of an intermediate polarizable layer, sandwiched between a non-dispersive overburden and substratum, themore » H-type sequence results are the most affected by the dispersion phenomenon as it occurs in magnetotellurics. Finally they consider the problem of the sensitivity of the method, since, in practice, it requires top and bottom sensors separated by a vertical finite distance. It is found that in the higher-frequency range, due to the strong attenuation of the relative components of the field, the depth of the bottom sensor must be small enough to guarantee detectable signals, well above the full-scale resolution of the acquisition system. Conversely, in the lower-frequency range such a depth must be large enough to allow the difference between the top and bottom signals to be above the same recording sensitivity threshold.« less

  11. [Quantification and monitoring of vascular resistance in the lower limbs by the Doppler method (animal model)

    NASA Technical Reports Server (NTRS)

    Arbeille, P.; Berson, M.; Blondeau, B.; Durand, A.; Bodard, S.; Locatelli, A.; Fox, G. E. (Principal Investigator)

    1995-01-01

    The object of this study was to define and validate a non-invasive method of evaluation and monitoring of vascular resistances in the leg. Blood flow velocity was measured by Doppler ultrasound in an animal model (ewe) with similar blood flow characteristics in the lower limb as man and allowing access to the required invasive measurements for validation of the method (pressure and flow). Vascular resistances distal to the measuring point (femoral, for example) were assessed using the resistance index R = D/S, S being the peak systolic deflection and D that of diastolic reflux of the Doppler spectral analysis of flow in the femoral artery. The values and variations of this resistance index were compared with the vascular resistances calculated from measurements of pressure and flow at the point of Doppler sampling and expressed in mmHg/ml/min. Femoral flow was measured by Doppler ultrasound (Doppler-echo), and mean pressure by an arterial catheter introduced into the abdominal aorta. Compression of the lower limb veins induced a venous return resulting in a reduction of cardiac output and femoral flow. During compression, femoral flow decreased by an average of 29% (p < 0.001) although mean pressure and heart rate did not change significantly. The femoral resistance index (Rf) increased by an average of 37.5% (p < 0.01) and vascular resistances increased by 45.9% (p < 0.01). Injection of 1 mg adrenaline induced peripheral vasoconstriction with an increase in blood pressure and a decrease in heart rate and femoral flow.(ABSTRACT TRUNCATED AT 250 WORDS).

  12. 30 CFR 1206.178 - How do I determine a transportation allowance?

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ..., Gas Transportation Allowance Report, within 3 months after the end of the 12-month period to which the... subsequent amendments to the contract(s) within 2 months of the date ONRR receives your report which claims... we determine that it meets one of the two following requirements: (A) The methodology in paragraph (a...

  13. Multiple-Choice Tests with Correction Allowed in Autism: An Excel Applet

    ERIC Educational Resources Information Center

    Martinez, Elisabetta Monari

    2010-01-01

    The valuation of academic achievements in students with severe language impairment is problematic if they also have difficulties in sustaining attention and in praxic skills. In severe autism all of these difficulties may occur together. Multiple-choice tests offer the advantage that simple praxic skills are required, allowing the tasks to be…

  14. High precision silicon piezo resistive SMART pressure sensor

    NASA Astrophysics Data System (ADS)

    Brown, Rod

    2005-01-01

    Instruments for test and calibration require a pressure sensor that is precise and stable. Market forces also dictate a move away from single measurand test equipment and, certainly in the case of pressure, away from single range equipment. A pressure `module' is required which excels in pressure measurement but is interchangble with sensors for other measurands. A communications interface for such a sensor has been specified. Instrument Digital Output Sensor (IDOS) that permits this interchanagability and allows the sensor to be inside or outside the measuring instrument. This paper covers the design and specification of a silicon diaphragm piezo resistive SMART sensor using this interface. A brief history of instrument sensors will be given to establish the background to this development. Design choices of the silicon doping, bridge energisation method, temperature sensing, signal conversion, data processing, compensation method, communications interface will be discussed. The physical format of the `in-instrument' version will be shown and then extended to the packaging design for the external version. Test results will show the accuracy achieved exceeds the target of 0.01%FS over a range of temperatures.

  15. The allowance exchange - ALEX

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mangis, J.K.; Miller, C.; Nicholas, J.

    1997-12-31

    The success of market approaches to pollution control in reducing the cost of compliance with environmental regulation, has insured the inclusion of emissions trading programs in current and future regulatory programs. As these environmental trading programs multiply, (SO{sub 2}, NO{sub x}, Ozone Precursors, Wetlands, CO{sub 2} and others), utility companies will need a central location to buy, sell, and trade these allowances to meet regulatory needs. In response, SAIC has designed and prototyped an electronic trading system that can provide a common forum for the location and exchange of environmental allowances, marketable permits, and other market based instruments for environmentalmore » management. SAIC intends to open and operate the Allowance Exchange (ALEX) for the trading of all environmental allowances, associated with the operation of electric utilities, as a service to the nation, the industry, and the environmental community.« less

  16. Overexpression of a citrus NDR1 ortholog increases disease resistance in Arabidopsis.

    PubMed

    Lu, Hua; Zhang, Chong; Albrecht, Ute; Shimizu, Rena; Wang, Guanfeng; Bowman, Kim D

    2013-01-01

    Emerging devastating diseases, such as Huanglongbing (HLB) and citrus canker, have caused tremendous losses to the citrus industry worldwide. Genetic engineering is a powerful approach that could allow us to increase citrus resistance against these diseases. The key to the success of this approach relies on a thorough understanding of defense mechanisms of citrus. Studies of Arabidopsis and other plants have provided a framework for us to better understand defense mechanisms of citrus. Salicylic acid (SA) is a key signaling molecule involved in basal defense and resistance (R) gene-mediated defense against broad-spectrum pathogens. The Arabidopsis gene NDR1 (NON-RACE-SPECIFIC DISEASE RESISTANCE 1) is a positive regulator of SA accumulation and is specifically required for signaling mediated by a subset of R genes upon recognition of their cognate pathogen effectors. Our bioinformatic analysis identified an ortholog of NDR1 from citrus, CsNDR1. Overexpression of CsNDR1 complemented susceptibility conferred by the Arabidopsis ndr1-1 mutant to Pseudomonas syringae strains and also led to enhanced resistance to an oomycete pathogen Hyaloperonospora arabidopsidis. Such heightened resistance is associated with increased SA production and expression of the defense marker gene PATHOGENESIS RELATED 1 (PR1). In addition, we found that expression of PR1 and accumulation of SA were induced to modest levels in citrus infected with Candidatus Liberibacter asiaticus, the bacterial pathogen associated with HLB disease. Thus, our data suggest that CsNDR1 is a functional ortholog of Arabidopsis NDR1. Since Ca. L. asiaticus infection only activates modest levels of defense responses in citrus, we propose that genetically increasing SA/NDR1-mediated pathways could potentially lead to enhanced resistance against HLB, citrus canker, and other destructive diseases challenging global citrus production.

  17. Overexpression of a citrus NDR1 ortholog increases disease resistance in Arabidopsis

    PubMed Central

    Lu, Hua; Zhang, Chong; Albrecht, Ute; Shimizu, Rena; Wang, Guanfeng; Bowman, Kim D.

    2013-01-01

    Emerging devastating diseases, such as Huanglongbing (HLB) and citrus canker, have caused tremendous losses to the citrus industry worldwide. Genetic engineering is a powerful approach that could allow us to increase citrus resistance against these diseases. The key to the success of this approach relies on a thorough understanding of defense mechanisms of citrus. Studies of Arabidopsis and other plants have provided a framework for us to better understand defense mechanisms of citrus. Salicylic acid (SA) is a key signaling molecule involved in basal defense and resistance (R) gene-mediated defense against broad-spectrum pathogens. The Arabidopsis gene NDR1 (NON-RACE-SPECIFIC DISEASE RESISTANCE 1) is a positive regulator of SA accumulation and is specifically required for signaling mediated by a subset of R genes upon recognition of their cognate pathogen effectors. Our bioinformatic analysis identified an ortholog of NDR1 from citrus, CsNDR1. Overexpression of CsNDR1 complemented susceptibility conferred by the Arabidopsis ndr1-1 mutant to Pseudomonas syringae strains and also led to enhanced resistance to an oomycete pathogen Hyaloperonospora arabidopsidis. Such heightened resistance is associated with increased SA production and expression of the defense marker gene PATHOGENESIS RELATED 1 (PR1). In addition, we found that expression of PR1 and accumulation of SA were induced to modest levels in citrus infected with Candidatus Liberibacter asiaticus, the bacterial pathogen associated with HLB disease. Thus, our data suggest that CsNDR1 is a functional ortholog of Arabidopsis NDR1. Since Ca. L. asiaticus infection only activates modest levels of defense responses in citrus, we propose that genetically increasing SA/NDR1-mediated pathways could potentially lead to enhanced resistance against HLB, citrus canker, and other destructive diseases challenging global citrus production. PMID:23761797

  18. Rapid diagnosis of pyrazinamide-resistant multidrug-resistant tuberculosis using a molecular-based diagnostic algorithm.

    PubMed

    Simons, S O; van der Laan, T; Mulder, A; van Ingen, J; Rigouts, L; Dekhuijzen, P N R; Boeree, M J; van Soolingen, D

    2014-10-01

    There is an urgent need for rapid and accurate diagnosis of pyrazinamide-resistant multidrug-resistant tuberculosis (MDR-TB). No diagnostic algorithm has been validated in this population. We hypothesized that pncA sequencing added to rpoB mutation analysis can accurately identify patients with pyrazinamide-resistant MDR-TB. We identified from the Dutch national database (2007-11) patients with a positive Mycobacterium tuberculosis culture containing a mutation in the rpoB gene. In these cases, we prospectively sequenced the pncA gene. Results from the rpoB and pncA mutation analysis (pncA added to rpoB) were compared with phenotypic susceptibility testing results to rifampicin, isoniazid and pyrazinamide (reference standard) using the Mycobacterial Growth Indicator Tube 960 system. We included 83 clinical M. tuberculosis isolates containing rpoB mutations in the primary analysis. Rifampicin resistance was seen in 72 isolates (87%), isoniazid resistance in 73 isolates (88%) and MDR-TB in 65 isolates (78%). Phenotypic reference testing identified pyrazinamide-resistant MDR-TB in 31 isolates (48%). Sensitivity of pncA sequencing added to rpoB mutation analysis for detecting pyrazinamide-resistant MDR-TB was 96.8%, the specificity was 94.2%, the positive predictive value was 90.9%, the negative predictive value was 98.0%, the positive likelihood was 16.8 and the negative likelihood was 0.03. In conclusion, pyrazinamide-resistant MDR-TB can be accurately detected using pncA sequencing added to rpoB mutation analysis. We propose to include pncA sequencing in every isolate with an rpoB mutation, allowing for stratification of MDR-TB treatment according to pyrazinamide susceptibility. © 2014 The Authors Clinical Microbiology and Infection © 2014 European Society of Clinical Microbiology and Infectious Diseases.

  19. [Resistance studies: when are they indicated?].

    PubMed

    Angeles Marcos, M

    2011-12-01

    Cytomegalovirus (CMV) resistance to antiviral drugs is an emerging problem and is due to selection of mutations in the viral genome. Although ganciclovir resistance is the most common and widely studied, there is resistance to all antiviral agents. Risk factors for the development of resistance are the absence of preexisting immunity to CMV, lung and pancreas transplantation, high viral loads, intense concomitant immunosuppressive therapy and prolonged exposure to ganciclovir or suboptimal levels of this drug. Antiviral resistance should be suspected when, despite adequate treatment exposure for 2 weeks, an increase in viral load, or persistence or clinical progression of CMV disease are detected. However, failure to respond cannot always be attributed to antiviral resistance nor does resistance always lead to poor clinical outcome. When resistance is suspected, phenotypic and genotypic confirmation is required. The most common mutations are those in the UL97 gene, which confers ganciclovir resistance. However, foscarnet and cidofovir can be used. The UL54 mutation is not uncommon, whether alone or in combination with UL97 mutations. The combination of UL54 and UL97 mutations is associated with high-grade and multiple resistance. Early detection of resistance is essential to prevent unfavorable outcome and the development of multi-drug resistance. In patients with a slow response to treatment and without mutations associated with resistance, plasma ganciclovir levels and specific CMV immunity should be investigated. Copyright © 2011 Elsevier España S.L. All rights reserved.

  20. Mapping a Type 1 FHB resistance on chromosome 4AS of Triticum macha and deployment in combination with two Type 2 resistances.

    PubMed

    Burt, C; Steed, A; Gosman, N; Lemmens, M; Bird, N; Ramirez-Gonzalez, R; Holdgate, S; Nicholson, P

    2015-09-01

    Markers closely flanking a Type 1 FHB resistance have been produced and the potential of combining this with Type 2 resistances to improve control of FHB has been demonstrated. Two categories of resistance to Fusarium head blight (FHB) in wheat are generally recognised: resistance to initial infection (Type 1) and resistance to spread within the head (Type 2). While numerous sources of Type 2 resistance have been reported, relatively fewer Type 1 resistances have been characterised. Previous study identified a Type 1 FHB resistance (QFhs.jic-4AS) on chromosome 4A in Triticum macha. Little is known about the effect of combining Type 1 and Type 2 resistances on overall FHB symptoms or accumulation of the mycotoxin deoxynivalenol (DON). QFhs.jic-4AS was combined independently with two Type 2 FHB resistances (Fhb1 and one associated with the 1BL/1RS translocation). While combining Type 1 and Type 2 resistances generally reduced visual symptom development, the effect on DON accumulation was marginal. A lack of polymorphic markers and a limited number of recombinants had originally prevented accurate mapping of the QFhs.jic-4AS resistance. Using an array of recently produced markers in combination with new populations, the position of QFhs.jic-4AS has been determined to allow this resistance to be followed in breeding programmes.

  1. EURISWEB – Web-based epidemiological surveillance of antibiotic-resistant pneumococci in Day Care Centers

    PubMed Central

    Silva, Sara; Gouveia-Oliveira, Rodrigo; Maretzek, António; Carriço, João; Gudnason, Thorolfur; Kristinsson, Karl G; Ekdahl, Karl; Brito-Avô, António; Tomasz, Alexander; Sanches, Ilda Santos; Lencastre, Hermínia de; Almeida, Jonas

    2003-01-01

    Background EURIS (European Resistance Intervention Study) was launched as a multinational study in September of 2000 to identify the multitude of complex risk factors that contribute to the high carriage rate of drug resistant Streptococcus pneumoniae strains in children attending Day Care Centers in several European countries. Access to the very large number of data required the development of a web-based infrastructure – EURISWEB – that includes a relational online database, coupled with a query system for data retrieval, and allows integrative storage of demographic, clinical and molecular biology data generated in EURIS. Methods All components of the system were developed using open source programming tools: data storage management was supported by PostgreSQL, and the hypertext preprocessor to generate the web pages was implemented using PHP. The query system is based on a software agent running in the background specifically developed for EURIS. Results The website currently contains data related to 13,500 nasopharyngeal samples and over one million measures taken from 5,250 individual children, as well as over one thousand pre-made and user-made queries aggregated into several reports, approximately. It is presently in use by participating researchers from three countries (Iceland, Portugal and Sweden). Conclusion An operational model centered on a PHP engine builds the interface between the user and the database automatically, allowing an easy maintenance of the system. The query system is also sufficiently adaptable to allow the integration of several advanced data analysis procedures far more demanding than simple queries, eventually including artificial intelligence predictive models. PMID:12846930

  2. Resistant starch intakes in the United States.

    PubMed

    Murphy, Mary M; Douglass, Judith Spungen; Birkett, Anne

    2008-01-01

    Dietary fiber represents a broad class of undigested carbohydrate components. The components vary in chemical and physical nature and in their physiological outcomes. Resistant starch is starch that escapes digestion in the small intestine and that may be fermented in the large intestine. The purpose of this study was to estimate consumption of resistant starch by the US population and to identify key sources of dietary resistant starch. A database of resistant starch concentrations in foods was developed from the publicly available literature. These concentrations were linked to foods reported in 24-hour dietary recalls from participants in the 1999-2002 National Health and Nutrition Examination Surveys and estimates of resistant starch intakes were generated. The study population included 18,305 nonbreastfeeding individuals in the United States. The dietary intake of resistant starch was determined for 10 US subpopulations defined by age, sex, and race/ethnicity. Three estimates of resistant starch intake were made for each person based on the minimum, mean, and maximum concentrations of resistant starch in the foods consumed. Americans aged 1 year and older were estimated to consume approximately 4.9 g resistant starch per day based on mean resistant starch concentrations (range 2.8 to 7.9 g resistant starch per day). Breads, cooked cereals/pastas, and vegetables (other than legumes) contributed 21%, 19%, and 19% of total resistant starch intake, respectively, and were top sources of resistant starch. Findings from this study suggest that the estimated intake of resistant starch by Americans is approximately 3 to 8 g per person per day. These estimates of resistant starch intake provide a valuable reference for researchers and food and nutrition professionals and will allow for more accurate estimates of total intakes of carbohydrate compounds that escape digestion in the small intestine.

  3. Drought resistance in early and late secondary successional species from a tropical dry forest: the interplay between xylem resistance to embolism, sapwood water storage and leaf shedding

    Treesearch

    Fernando Pineda-Garcia; Horacio Paz; Frederick C. Meinzer

    2013-01-01

    The mechanisms of drought resistance that allow plants to successfully establish at different stages of secondary succession in tropical dry forests are not well understood. We characterized mechanisms of drought resistance in early and late-successional species and tested whether risk of drought differs across sites at different successional stages, and whether early...

  4. Overseas Cost of Living Allowances (COLA)

    Science.gov Websites

    ) Government Meal Rate Meal Tickets Mileage Rates Overseas Cost of Living Allowances (COLA) Calculation of Reporting Procedures Station Allowance Changes Programs & Services > Allowances > Overseas Cost of Allowances Submit Questions Overseas Cost of Living Allowances (COLA) The Overseas Cost of Living Allowance

  5. Biofilm Formation Potential of Heat-Resistant Escherichia coli Dairy Isolates and the Complete Genome of Multidrug-Resistant, Heat-Resistant Strain FAM21845

    PubMed Central

    Schmid, Michael; Kulli, Sandra; Schneeberger, Kerstin; Naskova, Javorka; Knøchel, Susanne; Ahrens, Christian H.

    2017-01-01

    ABSTRACT We tested the biofilm formation potential of 30 heat-resistant and 6 heat-sensitive Escherichia coli dairy isolates. Production of curli and cellulose, static biofilm formation on polystyrene (PS) and stainless steel surfaces, biofilm formation under dynamic conditions (Bioflux), and initial adhesion rates (IAR) were evaluated. Biofilm formation varied greatly between strains, media, and assays. Our results highlight the importance of the experimental setup in determining biofilm formation under conditions of interest, as correlation between different assays was often not a given. The heat-resistant, multidrug-resistant (MDR) strain FAM21845 showed the strongest biofilm formation on PS and the highest IAR and was the only strain that formed significant biofilms on stainless steel under conditions relevant to the dairy industry, and it was therefore fully sequenced. Its chromosome is 4.9 Mb long, and it harbors a total of five plasmids (147.2, 54.2, 5.8, 2.5, and 1.9 kb). The strain carries a broad range of genes relevant to antimicrobial resistance and biofilm formation, including some on its two large conjugative plasmids, as demonstrated in plate mating assays. IMPORTANCE In biofilms, cells are embedded in an extracellular matrix that protects them from stresses, such as UV radiation, osmotic shock, desiccation, antibiotics, and predation. Biofilm formation is a major bacterial persistence factor of great concern in the clinic and the food industry. Many tested strains formed strong biofilms, and especially strains such as the heat-resistant, MDR strain FAM21845 may pose a serious issue for food production. Strong biofilm formation combined with diverse resistances (some encoded on conjugative plasmids) may allow for increased persistence, coselection, and possible transfer of these resistance factors. Horizontal gene transfer may conceivably occur in the food production setting or the gastrointestinal tract after consumption. PMID:28550056

  6. Biofilm Formation Potential of Heat-Resistant Escherichia coli Dairy Isolates and the Complete Genome of Multidrug-Resistant, Heat-Resistant Strain FAM21845.

    PubMed

    Marti, Roger; Schmid, Michael; Kulli, Sandra; Schneeberger, Kerstin; Naskova, Javorka; Knøchel, Susanne; Ahrens, Christian H; Hummerjohann, Jörg

    2017-08-01

    We tested the biofilm formation potential of 30 heat-resistant and 6 heat-sensitive Escherichia coli dairy isolates. Production of curli and cellulose, static biofilm formation on polystyrene (PS) and stainless steel surfaces, biofilm formation under dynamic conditions (Bioflux), and initial adhesion rates (IAR) were evaluated. Biofilm formation varied greatly between strains, media, and assays. Our results highlight the importance of the experimental setup in determining biofilm formation under conditions of interest, as correlation between different assays was often not a given. The heat-resistant, multidrug-resistant (MDR) strain FAM21845 showed the strongest biofilm formation on PS and the highest IAR and was the only strain that formed significant biofilms on stainless steel under conditions relevant to the dairy industry, and it was therefore fully sequenced. Its chromosome is 4.9 Mb long, and it harbors a total of five plasmids (147.2, 54.2, 5.8, 2.5, and 1.9 kb). The strain carries a broad range of genes relevant to antimicrobial resistance and biofilm formation, including some on its two large conjugative plasmids, as demonstrated in plate mating assays. IMPORTANCE In biofilms, cells are embedded in an extracellular matrix that protects them from stresses, such as UV radiation, osmotic shock, desiccation, antibiotics, and predation. Biofilm formation is a major bacterial persistence factor of great concern in the clinic and the food industry. Many tested strains formed strong biofilms, and especially strains such as the heat-resistant, MDR strain FAM21845 may pose a serious issue for food production. Strong biofilm formation combined with diverse resistances (some encoded on conjugative plasmids) may allow for increased persistence, coselection, and possible transfer of these resistance factors. Horizontal gene transfer may conceivably occur in the food production setting or the gastrointestinal tract after consumption. Copyright © 2017 Marti et al.

  7. Antimicrobial resistance: harmonisation of national antimicrobial resistance monitoring and surveillance programmes in animals and in animal-derived food.

    PubMed

    Franklin, A; Acar, J; Anthony, F; Gupta, R; Nicholls, T; Tamura, Y; Thompson, S; Threlfall, E J; Vose, D; van Vuuren, M; White, D G; Wegener, H C; Costarrica, M L

    2001-12-01

    A guideline on the harmonisation of national antimicrobial resistance monitoring and surveillance programmes in animals and animal-derived foods has been developed by the Ad hoc Group of experts on antimicrobial resistance of the Office International des Epizooties. The objective of the guideline is to allow the generation of comparable data from various national surveillance and monitoring systems in order to compare the situations in different regions or countries and to consolidate results at the national, regional and international level. Definitions of surveillance and monitoring are provided. National systems should be able to detect the emergence of resistance, and to determine the prevalence of resistant bacteria. The resulting data should be used in the assessment of risks to public health and should contribute to the establishment of a risk management policy. Specific factors identified for harmonisation include the animal species, food commodities, sampling plans, bacterial species, antimicrobials to be tested, laboratory methods, data reporting, database structure and the structure of reports.

  8. Flame and acid resistant polymide fibers

    NASA Technical Reports Server (NTRS)

    Stringham, R. S.; Toy, M. S.

    1977-01-01

    Economical process improves flame resistance and resistance to acids of polyamide fibers, without modifying colors of mechanical properties. Process improves general safety of garments and other items made from polyamide fibers and makes them suitable for applications requiring exposure to oxygen-rich atmosphere or corrosive acids. Halo-olefins are added to surface of fibers by photoadditon in sealed chamber. Process could be used with films and other forms of polyamide.

  9. Generation of astaxanthin mutants in Xanthophyllomyces dendrorhous using a double recombination method based on hygromycin resistance.

    PubMed

    Niklitschek, Mauricio; Baeza, Marcelo; Fernández-Lobato, María; Cifuentes, Víctor

    2012-01-01

    Generally two selection markers are required to obtain homozygous mutations in a diploid background, one for each gene copy that is interrupted. In this chapter is described a method that allows the double gene deletions of the two copies of a gene from a diploid organism, a wild-type strain of the Xanthophyllomyces dendrorhous yeast, using hygromycin B resistance as the only selection marker. To accomplish this, in a first step, a heterozygous hygromycin B-resistant strain is obtained by a single process of transformation (carrying the inserted hph gene). Following, the heterozygous mutant is grown in media with increasing concentrations of the antibiotic. In this way, the strains that became homozygous (by mitotic recombination) for the antibiotic marker would able to growth at higher concentration of the antibiotic than the heterozygous. The method can be potentially applied for obtaining double mutants of other diploid organisms.

  10. High pulmonary vascular resistance in addition to low right ventricular stroke work index effectively predicts biventricular assist device requirement.

    PubMed

    Imamura, Teruhiko; Kinugawa, Koichiro; Kinoshita, Osamu; Nawata, Kan; Ono, Minoru

    2016-03-01

    Although the right ventricular stroke work index (RVSWI) is a good index for RV function, a low RVSWI is not necessarily an indicator for the need for a right ventricular assist device at the time of left VAD implantation. We here aimed to determine a more precise indicator for the need for a biventricular assist device (BiVAD). In total, 116 patients (mean age, 38 ± 14 years), who underwent hemodynamic assessments preoperatively including 12 BiVAD patients, and had been followed at our institute from 2003 to 2015, were included. Multivariate logistic regression analysis indicated that RVSWI and pulmonary vascular resistance (PVR) were independent predictors of BiVAD requirement (P < 0.05 for both). In addition, all patients were classified into 4 groups: (1) normal (RVSWI > 5 g/m, PVR < 3.7 WU), (2) pulmonary hypertension (RVSWI > 5, PVR > 3.7), (3) RV failure (RVSWI < 5, PVR < 3.7), and (4) both pulmonary hypertension and RV failure (RVSWI < 5, PVR > 3.7), and examined. Most of the patients in Group 4 (75 %), with acutely depressed hemodynamics and inflammatory responses in the myocardium, required BiVAD. Overall, patients with BiVAD had a worse survival rate as compared with those with LVAD alone. In conclusion, high PVR in addition to low RVSWI effectively predicts BiVAD requirement.

  11. 46 CFR 111.01-11 - Corrosion-resistant parts.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Corrosion-resistant parts. 111.01-11 Section 111.01-11 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) ELECTRICAL ENGINEERING ELECTRIC SYSTEMS-GENERAL REQUIREMENTS General § 111.01-11 Corrosion-resistant parts. Each enclosure and part of electric...

  12. 46 CFR 111.01-11 - Corrosion-resistant parts.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 4 2011-10-01 2011-10-01 false Corrosion-resistant parts. 111.01-11 Section 111.01-11 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) ELECTRICAL ENGINEERING ELECTRIC SYSTEMS-GENERAL REQUIREMENTS General § 111.01-11 Corrosion-resistant parts. Each enclosure and part of electric...

  13. 46 CFR 111.01-11 - Corrosion-resistant parts.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 46 Shipping 4 2013-10-01 2013-10-01 false Corrosion-resistant parts. 111.01-11 Section 111.01-11 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) ELECTRICAL ENGINEERING ELECTRIC SYSTEMS-GENERAL REQUIREMENTS General § 111.01-11 Corrosion-resistant parts. Each enclosure and part of electric...

  14. 46 CFR 111.01-11 - Corrosion-resistant parts.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 46 Shipping 4 2014-10-01 2014-10-01 false Corrosion-resistant parts. 111.01-11 Section 111.01-11 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) ELECTRICAL ENGINEERING ELECTRIC SYSTEMS-GENERAL REQUIREMENTS General § 111.01-11 Corrosion-resistant parts. Each enclosure and part of electric...

  15. 46 CFR 111.01-11 - Corrosion-resistant parts.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 46 Shipping 4 2012-10-01 2012-10-01 false Corrosion-resistant parts. 111.01-11 Section 111.01-11 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) ELECTRICAL ENGINEERING ELECTRIC SYSTEMS-GENERAL REQUIREMENTS General § 111.01-11 Corrosion-resistant parts. Each enclosure and part of electric...

  16. The Tangle of Student Allowances.

    ERIC Educational Resources Information Center

    Thomson, Norman J.

    1980-01-01

    A discussion of the distribution of student financial aid in Australia focuses on these issues: direct vs. indirect payment to students; inequality in living allowances given to secondary and postsecondary students; and distribution of expense allowances by state government and living allowances by the Commonwealth. (MSE)

  17. Competitive release of drug resistance following drug treatment of mixed Plasmodium chabaudi infections.

    PubMed

    de Roode, Jacobus C; Culleton, Richard; Bell, Andrew S; Read, Andrew F

    2004-09-14

    Malaria infections are often genetically diverse, potentially leading to competition between co-infecting strains. Such competition is of key importance in the spread of drug resistance. The effects of drug treatment on within-host competition were studied using the rodent malaria Plasmodium chabaudi. Mice were infected simultaneously with a drug-resistant and a drug-sensitive clone and were then either drug-treated or left untreated. Transmission was assessed by feeding mice to Anopheles stephensi mosquitoes. In the absence of drugs, the sensitive clone competitively suppressed the resistant clone; this resulted in lower asexual parasite densities and also reduced transmission to the mosquito vector. Drug treatment, however, allowed the resistant clone to fill the ecological space emptied by the removal of the sensitive clone, allowing it to transmit as well as it would have done in the absence of competition. These results show that under drug pressure, resistant strains can have two advantages: (1) they survive better than sensitive strains and (2) they can exploit the opportunities presented by the removal of their competitors. When mixed infections are common, such effects could increase the spread of drug resistance.

  18. Resistance Elasticity of Antibiotic Demand in Intensive Care.

    PubMed

    Heister, Thomas; Hagist, Christian; Kaier, Klaus

    2017-07-01

    The emergence and spread of antimicrobial resistance (AMR) is still an unresolved problem worldwide. In intensive care units (ICUs), first-line antibiotic therapy is highly standardized and widely empiric while treatment failure because of AMR often has severe consequences. Simultaneously, there is a limited number of reserve antibiotics, whose prices and/or side effects are substantially higher than first-line therapy. This paper explores the implications of resistance-induced substitution effects in ICUs. The extent of such substitution effects is shown in a dynamic fixed effect regression analysis using a panel of 66 German ICUs with monthly antibiotic use and resistance data between 2001 and 2012. Our findings support the hypothesis that demand for reserve antibiotics substantially increases when resistance towards first-line agents rises. For some analyses the lagged effect of resistance is also significant, supporting the conjecture that part of the substitution effect is caused by physicians changing antibiotic choices in empiric treatment by adapting their resistance expectation to new information on resistance prevalence. The available information about resistance rates allows physicians to efficiently balance the trade-off between exacerbating resistance and ensuring treatment success. However, resistance-induced substitution effects are not free of charge. These effects should be considered an indirect burden of AMR. Copyright © 2016 John Wiley & Sons, Ltd. Copyright © 2016 John Wiley & Sons, Ltd.

  19. Sterol Biosynthesis Is Required for Heat Resistance but Not Extracellular Survival in Leishmania

    PubMed Central

    Xu, Wei; Hsu, Fong-Fu; Baykal, Eda; Huang, Juyang; Zhang, Kai

    2014-01-01

    Sterol biosynthesis is a crucial pathway in eukaryotes leading to the production of cholesterol in animals and various C24-alkyl sterols (ergostane-based sterols) in fungi, plants, and trypanosomatid protozoa. Sterols are important membrane components and precursors for the synthesis of powerful bioactive molecules, including steroid hormones in mammals. Their functions in pathogenic protozoa are not well characterized, which limits the development of sterol synthesis inhibitors as drugs. Here we investigated the role of sterol C14α-demethylase (C14DM) in Leishmania parasites. C14DM is a cytochrome P450 enzyme and the primary target of azole drugs. In Leishmania, genetic or chemical inactivation of C14DM led to a complete loss of ergostane-based sterols and accumulation of 14-methylated sterols. Despite the drastic change in lipid composition, C14DM-null mutants (c14dm −) were surprisingly viable and replicative in culture. They did exhibit remarkable defects including increased membrane fluidity, failure to maintain detergent resistant membrane fraction, and hypersensitivity to heat stress. These c14dm − mutants showed severely reduced virulence in mice but were highly resistant to itraconazole and amphotericin B, two drugs targeting sterol synthesis. Our findings suggest that the accumulation of toxic sterol intermediates in c14dm − causes strong membrane perturbation and significant vulnerability to stress. The new knowledge may help improve the efficacy of current drugs against pathogenic protozoa by exploiting the fitness loss associated with drug resistance. PMID:25340392

  20. Sterol biosynthesis is required for heat resistance but not extracellular survival in leishmania.

    PubMed

    Xu, Wei; Hsu, Fong-Fu; Baykal, Eda; Huang, Juyang; Zhang, Kai

    2014-10-01

    Sterol biosynthesis is a crucial pathway in eukaryotes leading to the production of cholesterol in animals and various C24-alkyl sterols (ergostane-based sterols) in fungi, plants, and trypanosomatid protozoa. Sterols are important membrane components and precursors for the synthesis of powerful bioactive molecules, including steroid hormones in mammals. Their functions in pathogenic protozoa are not well characterized, which limits the development of sterol synthesis inhibitors as drugs. Here we investigated the role of sterol C14α-demethylase (C14DM) in Leishmania parasites. C14DM is a cytochrome P450 enzyme and the primary target of azole drugs. In Leishmania, genetic or chemical inactivation of C14DM led to a complete loss of ergostane-based sterols and accumulation of 14-methylated sterols. Despite the drastic change in lipid composition, C14DM-null mutants (c14dm(-)) were surprisingly viable and replicative in culture. They did exhibit remarkable defects including increased membrane fluidity, failure to maintain detergent resistant membrane fraction, and hypersensitivity to heat stress. These c14dm(-) mutants showed severely reduced virulence in mice but were highly resistant to itraconazole and amphotericin B, two drugs targeting sterol synthesis. Our findings suggest that the accumulation of toxic sterol intermediates in c14dm(-) causes strong membrane perturbation and significant vulnerability to stress. The new knowledge may help improve the efficacy of current drugs against pathogenic protozoa by exploiting the fitness loss associated with drug resistance.

  1. Improvement of SET variability in TaO x based resistive RAM devices

    NASA Astrophysics Data System (ADS)

    Schönhals, Alexander; Waser, Rainer; Wouters, Dirk J.

    2017-11-01

    Improvement or at least control of variability is one of the key challenges for Redox based resistive switching memory technology. In this paper, we investigate the impact of a serial resistor as a voltage divider on the SET variability in Pt/Ta2O5/Ta/Pt nano crossbar devices. A partial RESET in a competing complementary switching (CS) mode is identified as a possible failure mechanism of bipolar switching SET in our devices. Due to a voltage divider effect, serial resistance value shows unequal impact on switching voltages of both modes which allows for a selective suppression of the CS mode. The impact of voltage divider on SET variability is demonstrated. A combination of appropriate write voltage and serial resistance allows for a significant improvement of the SET variability.

  2. 49 CFR 192.328 - Additional construction requirements for steel pipe using alternative maximum allowable operating...

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... stringing, field bending, welding, non-destructive examination of girth welds, applying and testing field...: (i) Equivalent to that required under § 192.112(f)(3) for pipe; and (ii) Performed by an individual...) All girth welds on a new pipeline segment must be non-destructively examined in accordance with § 192...

  3. 49 CFR 192.328 - Additional construction requirements for steel pipe using alternative maximum allowable operating...

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... stringing, field bending, welding, non-destructive examination of girth welds, applying and testing field...: (i) Equivalent to that required under § 192.112(f)(3) for pipe; and (ii) Performed by an individual...) All girth welds on a new pipeline segment must be non-destructively examined in accordance with § 192...

  4. 49 CFR 192.328 - Additional construction requirements for steel pipe using alternative maximum allowable operating...

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... stringing, field bending, welding, non-destructive examination of girth welds, applying and testing field...: (i) Equivalent to that required under § 192.112(f)(3) for pipe; and (ii) Performed by an individual...) All girth welds on a new pipeline segment must be non-destructively examined in accordance with § 192...

  5. Effective flow resistivity of highway pavements.

    PubMed

    Rochat, Judith L; Read, David R

    2013-12-01

    In the case of highway traffic noise, propagating sound is influenced by the ground over which it travels, whether it is the pavement itself or the ground between the highway and nearby communities. Properly accounting for ground type in modeling can increase accuracy in noise impact determinations and noise abatement design. Pavement-specific effective flow resistivity values are being investigated for inclusion in the Federal Highway Administration Traffic Noise Model, which uses these values in the sound propagation algorithms and currently applies a single effective flow resistivity value to all pavement. Pavement-specific effective flow resistivity values were obtained by applying a modified version of the American National Standards Institute S1.18 standard. The data analysis process was tailored to allow for increased sensitivity and extraction of effective flow resistivity values for a broad range of pavements (sound absorptive to reflective). For porous pavements (sound absorptive), it was determined that examination of the measured data can reveal influence from an underlying structure. Use of such techniques can aid in the design of quieter pavements.

  6. [Antibiotic resistance : A challenge for society].

    PubMed

    Antão, Esther-Maria; Wagner-Ahlfs, Christian

    2018-05-01

    Without doubt, drug resistance is now one of the greatest health threats of our time. Not even 100 years after the discovery of the first antibiotics that saved human lives, we find ourselves threatened by the thought of a post-antibiotic era. Currently it is estimated that around 700,000 people die annually as a consequence of drug-resistant infections. Antibiotic resistance is a natural phenomenon with bacteria having devised several ways of combating the antibiotic attack. Rather than being a spontaneous event, resistance becomes an integral part of the microbe's genetic make-up, spreading further between and across species. However, the misuse and overuse of antibiotics over the years has in fact allowed for resistant bacteria to thrive, while slowly wiping out sensitive bacteria. Spreading awareness and proper information in the community about the risks and consequences of a rapidly developing antibiotic resistance is essential in tackling this global problem. In working together as an entire community, we can find long-lasting solutions. The One Health concept includes human and veterinary medical sectors, the environmental sector, as well as various decision-making bodies that include individual action as well as national and international policymakers. The role of stakeholders like pharmaceutical companies and agriculturists must be given importance, too, thinking all the while in a global context. Only this way will we find solutions for sustainable healthcare and together help slow down the process of antibiotic resistance.

  7. Molecular chess? Hallmarks of anti-cancer drug resistance.

    PubMed

    Cree, Ian A; Charlton, Peter

    2017-01-05

    The development of resistance is a problem shared by both classical chemotherapy and targeted therapy. Patients may respond well at first, but relapse is inevitable for many cancer patients, despite many improvements in drugs and their use over the last 40 years. Resistance to anti-cancer drugs can be acquired by several mechanisms within neoplastic cells, defined as (1) alteration of drug targets, (2) expression of drug pumps, (3) expression of detoxification mechanisms, (4) reduced susceptibility to apoptosis, (5) increased ability to repair DNA damage, and (6) altered proliferation. It is clear, however, that changes in stroma and tumour microenvironment, and local immunity can also contribute to the development of resistance. Cancer cells can and do use several of these mechanisms at one time, and there is considerable heterogeneity between tumours, necessitating an individualised approach to cancer treatment. As tumours are heterogeneous, positive selection of a drug-resistant population could help drive resistance, although acquired resistance cannot simply be viewed as overgrowth of a resistant cancer cell population. The development of such resistance mechanisms can be predicted from pre-existing genomic and proteomic profiles, and there are increasingly sophisticated methods to measure and then tackle these mechanisms in patients. The oncologist is now required to be at least one step ahead of the cancer, a process that can be likened to 'molecular chess'. Thus, as well as an increasing role for predictive biomarkers to clinically stratify patients, it is becoming clear that personalised strategies are required to obtain best results.

  8. Multidrug evolutionary strategies to reverse antibiotic resistance

    PubMed Central

    Baym, Michael; Stone, Laura K.; Kishony, Roy

    2017-01-01

    Antibiotic treatment has two conflicting effects: the desired, immediate effect of inhibiting bacterial growth and the undesired, long-term effect of promoting the evolution of resistance. Although these contrasting outcomes seem inextricably linked, recent work has revealed several ways by which antibiotics can be combined to inhibit bacterial growth while, counterintuitively, selecting against resistant mutants. Decoupling treatment efficacy from the risk of resistance can be achieved by exploiting specific interactions between drugs, and the ways in which resistance mutations to a given drug can modulate these interactions or increase the sensitivity of the bacteria to other compounds. Although their practical application requires much further development and validation, and relies on advances in genomic diagnostics, these discoveries suggest novel paradigms that may restrict or even reverse the evolution of resistance. PMID:26722002

  9. Expression of rabbit IL-4 by recombinant myxoma viruses enhances virulence and overcomes genetic resistance to myxomatosis.

    PubMed

    Kerr, P J; Perkins, H D; Inglis, B; Stagg, R; McLaughlin, E; Collins, S V; Van Leeuwen, B H

    2004-06-20

    Rabbit IL-4 was expressed in the virulent standard laboratory strain (SLS) and the attenuated Uriarra (Ur) strain of myxoma virus with the aim of creating a Th2 cytokine environment and inhibiting the development of an antiviral cell-mediated response to myxomatosis in infected rabbits. This allowed testing of a model for genetic resistance to myxomatosis in wild rabbits that have undergone 50 years of natural selection for resistance to myxomatosis. Expression of IL-4 significantly enhanced virulence of both virulent and attenuated virus strains in susceptible (laboratory) and resistant (wild) rabbits. SLS-IL-4 completely overcame genetic resistance in wild rabbits. The pathogenesis of SLS-IL-4 was compared in susceptible and resistant rabbits. The results support a model for resistance to myxomatosis of an enhanced innate immune response controlling virus replication and allowing an effective antiviral cell-mediated immune response to develop in resistant rabbits. Expression of IL-4 did not overcome immunity to myxomatosis induced by immunization.

  10. Role of the Stringent Stress Response in the Antibiotic Resistance Phenotype of Methicillin-Resistant Staphylococcus aureus

    PubMed Central

    Aedo, Sandra

    2016-01-01

    Resistance to beta-lactam antibiotics in methicillin-resistant Staphylococcus aureus (MRSA) requires the presence of an acquired genetic determinant, mecA or mecC, which encode penicillin-binding protein PBP2A or PBP2A′, respectively. Although all MRSA strains share a mechanism of resistance, the phenotypic expression of beta-lactam resistance shows considerable strain-to-strain variation. The stringent stress response, a stress response that results from nutrient limitation, was shown to play a key role in determining the resistance level of an MRSA strain. In the present study, we validated the impact of the stringent stress response on transcription and translation of mecA in the MRSA clinical isolate strain N315, which also carries known regulatory genes (mecI/mecR1/mecR2 and blaI/blaR1) for mecA transcription. We showed that the impact of the stringent stress response on the resistance level may be restricted to beta-lactam resistance based on a “foreign” determinant such as mecA, as opposed to resistance based on mutations in the native S. aureus determinant pbpB (encoding PBP2). Our observations demonstrate that high-level resistance mediated by the stringent stress response follows the current model of beta-lactam resistance in which the native PBP2 protein is also essential for expression of the resistance phenotype. We also show that the Staphylococcus sciuri pbpD gene (also called mecAI), the putative evolutionary precursor of mecA, confers oxacillin resistance in an S. aureus strain, generating a heterogeneous phenotype that can be converted to high and homogenous resistance by induction of the stringent stress response in the bacteria. PMID:26833147

  11. Reef corals bleach to resist stress.

    PubMed

    Obura, David O

    2009-02-01

    A rationale is presented here for a primary role of bleaching in regulation of the coral-zooxanthellae symbiosis under conditions of stress. Corals and zooxanthellae have fundamentally different metabolic rates, requiring active homeostasis to limit zooxanthellae production and manage translocated products to maintain the symbiosis. The control processes for homeostasis are compromised by environmental stress, resulting in metabolic imbalance between the symbionts. For the coral-zooxanthella symbiosis the most direct way to minimize metabolic imbalance under stress is to reduce photosynthetic production by zooxanthellae. Two mechanisms have been demonstrated that do this: reduction of the chlorophyll concentration in individual zooxanthellae and reduction of the relative biomass of zooxanthellae. Both mechanisms result in visual whitening of the coral, termed bleaching. Arguments are presented here that bleaching provides the final control to minimize physiological damage from stress as an adversity response to metabolic imbalance. As such, bleaching meets the requirements of a stress response syndrome/general adaptive mechanism that is sensitive to internal states rather than external parameters. Variation in bleaching responses among holobionts reflects genotypic and phenotypic differentiation, allowing evolutionary change by natural selection. Thus, reef corals bleach to resist stress, and thereby have some capacity to adapt to and survive change. The extreme thermal anomalies causing mass coral bleaching worldwide lie outside the reaction norms for most coral-zooxanthellae holobionts, revealing the limitations of bleaching as a control mechanism.

  12. Genotypic Detection of Antibiotic Resistance in "Escherichia Coli.": A Classroom Exercise

    ERIC Educational Resources Information Center

    Longtin, Sarah; Guilfoile, Patrick; Asper, Andrea

    2004-01-01

    Bacterial antibiotic resistance remains a problem of clinical importance. Current microbiological methods for determining antibiotic resistance are based on culturing bacteria, and may require up to 48 hours to complete. Molecular methods are increasingly being developed to speed the identification of antibiotic resistance and to determine its…

  13. FDA-CDC Antimicrobial Resistance Isolate Bank: a Publicly Available Resource To Support Research, Development, and Regulatory Requirements.

    PubMed

    Lutgring, Joseph D; Machado, María-José; Benahmed, Faiza H; Conville, Patricia; Shawar, Ribhi M; Patel, Jean; Brown, Allison C

    2018-02-01

    The FDA-CDC Antimicrobial Resistance Isolate Bank was created in July 2015 as a publicly available resource to combat antimicrobial resistance. It is a curated repository of bacterial isolates with an assortment of clinically important resistance mechanisms that have been phenotypically and genotypically characterized. In the first 2 years of operation, the bank offered 14 panels comprising 496 unique isolates and had filled 486 orders from 394 institutions throughout the United States. New panels are being added. Copyright © 2018 American Society for Microbiology.

  14. Using Epigenetic Therapy to Overcome Chemotherapy Resistance.

    PubMed

    Strauss, Julius; Figg, William D

    2016-01-01

    It has been known for decades that as cancer progresses, tumors develop genetic alterations, making them highly prone to developing resistance to therapies. Classically, it has been thought that these acquired genetic changes are fixed. This has led to the paradigm of moving from one cancer therapy to the next while avoiding past therapies. However, emerging data on epigenetic changes during tumor progression and use of epigenetic therapies have shown that epigenetic modifications leading to chemotherapy resistance have the potential to be reversible with epigenetic therapy. In fact, promising clinical data exist that treatment with epigenetic agents can diminish chemotherapy resistance in a number of tumor types including chronic myelogenous leukemia, colorectal, ovarian, lung and breast cancer. The potential for epigenetic-modifying drugs to allow for treatment of resistant disease is exciting and clinical trials have just begun to evaluate this area. Copyright© 2016 International Institute of Anticancer Research (Dr. John G. Delinassios), All rights reserved.

  15. Metabolic syndrome and insulin resistance in obese adolescents.

    PubMed

    Gobato, Amanda Oliva; Vasques, Ana Carolina J; Zambon, Mariana Porto; Barros Filho, Antonio de Azevedo; Hessel, Gabriel

    2014-03-01

    To verify the prevalence of metabolic syndrome and insulin resistance in obese adolescents and its relationship with different body composition indicators. A cross-sectional study comprising 79 adolescents aged ten to 18 years old. The assessed body composition indicators were: body mass index (BMI), body fat percentage, abdominal circumference, and subcutaneous fat. The metabolic syndrome was diagnosed according to the criteria proposed by Cook et al. The insulin resistance was determined by the Homeostasis Model Assessment for Insulin Resistance (HOMA-IR) index for values above 3.16. The analysis of ROC curves was used to assess the BMI and the abdominal circumference, aiming to identify the subjects with metabolic syndrome and insulin resistance. The cutoff point corresponded to the percentage above the reference value used to diagnose obesity. The metabolic syndrome was diagnosed in 45.5% of the patients and insulin resistance, in 29.1%. Insulin resistance showed association with HDL-cholesterol (p=0.032) and with metabolic syndrome (p=0.006). All body composition indicators were correlated with insulin resistance (p<0.01). In relation to the cutoff point evaluation, the values of 23.5 and 36.3% above the BMI reference point allowed the identification of insulin resistance and metabolic syndrome. The best cutoff point for abdominal circumference to identify insulin resistance was 40%. All body composition indicators, HDL-cholesterol and metabolic syndrome showed correlation with insulin resistance. The BMI was the most effective anthropometric indicator to identify insulin resistance.

  16. Taxing sulfur dioxide emission allowances

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nelson, G.L.

    1993-09-15

    The acid rain control program authorized by Title IV of the Clean Air Act Amendments of 1990 (CAAA) was designed to reduce the adverse effects of acid rain by limiting emissions of sulfur dioxide (SO[sub 2]) into the atmosphere. The program is a complex scheme involving the issuance, consumption, holding, and trading of emission allowances for SO[sub 2]. Not surprisingly, electric utilities will face federal income tax issues in connection with the program. Under the emission allowance program, the U.S. Environmental Protection Agency (EPA) will issue emission allowance to owners or operators of certain utility power plants at no costmore » to the recipients. An emission allowance is an authorization to emit one ton of SO[sub 2] during or after the calendar year for which it is issued. If a utility power plant subject to the program emits SO[sub 2] in excess of its allowances, the owner or operator will be subject to a penalty of $2,000 a ton, and must offset the excess emissions with allowances in the subsequent year. Allowances may be bought and sold. Phase I of the program begins January 1, 1995, and will apply to 110 utility generating units. Phase II takes effect January 1, 2000, and will include most electric utility generating units. EPA will withhold a specified number of allowances for direct sale and auction. The resulting proceeds will be paid to the utilities from which the allowances were withheld. The Internal Revenue Service (IRS) has provided somewhat limited guidance on several tax issues raised by the program. Significant tax issues and the positions articulated by the IRS (if any) are discussed in this article.« less

  17. A novel major facilitator superfamily transporter in Penicillium digitatum (PdMFS2) is required for prochloraz resistance, conidiation and full virulence.

    PubMed

    Wu, Zhi; Wang, Shengqiang; Yuan, Yongze; Zhang, Tingfu; Liu, Jing; Liu, Deli

    2016-08-01

    To clone a novel major facilitator superfamily (MFS, a large protein family with diverse physiological functions in all kingdoms) transporter gene, Pdmfs2, and characterize its function in Penicillium digitatum. A novel MFS transporter gene, Pdmfs2, was isolated from P. digitatum. The full-length DNA of Pdmfs2 had a 1590 bp ORF encoding a full-size MFS transporter with 529 amino acids. In a prochloraz-resistant strain (PdHS-F6), Pdmfs2 transcript level was up-regulated compared with the prochloraz-sensitive strain (PdHS-E3) and could be induced by 7 μg prochloraz/ml. The deletion of Pdmfs2 (ΔPdmfs2) in PdHS-F6 led to increased susceptibility to prochloraz and lower EC50 value (the concentration of prochloraz producing 50 % growth inhibition) compared with the PdHS-F6 or complementation strain (COPdmfs2). The ΔPdmfs2 strain was defective in conidia yield and virulence towards citrus fruits, while the complementation of Pdmfs2 could restore the phenotypic features to a large extent. Pdmfs2 is the second MFS transporter gene in P. digitatum and is required for prochloraz resistance, conidiation and full virulence.

  18. Resist development status for immersion lithography

    NASA Astrophysics Data System (ADS)

    Tsuji, Hiromitsu; Yoshida, Masaaki; Ishizuka, Keita; Hirano, Tomoyuki; Endo, Kotaro; Sato, Mitsuru

    2005-05-01

    Immersion lithography has already demonstrated superior performance for next generation semiconductor manufacturing, while some challenges with contact immersion fluids and resist still remain. There are many interactions to be considered with regards to the solid and liquid interface. Resist elusion in particular requires very careful attention since the impact on the lens and fluid supply system in exposure tool could pose a significant risk at the manufacturing stage. TOK developed a screening procedure to detect resist elution of ion species down to ppb levels during non and post exposure steps. It was found that the PAG cation elution is affected by molecular weight and structure while the PAG anion elution was dependent on the molecular structure and mobility. In this paper, lithographic performance is also discussed with the low elution type resist.

  19. Creep resistance. [of high temperature alloys

    NASA Technical Reports Server (NTRS)

    Tien, J. K.; Malu, M.; Purushothaman, S.

    1976-01-01

    High-temperature structural applications usually require creep resistance because some average stress is maintained for prolonged periods. Alloy and microstructural design guidelines for creep resistance are presented through established knowledge on creep behavior and its functional dependences on alloy microstructure. Important considerations related to creep resistance of alloys as well as those that are harmful to high-temperature properties are examined. Although most of the creep models do not predict observed creep behavior quantitatively, they are sophisticated enough to provide alloy or microstructural design guidelines. It is shown that creep-resistant microstructures are usually in conflict with microstructures that improve such other properties as stress rupture ductility. Greater understanding of the effects of environments on creep and stress rupture behavior of materials is necessary before one can optimally design alloys for applications in different environments.

  20. Are herbicide-resistant crops the answer to controlling Cuscuta?

    PubMed

    Nadler-Hassar, Talia; Shaner, Dale L; Nissen, Scott; Westra, Phill; Rubin, Baruch

    2009-07-01

    Herbicide-resistant crop technology could provide new management strategies for the control of parasitic plants. Three herbicide-resistant oilseed rape (Brassica napus L.) genotypes were used to examine the response of attached Cuscuta campestris Yuncker to glyphosate, imazamox and glufosinate. Cuscata campestris was allowed to establish on all oilseed rape genotypes before herbicides were applied. Unattached seedlings of C. campestris, C. subinclusa Durand & Hilg. and C. gronovii Willd. were resistant to imazamox and glyphosate and sensitive to glufosinate, indicating that resistance initially discovered in C. campestris is universal to all Cuscuta species. Glufosinate applied to C. campestris attached to glufosinate-resistant oilseed rape had little impact on the parasite, while imazamox completely inhibited C. campestris growth on the imidazolinone-resistant host. The growth of C. campestris on glyphosate-resistant host was initially inhibited by glyphosate, but the parasite recovered and resumed growth within 3-4 weeks. The ability of C. campestris to recover was related to the quality of interaction between the host and parasite and to the resistance mechanism of the host. The parasite was less likely to recover when it had low compatibility with the host, indicating that parasite-resistant crops coupled with herbicide resistance could be highly effective in controlling Cuscuta. (c) 2009 by John Wiley & Sons, Ltd.

  1. A Novel Sensor Kinase Is Required for Bordetella bronchiseptica To Colonize the Lower Respiratory Tract▿

    PubMed Central

    Kaut, Callan S.; Duncan, Mark D.; Kim, Ji Yei; Maclaren, Joshua J.; Cochran, Keith T.; Julio, Steven M.

    2011-01-01

    Bacterial virulence is influenced by the activity of two-component regulator systems (TCSs), which consist of membrane-bound sensor kinases that allow bacteria to sense the external environment and cytoplasmic, DNA-binding response regulator proteins that control appropriate gene expression. Respiratory pathogens of the Bordetella genus require the well-studied TCS BvgAS to control the expression of many genes required for colonization of the mammalian respiratory tract. Here we describe the identification of a novel gene in Bordetella bronchiseptica, plrS, the product of which shares sequence homology to several NtrY-family sensor kinases and is required for B. bronchiseptica to colonize and persist in the lower, but not upper, respiratory tract in rats and mice. The plrS gene is located immediately 5′ to and presumably cotranscribed with a gene encoding a putative response regulator, supporting the idea that PlrS and the product of the downstream gene may compose a TCS. Consistent with this hypothesis, the PlrS-dependent colonization phenotype requires a conserved histidine that serves as the site of autophosphorylation in other sensor kinases, and in strains lacking plrS, the production and/or cellular localization of several immune-recognized proteins is altered in comparison to that in the wild-type strain. Because plrS is required for colonization and persistence only in the lower respiratory tract, a site where innate and adaptive immune mechanisms actively target infectious agents, we hypothesize that its role may be to allow Bordetella to resist the host immune response. PMID:21606184

  2. New Policies Allow High School Child Development Programs to Provide CDA Licensure

    ERIC Educational Resources Information Center

    Langlais, Amanda G.

    2012-01-01

    Recent changes made by the Council for Professional Recognition to the Child Development Associate (CDA) credentialing program create an opportunity to redesign high school child development programs. On April 1, 2011, the Council for Professional Recognition lifted the age restriction in the CDA credentialing requirements, now allowing students…

  3. In chronic myeloid leukemia patients on second-line tyrosine kinase inhibitor therapy, deep sequencing of BCR-ABL1 at the time of warning may allow sensitive detection of emerging drug-resistant mutants.

    PubMed

    Soverini, Simona; De Benedittis, Caterina; Castagnetti, Fausto; Gugliotta, Gabriele; Mancini, Manuela; Bavaro, Luana; Machova Polakova, Katerina; Linhartova, Jana; Iurlo, Alessandra; Russo, Domenico; Pane, Fabrizio; Saglio, Giuseppe; Rosti, Gianantonio; Cavo, Michele; Baccarani, Michele; Martinelli, Giovanni

    2016-08-02

    Imatinib-resistant chronic myeloid leukemia (CML) patients receiving second-line tyrosine kinase inhibitor (TKI) therapy with dasatinib or nilotinib have a higher risk of disease relapse and progression and not infrequently BCR-ABL1 kinase domain (KD) mutations are implicated in therapeutic failure. In this setting, earlier detection of emerging BCR-ABL1 KD mutations would offer greater chances of efficacy for subsequent salvage therapy and limit the biological consequences of full BCR-ABL1 kinase reactivation. Taking advantage of an already set up and validated next-generation deep amplicon sequencing (DS) assay, we aimed to assess whether DS may allow a larger window of detection of emerging BCR-ABL1 KD mutants predicting for an impending relapse. a total of 125 longitudinal samples from 51 CML patients who had acquired dasatinib- or nilotinib-resistant mutations during second-line therapy were analyzed by DS from the time of failure and mutation detection by conventional sequencing backwards. BCR-ABL1/ABL1%(IS) transcript levels were used to define whether the patient had 'optimal response', 'warning' or 'failure' at the time of first mutation detection by DS. DS was able to backtrack dasatinib- or nilotinib-resistant mutations to the previous sample(s) in 23/51 (45 %) pts. Median mutation burden at the time of first detection by DS was 5.5 % (range, 1.5-17.5 %); median interval between detection by DS and detection by conventional sequencing was 3 months (range, 1-9 months). In 5 cases, the mutations were detectable at baseline. In the remaining cases, response level at the time mutations were first detected by DS could be defined as 'Warning' (according to the 2013 ELN definitions of response to 2nd-line therapy) in 13 cases, as 'Optimal response' in one case, as 'Failure' in 4 cases. No dasatinib- or nilotinib-resistant mutations were detected by DS in 15 randomly selected patients with 'warning' at various timepoints, that later turned into optimal

  4. An empirical comparison of isolate-based and sample-based definitions of antimicrobial resistance and their effect on estimates of prevalence.

    PubMed

    Humphry, R W; Evans, J; Webster, C; Tongue, S C; Innocent, G T; Gunn, G J

    2018-02-01

    Antimicrobial resistance is primarily a problem in human medicine but there are unquantified links of transmission in both directions between animal and human populations. Quantitative assessment of the costs and benefits of reduced antimicrobial usage in livestock requires robust quantification of transmission of resistance between animals, the environment and the human population. This in turn requires appropriate measurement of resistance. To tackle this we selected two different methods for determining whether a sample is resistant - one based on screening a sample, the other on testing individual isolates. Our overall objective was to explore the differences arising from choice of measurement. A literature search demonstrated the widespread use of testing of individual isolates. The first aim of this study was to compare, quantitatively, sample level and isolate level screening. Cattle or sheep faecal samples (n=41) submitted for routine parasitology were tested for antimicrobial resistance in two ways: (1) "streak" direct culture onto plates containing the antimicrobial of interest; (2) determination of minimum inhibitory concentration (MIC) of 8-10 isolates per sample compared to published MIC thresholds. Two antibiotics (ampicillin and nalidixic acid) were tested. With ampicillin, direct culture resulted in more than double the number of resistant samples than the MIC method based on eight individual isolates. The second aim of this study was to demonstrate the utility of the observed relationship between these two measures of antimicrobial resistance to re-estimate the prevalence of antimicrobial resistance from a previous study, in which we had used "streak" cultures. Boot-strap methods were used to estimate the proportion of samples that would have tested resistant in the historic study, had we used the isolate-based MIC method instead. Our boot-strap results indicate that our estimates of prevalence of antimicrobial resistance would have been

  5. Suppressing Resistance to Bt Cotton with Sterile Insect Releases

    USDA-ARS?s Scientific Manuscript database

    Transgenic plants producing insecticidal proteins from Bacillus thuringiensis (Bt) are grown widely to control pests, but evolution of insect resistance can reduce their efficacy. The predominant strategy for delaying insect resistance to Bt crops requires refuges of non-Bt host plants to provide s...

  6. Resistance to herbicides caused by single amino acid mutations in acetyl-CoA carboxylase in resistant populations of grassy weeds.

    PubMed

    Jang, SoRi; Marjanovic, Jasmina; Gornicki, Piotr

    2013-03-01

    Eleven spontaneous mutations of acetyl-CoA carboxylase have been identified in many herbicide-resistant populations of 42 species of grassy weeds, hampering application of aryloxyphenoxypropionate, cyclohexadione and phenylpyrazoline herbicides in agriculture. IC(50) shifts (resistance indices) caused by herbicide-resistant mutations were determined using a recombinant yeast system that allows comparison of the effects of single amino acid mutations in the same biochemical background, avoiding the complexity inherent in the in planta experiments. The effect of six mutations on the sensitivity of acetyl-CoA carboxylase to nine herbicides representing the three chemical classes was studied. A combination of partially overlapping binding sites of the three classes of herbicides and the structure of their variable parts explains cross-resistance among and between the three classes of inhibitors, as well as differences in their specificity. Some degree of resistance was detected for 51 of 54 herbicide/mutation combinations. Introduction of new herbicides targeting acetyl-CoA carboxylase will depend on their ability to overcome the high degree of cross-resistance already existing in weed populations. © 2013 The Authors. New Phytologist © 2013 New Phytologist Trust.

  7. Two Components of Aversive Memory in Drosophila, Anesthesia-Sensitive and Anesthesia-Resistant Memory, Require Distinct Domains Within the Rgk1 Small GTPase.

    PubMed

    Murakami, Satoshi; Minami-Ohtsubo, Maki; Nakato, Ryuichiro; Shirahige, Katsuhiko; Tabata, Tetsuya

    2017-05-31

    Multiple components have been identified that exhibit different stabilities for aversive olfactory memory in Drosophila These components have been defined by behavioral and genetic studies and genes specifically required for a specific component have also been identified. Intermediate-term memory generated after single cycle conditioning is divided into anesthesia-sensitive memory (ASM) and anesthesia-resistant memory (ARM), with the latter being more stable. We determined that the ASM and ARM pathways converged on the Rgk1 small GTPase and that the N-terminal domain-deleted Rgk1 was sufficient for ASM formation, whereas the full-length form was required for ARM formation. Rgk1 is specifically accumulated at the synaptic site of the Kenyon cells (KCs), the intrinsic neurons of the mushroom bodies, which play a pivotal role in olfactory memory formation. A higher than normal Rgk1 level enhanced memory retention, which is consistent with the result that Rgk1 suppressed Rac-dependent memory decay; these findings suggest that rgk1 bolsters ASM via the suppression of forgetting. We propose that Rgk1 plays a pivotal role in the regulation of memory stabilization by serving as a molecular node that resides at KC synapses, where the ASM and ARM pathway may interact. SIGNIFICANCE STATEMENT Memory consists of multiple components. Drosophila olfactory memory serves as a fundamental model with which to investigate the mechanisms that underlie memory formation and has provided genetic and molecular means to identify the components of memory, namely short-term, intermediate-term, and long-term memory, depending on how long the memory lasts. Intermediate memory is further divided into anesthesia-sensitive memory (ASM) and anesthesia-resistant memory (ARM), with the latter being more stable. We have identified a small GTPase in Drosophila , Rgk1, which plays a pivotal role in the regulation of olfactory memory stability. Rgk1 is required for both ASM and ARM. Moreover, N

  8. Stalking Antibiotic-Resistant Bacteria in Common Vegetables

    ERIC Educational Resources Information Center

    Brock, David; Boeke, Caroline; Josowitz, Rebecca; Loya, Katherine

    2004-01-01

    The study developed a simple experimental protocol for studying antibiotic resistant bacteria that will allow students to determine the proportion of such bacteria found on common fruit and vegetable crops. This protocol can open up the world of environmental science and show how human behavior can dramatically alter ecosystems.

  9. The design and evaluation of an antimicrobial resistance surveillance system for neonatal intensive care units in Iran.

    PubMed

    Rezaei-Hachesu, Peyman; Samad-Soltani, Taha; Yaghoubi, Sajad; GhaziSaeedi, Marjan; Mirnia, Kayvan; Masoumi-Asl, Hossein; Safdari, Reza

    2018-07-01

    Neonatal intensive care units (NICUs) have complex patients in terms of their diagnoses and required treatments. Antimicrobial treatment is a common therapy for patients in NICUs. To solve problems pertaining to empirical therapy, antimicrobial stewardship programs have recently been introduced. Despite the success of these programs in terms of data collection, there is still inefficiency in terms of analyzing and reporting the data. Thus, to successfully implement these stewardship programs, the design of antimicrobial resistance (AMR) surveillance systems is recommended as a first step. As a result, this study aimed to design an AMR surveillance system for use in the NICUs in northwestern Iranian hospitals to cover these information gaps. The recommended system is compatible with the World Health Organization (WHO) guidelines. The business intelligence (BI) requirements were extracted in an interview with a product owner (PO) using a valid and reliable checklist. Following this, an AMR surveillance system was designed and evaluated in relation to user experiences via a user experience questionnaire (UEQ). Finally, an association analysis was performed on the database, and the results were reported by identifying the important multidrug resistances in the database. A customized software development methodology was proposed. The three major modules of the AMR surveillance are the data registry, dashboard, and decision support modules. The data registry module was implemented based on a three-tier architecture, and the Clinical Decision Support System (CDSS) and dashboard modules were designed based on the BI requirements of the Scrum product owner (PO). The mean values of UEQ measures were in a good range. This measures showed the suitable usability of the AMR surveillance system. Applying efficient software development methodologies allows for the systems' compatibility with users' opinions and requirements. In addition, the construction of interdisciplinary

  10. In vitro fracture resistance of three commercially available zirconia crowns for primary molars.

    PubMed

    Townsend, Janice A; Knoell, Patrick; Yu, Qingzhao; Zhang, Jian-Feng; Wang, Yapin; Zhu, Han; Beattie, Sean; Xu, Xiaoming

    2014-01-01

    The purpose of this study was to measure the fracture resistance of primary mandibular first molar zirconia crowns from three different manufacturers-EZ Pedo (EZP), NuSmile (NSZ), and Kinder Krowns (KK)-and compare it with the thickness of the zirconia crowns and the measured fracture resistance of preveneered stainless steel crowns (SSCs). The thickness of 20 zirconia crowns from three manufacturers were measured. The mean force required to fracture the crowns was determined. Preveneered NuSmile (NSW) SSCs were tested as a control. EZP crowns were significantly thicker in three of the six measured locations. The force required to fracture the EZP crown was significantly higher than that required for NSZ and KK. There was a positive correlation between fracture resistance and crown thickness in the mesial, distal, mesioocclusal, and distoocclusal dimensions. None of the zirconia crowns proved to be as resistant to fracture as the preveneered SSCs. Statistically significant differences were found among the forces required to fracture zirconia crowns by three different manufacturers. The increase in force correlated with crown thickness. The forces required to fracture the preveneered stainless steel crowns were greater than the forces required to fracture all manufacturers' zirconia crowns.

  11. Characterization and mapping of LanrBo: a locus conferring anthracnose resistance in narrow-leafed lupin (Lupinus angustifolius L.).

    PubMed

    Fischer, Kristin; Dieterich, Regine; Nelson, Matthew N; Kamphuis, Lars G; Singh, Karam B; Rotter, Björn; Krezdorn, Nicolas; Winter, Peter; Wehling, Peter; Ruge-Wehling, Brigitte

    2015-10-01

    A novel and highly effective source of anthracnose resistance in narrow-leafed lupin was identified. Resistance was shown to be governed by a single dominant locus. Molecular markers have been developed, which can be used for selecting resistant genotypes in lupin breeding. A screening for anthracnose resistance of a set of plant genetic resources of narrow-leafed lupin (Lupinus angustifolius L.) identified the breeding line Bo7212 as being highly resistant to anthracnose (Colletotrichum lupini). Segregation analysis indicated that the resistance of Bo7212 is inherited by a single dominant locus. The corresponding resistance gene was given the designation LanrBo. Previously published molecular anchor markers allowed us to locate LanrBo on linkage group NLL-11 of narrow-leafed lupin. Using information from RNAseq data obtained with inoculated resistant vs. susceptible lupin entries as well as EST-sequence information from the model genome Lotus japonicus, additional SNP and EST markers linked to LanrBo were derived. A bracket of two LanrBo-flanking markers allows for precise marker-assisted selection of the novel resistance gene in narrow-leafed lupin breeding programs.

  12. Pre-resistance-welding resistance check

    DOEpatents

    Destefan, Dennis E.; Stompro, David A.

    1991-01-01

    A preweld resistance check for resistance welding machines uses an open circuited measurement to determine the welding machine resistance, a closed circuit measurement to determine the parallel resistance of a workpiece set and the machine, and a calculation to determine the resistance of the workpiece set. Any variation in workpiece set or machine resistance is an indication that the weld may be different from a control weld.

  13. 41 CFR 128-1.8006 - Seismic Safety Program requirements.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... reviewer shall verify that the current level of seismic resistance of the existing building at least equals the seismic resistance level of the building before the addition. (c) The Department Seismic Safety... conduct the reviews required under this section, as appropriate. (a) New building projects. Construction...

  14. Non-chemically amplified 248-nm resist materials

    NASA Astrophysics Data System (ADS)

    Willson, C. Grant; Yueh, Wang; Leeson, Michael J.; Steinhausler, Thomas; McAdams, Christopher L.; Dammel, Ralph R.; Sounik, James R.; Aslam, M.; Vicari, Richard; Sheehan, Michael

    1997-07-01

    Remarkable progress has been made in the formulation of chemically amplified resists for deep-UV (DUV or 248 nm) lithography. These materials are now in general use in full scale manufacturing. One of the deterrents to rapid and universal adoption of DUV lithography has been the combination of high cost of ownership and a narrow process latitude when compared to conventional i-line process alternatives. A significant part of the high cost of the DUV process is associated with installing and maintaining special air handling equipment that is required to remove basic contaminants from the ambient. Manufacture process latitude demands this special air handling. The chemically amplified resists were developed originally to support mercury lamp powered exposure systems. The sensitivity realized by chemical amplification is required to enable useful productivity with such systems that generate very little DUV flux at the wafer plane. With the advent of high powered excimer laser based illumination systems for 248 nm steppers and step-and-scan systems, it is appropriate to re-examine the applicability of non-chemically amplified DUV resist systems. These systems are less sensitive but have the potential to offer both lower cost of ownership and improved process latitude. A series of photoactive compounds (PACs) have been synthesized and auditioned for use in the formulation of a non-chemically amplified 248 nm resist. The most promising of these materials are analogs of 3-oxo-3-diazocoumarin. This chromophore displays photochemistry that is analogous to that of the diazonaphthoquinones (DNQ) that are the basis of i-line resist formulations, but it bleaches at 248 nm. Several structural analogs of the chromophore have been synthesized and a variety of ballast groups have been studied with the goal of enhancing the dissolution inhibition properties of the molecule. The diazocoumarin PACs have been formulated with customized phenolic resins that were designed to provide the

  15. 48 CFR 31.303 - Requirements.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 48 Federal Acquisition Regulations System 1 2011-10-01 2011-10-01 false Requirements. 31.303... REQUIREMENTS CONTRACT COST PRINCIPLES AND PROCEDURES Contracts With Educational Institutions 31.303 Requirements. (a) Contracts that refer to this subpart 31.3 for determining allowable costs under contracts...

  16. 48 CFR 31.303 - Requirements.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 48 Federal Acquisition Regulations System 1 2014-10-01 2014-10-01 false Requirements. 31.303... REQUIREMENTS CONTRACT COST PRINCIPLES AND PROCEDURES Contracts With Educational Institutions 31.303 Requirements. (a) Contracts that refer to this subpart 31.3 for determining allowable costs under contracts...

  17. 48 CFR 31.303 - Requirements.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 48 Federal Acquisition Regulations System 1 2013-10-01 2013-10-01 false Requirements. 31.303... REQUIREMENTS CONTRACT COST PRINCIPLES AND PROCEDURES Contracts With Educational Institutions 31.303 Requirements. (a) Contracts that refer to this subpart 31.3 for determining allowable costs under contracts...

  18. 48 CFR 31.303 - Requirements.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 48 Federal Acquisition Regulations System 1 2010-10-01 2010-10-01 false Requirements. 31.303... REQUIREMENTS CONTRACT COST PRINCIPLES AND PROCEDURES Contracts With Educational Institutions 31.303 Requirements. (a) Contracts that refer to this subpart 31.3 for determining allowable costs under contracts...

  19. Bipolar resistive switching in Si/Ag nanostructures

    NASA Astrophysics Data System (ADS)

    Dias, C.; Lv, H.; Picos, R.; Aguiar, P.; Cardoso, S.; Freitas, P. P.; Ventura, J.

    2017-12-01

    Resistive switching devices are being intensively studied aiming a large number of promising applications such as nonvolatile memories, artificial neural networks and sensors. Here, we show nanoscale bipolar resistive switching in Pt/Si/Ag/TiW structures, with a dielectric barrier thickness of 20 nm. The observed phenomenon is based on the formation/rupture of metallic Ag filaments in the otherwise insulating Si host material. No electroforming process was required to achieve resistive switching. We obtained average values of 0.23 V and -0.24 V for the Set and Reset voltages, respectively. The stability of the switching was observed for over 100 cycles, together with a clear separation of the ON (103 Ω) and OFF (102 Ω) states. Furthermore, the influence of the Set current compliance on the ON resistance, resistances ratio and Set/Reset voltages percentage variation was also studied.

  20. Youth Participatory Action Research: A Pedagogy of Transformational Resistance for Critical Youth Studies

    ERIC Educational Resources Information Center

    Cammarota, Julio

    2017-01-01

    This article explains how some youth gain insights into educational processes of social reproduction by participating in a pedagogy of transformational resistance. These insights lead to resistances that have the potential to transform young people's subjectivities while allowing them to envision ways of learning to counteract oppressive and…

  1. Clean Air Markets - Allowances Query Wizard

    EPA Pesticide Factsheets

    The Allowances Query Wizard is part of a suite of Clean Air Markets-related tools that are accessible at http://camddataandmaps.epa.gov/gdm/index.cfm. The Allowances module allows the user to view allowance data associated with EPA's emissions trading programs. Allowance data can be specified and organized using the Allowance Query Wizard to find allowances information associated with specific accounts, companies, transactions, programs, facilities, representatives, allowance type, or by date. Quick Reports and Prepackaged Datasets are also available for data that are commonly requested.EPA's Clean Air Markets Division (CAMD) includes several market-based regulatory programs designed to improve air quality and ecosystems. The most well-known of these programs are EPA's Acid Rain Program and the NOx Programs, which reduce emissions of sulfur dioxide (SO2) and nitrogen oxides (NOx)-compounds that adversely affect air quality, the environment, and public health. CAMD also plays an integral role in the development and implementation of the Clean Air Interstate Rule (CAIR).

  2. Dry etching of chrome for photomasks for 100-nm technology using chemically amplified resist

    NASA Astrophysics Data System (ADS)

    Mueller, Mark; Komarov, Serguie; Baik, Ki-Ho

    2002-07-01

    Photo mask etching for the 100nm technology node places new requirements on dry etching processes. As the minimum-size features on the mask, such as assist bars and optical proximity correction (OPC) patterns, shrink down to 100nm, it is necessary to produce etch CD biases of below 20nm in order to reproduce minimum resist features into chrome with good pattern fidelity. In addition, vertical profiles are necessary. In previous generations of photomask technology, footing and sidewall profile slope were tolerated, since this dry etch profile was an improvement from wet etching. However, as feature sizes shrink, it is extremely important to select etch processes which do not generate a foot, because this will affect etch linearity and also limit the smallest etched feature size. Chemically amplified resist (CAR) from TOK is patterned with a 50keV MEBES eXara e-beam writer, allowing for patterning of small features with vertical resist profiles. This resist is developed for raster scan 50 kV e-beam systems. It has high contrast, good coating characteristics, good dry etch selectivity, and high environmental stability. Chrome etch process development has been performed using Design of Experiments to optimize parameters such as sidewall profile, etch CD bias, etch CD linearity for varying sizes of line/space patterns, etch CD linearity for varying sizes of isolated lines and spaces, loading effects, and application to contact etching.

  3. 49 CFR 266.11 - Allowable costs.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... TRANSPORTATION ACT § 266.11 Allowable costs. Allowable costs include only the following costs which are properly allocable to the work performed: Planning and program operation costs which are allowed under Federal... 49 Transportation 4 2010-10-01 2010-10-01 false Allowable costs. 266.11 Section 266.11...

  4. Error modelling of quantum Hall array resistance standards

    NASA Astrophysics Data System (ADS)

    Marzano, Martina; Oe, Takehiko; Ortolano, Massimo; Callegaro, Luca; Kaneko, Nobu-Hisa

    2018-04-01

    Quantum Hall array resistance standards (QHARSs) are integrated circuits composed of interconnected quantum Hall effect elements that allow the realization of virtually arbitrary resistance values. In recent years, techniques were presented to efficiently design QHARS networks. An open problem is that of the evaluation of the accuracy of a QHARS, which is affected by contact and wire resistances. In this work, we present a general and systematic procedure for the error modelling of QHARSs, which is based on modern circuit analysis techniques and Monte Carlo evaluation of the uncertainty. As a practical example, this method of analysis is applied to the characterization of a 1 MΩ QHARS developed by the National Metrology Institute of Japan. Software tools are provided to apply the procedure to other arrays.

  5. Metabolic syndrome and insulin resistance in obese adolescents

    PubMed Central

    Gobato, Amanda Oliva; Vasques, Ana Carolina J.; Zambon, Mariana Porto; Barros, Antonio de Azevedo; Hessel, Gabriel

    2014-01-01

    Objective: To verify the prevalence of metabolic syndrome and insulin resistance in obese adolescents and its relationship with different body composition indicators. Methods: A cross-sectional study comprising 79 adolescents aged ten to 18 years old. The assessed body composition indicators were: body mass index (BMI), body fat percentage, abdominal circumference, and subcutaneous fat. The metabolic syndrome was diagnosed according to the criteria proposed by Cook et al. The insulin resistance was determined by the Homeostasis Model Assessment for Insulin Resistance (HOMA-IR) index for values above 3.16. The analysis of ROC curves was used to assess the BMI and the abdominal circumference, aiming to identify the subjects with metabolic syndrome and insulin resistance. The cutoff point corresponded to the percentage above the reference value used to diagnose obesity. Results: The metabolic syndrome was diagnosed in 45.5% of the patients and insulin resistance, in 29.1%. Insulin resistance showed association with HDL-cholesterol (p=0.032) and with metabolic syndrome (p=0.006). All body composition indicators were correlated with insulin resistance (p<0.01). In relation to the cutoff point evaluation, the values of 23.5 and 36.3% above the BMI reference point allowed the identification of insulin resistance and metabolic syndrome. The best cutoff point for abdominal circumference to identify insulin resistance was 40%. Conclusions: All body composition indicators, HDL-cholesterol and metabolic syndrome showed correlation with insulin resistance. The BMI was the most effective anthropometric indicator to identify insulin resistance. PMID:24676191

  6. Phonon impedance matching: minimizing interfacial thermal resistance of thin films

    NASA Astrophysics Data System (ADS)

    Polanco, Carlos; Zhang, Jingjie; Ghosh, Avik

    2014-03-01

    The challenge to minimize interfacial thermal resistance is to allow a broad band spectrum of phonons, with non-linear dispersion and well defined translational and rotational symmetries, to cross the interface. We explain how to minimize this resistance using a frequency dependent broadening matrix that generalizes the notion of acoustic impedance to the whole phonon spectrum including symmetries. We show how to ``match'' two given materials by joining them with a single atomic layer, with a multilayer material and with a graded superlattice. Atomic layer ``matching'' requires a layer with a mass close to the arithmetic mean (or spring constant close to the harmonic mean) to favor high frequency phonon transmission. For multilayer ``matching,'' we want a material with a broadening close to the geometric mean to maximize transmission peaks. For graded superlattices, a continuous sequence of geometric means translates to an exponentially varying broadening that generates a wide-band antireflection coating for both the coherent and incoherent limits. Our results are supported by ``first principles'' calculations of thermal conductance for GaAs / Gax Al1 - x As / AlAs thin films using the Non-Equilibrium Greens Function formalism coupled with Density Functional Perturbation Theory. NSF-CAREER (QMHP 1028883), NSF-IDR (CBET 1134311), XSEDE.

  7. Leptin signaling and leptin resistance

    PubMed Central

    Zhou, Yingjiang; Rui, Liangyou

    2014-01-01

    Leptin is secreted into the bloodstream by adipocytes and is required for the maintenance of energy homeostasis and body weight. Leptin deficiency or genetic defects in the components of the leptin signaling pathways causes obesity. Leptin controls energy balance and body weight primarily by targeting LEPRb-expressing neurons in the brain, particularly in the hypothalamus. These LEPRb-expressing neurons function as the first-order neurons that project to the second-order neurons located within and outside the hypothalamus, forming a neural network that controls the energy homeostasis and body weight. Multiple factors, including inflammation and ER stress, contribute to leptin resistance, and leptin resistance is the key risk factor for obesity. This review is focused on recent advance about leptin action, leptin signaling, and leptin resistance. PMID:23580174

  8. Full-scale aircraft cabin flammability tests of improved fire-resistant materials

    NASA Technical Reports Server (NTRS)

    Stuckey, R. N.; Surpkis, D. E.; Price, L. J.

    1974-01-01

    Full-scale aircraft cabin flammability tests to evaluate the effectiveness of new fire-resistant materials by comparing their burning characteristics with those of older aircraft materials are described. Three tests were conducted and are detailed. Test 1, using pre-1968 materials, was run to correlate the procedures and to compare the results with previous tests by other organizations. Test 2 included newer, improved fire-resistant materials. Test 3 was essentially a duplicate of test 2, but a smokeless fuel was used. Test objectives, methods, materials, and results are presented and discussed. Results indicate that the pre-1968 materials ignited easily, allowed the fire to spread, produced large amounts of smoke and toxic combustion products, and resulted in a flash fire and major fire damage. The newer fire-resistant materials did not allow the fire to spread. Furthermore, they produced less, lower concentrations of toxic combustion products, and lower temperatures. The newer materials did not produce a flash fire.

  9. Novel Polymyxin Combination With Antineoplastic Mitotane Improved the Bacterial Killing Against Polymyxin-Resistant Multidrug-Resistant Gram-Negative Pathogens.

    PubMed

    Tran, Thien B; Wang, Jiping; Doi, Yohei; Velkov, Tony; Bergen, Phillip J; Li, Jian

    2018-01-01

    Due to limited new antibiotics, polymyxins are increasingly used to treat multidrug-resistant (MDR) Gram-negative bacteria, in particular carbapenem-resistant Acinetobacter baumannii , Pseudomonas aeruginosa , and Klebsiella pneumoniae . Unfortunately, polymyxin monotherapy has led to the emergence of resistance. Polymyxin combination therapy has been demonstrated to improve bacterial killing and prevent the emergence of resistance. From a preliminary screening of an FDA drug library, we identified antineoplastic mitotane as a potential candidate for combination therapy with polymyxin B against polymyxin-resistant Gram-negative bacteria. Here, we demonstrated that the combination of polymyxin B with mitotane enhances the in vitro antimicrobial activity of polymyxin B against 10 strains of A. baumannii , P. aeruginosa , and K. pneumoniae , including polymyxin-resistant MDR clinical isolates. Time-kill studies showed that the combination of polymyxin B (2 mg/L) and mitotane (4 mg/L) provided superior bacterial killing against all strains during the first 6 h of treatment, compared to monotherapies, and prevented regrowth and emergence of polymyxin resistance in the polymyxin-susceptible isolates. Electron microscopy imaging revealed that the combination potentially affected cell division in A. baumannii . The enhanced antimicrobial activity of the combination was confirmed in a mouse burn infection model against a polymyxin-resistant A. baumannii isolate. As mitotane is hydrophobic, it was very likely that the synergistic killing of the combination resulted from that polymyxin B permeabilized the outer membrane of the Gram-negative bacteria and allowed mitotane to enter bacterial cells and exert its antimicrobial effect. These results have important implications for repositioning non-antibiotic drugs for antimicrobial purposes, which may expedite the discovery of novel therapies to combat the rapid emergence of antibiotic resistance.

  10. Puromycin and Methotrexate Resistance Cassettes and Optimized cre-recombinase Expression Plasmids for use in Yeast

    PubMed Central

    MacDonald, Chris; Piper, Robert C.

    2015-01-01

    Here we expand the set of tools for genetically manipulating Saccharomyces cerevisiae. We show that puromycin-resistance can be achieved in yeast through expression of a bacterial puromycin-resistance gene optimized to the yeast codon bias, which in turn serves as an easy to use dominant genetic marker suitable for gene disruption. We have constructed a similar DNA cassette expressing yeast codon-optimized mutant human dihydrofolate reductase (DHFR) that confers resistance to methotrexate and can also be used as a dominant selectable marker. Both of these drug-resistant marker cassettes are flanked by loxP sites allowing for their excision from the genome following expression of cre-recombinase. Finally, we have created a series of plasmids for low-level constitutive expression of cre-recombinase in yeast that allows for efficient excision of loxP-flanked markers. PMID:25688547

  11. Reservoir characterization combining elastic velocities and electrical resistivity measurements

    NASA Astrophysics Data System (ADS)

    Gomez, Carmen Teresa

    2009-12-01

    The elastic and electric parameters of rocks that can be obtained from seismic and electromagnetic data depend on porosity, texture, mineralogy, and fluid. However, seismic data seldom allow us to accurately quantify hydrocarbon saturation. On the other hand, in the case of common reservoir rocks (i.e., sandstones and carbonates), resistivity strongly depends on porosity and saturation. Therefore, the recent progress of controlled-source-electromagnetic (CSEM) methods opens new possibilities in identifying and quantifying potential hydrocarbon reservoirs, although its resolution is much lower than that of seismic data. Hence, a combination of seismic and CSEM data arguably offers a powerful means of finally resolving the problem of remote sensing of saturation. The question is how to combine the two data sources (elastic data and electrical resistivity data) to better characterize a reservoir. To address this question, we introduce the concept of P-wave impedance and resistivity templates as a tool to estimate porosity and saturation from well log data. Adequate elastic and resistivity models, according to the lithology, cementation, fluid properties must be chosen to construct these templates. These templates can be upscaled to seismic and CSEM scale using Backus average for seismic data, and total resistance for CSEM data. We also measured velocity and resistivity in Fontainebleau samples in the laboratory. Fontainebleau formation corresponds to clean sandstones (i.e., low clay content). We derived an empirical relation between these P-wave velocity and resistivity at 40MPa effective pressure, which is around 3 km depth at normal pressure gradients. We were not able to test if this relation could be used at well or field data scales (once appropriate upscaling was applied), since we did not have a field dataset over a stiff sandstone reservoir. A relationship between velocity and resistivity laboratory data was also found for a set of carbonates. This expression

  12. Environmental and Public Health Implications of Water Reuse: Antibiotics, Antibiotic Resistant Bacteria, and Antibiotic Resistance Genes

    PubMed Central

    Hong, Pei-Ying; Al-Jassim, Nada; Ansari, Mohd Ikram; Mackie, Roderick I.

    2013-01-01

    Water scarcity is a global problem, and is particularly acute in certain regions like Africa, the Middle East, as well as the western states of America. A breakdown on water usage revealed that 70% of freshwater supplies are used for agricultural irrigation. The use of reclaimed water as an alternative water source for agricultural irrigation would greatly alleviate the demand on freshwater sources. This paradigm shift is gaining momentum in several water scarce countries like Saudi Arabia. However, microbial problems associated with reclaimed water may hinder the use of reclaimed water for agricultural irrigation. Of particular concern is that the occurrence of antibiotic residues in the reclaimed water can select for antibiotic resistance genes among the microbial community. Antibiotic resistance genes can be associated with mobile genetic elements, which in turn allow a promiscuous transfer of resistance traits from one bacterium to another. Together with the pathogens that are present in the reclaimed water, antibiotic resistant bacteria can potentially exchange mobile genetic elements to create the “perfect microbial storm”. Given the significance of this issue, a deeper understanding of the occurrence of antibiotics in reclaimed water, and their potential influence on the selection of resistant microorganisms would be essential. In this review paper, we collated literature over the past two decades to determine the occurrence of antibiotics in municipal wastewater and livestock manure. We then discuss how these antibiotic resistant bacteria may impose a potential microbial risk to the environment and public health, and the knowledge gaps that would have to be addressed in future studies. Overall, the collation of the literature in wastewater treatment and agriculture serves to frame and identify potential concerns with respect to antibiotics, antibiotic resistant bacteria, and antibiotic resistance genes in reclaimed water. PMID:27029309

  13. Wear resistance of hydrophobic surfaces

    NASA Astrophysics Data System (ADS)

    Martinez, MA; Abenojar, J.; Pantoja, M.; López de Armentia, S.

    2017-05-01

    Nature has been an inspiration source to develop artificial hydrophobic surfaces. During the latest years the development of hydrophobic surfaces has been widely researched due to their numerous ranges of industrial applications. Industrially the use of hydrophobic surfaces is being highly demanded. This is why many companies develop hydrophobic products to repel water, in order to be used as coatings. Moreover, these coating should have the appropriated mechanical properties and wear resistance. In this work wear study of a hydrophobic coating on glass is carried out. Hydrophobic product used was Sika Crystal Dry by Sika S.A.U. (Alcobendas, Spain). This product is currently used on car windshield. To calculate wear resistance, pin-on-disk tests were carried out in dry and water conditions. The test parameters were rate, load and sliding distance, which were fixed to 60 rpm, 5 N and 1000 m respectively. A chamois was used as pin. It allows to simulate a real use. The friction coefficient and loss weight were compared to determinate coating resistance

  14. Approaches to flame resistant polymeric materials

    NASA Technical Reports Server (NTRS)

    Liepins, R.

    1975-01-01

    Four research and development areas are considered for further exploration in the quest of more flame-resistant polymeric materials. It is suggested that improvements in phenolphthalein polycarbonate processability may be gained through linear free energy relationship correlations. Looped functionality in the backbone of a polymer leads to both improved thermal resistance and increased solubility. The guidelines used in the pyrolytic carbon production constitute a good starting point for the development of improved flame-resistant materials. Numerous organic reactions requiring high temperatures and the techniques of protected functionality and latent functionality constitute the third area for exploration. Finally, some well-known organic reactions are suggested for the formation of polymers that were not made before.

  15. Insecticide resistance status of Aedes aegypti (L.) from Colombia.

    PubMed

    Fonseca-González, Idalyd; Quiñones, Martha L; Lenhart, Audrey; Brogdon, William G

    2011-04-01

    To evaluate the insecticide susceptibility status of Aedes aegypti (L.) in Colombia, and as part of the National Network of Insecticide Resistance Surveillance, 12 mosquito populations were assessed for resistance to pyrethroids, organophosphates and DDT. Bioassays were performed using WHO and CDC methodologies. The underlying resistance mechanisms were investigated through biochemical assays and RT-PCR. All mosquito populations were susceptible to malathion, deltamethrin and cyfluthrin, and highly resistant to DDT and etofenprox. Resistance to lambda-cyhalothrin, permethrin and fenitrothion ranged from moderate to high in some populations from Chocó and Putumayo states. In Antioquia state, the Santa Fe population was resistant to fenitrothion. Biochemical assays showed high levels of both cytochrome P450 monooxygenases (CYP) and non-specific esterases (NSE) in some of the fenitrothion- and pyrethroid-resistant populations. All populations showed high levels of glutathione-S-transferase (GST) activity. GSTe2 gene was found overexpressed in DDT-resistant populations compared with Rockefeller susceptible strain. Differences in insecticide resistance status were observed between insecticides and localities. Although the biochemical assay results suggest that CYP and NSE could play an important role in the pyrethroid and fenitrothion resistance detected, other mechanisms remain to be investigated, including knockdown resistance. Resistance to DDT was high in all populations, and GST activity is probably the main enzymatic mechanism associated with this resistance. The results of this study provide baseline data on insecticide resistance in Colombian A. aegypti populations, and will allow comparison of changes in susceptibility status in this vector over time. Copyright © 2011 Society of Chemical Industry.

  16. 46 CFR 154.421 - Allowable stress.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 5 2010-10-01 2010-10-01 false Allowable stress. 154.421 Section 154.421 Shipping COAST... § 154.421 Allowable stress. The allowable stress for the integral tank structure must meet the American Bureau of Shipping's allowable stress for the vessel's hull published in “Rules for Building and Classing...

  17. 46 CFR 154.421 - Allowable stress.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 5 2011-10-01 2011-10-01 false Allowable stress. 154.421 Section 154.421 Shipping COAST... § 154.421 Allowable stress. The allowable stress for the integral tank structure must meet the American Bureau of Shipping's allowable stress for the vessel's hull published in “Rules for Building and Classing...

  18. 46 CFR 154.421 - Allowable stress.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 46 Shipping 5 2012-10-01 2012-10-01 false Allowable stress. 154.421 Section 154.421 Shipping COAST... § 154.421 Allowable stress. The allowable stress for the integral tank structure must meet the American Bureau of Shipping's allowable stress for the vessel's hull published in “Rules for Building and Classing...

  19. 46 CFR 154.421 - Allowable stress.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 46 Shipping 5 2014-10-01 2014-10-01 false Allowable stress. 154.421 Section 154.421 Shipping COAST... § 154.421 Allowable stress. The allowable stress for the integral tank structure must meet the American Bureau of Shipping's allowable stress for the vessel's hull published in “Rules for Building and Classing...

  20. 46 CFR 154.421 - Allowable stress.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 46 Shipping 5 2013-10-01 2013-10-01 false Allowable stress. 154.421 Section 154.421 Shipping COAST... § 154.421 Allowable stress. The allowable stress for the integral tank structure must meet the American Bureau of Shipping's allowable stress for the vessel's hull published in “Rules for Building and Classing...

  1. 20 CFR 617.46 - Travel allowance.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 20 Employees' Benefits 3 2010-04-01 2010-04-01 false Travel allowance. 617.46 Section 617.46... FOR WORKERS UNDER THE TRADE ACT OF 1974 Relocation Allowances § 617.46 Travel allowance. (a) Computation. The amount of travel allowance (including lodging and meals) payable under § 617.45(a)(1) shall...

  2. 76 FR 32340 - Federal Travel Regulation; Temporary Duty (TDY) Travel Allowances (Taxes); Relocation Allowances...

    Federal Register 2010, 2011, 2012, 2013, 2014

    2011-06-06

    ..., as taxable income. When you receive taxable benefits, you must pay income tax on the amount or value... Allowances (Taxes); Relocation Allowances (Taxes) AGENCY: Office of Governmentwide Policy (OGP), General...) concerning calculation of reimbursements for taxes on relocation expenses. In addition, this proposed rule...

  3. The Intersection Between Colonization Resistance, Antimicrobial Stewardship, and Clostridium difficile.

    PubMed

    Rosa, Rossana; Donskey, Curtis J; Munoz-Price, L Silvia

    2018-06-07

    Colonization resistance refers to the innate defense provided by the indigenous microbiota against colonization by pathogenic organisms. We aim to describe how this line of defense is deployed against Clostridium difficile and what the implications are for interventions directed by Antimicrobial Stewardship Programs. The indigenous microbiota provides colonization resistance through depletion of nutrients, prevention of access to adherence sites within the gut mucosa, production of inhibitory substances, and stimulation of the host's immune system. The ability to quantify colonization resistance could provide information regarding periods of maximal vulnerability to colonization with pathogens and also allow the identification of mechanisms of restoration of colonization resistance. Methods utilized to determine the composition of the gut microbiota include sequencing technologies and measurement of concentration of specific bacterial metabolites. Use of innovations in the quantification of colonization resistance can expand the role of Antimicrobial Stewardship from prevention of disruption of the indigenous microbiota to restoration of colonization resistance.

  4. Multiplex PCR for detection of plasmid-mediated colistin resistance determinants, mcr-1, mcr-2, mcr-3, mcr-4 and mcr-5 for surveillance purposes

    PubMed Central

    Rebelo, Ana Rita; Bortolaia, Valeria; Kjeldgaard, Jette S; Pedersen, Susanne K; Leekitcharoenphon, Pimlapas; Hansen, Inge M; Guerra, Beatriz; Malorny, Burkhard; Borowiak, Maria; Hammerl, Jens Andre; Battisti, Antonio; Franco, Alessia; Alba, Patricia; Perrin-Guyomard, Agnes; Granier, Sophie A; De Frutos Escobar, Cristina; Malhotra-Kumar, Surbhi; Villa, Laura; Carattoli, Alessandra; Hendriksen, Rene S

    2018-01-01

    Background and aim Plasmid-mediated colistin resistance mechanisms have been identified worldwide in the past years. A multiplex polymerase chain reaction (PCR) protocol for detection of all currently known transferable colistin resistance genes (mcr-1 to mcr-5, and variants) in Enterobacteriaceae was developed for surveillance or research purposes. Methods: We designed four new primer pairs to amplify mcr-1, mcr-2, mcr-3 and mcr-4 gene products and used the originally described primers for mcr-5 to obtain a stepwise separation of ca 200 bp between amplicons. The primer pairs and amplification conditions allow for single or multiple detection of all currently described mcr genes and their variants present in Enterobacteriaceae. The protocol was validated testing 49 European Escherichia coli and Salmonella isolates of animal origin. Results: Multiplex PCR results in bovine and porcine isolates from Spain, Germany, France and Italy showed full concordance with whole genome sequence data. The method was able to detect mcr-1, mcr-3 and mcr-4 as singletons or in different combinations as they were present in the test isolates. One new mcr-4 variant, mcr-4.3, was also identified. Conclusions: This method allows rapid identification of mcr-positive bacteria and overcomes the challenges of phenotypic detection of colistin resistance. The multiplex PCR should be particularly interesting in settings or laboratories with limited resources for performing genetic analysis as it provides information on the mechanism of colistin resistance without requiring genome sequencing. PMID:29439754

  5. A New F131V Mutation in Chlamydomonas Phytoene Desaturase Locates a Cluster of Norflurazon Resistance Mutations near the FAD-Binding Site in 3D Protein Models

    PubMed Central

    Suarez, Julio V.; Banks, Stephen; Thomas, Paul G.; Day, Anil

    2014-01-01

    The green alga Chlamydomonas reinhardtii provides a tractable genetic model to study herbicide mode of action using forward genetics. The herbicide norflurazon inhibits phytoene desaturase, which is required for carotenoid synthesis. Locating amino acid substitutions in mutant phytoene desaturases conferring norflurazon resistance provides a genetic approach to map the herbicide binding site. We isolated a UV-induced mutant able to grow in very high concentrations of norflurazon (150 µM). The phytoene desaturase gene in the mutant strain contained the first resistance mutation to be localised to the dinucleotide-binding Rossmann-likedomain. A highly conserved phenylalanine amino acid at position 131 of the 564 amino acid precursor protein was changed to a valine in the mutant protein. F131, and two other amino acids whose substitution confers norflurazon resistance in homologous phytoene desaturase proteins, map to distant regions in the primary sequence of the C. reinhardtii protein (V472, L505) but in tertiary models these residues cluster together to a region close to the predicted FAD binding site. The mutant gene allowed direct 5 µM norflurazon based selection of transformants, which were tolerant to other bleaching herbicides including fluridone, flurtamone, and diflufenican but were more sensitive to beflubutamid than wild type cells. Norflurazon resistance and beflubutamid sensitivity allow either positive or negative selection against transformants expressing the mutant phytoene desaturase gene. PMID:24936791

  6. FIBRILLIN4 Is Required for Plastoglobule Development and Stress Resistance in Apple and Arabidopsis1[W][OA

    PubMed Central

    Singh, Dharmendra K.; Maximova, Siela N.; Jensen, Philip J.; Lehman, Brian L.; Ngugi, Henry K.; McNellis, Timothy W.

    2010-01-01

    The fibrillins are a large family of chloroplast proteins that have been linked with stress tolerance and disease resistance. FIBRILLIN4 (FIB4) is found associated with the photosystem II light-harvesting complex, thylakoids, and plastoglobules, which are chloroplast compartments rich in lipophilic antioxidants. For this study, FIB4 expression was knocked down in apple (Malus 3 domestica) using RNA interference. Plastoglobule osmiophilicity was decreased in fib4 knockdown (fib4 KD) tree chloroplasts compared with the wild type, while total plastoglobule number was unchanged. Compared with the wild type, net photosynthetic CO2 fixation in fib4 KD trees was decreased at high light intensity but was increased at low light intensity. Furthermore, fib4 KD trees produced more anthocyanins than the wild type when transferred from low to high light intensity, indicating greater sensitivity to high light stress. Relative to the wild type, fib4 KD apples were more sensitive to methyl viologen and had higher superoxide levels during methyl viologen treatment. Arabidopsis (Arabidopsis thaliana) fib4 mutants and fib4 KD apples were more susceptible than their wild-type counterparts to the bacterial pathogens Pseudomonas syringae pathovar tomato and Erwinia amylovora, respectively, and were more sensitive to ozone-induced tissue damage. Following ozone stress, plastoglobule osmiophilicity decreased in wild-type apple and remained low in fib4 KD trees; total plastoglobule number increased in fib4 KD apples but not in the wild type. These results indicate that FIB4 is required for plastoglobule development and resistance to multiple stresses. This study suggests that FIB4 is involved in regulating plastoglobule content and that defective regulation of plastoglobule content leads to broad stress sensitivity and altered photosynthetic activity. PMID:20813909

  7. Characterization of Resistances of a Capacitive Deionization System

    DOE PAGES

    Qu, Yatian; Baumann, Theodore F.; Santiago, Juan G.; ...

    2015-07-27

    Capacitive deionization (CDI) is a promising desalination technology, which operates at low pressure, low temperature, requires little infrastructure, and has the potential to consume less energy for brackish water desalination. However, CDI devices consume significantly more energy than the theoretical thermodynamic minimum, and this is at least partly due to resistive power dissipation. We here report our efforts to characterize electric resistances in a CDI system, with a focus on the resistance associated with the contact between current collectors and porous electrodes. We present an equivalent circuit model to describe resistive components in a CDI cell. We propose measurable figuresmore » of merit to characterize cell resistance. We also show that contact pressure between porous electrodes and current collectors can significantly reduce contact resistance. As a result, we propose and test an alternative electrical contact configuration which uses a pore-filling conductive adhesive (silver epoxy) and achieves significant reductions in contact resistance.« less

  8. Dissipative cryogenic filters with zero dc resistance.

    PubMed

    Bluhm, Hendrik; Moler, Kathryn A

    2008-01-01

    The authors designed, implemented, and tested cryogenic rf filters with zero dc resistance, based on wires with a superconducting core inside a resistive sheath. The superconducting core allows low frequency currents to pass with negligible dissipation. Signals above the cutoff frequency are dissipated in the resistive part due to their small skin depth. The filters consist of twisted wire pairs shielded with copper tape. Above approximately 1 GHz, the attenuation is exponential in omega, as typical for skin depth based rf filters. By using additional capacitors of 10 nF per line, an attenuation of at least 45 dB above 10 MHz can be obtained. Thus, one single filter stage kept at mixing chamber temperature in a dilution refrigerator is sufficient to attenuate room temperature black body radiation to levels corresponding to 10 mK above about 10 MHz.

  9. CancerDR: cancer drug resistance database.

    PubMed

    Kumar, Rahul; Chaudhary, Kumardeep; Gupta, Sudheer; Singh, Harinder; Kumar, Shailesh; Gautam, Ankur; Kapoor, Pallavi; Raghava, Gajendra P S

    2013-01-01

    Cancer therapies are limited by the development of drug resistance, and mutations in drug targets is one of the main reasons for developing acquired resistance. The adequate knowledge of these mutations in drug targets would help to design effective personalized therapies. Keeping this in mind, we have developed a database "CancerDR", which provides information of 148 anti-cancer drugs, and their pharmacological profiling across 952 cancer cell lines. CancerDR provides comprehensive information about each drug target that includes; (i) sequence of natural variants, (ii) mutations, (iii) tertiary structure, and (iv) alignment profile of mutants/variants. A number of web-based tools have been integrated in CancerDR. This database will be very useful for identification of genetic alterations in genes encoding drug targets, and in turn the residues responsible for drug resistance. CancerDR allows user to identify promiscuous drug molecules that can kill wide range of cancer cells. CancerDR is freely accessible at http://crdd.osdd.net/raghava/cancerdr/

  10. Resistivity bound for hydrodynamic bad metals

    PubMed Central

    Lucas, Andrew; Hartnoll, Sean A.

    2017-01-01

    We obtain a rigorous upper bound on the resistivity ρ of an electron fluid whose electronic mean free path is short compared with the scale of spatial inhomogeneities. When such a hydrodynamic electron fluid supports a nonthermal diffusion process—such as an imbalance mode between different bands—we show that the resistivity bound becomes ρ≲AΓ. The coefficient A is independent of temperature and inhomogeneity lengthscale, and Γ is a microscopic momentum-preserving scattering rate. In this way, we obtain a unified mechanism—without umklapp—for ρ∼T2 in a Fermi liquid and the crossover to ρ∼T in quantum critical regimes. This behavior is widely observed in transition metal oxides, organic metals, pnictides, and heavy fermion compounds and has presented a long-standing challenge to transport theory. Our hydrodynamic bound allows phonon contributions to diffusion constants, including thermal diffusion, to directly affect the electrical resistivity. PMID:29073054

  11. 20 CFR 641.850 - Are there other specific allowable and unallowable cost requirements for the SCSEP?

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... unallowable cost requirements for the SCSEP? 641.850 Section 641.850 Employees' Benefits EMPLOYMENT AND...) Participants' fringe benefit costs. Recipients and subrecipients may use SCSEP funds for participant fringe benefit costs only under the conditions set forth in § 641.565. ...

  12. Hypertension Update: Resistant Hypertension.

    PubMed

    Viera, Anthony J

    2018-06-01

    Resistant hypertension is a blood pressure (BP) level that remains above the goal level despite adherence to at least three appropriately dosed antihypertensive drugs of different classes, one of which is a diuretic. Evaluation of suspected resistant hypertension starts with confirming adherence to the drug regimen. White coat hypertension should be ruled out with out-of-office BP level measurements, ideally using 24-hour ambulatory BP monitoring. Obesity, significant alcohol intake, and interfering drugs and other substances can contribute to resistant hypertension. Lifestyle modifications, including exercise and dietary sodium restriction, can be useful in management. Resistant hypertension may be due to secondary etiologies (eg, parenchymal kidney disease, obstructive sleep apnea, hyperaldosteronism). Adequate diuretic treatment is a key part of therapy. In addition to a diuretic, patients with resistant hypertension should take a dihydropyridine calcium channel blocker and an angiotensin-converting enzyme inhibitor or angiotensin II receptor blocker. Spironolactone is an effective fourth drug. Other drug options include a beta blocker, a long-acting nondihydropyridine calcium channel blocker, or clonidine or guanfacine. When the BP level is not controlled despite adherence to a four-drug regimen, referral to a hypertension subspecialist should be considered. Written permission from the American Academy of Family Physicians is required for reproduction of this material in whole or in part in any form or medium.

  13. Developing disease resistant stone fruits

    USDA-ARS?s Scientific Manuscript database

    Stone fruit (Prunus spp.) (peach, nectarine, plum, apricot, cherry) and almonds are susceptible to a number of pathogens. These pathogens can cause extensive losses in the field, during transport and storage, and in the market. Breeding for disease resistance requires an extensive knowledge of the...

  14. Flexibility in the HCFC Allowance System

    EPA Pesticide Factsheets

    The rule that established the HCFC allowance system also created an allowance transfer mechanism to provide flexibility. This fact sheet highlights the flexibilities incorporated into the HCFC allowance system.

  15. The emergence and outbreak of multidrug-resistant typhoid fever in China.

    PubMed

    Yan, Meiying; Li, Xinlan; Liao, Qiaohong; Li, Fang; Zhang, Jing; Kan, Biao

    2016-06-22

    Typhoid fever remains a severe public health problem in developing countries. The emergence of resistant typhoid, particularly multidrug-resistant typhoid infections, highlights the necessity of monitoring the resistance characteristics of this invasive pathogen. In this study, we report a typhoid fever outbreak caused by multidrug-resistant Salmonella enterica serovar Typhi strains with an ACSSxtT pattern. Resistance genes conferring these phenotypes were harbored by a large conjugative plasmid, which increases the threat of Salmonella Typhi and thus requires close surveillance for dissemination of strains containing such genes.

  16. 46 CFR 160.064-3 - Requirements. 1

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ...: SPECIFICATIONS AND APPROVAL LIFESAVING EQUIPMENT Marine Buoyant Devices § 160.064-3 Requirements. 1 1 The... inherently corrosion-resistant material, such as stainless steel, brass, bronze, certain plastics, etc...

  17. User's manual for a computer program for simulating intensively managed allowable cut.

    Treesearch

    Robert W. Sassaman; Ed Holt; Karl Bergsvik

    1972-01-01

    Detailed operating instructions are described for SIMAC, a computerized forest simulation model which calculates the allowable cut assuming volume regulation for forests with intensively managed stands. A sample problem illustrates the required inputs and expected output. SIMAC is written in FORTRAN IV and runs on a CDC 6400 computer with a SCOPE 3.3 operating system....

  18. Increased Protein Requirements in Female Athletes after Variable-Intensity Exercise.

    PubMed

    Wooding, Denise J; Packer, Jeff E; Kato, Hiroyuki; West, Daniel W D; Courtney-Martin, Glenda; Pencharz, Paul B; Moore, Daniel R

    2017-11-01

    Protein requirements are primarily studied in the context of resistance or endurance exercise with little research devoted to variable-intensity intermittent exercise characteristic of many team sports. Further, female populations are underrepresented in dietary sports science studies. We aimed to determine a dietary protein requirement in active females performing variable-intensity intermittent exercise using the indicator amino acid oxidation (IAAO) method. We hypothesized that these requirements would be greater than current IAAO-derived estimates in nonactive adult males. Six females (21.2 ± 0.8 yr, 68.8 ± 4.1 kg, 47.1 ± 1.2 mL O2·kg·min; mean ± SE) completed five to seven metabolic trials during the luteal phase of the menstrual cycle. Participants performed a modified Loughborough Intermittent Shuttle Test before consuming eight hourly mixed meals providing the test protein intake (0.2-2.66 g·kg·d), 6 g·kg·d CHO and sufficient energy for resting and exercise-induced energy expenditure. Protein was provided as crystalline amino acid modeling egg protein with [C]phenylalanine as the indicator amino acid. Phenylalanine turnover (Q) was determined from urinary [C]phenylalanine enrichment. Breath CO2 excretion (FCO2) was analyzed using mixed effects biphase linear regression with the breakpoint and upper 95% confidence interval approximating the estimated average requirement and recommended dietary allowance, respectively. Protein intake had no effect on Q (68.7 ± 7.3 μmol·kg·h; mean ± SE). FCO2 displayed a robust biphase response (R = 0.66) with an estimated average requirement of 1.41 g·kg·d and recommended dietary allowance of 1.71 g·kg·d. The protein requirement estimate of 1.41 and 1.71 g·kg·d for females performing variable-intensity intermittent exercise is greater than the IAAO-derived estimates of adult males (0.93 and 1.2 g·kg·d) and at the upper range of the American College of Sports Medicine athlete recommendations (1.2-2.0 g·kg·d).

  19. Schistosoma mansoni: resistant specific infection-induced gene expression in Biomphalaria glabrata identified by fluorescent-based differential display.

    PubMed

    Lockyer, Anne E; Noble, Leslie R; Rollinson, David; Jones, Catherine S

    2004-01-01

    The freshwater tropical snail Biomphalaria glabrata is an intermediate host for Schistosoma mansoni, the causative agent of human intestinal schistosomiasis, and strains differ in their susceptibility to parasite infection. Changes in gene expression in response to parasite infection have been simultaneously examined in a susceptible strain (NHM1742) and a resistant strain (NHM1981) using a newly developed fluorescent-based differential display method. Such RNA profiling techniques allow the examination of changes in gene expression in response to parasite infection, without requiring previous sequence knowledge, or selecting candidate genes that may be involved in the complex neuroendocrine or defence systems of the snail. Thus, novel genes may be identified. Ten transcripts were initially identified, present only in the profiles derived from snails of the resistant strain when exposed to infection. The differential expression of five of these genes, including HSP70 and several novel transcripts with one containing at least two globin-like domains, has been confirmed by semi-quantitative RT-PCR.

  20. Comparison of DNQ/novolac resists for e-beam exposure

    NASA Astrophysics Data System (ADS)

    Fedynyshyn, Theodore H.; Doran, Scott P.; Lind, Michele L.; Lyszczarz, Theodore M.; DiNatale, William F.; Lennon, Donna; Sauer, Charles A.; Meute, Jeff

    1999-12-01

    We have surveyed the commercial resist market with the dual purpose of identifying diazoquinone/novolac based resists that have potential for use as e-beam mask making resists and baselining these resists for comparison against future mask making resist candidates. For completeness, this survey would require that each resist be compared with an optimized developer and development process. To accomplish this task in an acceptable time period, e-beam lithography modeling was employed to quickly identify the resist and developer combinations that lead to superior resist performance. We describe the verification of a method to quickly screen commercial i-line resists with different developers, by determining modeling parameters for i-line resists from e-beam exposures, modeling the resist performance, and comparing predicted performance versus actual performance. We determined the lithographic performance of several DNQ/novolac resists whose modeled performance suggests that sensitivities of less than 40 (mu) C/cm2 coupled with less than 10-nm CD change per percent change in dose are possible for target 600-nm features. This was accomplished by performing a series of statistically designed experiments on the leading resists candidates to optimize processing variables, followed by comparing experimentally determined resist sensitivities, latitudes, and profiles of the DNQ/novolac resists a their optimized process.