Sample records for aln buffer layers

  1. Nitrogen-Polar (000 1 ¯ ) GaN Grown on c-Plane Sapphire with a High-Temperature AlN Buffer.

    PubMed

    Song, Jie; Han, Jung

    2017-03-02

    We demonstrate growing nitrogen-polar (N-polar) GaN epilayer on c-plane sapphire using a thin AlN buffer layer by metalorganic chemical vapor deposition. We have studied the influence of the AlN buffer layer on the polarity, crystalline quality, and surface morphology of the GaN epilayer and found that the growth temperature of the AlN buffer layer played a critical role in the growth of the GaN epilayer. The low growth temperature of the AlN buffer results in gallium-polar GaN. Even a nitridation process has been conducted. High growth temperature for an AlN buffer layer is required to achieve pure N-polarity, high crystalline quality, and smooth surface morphology for a GaN epilayer.

  2. Anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using double AlN buffer layers.

    PubMed

    Zhao, Guijuan; Wang, Lianshan; Yang, Shaoyan; Li, Huijie; Wei, Hongyuan; Han, Dongyue; Wang, Zhanguo

    2016-02-10

    We report the anisotropic structural and optical properties of semi-polar (11-22) GaN grown on m-plane sapphire using a three-step growth method which consisted of a low temperature AlN buffer layer, followed by a high temperature AlN buffer layer and GaN growth. By introducing double AlN buffer layers, we substantially improve the crystal and optical qualities of semi-polar (11-22) GaN, and significantly reduce the density of stacking faults and dislocations. The high resolution x-ray diffraction measurement revealed that the in-plane anisotropic structural characteristics of GaN layer are azimuthal dependent. Transmission electron microscopy analysis showed that the majority of dislocations in the GaN epitaxial layer grown on m-sapphire are the mixed-type and the orientation of GaN layer was rotated 58.4° against the substrate. The room temperature photoluminescence (PL) spectra showed the PL intensity and wavelength have polarization dependence along parallel and perpendicular to the [1-100] axis (polarization degrees ~ 0.63). The realization of a high polarization semi-polar GaN would be useful to achieve III-nitride based lighting emission device for displays and backlighting.

  3. An AlGaN/GaN high-electron-mobility transistor with an AlN sub-buffer layer

    NASA Astrophysics Data System (ADS)

    Shealy, J. R.; Kaper, V.; Tilak, V.; Prunty, T.; Smart, J. A.; Green, B.; Eastman, L. F.

    2002-04-01

    The AlGaN/GaN high-electron-mobility transistor requires a thermally conducting, semi-insulating substrate to achieve the best possible microwave performance. The semi-insulating SiC substrate is currently the best choice for this device technology; however, fringing fields which penetrate the GaN buffer layer at pinch-off introduce significant substrate conduction at modest drain bias if channel electrons are not well confined to the nitride structure. The addition of an insulating AlN sub-buffer on the semi-insulating SiC substrate suppresses this parasitic conduction, which results in dramatic improvements in the AlGaN/GaN transistor performance. A pronounced reduction in both the gate-lag and the gate-leakage current are observed for structures with the AlN sub-buffer layer. These structures operate up to 50 V drain bias under drive, corresponding to a peak voltage of 80 V, for a 0.30 µm gate length device. The devices have achieved high-efficiency operation at 10 GHz (>70% power-added efficiency in class AB mode at 15 V drain bias) and the highest output power density observed thus far (11.2 W mm-1). Large-periphery devices (1.5 mm gate width) deliver 10 W (continuous wave) of maximum saturated output power at 10 GHz. The growth, processing, and performance of these devices are briefly reviewed.

  4. Methods for improved growth of group III nitride buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melnik, Yurity; Chen, Lu; Kojiri, Hidehiro

    Methods are disclosed for growing high crystal quality group III-nitride epitaxial layers with advanced multiple buffer layer techniques. In an embodiment, a method includes forming group III-nitride buffer layers that contain aluminum on suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. A hydrogen halide or halogen gas is flowing into the growth zone during deposition of buffer layers to suppress homogeneous particle formation. Some combinations of low temperature buffers that contain aluminum (e.g., AlN, AlGaN) and high temperature buffers that contain aluminum (e.g., AlN, AlGaN) may be used to improve crystal quality and morphologymore » of subsequently grown group III-nitride epitaxial layers. The buffer may be deposited on the substrate, or on the surface of another buffer. The additional buffer layers may be added as interlayers in group III-nitride layers (e.g., GaN, AlGaN, AlN).« less

  5. Growth of crack-free GaN films on Si(111) substrate by using Al-rich AlN buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Yuan; Cong, Guangwei; Liu, Xianglin; Lu, Da-Cheng; Zhu, Qinsheng; Wang, Xiaohui; Wu, Jiejun; Wang, Zhanguo

    2004-11-01

    GaN epilayers were grown on Si(111) substrate by metalorganic chemical vapor deposition. By using the Al-rich AlN buffer which contains Al beyond stoichiometry, crack-free GaN epilayers with 1 μm thickness were obtained. Through x-ray diffraction (XRD) and secondary ion mass spectroscopy analyses, it was found that a lot of Al atoms have diffused into the under part of the GaN epilayer from the Al-rich AlN buffer, which results in the formation of an AlxGa1-xN layer at least with 300 nm thickness in the 1 μm thick GaN epilayer. The Al fraction x was estimated by XRD to be about 2.5%. X-ray photoelectron spectroscopy depth analysis was also applied to investigate the stoichiometry in the Al-rich buffer before GaN growth. It is suggested that the underlayer AlxGa1-xN originated from Al diffusion probably provides a compressive stress to the upper part of the GaN epilayer, which counterbalances a part of tensile stress in the GaN epilayer during cooling down and consequently reduces the cracks of the film effectively. The method using the Al diffusion effect to form a thick AlGaN layer is really feasible to achieve the crack-free GaN films and obtain a high crystal quality simultaneously.

  6. Electron density and currents of AlN/GaN high electron mobility transistors with thin GaN/AlN buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bairamis, A.; Zervos, Ch.; Georgakilas, A., E-mail: alexandr@physics.uoc.gr

    2014-09-15

    AlN/GaN high electron mobility transistor (HEMT) structures with thin GaN/AlN buffer layer have been analyzed theoretically and experimentally, and the effects of the AlN barrier and GaN buffer layer thicknesses on two-dimensional electron gas (2DEG) density and transport properties have been evaluated. HEMT structures consisting of [300 nm GaN/ 200 nm AlN] buffer layer on sapphire were grown by plasma-assisted molecular beam epitaxy and exhibited a remarkable agreement with the theoretical calculations, suggesting a negligible influence of the crystalline defects that increase near the heteroepitaxial interface. The 2DEG density varied from 6.8 × 10{sup 12} to 2.1 × 10{sup 13} cm{sup −2} as themore » AlN barrier thickness increased from 2.2 to 4.5 nm, while a 4.5 nm AlN barrier would result to 3.1 × 10{sup 13} cm{sup −2} on a GaN buffer layer. The 3.0 nm AlN barrier structure exhibited the highest 2DEG mobility of 900 cm{sup 2}/Vs for a density of 1.3 × 10{sup 13} cm{sup −2}. The results were also confirmed by the performance of 1 μm gate-length transistors. The scaling of AlN barrier thickness from 1.5 nm to 4.5 nm could modify the drain-source saturation current, for zero gate-source voltage, from zero (normally off condition) to 0.63 A/mm. The maximum drain-source current was 1.1 A/mm for AlN barrier thickness of 3.0 nm and 3.7 nm, and the maximum extrinsic transconductance was 320 mS/mm for 3.0 nm AlN barrier.« less

  7. Effect of the nand p-type Si(100) substrates with a SiC buffer layer on the growth mechanism and structure of epitaxial layers of semipolar AlN and GaN

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Grashchenko, A. S.; Konenkova, E. V.; Myasoedov, A. V.; Osipov, A. V.; Red'kov, A. V.; Rodin, S. N.; Rubets, V. P.; Kukushkin, S. A.

    2015-10-01

    A new effect of the n-and p-type doping of the Si(100) substrate with a SiC film on the growth mechanism and structure of AlN and GaN epitaxial layers has been revealed. It has been experimentally shown that the mechanism of AlN and GaN layer growth on the surface of a SiC layer synthesized by substituting atoms on n- and p-Si substrates is fundamentally different. It has been found that semipolar AlN and GaN layers on the SiC/Si(100) surface grow in the epitaxial and polycrystalline structures on p-Si and n-Si substrates, respectively. A new method for synthesizing epitaxial semipolar AlN and GaN layers by chloride-hydride epitaxy on silicon substrates has been proposed.

  8. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing.

    PubMed

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-03

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future.

  9. Low-temperature atomic layer epitaxy of AlN ultrathin films by layer-by-layer, in-situ atomic layer annealing

    PubMed Central

    Shih, Huan-Yu; Lee, Wei-Hao; Kao, Wei-Chung; Chuang, Yung-Chuan; Lin, Ray-Ming; Lin, Hsin-Chih; Shiojiri, Makoto; Chen, Miin-Jang

    2017-01-01

    Low-temperature epitaxial growth of AlN ultrathin films was realized by atomic layer deposition (ALD) together with the layer-by-layer, in-situ atomic layer annealing (ALA), instead of a high growth temperature which is needed in conventional epitaxial growth techniques. By applying the ALA with the Ar plasma treatment in each ALD cycle, the AlN thin film was converted dramatically from the amorphous phase to a single-crystalline epitaxial layer, at a low deposition temperature of 300 °C. The energy transferred from plasma not only provides the crystallization energy but also enhances the migration of adatoms and the removal of ligands, which significantly improve the crystallinity of the epitaxial layer. The X-ray diffraction reveals that the full width at half-maximum of the AlN (0002) rocking curve is only 144 arcsec in the AlN ultrathin epilayer with a thickness of only a few tens of nm. The high-resolution transmission electron microscopy also indicates the high-quality single-crystal hexagonal phase of the AlN epitaxial layer on the sapphire substrate. The result opens a window for further extension of the ALD applications from amorphous thin films to the high-quality low-temperature atomic layer epitaxy, which can be exploited in a variety of fields and applications in the near future. PMID:28045075

  10. Self-organization of dislocation-free, high-density, vertically aligned GaN nanocolumns involving InGaN quantum wells on graphene/SiO2 covered with a thin AlN buffer layer.

    PubMed

    Hayashi, Hiroaki; Konno, Yuta; Kishino, Katsumi

    2016-02-05

    We demonstrated the self-organization of high-density GaN nanocolumns on multilayer graphene (MLG)/SiO2 covered with a thin AlN buffer layer by RF-plasma-assisted molecular beam epitaxy. MLG/SiO2 substrates were prepared by the transfer of CVD graphene onto thermally oxidized SiO2/Si [100] substrates. Employing the MLG with an AlN buffer layer enabled the self-organization of high-density and vertically aligned nanocolumns. Transmission electron microscopy observation revealed that no threading dislocations, stacking faults, or twinning defects were included in the self-organized nanocolumns. The photoluminescence (PL) peak intensities of the self-organized GaN nanocolumns were 2.0-2.6 times higher than those of a GaN substrate grown by hydride vapor phase epitaxy. Moreover, no yellow luminescence or ZB-phase GaN emission was observed from the nanocolumns. An InGaN/GaN MQW and p-type GaN were integrated into GaN nanocolumns grown on MLG, displaying a single-peak PL emission at a wavelength of 533 nm. Thus, high-density nitride p-i-n nanocolumns were fabricated on SiO2/Si using the transferred MLG interlayer, indicating the possibility of developing visible nanocolumn LEDs on graphene/SiO2.

  11. Improvement of crystalline quality of N-polar AlN layers on c-plane sapphire by low-pressure flow-modulated MOCVD

    NASA Astrophysics Data System (ADS)

    Takeuchi, M.; Shimizu, H.; Kajitani, R.; Kawasaki, K.; Kumagai, Y.; Koukitu, A.; Aoyagi, Y.

    2007-01-01

    The growth of N-polar AlN layers on c-plane sapphire is reported. Low-temperature AlN (LT-AlN) layers were used as seeding buffer layers with pre-nitridation for sapphire. To avoid strong vapor-phase reaction between trimethylaluminum (TMA) and ammonia (NH 3) and to improve the crystalline quality, low-pressure flow-modulated (FM) metal-organic chemical vapor deposition (MOCVD) technique was introduced with careful optimization of the FM sequence. The surface morphologies and the crystalline quality defined by the X-ray diffraction (XRD) (0 0 2) and (1 0 0) rocking curve measurements strongly depended on the LT-AlN thickness and on the TMA coverage per cycle of the FM growth. The sample showing the best XRD data with a good morphology was almost completely etched in aqueous KOH solution owing to N-polarity. From the plan-view transmission electron microscopy (TEM) observation, the dislocation density was counted to be about 3×10 10 cm -2.

  12. Impacts of Thermal Atomic Layer-Deposited AlN Passivation Layer on GaN-on-Si High Electron Mobility Transistors.

    PubMed

    Zhao, Sheng-Xun; Liu, Xiao-Yong; Zhang, Lin-Qing; Huang, Hong-Fan; Shi, Jin-Shan; Wang, Peng-Fei

    2016-12-01

    Thermal atomic layer deposition (ALD)-grown AlN passivation layer is applied on AlGaN/GaN-on-Si HEMT, and the impacts on drive current and leakage current are investigated. The thermal ALD-grown 30-nm amorphous AlN results in a suppressed off-state leakage; however, its drive current is unchanged. It was also observed by nano-beam diffraction method that thermal ALD-amorphous AlN layer barely enhanced the polarization. On the other hand, the plasma-enhanced chemical vapor deposition (PECVD)-deposited SiN layer enhanced the polarization and resulted in an improved drive current. The capacitance-voltage (C-V) measurement also indicates that thermal ALD passivation results in a better interface quality compared with the SiN passivation.

  13. Growth of high quality AlN films on CVD diamond by RF reactive magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Chen, Liang-xian; Liu, Hao; Liu, Sheng; Li, Cheng-ming; Wang, Yi-chao; An, Kang; Hua, Chen-yi; Liu, Jin-long; Wei, Jun-jun; Hei, Li-fu; Lv, Fan-xiu

    2018-02-01

    A highly oriented AlN layer has been successfully grown along the c-axis on a polycrystalline chemical vapor deposited (CVD) diamond by RF reactive magnetron sputtering. Structural, morphological and mechanical properties of the heterostructure were investigated by Scanning Electron Microscopy (SEM), Atomic Force Microscopy (AFM), Transmission Electron Microscopy (TEM), X-ray diffraction (XRD), Nano-indentation and Four-probe meter. A compact AlN film was demonstrated on the diamond layer, showing columnar grains and a low surface roughness of 1.4 nm. TEM results revealed a sharp AlN/diamond interface, which was characterized by the presence of a distinct 10 nm thick buffer layer resulting from the initial AlN growth stage. The FWHM of AlN (002) diffraction peak and its rocking curve are as low as 0.41° and 3.35° respectively, indicating a highly preferred orientation along the c-axis. AlN sputtered films deposited on glass substrates show a higher bulk resistivity (up to 3 × 1012 Ω cm), compared to AlN films deposited on diamond (∼1010 Ω cm). Finally, the film hardness and Young's modulus of AlN films on diamond are 25.8 GPa and 489.5 GPa, respectively.

  14. AlGaN/GaN High Electron Mobility Transistor Grown and Fabricated on ZrTi Metallic Alloy Buffer Layers

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2017-09-26

    AlGaN/GaN high electron mobility transistors (HEMTs) were demonstrated for structures grown on ZrTi metallic alloy buffer layers, which provided lattice matching of the in-plane lattice parameter (“a-parameter”) to hexagonal GaN. The quality of the GaN buffer layer and HEMT structure were confirmed with X-ray 2θ and rocking scans as well as cross-section transmission electron microscopy (TEM) images. The X-ray 2θ scans showed full widths at half maximum (FWHM) of 0.06°, 0.05° and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM of the lower section of the HEMT structure containing the GaN buffer layer andmore » the AlN/ZrTi/AlN stack on the Si substrate showed that it was important to grow AlN on the top of ZrTi prior to growing the GaN buffer layer. Finally, the estimated threading dislocation (TD) density in the GaN channel layer of the HEMT structure was in the 10 8 cm -2 range.« less

  15. Improved performance of GaN based light emitting diodes with ex-situ sputtered AlN nucleation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Shuo-Wei; Epistar Corporation, Hsinchu 300, Taiwan; Li, Heng

    The crystal quality, electrical and optical properties of GaN based light emitting diodes (LEDs) with ex-situ sputtered physical vapor deposition (PVD) aluminum nitride (AlN) nucleation layers were investigated. It was found that the crystal quality in terms of defect density and x-ray diffraction linewidth was greatly improved in comparison to LEDs with in-situ low temperature GaN nucleation layer. The light output power was 3.7% increased and the reverse bias voltage of leakage current was twice on LEDs with ex-situ PVD AlN nucleation layers. However, larger compressive strain was discovered in LEDs with ex-situ PVD AlN nucleation layers. The study showsmore » the potential and constrain in applying ex-situ PVD AlN nucleation layers to fabricate high quality GaN crystals in various optoelectronics.« less

  16. Acoustic resonator with Al electrodes on an AlN layer and using a GaAs substrate

    DOEpatents

    Kline, Gerald R.; Lakin, Kenneth M.

    1985-12-03

    A method of fabricating an acoustic wave resonator wherein all processing steps are accomplished from a single side of said substrate. The method involves deposition of a multi-layered Al/AlN structure on a GaAs substrate followed by a series of fabrication steps to define a resonator from said composite. The resulting resonator comprises an AlN layer between two Al layers and another layer of AlN on an exterior of one of said Al layers.

  17. Adsorption properties of AlN on Si(111) surface: A density functional study

    NASA Astrophysics Data System (ADS)

    Yuan, Yinmei; Zuo, Ran; Mao, Keke; Tang, Binlong; Zhang, Zhou; Liu, Jun; Zhong, Tingting

    2018-04-01

    In the process of preparing GaN on Si substrate by MOCVD, an AlN buffer layer is very important. In this study, we conducted density functional theory calculations on the adsorption of AlN molecule on Si(111)-(2 × 2) surface, with the AlN molecule located horizontally or vertically above Si(111) surface at different adsorption sites. The calculations revealed that the lowest adsorption energy was at the N-top-Al-bridge site in the horizontal configuration, with the narrowest band gap, indicating that it was the most preferential adsorption growth status of AlN. In the vertical configurations, N adatom was more reactive and convenient to form bonds with the topmost Si atoms than Al adatom. When the N-end of the AlN molecule was located downward, the hollow site was the preferred adsorption site; when the Al-end was located downward, the bridge site was the most energetically favorable. Moreover, we investigated some electronic properties such as partial density of states, electron density difference, Mulliken populations, etc., revealing the microscale mechanism for AlN adsorption on Si(111) surface and providing theoretical support for adjusting the processing parameters during AlN or GaN production.

  18. MOVPE growth of N-polar AlN on 4H-SiC: Effect of substrate miscut on layer quality

    NASA Astrophysics Data System (ADS)

    Lemettinen, J.; Okumura, H.; Kim, I.; Kauppinen, C.; Palacios, T.; Suihkonen, S.

    2018-04-01

    We present the effect of miscut angle of SiC substrates on N-polar AlN growth. The N-polar AlN layers were grown on C-face 4H-SiC substrates with a miscut towards 〈 1 bar 1 0 0 〉 by metal-organic vapor phase epitaxy (MOVPE). The optimal V/III ratios for high-quality AlN growth on 1 ° and 4 ° miscut substrates were found to be 20,000 and 1000, respectively. MOVPE grown N-polar AlN layer without hexagonal hillocks or step bunching was achieved using a 4H-SiC substrate with an intentional miscut of 1 ° towards 〈 1 bar 1 0 0 〉 . The 200-nm-thick AlN layer exhibited X-ray rocking curve full width half maximums of 203 arcsec and 389 arcsec for (0 0 2) and (1 0 2) reflections, respectively. The root mean square roughness was 0.4 nm for a 2 μm × 2 μm atomic force microscope scan.

  19. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  20. Evaluation of AlGaN/GaN high electron mobility transistors grown on ZrTi buffer layers with sapphire substrates

    DOE PAGES

    Ren, Fan; Pearton, Stephen J.; Ahn, Shihyun; ...

    2016-09-21

    Here, AlGaN/GaN high electron mobility transistors (HEMTs) have been grown on sapphire substrates, using ZrTi buffer layers to provide in-plane lattice-matching to hexagonal GaN. X-ray diffraction (XRD) as well as cross-section transmission electron microscopy (TEM) were used to assess the quality of the HEMT structure. The XRD 2θ scans showed full-width-at-half-maximum values of 0.16°, 0.07°, and 0.08° for ZrTi alloy, GaN buffer layer, and the entire HEMT structure, respectively. TEM studies of the GaN buffer layer and the AlN/ZrTi/AlN stack showed the importance of growing thin AlN buffer layers on the ZrTi layer prior to growth of the GaN buffermore » layer. The density of threading dislocations in the GaN channel layer of the HEMT structure was estimated to be in the 10 8 cm –2 range. The HEMT device exhibited a saturation drain current density of 820 mA/mm, and the channel of the fabricated HEMTs could be well modulated. A cutoff frequency (f T) of 8.9 GHz and a maximum frequency of oscillation (f max) of 17.3 GHz were achieved for HEMTs with gate dimensions of 1 × 200 μm.« less

  1. Towards AlN optical cladding layers for thermal management in hybrid lasers

    NASA Astrophysics Data System (ADS)

    Mathews, Ian; Lei, Shenghui; Nolan, Kevin; Levaufre, Guillaume; Shen, Alexandre; Duan, Guang-Hua; Corbett, Brian; Enright, Ryan

    2015-06-01

    Aluminium Nitride (AlN) is proposed as a dual function optical cladding and thermal spreading layer for hybrid ridge lasers, replacing current benzocyclobutene (BCB) encapsulation. A high thermal conductivity material placed in intimate contact with the Multi-Quantum Well active region of the laser allows rapid heat removal at source but places a number of constraints on material selection. AlN is considered the most suitable due to its high thermal conductivity when deposited at low deposition temperatures, similar co-efficient of thermal expansion to InP, its suitable refractive index and its dielectric nature. We have previously simulated the possible reduction in the thermal resistance of a hybrid ridge laser by replacing the BCB cladding material with a material of higher thermal conductivity of up to 319 W/mK. Towards this goal, we demonstrate AlN thin-films deposited by reactive DC magnetron sputtering on InP.

  2. Improved crystalline quality of AlN epitaxial layer on sapphire by introducing TMGa pulse flow into the nucleation stage

    NASA Astrophysics Data System (ADS)

    Wu, Hualong; Wang, Hailong; Chen, Yingda; Zhang, Lingxia; Chen, Zimin; Wu, Zhisheng; Wang, Gang; Jiang, Hao

    2018-05-01

    The crystalline quality of AlN epitaxial layers on sapphire substrates was improved by introducing trimethylgallium (TMGa) pulse flow into the growth of AlN nucleation layers. It was found that the density of both screw- and edge-type threading dislocations could be significantly reduced by introducing the TMGa pulse flow. With increasing TMGa pulse flow times, the lateral correlation length (i.e. the grain size) increases and the strain in the AlN epilayers changes from tensile state to compressive state. Unstrained AlN with the least dislocations and a smooth surface was obtained by introducing 2-times TMGa pulse flow. The crystalline improvement is attributed to enhanced lateral growth and improved crystalline orientation by the TMGa pulse flow.

  3. Microscopic potential fluctuations in Si-doped AlGaN epitaxial layers with various AlN molar fractions and Si concentrations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurai, Satoshi, E-mail: kurai@yamaguchi-u.ac.jp; Yamada, Yoichi; Miyake, Hideto

    2016-01-14

    Nanoscopic potential fluctuations of Si-doped AlGaN epitaxial layers with the AlN molar fraction varying from 0.42 to 0.95 and Si-doped Al{sub 0.61}Ga{sub 0.39}N epitaxial layers with Si concentrations of 3.0–37 × 10{sup 17 }cm{sup −3} were investigated by cathodoluminescence (CL) imaging combined with scanning electron microscopy. The spot CL linewidths of AlGaN epitaxial layers broadened as the AlN molar fraction was increased to 0.7, and then narrowed at higher AlN molar fractions. The experimental linewidths were compared with the theoretical prediction from the alloy broadening model. The trends displayed by our spot CL linewidths were consistent with calculated results at AlN molar fractionsmore » of less than about 0.60, but the spot CL linewidths were markedly broader than the calculated linewidths at higher AlN molar fractions. The dependence of the difference between the spot CL linewidth and calculated line broadening on AlN molar fraction was found to be similar to the dependence of reported S values, indicating that the vacancy clusters acted as the origin of additional line broadening at high AlN molar fractions. The spot CL linewidths of Al{sub 0.61}Ga{sub 0.39}N epitaxial layers with the same Al concentration and different Si concentrations were nearly constant in the entire Si concentration range tested. From the comparison of reported S values, the increase of V{sub Al} did not contribute to the linewidth broadening, unlike the case of the V{sub Al} clusters.« less

  4. Hexagonal AlN Layers Grown on Sulfided Si(100) Substrate

    NASA Astrophysics Data System (ADS)

    Bessolov, V. N.; Gushchina, E. V.; Konenkova, E. V.; L'vova, T. V.; Panteleev, V. N.; Shcheglov, M. P.

    2018-01-01

    We have studied the influence of sulfide passivation on the initial stages of aluminum nitride (AlN)-layer nucleation and growth by hydride vapor-phase epitaxy (HVPE) on (100)-oriented single-crystalline silicon substrates. It is established that the substrate pretreatment in (NH4)2S aqueous solution leads to the columnar nucleation of hexagonal AlN crystals of two modifications rotated by 30° relative to each other. Based on the sulfide treatment, a simple method of oxide removal from and preparation of Si(100) substrate surface is developed that can be used for the epitaxial growth of group-III nitride layers.

  5. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer

    PubMed Central

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J.

    2016-01-01

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack. PMID:27279454

  6. Improved Gate Dielectric Deposition and Enhanced Electrical Stability for Single-Layer MoS2 MOSFET with an AlN Interfacial Layer.

    PubMed

    Qian, Qingkai; Li, Baikui; Hua, Mengyuan; Zhang, Zhaofu; Lan, Feifei; Xu, Yongkuan; Yan, Ruyue; Chen, Kevin J

    2016-06-09

    Transistors based on MoS2 and other TMDs have been widely studied. The dangling-bond free surface of MoS2 has made the deposition of high-quality high-k dielectrics on MoS2 a challenge. The resulted transistors often suffer from the threshold voltage instability induced by the high density traps near MoS2/dielectric interface or inside the gate dielectric, which is detrimental for the practical applications of MoS2 metal-oxide-semiconductor field-effect transistor (MOSFET). In this work, by using AlN deposited by plasma enhanced atomic layer deposition (PEALD) as an interfacial layer, top-gate dielectrics as thin as 6 nm for single-layer MoS2 transistors are demonstrated. The AlN interfacial layer not only promotes the conformal deposition of high-quality Al2O3 on the dangling-bond free MoS2, but also greatly enhances the electrical stability of the MoS2 transistors. Very small hysteresis (ΔVth) is observed even at large gate biases and high temperatures. The transistor also exhibits a low level of flicker noise, which clearly originates from the Hooge mobility fluctuation instead of the carrier number fluctuation. The observed superior electrical stability of MoS2 transistor is attributed to the low border trap density of the AlN interfacial layer, as well as the small gate leakage and high dielectric strength of AlN/Al2O3 dielectric stack.

  7. Doped LZO buffer layers for laminated conductors

    DOEpatents

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2010-03-23

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the substrate, the biaxially textured buffer layer comprising LZO and a dopant for mitigating metal diffusion through the LZO, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  8. Buffer layer for thin film structures

    DOEpatents

    Foltyn, Stephen R.; Jia, Quanxi; Arendt, Paul N.; Wang, Haiyan

    2006-10-31

    A composite structure including a base substrate and a layer of a mixture of strontium titanate and strontium ruthenate is provided. A superconducting article can include a composite structure including an outermost layer of magnesium oxide, a buffer layer of strontium titanate or a mixture of strontium titanate and strontium ruthenate and a top-layer of a superconducting material such as YBCO upon the buffer layer.

  9. Buffer layer for thin film structures

    DOEpatents

    Foltyn, Stephen R.; Jia, Quanxi; Arendt, Paul N.; Wang, Haiyan

    2010-06-15

    A composite structure including a base substrate and a layer of a mixture of strontium titanate and strontium ruthenate is provided. A superconducting article can include a composite structure including an outermost layer of magnesium oxide, a buffer layer of strontium titanate or a mixture of strontium titanate and strontium ruthenate and a top-layer of a superconducting material such as YBCO upon the buffer layer.

  10. Optical models for radio-frequency-magnetron reactively sputtered AlN films

    NASA Astrophysics Data System (ADS)

    Easwarakhanthan, T.; Assouar, M. B.; Pigeat, P.; Alnot, P.

    2005-10-01

    The optical properties of aluminum nitrate (AlN) films reactively sputtered on Si substrates using radio-frequency (rf) magnetron have been studied in this work from multiwavelength spectroscopic ellipsometry (SE) measurements performed over the 290-615 nm wavelength range. The SE modeling carried out with care to adhere as much to the ellipsometric fitting qualities is also backed up with atomic force microscopy and x-ray-diffraction measurements taken on these films thus grown to nominal thicknesses from 40 to 150 nm under the same optimized experimental conditions. It follows that the model describing the optical properties of the thicker AlN films should consist at least in three layers on the Si substrate: an almost roughnessless smooth surface overlayer that is presumed essentially of Al2O3, a bulk AlN layer, and an AlN interface layer that has a refractive index dispersion falling in the range from 2.04 [312 nm] to 1.91 [615 nm] on the average and is fairly distinguishable from the slightly higher bulk layer index which drops correspondingly from 2.12 to 1.99. These index values imply that, beneath the partly or mostly oxidized surface AlN layer, the films comprise a polycrystalline-structured bulk AlN layer above a less-microstructurally-ordered interface layer that extends over 40-55 nm from the substrate among thicker films. This ellipsometric evidence indicating the existence of the interface layer is consistent with those interface layers confirmed through electron microscopy in some previous works. However, the ellipsometrically insufficient thinner AlN films may be only modeled with the surface layer and an AlN layer. The film surface oxide layer thickness varies between 5 and 15 nm among samples. The refractive index dispersions, the layer thicknesses, and the lateral thickness variation of the films are given and discussed regarding the optical constitution of these films and the ellipsometric validity of these parameters.

  11. Influence of the AlN nucleation layer on the properties of AlGaN/GaN heterostructure on Si (1 1 1) substrates

    NASA Astrophysics Data System (ADS)

    Pan, Lei; Dong, Xun; Li, Zhonghui; Luo, Weike; Ni, Jinyu

    2018-07-01

    AlGaN/GaN heterostructures were grown on Si (1 1 1) substrates with different AlN nucleation layers (NL) by metal-organic chemical vapor deposition (MOCVD). The results indicate that the growth temperature of AlN NL has a noticeable influence on the structural, electronic and optical properties of the AlGaN/GaN heterostructures. Optimizing the growth temperature to 1040 °C led to quasi-2D smooth surface of the AlN NL with providing sufficient compressive stress to suppress cracking of the subsequent GaN layer during the cooling process, resulting in improved crystalline quality of GaN layer and superior two-dimensional electron gas (2DEG) performance of the AlGaN/GaN heterostructure.

  12. In-situ NC-AFM measurements of high quality AlN(0001) layers grown at low growth rate on 4H-SiC(0001) and Si(111) substrates using ammonia molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chaumeton, Florian, E-mail: florian.chaumeton@cemes.fr; Gauthier, Sébastien, E-mail: gauthier@cemes.fr; Martrou, David, E-mail: david.martrou@cemes.fr

    Nitride wide-band-gap semiconductors are used to make high power electronic devices or efficient light sources. The performance of GaN-based devices is directly linked to the initial AlN buffer layer. During the last twenty years of research on nitride growth, only few information on the AlN surface quality have been obtained, mainly by ex-situ characterization techniques. Thanks to a Non Contact Atomic Force Microscope (NC-AFM) connected under ultra high vacuum (UHV) to a dedicated molecular beam epitaxy (MBE) chamber, the surface of AlN(0001) thin films grown on Si(111) and 4H-SiC(0001) substrates has been characterized. These experiments give access to a quantitativemore » determination of the density of screw and edge dislocations at the surface. The layers were also characterized by ex-situ SEM to observe the largest defects such as relaxation dislocations and hillocks. The influence of the growth parameters (substrate temperature, growth speed, III/V ratio) and of the initial substrate preparation on the dislocation density was also investigated. On Si(111), the large in-plane lattice mismatch with AlN(0001) (19%) induces a high dislocation density ranging from 6 to 12×10{sup 10}/cm{sup 2} depending on the growth conditions. On 4H-SiC(0001) (1% mismatch with AlN(0001)), the dislocation density decreases to less than 10{sup 10}/cm{sup 2}, but hillocks appear, depending on the initial SiC(0001) reconstruction. The use of a very low growth rate of 10 nm/h at the beginning of the growth process allows to decrease the dislocation density below 2 × 10{sup 9}/cm{sup 2}.« less

  13. Back contact buffer layer for thin-film solar cells

    DOEpatents

    Compaan, Alvin D.; Plotnikov, Victor V.

    2014-09-09

    A photovoltaic cell structure is disclosed that includes a buffer/passivation layer at a CdTe/Back contact interface. The buffer/passivation layer is formed from the same material that forms the n-type semiconductor active layer. In one embodiment, the buffer layer and the n-type semiconductor active layer are formed from cadmium sulfide (CdS). A method of forming a photovoltaic cell includes the step of forming the semiconductor active layers and the buffer/passivation layer within the same deposition chamber and using the same material source.

  14. Structural and optical studies of GaN pn-junction with AlN buffer layer grown on Si (111) by RF plasma enhanced MBE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yusoff, Mohd Zaki Mohd; Hassan, Zainuriah; Woei, Chin Che

    2012-06-29

    GaN pn-junction grown on silicon substrates have been the focus in a number of recent reports and further effort is still necessary to improve its crystalline quality for practical applications. GaN has the high n-type background carrier concentration resulting from native defects commonly thought to be nitrogen vacancies. In this work, we present the growth of pn-junction of GaN on Si (111) substrate using RF plasma-enhanced molecular beam epitaxy (MBE). Both of the layers show uniformity with an average thickness of 0.709 {mu}m and 0.095 {mu}m for GaN and AlN layers, respectively. The XRD spectra indicate that no sign ofmore » cubic phase of GaN are found, so it is confirmed that the sample possessed hexagonal structure. It was found that all the allowed Raman optical phonon modes of GaN, i.e. the E2 (low), E1 (high) and A1 (LO) are clearly visible.« less

  15. Electrical properties of GaAs metal–oxide–semiconductor structure comprising Al{sub 2}O{sub 3} gate oxide and AlN passivation layer fabricated in situ using a metal–organic vapor deposition/atomic layer deposition hybrid system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori

    2015-08-15

    This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less

  16. On buffer layers as non-reflecting computational boundaries

    NASA Technical Reports Server (NTRS)

    Hayder, M. Ehtesham; Turkel, Eli L.

    1996-01-01

    We examine an absorbing buffer layer technique for use as a non-reflecting boundary condition in the numerical simulation of flows. One such formulation was by Ta'asan and Nark for the linearized Euler equations. They modified the flow inside the buffer zone to artificially make it supersonic in the layer. We examine how this approach can be extended to the nonlinear Euler equations. We consider both a conservative and a non-conservative form modifying the governing equations in the buffer layer. We compare this with the case that the governing equations in the layer are the same as in the interior domain. We test the effectiveness of these buffer layers by a simulation of an excited axisymmetric jet based on a nonlinear compressible Navier-Stokes equations.

  17. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II-VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  18. Reducing interface recombination for Cu(In,Ga)Se 2 by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Li, Jian V.; Kuciauskas, Darius

    2015-07-20

    Partial CuInGaSe2 (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnOx buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystalline II–VImore » systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  19. Initial growth, refractive index, and crystallinity of thermal and plasma-enhanced atomic layer deposition AlN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Van Bui, Hao, E-mail: H.VanBui@utwente.nl; Wiggers, Frank B.; Gupta, Anubha

    2015-01-01

    The authors have studied and compared the initial growth and properties of AlN films deposited on Si(111) by thermal and plasma-enhanced atomic layer deposition (ALD) using trimethylaluminum and either ammonia or a N{sub 2}-H{sub 2} mixture as precursors. In-situ spectroscopic ellipsometry was employed to monitor the growth and measure the refractive index of the films during the deposition. The authors found that an incubation stage only occurred for thermal ALD. The linear growth for plasma-enhanced ALD (PEALD) started instantly from the beginning due to the higher nuclei density provided by the presence of plasma. The authors observed the evolution ofmore » the refractive index of AlN during the growth, which showed a rapid increase up to a thickness of about 30 nm followed by a saturation. Below this thickness, higher refractive index values were obtained for AlN films grown by PEALD, whereas above that the refractive index was slightly higher for thermal ALD films. X-ray diffraction characterization showed a wurtzite crystalline structure with a (101{sup ¯}0) preferential orientation obtained for all the layers with a slightly better crystallinity for films grown by PEALD.« less

  20. Reducing interface recombination for Cu(In,Ga)Se{sub 2} by atomic layer deposited buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hultqvist, Adam; Bent, Stacey F.; Li, Jian V.

    2015-07-20

    Partial CuInGaSe{sub 2} (CIGS) solar cell stacks with different atomic layer deposited buffer layers and pretreatments were analyzed by photoluminescence (PL) and capacitance voltage (CV) measurements to investigate the buffer layer/CIGS interface. Atomic layer deposited ZnS, ZnO, and SnO{sub x} buffer layers were compared with chemical bath deposited CdS buffer layers. Band bending, charge density, and interface state density were extracted from the CV measurement using an analysis technique new to CIGS. The surface recombination velocity calculated from the density of interface traps for a ZnS/CIGS stack shows a remarkably low value of 810 cm/s, approaching the range of single crystallinemore » II–VI systems. Both the PL spectra and its lifetime depend on the buffer layer; thus, these measurements are not only sensitive to the absorber but also to the absorber/buffer layer system. Pretreatment of the CIGS prior to the buffer layer deposition plays a significant role on the electrical properties for the same buffer layer/CIGS stack, further illuminating the importance of good interface formation. Finally, ZnS is found to be the best performing buffer layer in this study, especially if the CIGS surface is pretreated with potassium cyanide.« less

  1. MBE growth and optical properties of GaN layers on SiC/Si(111) hybrid substrate

    NASA Astrophysics Data System (ADS)

    Reznik, R. R.; Kotlyar, K. P.; Soshnikov, I. P.; Kukushkin, S. A.; Osipov, A. V.; Nikitina, E. V.; Cirlin, G. E.

    2017-11-01

    The fundamental possibility of the growth of GaN layers by molecular-beam epitaxy on a silicon substrate with nanoscale buffer layer of silicon carbide without any AlN layers has been demonstrated for the first time. Morphological properties of the resulting system have been studied.

  2. Buffer layers for high-Tc thin films on sapphire

    NASA Technical Reports Server (NTRS)

    Wu, X. D.; Foltyn, S. R.; Muenchausen, R. E.; Cooke, D. W.; Pique, A.; Kalokitis, D.; Pendrick, V.; Belohoubek, E.

    1992-01-01

    Buffer layers of various oxides including CeO2 and yttrium-stabilized zirconia (YSZ) have been deposited on R-plane sapphire. The orientation and crystallinity of the layers were optimized to promote epitaxial growth of YBa2Cu3O(7-delta) (YBCO) thin films. An ion beam channeling minimum yield of about 3 percent was obtained in the CeO2 layer on sapphire, indicating excellent crystallinity of the buffer layer. Among the buffer materials used, CeO2 was found to be the best one for YBCO thin films on R-plane sapphire. High Tc and Jc were obtained in YBCO thin films on sapphire with buffer layers. Surface resistances of the YBCO films were about 4 mOmega at 77 K and 25 GHz.

  3. Thin film photovoltaic devices with a minimally conductive buffer layer

    DOEpatents

    Barnes, Teresa M.; Burst, James

    2016-11-15

    A thin film photovoltaic device (100) with a tunable, minimally conductive buffer (128) layer is provided. The photovoltaic device (100) may include a back contact (150), a transparent front contact stack (120), and an absorber (140) positioned between the front contact stack (120) and the back contact (150). The front contact stack (120) may include a low resistivity transparent conductive oxide (TCO) layer (124) and a buffer layer (128) that is proximate to the absorber layer (140). The photovoltaic device (100) may also include a window layer (130) between the buffer layer (128) and the absorber (140). In some cases, the buffer layer (128) is minimally conductive, with its resistivity being tunable, and the buffer layer (128) may be formed as an alloy from a host oxide and a high-permittivity oxide. The high-permittivity oxide may further be chosen to have a bandgap greater than the host oxide.

  4. AlN metal-semiconductor field-effect transistors using Si-ion implantation

    NASA Astrophysics Data System (ADS)

    Okumura, Hironori; Suihkonen, Sami; Lemettinen, Jori; Uedono, Akira; Zhang, Yuhao; Piedra, Daniel; Palacios, Tomás

    2018-04-01

    We report on the electrical characterization of Si-ion implanted AlN layers and the first demonstration of metal-semiconductor field-effect transistors (MESFETs) with an ion-implanted AlN channel. The ion-implanted AlN layers with Si dose of 5 × 1014 cm-2 exhibit n-type characteristics after thermal annealing at 1230 °C. The ion-implanted AlN MESFETs provide good drain current saturation and stable pinch-off operation even at 250 °C. The off-state breakdown voltage is 2370 V for drain-to-gate spacing of 25 µm. These results show the great potential of AlN-channel transistors for high-temperature and high-power applications.

  5. Growth and stress-induced transformation of zinc blende AlN layers in Al-AlN-TiN multilayers

    DOE PAGES

    Li, Nan; Yadav, Satyesh K.; Wang, Jian; ...

    2015-12-18

    We report that AlN nanolayers in sputter deposited {111}Al/AlN/TiN multilayers exhibit the metastable zinc-blende-structure (z-AlN). Based on density function theory calculations, the growth of the z-AlN is ascribed to the kinetically and energetically favored nitridation of the deposited aluminium layer. In situ nanoindentation of the as-deposited {111}Al/AlN/TiN multilayers in a high-resolution transmission electron microscope revealed the z-AlN to wurzite AlN phase transformation through collective glide of Shockley partial dislocations on every two {111} planes of the z-AlN.

  6. AlGaSb Buffer Layers for Sb-Based Transistors

    DTIC Science & Technology

    2010-01-01

    transistor ( HEMT ), molecular beam epitaxy (MBE), field-effect transistor (FET), buffer layer INTRODUCTION High-electron-mobility transistors ( HEMTs ) with InAs...monolayers/s. The use of thinner buffer layers reduces molecular beam epitaxial growth time and source consumption. The buffer layers also exhibit...source. In addition, some of the flux from an Sb cell in a molecular beam epitaxy (MBE) system will deposit near the mouth of the cell, eventually

  7. Rare earth zirconium oxide buffer layers on metal substrates

    DOEpatents

    Williams, Robert K.; Paranthaman, Mariappan; Chirayil, Thomas G.; Lee, Dominic F.; Goyal, Amit; Feenstra, Roeland

    2001-01-01

    A laminate article comprises a substrate and a biaxially textured (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer over the substrate, wherein 0buffer layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sub.x A.sub.(1-x)).sub.2 O.sub.2-(x/2) buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  8. Indium hexagonal island as seed-layer to boost a-axis orientation of AlN thin films

    NASA Astrophysics Data System (ADS)

    Redjdal, N.; Salah, H.; Azzaz, M.; Menari, H.; Manseri, A.; Guedouar, B.; Garcia-Sanchez, A.; Chérif, S. M.

    2018-06-01

    Highly a-axis oriented aluminum nitride films have been grown on Indium coated (100) Si substrate by DC reactive magnetron sputtering. It is shown that In incorporated layer improve the extent of preferential growth along (100) axis and form dense AlN films with uniform surface and large grains, devoid of micro-cracks. As revealed by SEM cross section images, AlN structure consists of oriented columnar grains perpendicular to the Si surface, while AlN/In structure results in uniformely tilted column. SEM images also revealed the presence of In hexagonal islands persistent throughout the entire growth. Micro -Raman spectroscopy of the surface and the cross section of the AlN/In grown films evidenced their high degree of homogeneity and cristallinity.

  9. Mitigation of substrate defects in reticles using multilayer buffer layers

    DOEpatents

    Mirkarimi, Paul B.; Bajt, Sasa; Stearns, Daniel G.

    2001-01-01

    A multilayer film is used as a buffer layer to minimize the size of defects on a reticle substrate prior to deposition of a reflective coating on the substrate. The multilayer buffer layer deposited intermediate the reticle substrate and the reflective coating produces a smoothing of small particles and other defects on the reticle substrate. The reduction in defect size is controlled by surface relaxation during the buffer layer growth process and by the degree of intermixing and volume contraction of the materials at the multilayer interfaces. The buffer layers are deposited at near-normal incidence via a low particulate ion beam sputtering process. The growth surface of the buffer layer may also be heated by a secondary ion source to increase the degree of intermixing and improve the mitigation of defects.

  10. Buffer layers on metal alloy substrates for superconducting tapes

    DOEpatents

    Jia, Quanxi; Foltyn, Stephen R.; Arendt, Paul N.; Groves, James R.

    2004-10-05

    An article including a substrate, at least one intermediate layer upon the surface of the substrate, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the at least one intermediate layer, and a layer of a SrRuO.sub.3 buffer material upon the oriented cubic oxide material layer is provided together with additional layers such as a HTS top-layer of YBCO directly upon the layer of a SrRuO.sub.3 buffer material layer. With a HTS top-layer of YBCO upon at least one layer of the SrRuO.sub.3 buffer material in such an article, J.sub.c 's of up to 1.3.times.10.sup.6 A/cm.sup.2 have been demonstrated with projected I.sub.c 's of over 200 Amperes across a sample 1 cm wide.

  11. Buffer layers on metal alloy substrates for superconducting tapes

    DOEpatents

    Jia, Quanxi; Foltyn, Stephen R.; Arendt, Paul N.; Groves, James R.

    2004-06-29

    An article including a substrate, a layer of an inert oxide material upon the surface of the substrate, a layer of an amorphous oxide or oxynitride material upon the inert oxide material layer, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the amorphous oxide material layer, and a layer of a SrRuO.sub.3 buffer material upon the oriented cubic oxide material layer is provided together with additional layers such as a HTS top-layer of YBCO directly upon the layer of a SrRuO.sub.3 buffer material layer. With a HTS top-layer of YBCO upon at least one layer of the SrRuO.sub.3 buffer material in such an article, J.sub.c 's of up to 1.3.times.10.sup.6 A/cm.sup.2 have been demonstrated with projected IC's of over 200 Amperes across a sample 1 cm wide.

  12. Buffer layers for REBCO films for use in superconducting devices

    DOEpatents

    Goyal, Amit; Wee, Sung-Hun

    2014-06-10

    A superconducting article includes a substrate having a biaxially textured surface. A biaxially textured buffer layer, which can be a cap layer, is supported by the substrate. The buffer layer includes a double perovskite of the formula A.sub.2B'B''O.sub.6, where A is rare earth or alkaline earth metal and B' and B'' are different transition metal cations. A biaxially textured superconductor layer is deposited so as to be supported by the buffer layer. A method of making a superconducting article is also disclosed.

  13. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer.

    PubMed

    Muhammed, M M; Roldan, M A; Yamashita, Y; Sahonta, S-L; Ajia, I A; Iizuka, K; Kuramata, A; Humphreys, C J; Roqan, I S

    2016-07-14

    We demonstrate the high structural and optical properties of InxGa1-xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 10(7) cm(-2)) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1-xN epilayers can be achieved with high optical quality of InxGa1-xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design.

  14. Method of depositing buffer layers on biaxially textured metal substrates

    DOEpatents

    Beach, David B.; Morrell, Jonathan S.; Paranthaman, Mariappan; Chirayil, Thomas; Specht, Eliot D.; Goyal, Amit

    2002-08-27

    A laminate article comprises a substrate and a biaxially textured (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer over the substrate, wherein 0buffer layer can be deposited using sol-gel or metal-organic decomposition. The laminate article can include a layer of YBCO over the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer. A layer of CeO.sub.2 between the YBCO layer and the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer can also be include. Further included can be a layer of YSZ between the CeO.sub.2 layer and the (RE.sup.1.sub.x RE.sup.2.sub.(1-x)).sub.2 O.sub.3 buffer layer. The substrate can be a biaxially textured metal, such as nickel. A method of forming the laminate article is also disclosed.

  15. MOVPE growth of nitrogen- and aluminum-polar AlN on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Lemettinen, J.; Okumura, H.; Kim, I.; Rudzinski, M.; Grzonka, J.; Palacios, T.; Suihkonen, S.

    2018-04-01

    We present a comprehensive study on metal-organic vapor phase epitaxy growth of N-polar and Al -polar AlN on 4H-SiC with 4° miscut using constant growth parameters. At a high temperature of 1165 °C, N-polar AlN layers had high crystalline quality whereas the Al-polar AlN surfaces had a high density of etch pits. For N-polar AlN, the V/III ratio below 1000 forms hexagonal hillocks, while the V/III ratio over 1000 yields step bunching without the hillocks. 1-μm-thick N-polar AlN layer grown in optimal conditions exhibited FWHMs of 307, 330 and 337 arcsec for (0 0 2), (1 0 2) and (2 0 1) reflections, respectively.

  16. Structural and electrical properties of AlN layers grown on silicon by reactive RF magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bazlov, N., E-mail: n.bazlov@spbu.ru; Pilipenko, N., E-mail: nelly.pilipenko@gmail.com; Vyvenko, O.

    2016-06-17

    AlN films of different thicknesses were deposited on n-Si (100) substrates by reactive radio frequency (rf) magnetron sputtering. Dependences of structure and electrical properties on thickness of deposited films were researched. The structures of the films were analyzed with scanning electron microscopy (SEM) and with transmitting electron microscopy (TEM). Electrical properties of the films were investigated on Au-AlN-(n-Si) structures by means of current-voltage (I-V), capacitance-voltage (C-V) and deep level transient spectroscopy (DLTS) techniques. Electron microscopy investigations had shown that structure and chemical composition of the films were thickness stratified. Near silicon surface layer was amorphous aluminum oxide one contained trapsmore » of positive charges with concentration of about 4 × 10{sup 18} cm{sup −3}. Upper layers were nanocrystalline ones consisted of both wurzite AlN and cubic AlON nanocrystals. They contained traps both positive and negative charges which were situated within 30 nm distance from silicon surface. Surface densities of these traps were about 10{sup 12} cm{sup −2}. Electron traps with activation energies of (0.2 ÷ 0.4) eV and densities of about 10{sup 10} cm{sup −2} were revealed on interface between aluminum oxide layer and silicon substrate. Their densities varied weakly with the film thickness.« less

  17. Pure AlN layers in metal-polar AlGaN/AlN/GaN and AlN/GaN heterostructures grown by low-temperature ammonia-based molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kaun, Stephen W.; Mazumder, Baishakhi; Fireman, Micha N.; Kyle, Erin C. H.; Mishra, Umesh K.; Speck, James S.

    2015-05-01

    When grown at a high temperature (820 °C) by ammonia-based molecular beam epitaxy (NH3-MBE), the AlN layers of metal-polar AlGaN/AlN/GaN heterostructures had a high GaN mole fraction (∼0.15), as identified by atom probe tomography in a previous study (Mazumder et al 2013 Appl. Phys. Lett. 102 111603). In the study presented here, growth at low temperature (<740 °C) by NH3-MBE yielded metal-polar AlN layers that were essentially pure at the alloy level. The improved purity of the AlN layers grown at low temperature was correlated to a dramatic increase in the sheet density of the two-dimensional electron gas (2DEG) at the AlN/GaN heterointerface. Through application of an In surfactant, metal-polar AlN(3.5 nm)/GaN and AlGaN/AlN(2.5 nm)/GaN heterostructures grown at low temperature yielded low 2DEG sheet resistances of 177 and 285 Ω/□, respectively.

  18. Growth evolution of AlN films on silicon (111) substrates by pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Haiyan; Wang, Wenliang; Yang, Weijia

    2015-05-14

    AlN films with various thicknesses have been grown on Si(111) substrates by pulsed laser deposition (PLD). The surface morphology and structural property of the as-grown AlN films have been investigated carefully to comprehensively explore the epitaxial behavior. The ∼2 nm-thick AlN film initially grown on Si substrate exhibits an atomically flat surface with a root-mean-square surface roughness of 0.23 nm. As the thickness increases, AlN grains gradually grow larger, causing a relatively rough surface. The surface morphology of ∼120 nm-thick AlN film indicates that AlN islands coalesce together and eventually form AlN layers. The decreasing growth rate from 240 to 180 nm/h is amore » direct evidence that the growth mode of AlN films grown on Si substrates by PLD changes from the islands growth to the layer growth. The evolution of AlN films throughout the growth is studied deeply, and its corresponding growth mechanism is hence proposed. These results are instructional for the growth of high-quality nitride films on Si substrates by PLD, and of great interest for the fabrication of AlN-based devices.« less

  19. AlN based piezoelectric micromirror.

    PubMed

    Shao, Jian; Li, Qi; Feng, Chuhuan; Li, Wei; Yu, Hongbin

    2018-03-01

    Aiming to pursue a micromirror possessing many desired characteristics, such as linear control, low power consumption, fast response, and easy fabrication, a new piezoelectric actuation strategy is presented. Different from conventional piezoelectric actuation cases, we first propose using AlN film as the active layer for actuating the micromirror. Owing to its good CMOS compatible deposition and patterning techniques, the AlN based piezoelectric micromirror has been successfully fabricated with a modified silicon-on-insulator-based microelectromechanical system (MEMS) process. At the same time, various mirror movement modes operating at high frequencies and excellent linear relationship between the movement and the control signal both have been experimentally demonstrated.

  20. Leakage effects in n-GaAs MESFET with n-GaAs buffer layer

    NASA Technical Reports Server (NTRS)

    Wang, Y. C.; Bahrami, M.

    1983-01-01

    Whereas improvement of the interface between the active layer and the buffer layer has been demonstrated, the leakage effects can be important if the buffer layer resistivity is not sufficiently high and/or the buffer layer thickness is not sufficiently small. It was found that two buffer leakage currents exist from the channel under the gate to the source and from drain to the channel in addition to the buffer leakage resistance between drain and source. It is shown that for a 1 micron gate-length n-GaAs MESFET, if the buffer layer resistivity is 12 OHM-CM and the buffer layer thickness h is 2 microns, the performance of the device degrades drastically. It is suggested that h should be below 2 microns.

  1. Effects of GaN/AlGaN/Sputtered AlN nucleation layers on performance of GaN-based ultraviolet light-emitting diodes

    PubMed Central

    Hu, Hongpo; Zhou, Shengjun; Liu, Xingtong; Gao, Yilin; Gui, Chengqun; Liu, Sheng

    2017-01-01

    We report on the demonstration of GaN-based ultraviolet light-emitting diodes (UV LEDs) emitting at 375 nm grown on patterned sapphire substrate (PSS) with in-situ low temperature GaN/AlGaN nucleation layers (NLs) and ex-situ sputtered AlN NL. The threading dislocation (TD) densities in GaN-based UV LEDs with GaN/AlGaN/sputtered AlN NLs were determined by high-resolution X-ray diffraction (XRD) and cross-sectional transmission electron microscopy (TEM), which revealed that the TD density in UV LED with AlGaN NL was the highest, whereas that in UV LED with sputtered AlN NL was the lowest. The light output power (LOP) of UV LED with AlGaN NL was 18.2% higher than that of UV LED with GaN NL owing to a decrease in the absorption of 375 nm UV light in the AlGaN NL with a larger bandgap. Using a sputtered AlN NL instead of the AlGaN NL, the LOP of UV LED was further enhanced by 11.3%, which is attributed to reduced TD density in InGaN/AlInGaN active region. In the sputtered AlN thickness range of 10–25 nm, the LOP of UV LED with 15-nm-thick sputtered AlN NL was the highest, revealing that optimum thickness of the sputtered AlN NL is around 15 nm. PMID:28294166

  2. Ab initio modeling of zincblende AlN layer in Al-AlN-TiN multilayers

    DOE PAGES

    Yadav, S. K.; Wang, J.; Liu, X. -Y.

    2016-06-13

    An unusual growth mechanism of metastable zincblende AlN thin film by diffusion of nitrogen atoms into Al lattice is established. Using first-principles density functional theory, we studied the possibility of thermodynamic stability of AlN as a zincblende phase due to epitaxial strains and interface effect, which fails to explain the formation of zincblende AlN. We then compared the formation energetics of rocksalt and zincblende AlN in fcc Al through direct diffusion of nitrogen atoms to Al octahedral and tetrahedral interstitials. Furthermore, the formation of a zincblende AlN thin film is determined to be a kinetically driven process, not a thermodynamicallymore » driven process.« less

  3. Single layers and multilayers of GaN and AlN in square-octagon structure: Stability, electronic properties, and functionalization

    NASA Astrophysics Data System (ADS)

    Gürbüz, E.; Cahangirov, S.; Durgun, E.; Ciraci, S.

    2017-11-01

    Further to planar single-layer hexagonal structures, GaN and AlN can also form free-standing, single-layer structures constructed from squares and octagons. We performed an extensive analysis of dynamical and thermal stability of these structures in terms of ab initio finite-temperature molecular dynamics and phonon calculations together with the analysis of Raman and infrared active modes. These single-layer square-octagon structures of GaN and AlN display directional mechanical properties and have wide, indirect fundamental band gaps, which are smaller than their hexagonal counterparts. These density functional theory band gaps, however, increase and become wider upon correction. Under uniaxial and biaxial tensile strain, the fundamental band gaps decrease and can be closed. The electronic and magnetic properties of these single-layer structures can be modified by adsorption of various adatoms, or by creating neutral cation-anion vacancies. The single-layer structures attain magnetic moment by selected adatoms and neutral vacancies. In particular, localized gap states are strongly dependent on the type of vacancy. The energetics, binding, and resulting electronic structure of bilayer, trilayer, and three-dimensional (3D) layered structures constructed by stacking the single layers are affected by vertical chemical bonds between adjacent layers. In addition to van der Waals interaction, these weak vertical bonds induce buckling in planar geometry and enhance their binding, leading to the formation of stable 3D layered structures. In this respect, these multilayers are intermediate between van der Waals solids and wurtzite crystals, offering a wide range of tunability.

  4. High-quality III-nitride films on conductive, transparent (2̅01)-oriented β-Ga2O3 using a GaN buffer layer

    PubMed Central

    Muhammed, M. M.; Roldan, M. A.; Yamashita, Y.; Sahonta, S.-L.; Ajia, I. A.; Iizuka, K.; Kuramata, A.; Humphreys, C. J.; Roqan, I. S.

    2016-01-01

    We demonstrate the high structural and optical properties of InxGa1−xN epilayers (0 ≤ x ≤ 23) grown on conductive and transparent (01)-oriented β-Ga2O3 substrates using a low-temperature GaN buffer layer rather than AlN buffer layer, which enhances the quality and stability of the crystals compared to those grown on (100)-oriented β-Ga2O3. Raman maps show that the 2″ wafer is relaxed and uniform. Transmission electron microscopy (TEM) reveals that the dislocation density reduces considerably (~4.8 × 107 cm−2) at the grain centers. High-resolution TEM analysis demonstrates that most dislocations emerge at an angle with respect to the c-axis, whereas dislocations of the opposite phase form a loop and annihilate each other. The dislocation behavior is due to irregular (01) β-Ga2O3 surface at the interface and distorted buffer layer, followed by relaxed GaN epilayer. Photoluminescence results confirm high optical quality and time-resolved spectroscopy shows that the recombination is governed by bound excitons. We find that a low root-mean-square average (≤1.5 nm) of InxGa1−xN epilayers can be achieved with high optical quality of InxGa1−xN epilayers. We reveal that (01)-oriented β-Ga2O3 substrate has a strong potential for use in large-scale high-quality vertical light emitting device design. PMID:27412372

  5. High-quality AlN grown on a thermally decomposed sapphire surface

    NASA Astrophysics Data System (ADS)

    Hagedorn, S.; Knauer, A.; Brunner, F.; Mogilatenko, A.; Zeimer, U.; Weyers, M.

    2017-12-01

    In this study we show how to realize a self-assembled nano-patterned sapphire surface on 2 inch diameter epi-ready wafer and the subsequent AlN overgrowth both in the same metal-organic vapor phase epitaxial process. For this purpose in-situ annealing in H2 environment was applied prior to AlN growth to thermally decompose the c-plane oriented sapphire surface. By proper AlN overgrowth management misoriented grains that start to grow on non c-plane oriented facets of the roughened sapphire surface could be overcome. We achieved crack-free, atomically flat AlN layers of 3.5 μm thickness. The layers show excellent material quality homogeneously over the whole wafer as proved by the full width at half maximum of X-ray measured ω-rocking curves of 120 arcsec to 160 arcsec for the 002 reflection and 440 arcsec to 550 arcsec for the 302 reflection. The threading dislocation density is 2 ∗ 109 cm-2 which shows that the annealing and overgrowth process investigated in this work leads to cost-efficient AlN templates for UV LED devices.

  6. Doped Y.sub.2O.sub.3 buffer layers for laminated conductors

    DOEpatents

    Paranthaman, Mariappan Parans [Knoxville, TN; Schoop, Urs [Westborough, MA; Goyal, Amit [Knoxville, TN; Thieme, Cornelis Leo Hans [Westborough, MA; Verebelyi, Darren T [Oxford, MA; Rupich, Martin W [Framingham, MA

    2007-08-21

    A laminated conductor includes a metallic substrate having a surface, a biaxially textured buffer layer supported by the surface of the metallic substrate, the biaxially textured buffer layer comprising Y.sub.2O.sub.3 and a dopant for blocking cation diffusion through the Y.sub.2O.sub.3, and a biaxially textured conductor layer supported by the biaxially textured buffer layer.

  7. Selective excitation of window and buffer layers in chalcopyrite devices and modules

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Glynn, Stephen; Repins, Ingrid L.; Burst, James M.

    Window and buffer layers in chalcopyrite devices are well known to affect junctions, conduction, and photo-absorption properties of the device. Some of these layers, particularly 'buffers,' which are deposited directly on top of the absorber, exhibit metastable effects upon exposure to light. Thus, to understand device performance and/or metastability, it is sometimes desirable to selectively excite different layers in the device stack. Absorption characteristics of various window and buffer layers used in chalcopyrite devices are measured. These characteristics are compared with emission spectra of common and available light sources that might be used to optically excite such layers. Effects ofmore » the window and buffer absorption on device quantum efficiency and metastability are discussed. For the case of bath-deposited Zn(O,S) buffers, we conclude that this layer is not optically excited in research devices or modules. Furthermore, this provides a complimentary mechanism to the chemical differences that may cause long time constants (compared to devices with CdS buffers) associated with reaching a stable 'light-soaked' state.« less

  8. Selective excitation of window and buffer layers in chalcopyrite devices and modules

    DOE PAGES

    Glynn, Stephen; Repins, Ingrid L.; Burst, James M.; ...

    2018-02-02

    Window and buffer layers in chalcopyrite devices are well known to affect junctions, conduction, and photo-absorption properties of the device. Some of these layers, particularly 'buffers,' which are deposited directly on top of the absorber, exhibit metastable effects upon exposure to light. Thus, to understand device performance and/or metastability, it is sometimes desirable to selectively excite different layers in the device stack. Absorption characteristics of various window and buffer layers used in chalcopyrite devices are measured. These characteristics are compared with emission spectra of common and available light sources that might be used to optically excite such layers. Effects ofmore » the window and buffer absorption on device quantum efficiency and metastability are discussed. For the case of bath-deposited Zn(O,S) buffers, we conclude that this layer is not optically excited in research devices or modules. Furthermore, this provides a complimentary mechanism to the chemical differences that may cause long time constants (compared to devices with CdS buffers) associated with reaching a stable 'light-soaked' state.« less

  9. Enhanced adhesion for LIGA microfabrication by using a buffer layer

    DOEpatents

    Bajikar, Sateesh S.; De Carlo, Francesco; Song, Joshua J.

    2004-01-27

    The present invention is an improvement on the LIGA microfabrication process wherein a buffer layer is applied to the upper or working surface of a substrate prior to the placement of a resist onto the surface of the substrate. The buffer layer is made from an inert low-Z material (low atomic weight), a material that absorbs secondary X-rays emissions from the substrate that are generated from the substrate upon exposure to a primary X-rays source. Suitable materials for the buffer layer include polyamides and polyimide. The preferred polyimide is synthesized form pyromellitic anhydride and oxydianiline (PMDA-ODA).

  10. Enhanced adhesion for LIGA microfabrication by using a buffer layer

    DOEpatents

    Bajikar, Sateesh S.; De Carlo, Francesco; Song, Joshua J.

    2001-01-01

    The present invention is an improvement on the LIGA microfabrication process wherein a buffer layer is applied to the upper or working surface of a substrate prior to the placement of a resist onto the surface of the substrate. The buffer layer is made from an inert low-Z material (low atomic weight), a material that absorbs secondary X-rays emissions from the substrate that are generated from the substrate upon exposure to a primary X-rays source. Suitable materials for the buffer layer include polyamides and polyimide. The preferred polyimide is synthesized form pyromellitic anhydride and oxydianiline (PMDA-ODA).

  11. Photo-induced wettability of TiO{sub 2} film with Au buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Purkayastha, Debarun Dhar; Sangani, L. D. Varma; Krishna, M. Ghanashyam

    2014-04-24

    The effect of thickness of Au buffer layer (15-25 nm) between TiO{sub 2} film and substrate on the wettability of TiO{sub 2} films is reported. TiO{sub 2} films grown on Au buffer layer have a higher contact angle of 96-;100° as compared to 47.6o for the film grown without buffer layer. The transition from hydrophobicity to hydrophilicity under UV irradiation occurs within 10 min. for the buffer layered films whereas it is almost 30 min. for the film grown without buffer layer. The enhanced photo induced hydrophilicity is shown to be surface energy driven.

  12. Matching characteristics of different buffer layers with VO2 thin films

    NASA Astrophysics Data System (ADS)

    Yang, Kai; Zhang, Dongping; Liu, Yi; Guan, Tianrui; Qin, Xiaonan; Zhong, Aihua; Cai, Xingmin; Fan, Ping; Lv, Weizhong

    2016-10-01

    VO2 thin films were fabricated by reactive DC magnetron sputtering on different buffer layers of MgF2, Al2O3 and TiO2, respectively. The crystallinity and orientation relationship, thickness of VO2 thin films, atoms vibrational modes, optical and electrical property, surface morphology of films were characterized by X-ray diffraction, Raman scattering microscopy, step profiler, spectrophotometer, four-probe technique, and scanning electron microscopy, respectively. XRD results investigated that the films have preferential crystalline planes VO2 (011). The crystallinity of VO2 films grown on TiO2 buffer layers are superior to VO2 directly deposited on soda-lime glass. The Raman bands of the VO2 films correspond to an Ag symmetry mode of VO2 (M). The sample prepared on 100nm TiO2 buffer layer appears nanorods structure, and exhibits remarkable solar energy modulation ability as high as 5.82% in full spectrum and 23% in near infrared spectrum. Cross-sectional SEM image of the thin films samples indicate that MgF2 buffer layer has clear interface with VO2 layer. But there are serious interdiffusion phenomenons between Al2O3, TiO2 buffer layer with VO2 layer.

  13. Current isolating epitaxial buffer layers for high voltage photodiode array

    DOEpatents

    Morse, Jeffrey D.; Cooper, Gregory A.

    2002-01-01

    An array of photodiodes in series on a common semi-insulating substrate has a non-conductive buffer layer between the photodiodes and the semi-insulating substrate. The buffer layer reduces current injection leakage between the photodiodes of the array and allows optical energy to be converted to high voltage electrical energy.

  14. Simulation study on single event burnout in linear doping buffer layer engineered power VDMOSFET

    NASA Astrophysics Data System (ADS)

    Yunpeng, Jia; Hongyuan, Su; Rui, Jin; Dongqing, Hu; Yu, Wu

    2016-02-01

    The addition of a buffer layer can improve the device's secondary breakdown voltage, thus, improving the single event burnout (SEB) threshold voltage. In this paper, an N type linear doping buffer layer is proposed. According to quasi-stationary avalanche simulation and heavy ion beam simulation, the results show that an optimized linear doping buffer layer is critical. As SEB is induced by heavy ions impacting, the electric field of an optimized linear doping buffer device is much lower than that with an optimized constant doping buffer layer at a given buffer layer thickness and the same biasing voltages. Secondary breakdown voltage and the parasitic bipolar turn-on current are much higher than those with the optimized constant doping buffer layer. So the linear buffer layer is more advantageous to improving the device's SEB performance. Project supported by the National Natural Science Foundation of China (No. 61176071), the Doctoral Fund of Ministry of Education of China (No. 20111103120016), and the Science and Technology Program of State Grid Corporation of China (No. SGRI-WD-71-13-006).

  15. GaAs buffer layer technique for vertical nanowire growth on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Xiaoqing, E-mail: steelxu@stanford.edu; Parizi, Kokab B.; Huo, Yijie

    2014-02-24

    Gold catalyzed vapor-liquid-solid method is widely applied to III–V nanowire (NW) growth on Si substrate. However, the easy oxidation of Si, possible Si contamination in the NWs, high defect density in the NWs, and high sensitivity of the NW morphology to growth conditions largely limit its controllability. In this work, we developed a buffer layer technique by introducing a GaAs thin film with predefined polarity as a template. It is found that samples grown on these buffer layers all have high vertical NW yields in general, due to the single-orientation of the buffer layers. Low temperature buffer with smoother surfacemore » leads to highest yield of vertical NWs, while high temperature (HT) buffer with better crystallinity results in perfect NW quality. The defect-free property we observed here is very promising for optoelectronic device applications based on GaAs NW. Moreover, the buffer layers can eliminate Si contamination by preventing Si-Au alloy formation and by increasing the thickness of the Si diffusion barrier, thus providing more flexibility to vertical NW growth. The buffer layer technique we demonstrated here could be easily extended to other III-V on Si system for electronic and photonic applications.« less

  16. Effect of ZnO seed layer on the morphology and optical properties of ZnO nanorods grown on GaN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nandi, R., E-mail: rajunandi@iitb.ac.in; Mohan, S., E-mail: rajunandi@iitb.ac.in; Major, S. S.

    2014-04-24

    ZnO nanorods were grown by chemical bath deposition on sputtered, polycrystalline GaN buffer layers with and without ZnO seed layer. Scanning electron microscopy and X-ray diffraction show that the ZnO nanorods on GaN buffer layers are not vertically well aligned. Photoluminescence spectrum of ZnO nanorods grown on GaN buffer layer, however exhibits a much stronger near-band-edge emission and negligible defect emission, compared to the nanorods grown on ZnO buffer layer. These features are attributed to gallium incorporation at the ZnO-GaN interface. The introduction of a thin (25 nm) ZnO seed layer on GaN buffer layer significantly improves the morphology andmore » vertical alignment of ZnO-NRs without sacrificing the high optical quality of ZnO nanorods on GaN buffer layer. The presence of a thick (200 nm) ZnO seed layer completely masks the effect of the underlying GaN buffer layer on the morphology and optical properties of nanorods.« less

  17. Characterization of Cu buffer layers for growth of L10-FeNi thin films

    NASA Astrophysics Data System (ADS)

    Mizuguchi, M.; Sekiya, S.; Takanashi, K.

    2010-05-01

    A Cu(001) layer was fabricated on a Au(001) layer to investigate the use of Cu as a buffer layer for growing L10-FeNi thin films. The epitaxial growth of a Cu buffer layer was observed using reflection high-energy electron diffraction. The flatness of the layer improved drastically with an increase in the substrate temperature although the layer was an alloy (AuCu3). An FeNi thin film was epitaxially grown on the AuCu3 buffer layer by alternate monatomic layer deposition and the formation of an L10-FeNi ordered alloy was expected. The AuCu3 buffer layer is thus a promising candidate material for the growth of L10-FeNi thin films.

  18. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2005-10-18

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  19. Method of depositing an electrically conductive oxide buffer layer on a textured substrate and articles formed therefrom

    DOEpatents

    Paranthaman, M. Parans; Aytug, Tolga; Christen, David K.

    2003-09-09

    An article with an improved buffer layer architecture includes a substrate having a textured metal surface, and an electrically conductive lanthanum metal oxide epitaxial buffer layer on the surface of the substrate. The article can also include an epitaxial superconducting layer deposited on the epitaxial buffer layer. An epitaxial capping layer can be placed between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article includes providing a substrate with a metal surface and depositing on the metal surface a lanthanum metal oxide epitaxial buffer layer. The method can further include depositing a superconducting layer on the epitaxial buffer layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  20. Selective-area catalyst-free MBE growth of GaN nanowires using a patterned oxide layer.

    PubMed

    Schumann, T; Gotschke, T; Limbach, F; Stoica, T; Calarco, R

    2011-03-04

    GaN nanowires (NWs) were grown selectively in holes of a patterned silicon oxide mask, by rf-plasma-assisted molecular beam epitaxy (PAMBE), without any metal catalyst. The oxide was deposited on a thin AlN buffer layer previously grown on a Si(111) substrate. Regular arrays of holes in the oxide layer were obtained using standard e-beam lithography. The selectivity of growth has been studied varying the substrate temperature, gallium beam equivalent pressure and patterning layout. Adjusting the growth parameters, GaN NWs can be selectively grown in the holes of the patterned oxide with complete suppression of the parasitic growth in between the holes. The occupation probability of a hole with a single or multiple NWs depends strongly on its diameter. The selectively grown GaN NWs have one common crystallographic orientation with respect to the Si(111) substrate via the AlN buffer layer, as proven by x-ray diffraction (XRD) measurements. Based on the experimental data, we present a schematic model of the GaN NW formation in which a GaN pedestal is initially grown in the hole.

  1. MgO buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.

    2001-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.

  2. Properties of planar structures based on Policluster films of diamond and AlN

    NASA Astrophysics Data System (ADS)

    Belyanin, A. F.; Luchnikov, A. P.; Nalimov, S. A.; Bagdasarian, A. S.

    2018-01-01

    AlN films doped with zinc were grown on Si substrates by RF magnetron reactive sputtering of a compound target. Policluster films of diamond doped with boron were formed on layered Si/AlN substrates from the gas phase hydrogen and methane, activated arc discharge. By electron microscopy, X-ray diffraction and Raman spectroscopy the composition and structure of synthetic policluster films of diamond and AlN films were studied. Photovoltaic devices based on the AlN/PFD layered structure are presented.

  3. Strain modulation-enhanced Mg acceptor activation efficiency of Al0.14Ga0.86N/GaN superlattices with AlN interlayer

    NASA Astrophysics Data System (ADS)

    Wang, Lei; Li, Rui; Li, Ding; Liu, Ningyang; Liu, Lei; Chen, Weihua; Wang, Cunda; Yang, Zhijian; Hu, Xiaodong

    2010-02-01

    AlN layer was grown as interlayer between undoped GaN and Mg doped Al0.14Ga0.86N/GaN superlattices (SLs) epilayer to modulate the strain distribution between Al0.14Ga0.86N barrier and GaN well layers in SLs sample. Strain relaxation was observed in the SLs sample with AlN interlayer by x-ray diffraction reciprocal space mapping method. The measured hole concentration of SLs sample with AlN interlayer at room temperature was over 1.6×1018 cm-3 but that was only 6.6×1016 cm-3 obtained in SLs sample without AlN interlayer. Variable temperature Hall-effect measurement showed that the acceptor activation energy decreased from 150 to 70 meV after inserting the AlN layer, which indicated that the strain modulation of SLs induced by AlN interlayer was beneficial to the Mg acceptor activation and hole concentration enhancement.

  4. Inclined dislocation arrays in AlGaN/AlGaN quantum well structures emitting at 290 nm

    NASA Astrophysics Data System (ADS)

    Chang, T. Y.; Moram, M. A.; McAleese, C.; Kappers, M. J.; Humphreys, C. J.

    2010-12-01

    We report on the structural and optical properties of deep ultraviolet emitting AlGaN/AlGaN multiple quantum wells (MQWs) grown on (0001) sapphire by metal-organic vapor phase epitaxy using two different buffer layer structures, one containing a thin (1 μm) AlN layer combined with a GaN interlayer and the other a thick (4 μm) AlN layer. Transmission electron microscopy analysis of both structures showed inclined arrays of dislocations running through the AlGaN layers at an angle of ˜30°, originating at bunched steps at the AlN surface and terminating at bunched steps at the surface of the MQW structure. In all layers, these inclined dislocation arrays are surrounded by AlGaN with a relatively higher Ga content, consistent with plan-view cathodoluminescence maps in which the bunched surface steps are associated with longer emission wavelengths. The structure with the 4 μm-thick AlN buffer layer had a dislocation density lower by a factor of 2 (at (1.7±0.1)×109 cm-2) compared to the structure with the 1 μm thick AlN buffer layer, despite the presence of the inclined dislocation arrays.

  5. Critical CuI buffer layer surface density for organic molecular crystal orientation change

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahn, Kwangseok; Kim, Jong Beom; Lee, Dong Ryeol, E-mail: drlee@ssu.ac.kr

    We have determined the critical surface density of the CuI buffer layer inserted to change the preferred orientation of copper phthalocyanine (CuPc) crystals grown on the buffer layer. X-ray reflectivity measurements were performed to obtain the density profiles of the buffer layers and out-of-plane and 2D grazing-incidence X-ray diffraction measurements were performed to determine the preferred orientations of the molecular crystals. Remarkably, it was found that the preferred orientation of the CuPc film is completely changed from edge-on (1 0 0) to face-on (1 1 −2) by a CuI buffer layer with a very low surface density, so low thatmore » a large proportion of the substrate surface is bare.« less

  6. Buffer layers on biaxially textured metal substrates

    DOEpatents

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2001-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  7. Valence and conduction band offsets of β-Ga2O3/AlN heterojunction

    NASA Astrophysics Data System (ADS)

    Sun, Haiding; Torres Castanedo, C. G.; Liu, Kaikai; Li, Kuang-Hui; Guo, Wenzhe; Lin, Ronghui; Liu, Xinwei; Li, Jingtao; Li, Xiaohang

    2017-10-01

    Both β-Ga2O3 and wurtzite AlN have wide bandgaps of 4.5-4.9 and 6.1 eV, respectively. We calculated the in-plane lattice mismatch between the (-201) plane of β-Ga2O3 and the (0002) plane of AlN, which was found to be 2.4%. This is the smallest mismatch between β-Ga2O3 and binary III-nitrides which is beneficial for the formation of a high quality β-Ga2O3/AlN heterojunction. However, the valence and conduction band offsets (VBO and CBO) at the β-Ga2O3/AlN heterojunction have not yet been identified. In this study, a very thin (less than 2 nm) β-Ga2O3 layer was deposited on an AlN/sapphire template to form the heterojunction by pulsed laser deposition. High-resolution X-ray photoelectron spectroscopy revealed the core-level (CL) binding energies of Ga 3d and Al 2p with respect to the valence band maximum in individual β-Ga2O3 and AlN layers, respectively. The separation between Ga 3d and Al 2p CLs at the β-Ga2O3/AlN interface was also measured. Eventually, the VBO was found to be -0.55 ± 0.05 eV. Consequently, a staggered-gap (type II) heterojunction with a CBO of -1.75 ± 0.05 eV was determined. The identification of the band alignment of the β-Ga2O3/AlN heterojunction could facilitate the design of optical and electronic devices based on these and related alloys.

  8. AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition.

    PubMed

    Tzou, An-Jye; Chu, Kuo-Hsiung; Lin, I-Feng; Østreng, Erik; Fang, Yung-Sheng; Wu, Xiao-Peng; Wu, Bo-Wei; Shen, Chang-Hong; Shieh, Jia-Ming; Yeh, Wen-Kuan; Chang, Chun-Yen; Kuo, Hao-Chung

    2017-12-01

    We report a low current collapse GaN-based high electron mobility transistor (HEMT) with an excellent thermal stability at 150 °C. The AlN was grown by N 2 -based plasma enhanced atomic layer deposition (PEALD) and shown a refractive index of 1.94 at 633 nm of wavelength. Prior to deposit AlN on III-nitrides, the H 2 /NH 3 plasma pre-treatment led to remove the native gallium oxide. The X-ray photoelectron spectroscopy (XPS) spectroscopy confirmed that the native oxide can be effectively decomposed by hydrogen plasma. Following the in situ ALD-AlN passivation, the surface traps can be eliminated and corresponding to a 22.1% of current collapse with quiescent drain bias (V DSQ ) at 40 V. Furthermore, the high temperature measurement exhibited a shift-free threshold voltage (V th ), corresponding to a 40.2% of current collapse at 150 °C. The thermal stable HEMT enabled a breakdown voltage (BV) to 687 V at high temperature, promising a good thermal reliability under high power operation.

  9. Oxygen induced strain field homogenization in AlN nucleation layers and its impact on GaN grown by metal organic vapor phase epitaxy on sapphire: An x-ray diffraction study

    NASA Astrophysics Data System (ADS)

    Bläsing, J.; Krost, A.; Hertkorn, J.; Scholz, F.; Kirste, L.; Chuvilin, A.; Kaiser, U.

    2009-02-01

    This paper presents an x-ray study of GaN, which is grown on nominally undoped and oxygen-doped AlN nucleation layers on sapphire substrates by metal organic vapor phase epitaxy. Without additional oxygen doping a trimodal nucleation distribution of AlN is observed leading to inhomogeneous in-plane strain fields, whereas in oxygen-doped layers a homogeneous distribution of nucleation centers is observed. In both types of nucleation layers extremely sharp correlation peaks occur in transverse ω-scans which are attributed to a high density of edge-type dislocations having an in-plane Burgers vector. The correlation peaks are still visible in the (0002) ω-scans of 500 nm GaN which might mislead an observer to conclude incorrectly that there exists an extremely high structural quality. For the undoped nucleation layers depth-sensitive measurements in grazing incidence geometry reveal a strong thickness dependence of the lattice parameter a, whereas no such dependence is observed for doped samples. For oxygen-doped nucleation layers, in cross-sectional transmission electron microscopy images a high density of stacking faults parallel to the substrate surface is found in contrast to undoped nucleation layers where a high density of threading dislocations is visible. GaN of 2.5 μm grown on top of 25 nm AlN nucleation layers with an additional in situ SiN mask show full widths at half maximum of 160″ and 190″ in (0002) and (10-10) high-resolution x-ray diffraction ω-scans, respectively.

  10. Method of deforming a biaxially textured buffer layer on a textured metallic substrate and articles therefrom

    DOEpatents

    Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    The present invention provides methods and biaxially textured articles having a deformed epitaxial layer formed therefrom for use with high temperature superconductors, photovoltaic, ferroelectric, or optical devices. A buffer layer is epitaxially deposited onto biaxially-textured substrates and then mechanically deformed. The deformation process minimizes or eliminates grooves, or other irregularities, formed on the buffer layer while maintaining the biaxial texture of the buffer layer. Advantageously, the biaxial texture of the buffer layer is not altered during subsequent heat treatments of the deformed buffer. The present invention provides mechanical densification procedures which can be incorporated into the processing of superconducting films through the powder deposit or precursor approaches without incurring unfavorable high-angle grain boundaries.

  11. Buffer layer between a planar optical concentrator and a solar cell

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Solano, Manuel E.; Barber, Greg D.; Department of Chemistry, Pennsylvania State University, University Park, PA 16802

    2015-09-15

    The effect of inserting a buffer layer between a periodically multilayered isotropic dielectric (PMLID) material acting as a planar optical concentrator and a photovoltaic solar cell was theoretically investigated. The substitution of the photovoltaic material by a cheaper dielectric material in a large area of the structure could reduce the fabrication costs without significantly reducing the efficiency of the solar cell. Both crystalline silicon (c-Si) and gallium arsenide (GaAs) were considered as the photovoltaic material. We found that the buffer layer can act as an antireflection coating at the interface of the PMLID and the photovoltaic materials, and the structuremore » increases the spectrally averaged electron-hole pair density by 36% for c-Si and 38% for GaAs compared to the structure without buffer layer. Numerical evidence indicates that the optimal structure is robust with respect to small changes in the grating profile.« less

  12. Buffer Layer Effects on Tandem InGaAs TPV Devices

    NASA Technical Reports Server (NTRS)

    Wilt, David M.; Wehrer, Rebecca J.; Maurer, William F.

    2004-01-01

    Single junction indium gallium arsenide (InGaAs) based TPV devices have demonstrated efficiencies in excess of 20% at radiator temperatures of 1058 C. Modeling suggests that efficiency improvements in single bandgap devices should continue although they will eventually plateau. One approach for extending efficiencies beyond the single bandgap limit is to follow the technique taken in the solar cell field, namely tandem TPV cells. Tandem photovoltaic devices are traditionally composed of cells of decreasing bandgap, connected electrically and optically in series. The incident light impinges upon the highest bandgap first. This device acts as a sieve, absorbing the high-energy photons, while allowing the remainder to pass through to the underlying cell(s), and so on. Tandem devices reduce the energy lost to overexcitation as well as reducing the current density (Jsc). Reduced Jsc results in lower resistive losses and enables the use of thinner and lower doped lateral current conducting layers as well as a higher pitch grid design. Fabricating TPV tandem devices utilizing InGaAs for all of the component cells in a two cell tandem necessitates the inclusion of a buffer layer in-between the high bandgap device (In0.53 Ga0.47As - 0.74eV) and the low bandgap device (In0.66Ga0.34As - 0.63eV) to accommodate the approximately 1% lattice strain generated due to the change in InGaAs composition. To incorporate only a single buffer layer structure, we have investigated the use of the indium phosphide (InP) substrate as a superstrate. Thus the high-bandgap, lattice- matched device is deposited first, followed by the buffer structure and the low-bandgap cell. The near perfect transparency of the high bandgap (1.35eV) iron-doped InP permits the device to be oriented such that the light enters through the substrate. In this paper we examine the impact of the buffer layer on the underlying lattice-matched InGaAs device. 0.74eV InGaAs devices were produced in a variety of

  13. Tunneling Injection and Exciton Diffusion of White Organic Light-Emitting Diodes with Composed Buffer Layers

    NASA Astrophysics Data System (ADS)

    Yang, Su-Hua; Wu, Jian-Ping; Huang, Tao-Liang; Chung, Bin-Fong

    2018-02-01

    Four configurations of buffer layers were inserted into the structure of a white organic light emitting diode, and their impacts on the hole tunneling-injection and exciton diffusion processes were investigated. The insertion of a single buffer layer of 4,4'-bis(carbazol-9-yl)biphenyl (CBP) resulted in a balanced carrier concentration and excellent color stability with insignificant chromaticity coordinate variations of Δ x < 0.023 and Δ y < 0.023. A device with a 2,9-Dimethyl-4,7-diphenyl-1,10-phenanthroline (BCP) buffer layer was beneficial for hole tunneling to the emission layer, resulting in a 1.45-fold increase in current density. The tunneling of holes and the diffusion of excitons were confirmed by the preparation of a dual buffer layer of CBP:tris-(phenylpyridine)-iridine (Ir(ppy)3)/BCP. A maximum current efficiency of 12.61 cd/A with a luminance of 13,850 cd/m2 was obtained at 8 V when a device with a dual-buffer layer of CBP:6 wt.% Ir(ppy)3/BCP was prepared.

  14. Effect of AlN layer on the bipolar resistive switching behavior in TiN thin film based ReRAM device for non-volatile memory application

    NASA Astrophysics Data System (ADS)

    Prakash, Ravi; Kaur, Davinder

    2018-05-01

    The effect of an additional AlN layer in the Cu/TiN/AlN/Pt stack configuration deposited using sputtering has been investigated. The Cu/TiN/AlN/Pt device shows a tristate resistive switching. Multilevel switching is facilitated by ionic and metallic filament formation, and the nature of the filaments formed is confirmed by performing a resistance vs. temperature measurement. Ohmic behaviour and trap controlled space charge limited current (SCLC) conduction mechanisms are confirmed as dominant conduction mechanism at low resistance state (LRS) and high resistance state (HRS). High resistance ratio (102) corresponding to HRS and LRS, good write/erase endurance (105) and non-volatile long retention (105s) are also observed. Higher thermal conductivity of the AlN layer is the main reasons for the enhancement of resistive switching performance in Cu/TiN/AlN/Pt cell. The above result suggests the feasibility of Cu/TiN/AlN/Pt devices for multilevel nonvolatile ReRAM application.

  15. Layer-based buffer aware rate adaptation design for SHVC video streaming

    NASA Astrophysics Data System (ADS)

    Gudumasu, Srinivas; Hamza, Ahmed; Asbun, Eduardo; He, Yong; Ye, Yan

    2016-09-01

    This paper proposes a layer based buffer aware rate adaptation design which is able to avoid abrupt video quality fluctuation, reduce re-buffering latency and improve bandwidth utilization when compared to a conventional simulcast based adaptive streaming system. The proposed adaptation design schedules DASH segment requests based on the estimated bandwidth, dependencies among video layers and layer buffer fullness. Scalable HEVC video coding is the latest state-of-art video coding technique that can alleviate various issues caused by simulcast based adaptive video streaming. With scalable coded video streams, the video is encoded once into a number of layers representing different qualities and/or resolutions: a base layer (BL) and one or more enhancement layers (EL), each incrementally enhancing the quality of the lower layers. Such layer based coding structure allows fine granularity rate adaptation for the video streaming applications. Two video streaming use cases are presented in this paper. The first use case is to stream HD SHVC video over a wireless network where available bandwidth varies, and the performance comparison between proposed layer-based streaming approach and conventional simulcast streaming approach is provided. The second use case is to stream 4K/UHD SHVC video over a hybrid access network that consists of a 5G millimeter wave high-speed wireless link and a conventional wired or WiFi network. The simulation results verify that the proposed layer based rate adaptation approach is able to utilize the bandwidth more efficiently. As a result, a more consistent viewing experience with higher quality video content and minimal video quality fluctuations can be presented to the user.

  16. Polarity inversion of AlN film grown on nitrided a-plane sapphire substrate with pulsed DC reactive sputtering

    NASA Astrophysics Data System (ADS)

    Noorprajuda, Marsetio; Ohtsuka, Makoto; Fukuyama, Hiroyuki

    2018-04-01

    The effect of oxygen partial pressure (PO2) on polarity and crystalline quality of AlN films grown on nitrided a-plane sapphire substrates by pulsed direct current (DC) reactive sputtering was investigated as a fundamental study. The polarity inversion of AlN from nitrogen (-c)-polarity to aluminum (+c)-polarity occurred during growth at a high PO2 of 9.4×103 Pa owing to Al-O octahedral formation at the interface of nitrided layer and AlN sputtered film which reset the polarity of AlN. The top part of the 1300 nm-thick AlN film sputtered at the high PO2 was polycrystallized. The crystalline quality was improved owing to the high kinetic energy of Al sputtered atom in the sputtering phenomena. Thinner AlN films were also fabricated at the high PO2 to eliminate the polycrystallization. For the 200 nm-thick AlN film sputtered at the high PO2, the full width at half-maximum values of the AlN (0002) and (10-12) X-ray diffraction rocking curves were 47 and 637 arcsec, respectively.

  17. Buffer layer enhanced stability of sodium-ion storage

    NASA Astrophysics Data System (ADS)

    Wang, Xusheng; Yang, Zhanhai; Wang, Chao; Chen, Dong; Li, Rui; Zhang, Xinxiang; Chen, Jitao; Xue, Mianqi

    2017-11-01

    Se-Se buffer layers are introduced into tin sequences as SnSe2 single crystal to enhance the cycling stability for long-term sodium-ion storage by blazing a trail of self-defence strategy to structural pulverization especially at high current density. Specifically, under half-cell test, the SnSe2 electrodes could yield a high discharge capacity of 345 mAh g-1 after 300 cycles at 1 A g-1 and a high discharge capacity of 300 mAh g-1 after 2100 cycles at 5 A g-1 with stable coulombic efficiency and no capacity fading. Even with the ultrafast sodium-ion storage at 10 A g-1, the cycling stability still makes a positive response and a high discharge capacity of 221 mAh g-1 is demonstrated after 2700 cycles without capacity fading. The full-cell test for the SnSe2 electrodes also demonstrates the superior cycling stability. The flexible and tough Se-Se buffer layers are favourable to accommodate the sodium-ion intercalation process, and the autogenous Na2Se layers could confine the structural pulverization of further sodiated tin sequences by the slip along the Na2Se-NaxSn interfaces.

  18. Temperature dependence of the crystalline quality of AlN layer grown on sapphire substrates by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Xiao-Hang; Wei, Yong O.; Wang, Shuo; Xie, Hongen; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.

    2015-03-01

    We studied temperature dependence of crystalline quality of AlN layers at 1050-1250 °C with a fine increment step of around 18 °C. The AlN layers were grown on c-plane sapphire substrates by metalorganic chemical vapor deposition (MOCVD) and characterized by X-ray diffraction (XRD) ω-scans and atomic force microscopy (AFM). At 1050-1068 °C, the templates exhibited poor quality with surface pits and higher XRD (002) and (102) full-width at half-maximum (FWHM) because of insufficient Al atom mobility. At 1086 °C, the surface became smooth suggesting sufficient Al atom mobility. Above 1086 °C, the (102) FWHM and thus edge dislocation density increased with temperatures which may be attributed to the shorter growth mode transition from three-dimension (3D) to two-dimension (2D). Above 1212 °C, surface macro-steps were formed due to the longer diffusion length of Al atoms than the expected step terrace width. The edge dislocation density increased rapidly above 1212 °C, indicating this temperature may be a threshold above which the impact of the transition from 3D to 2D is more significant. The (002) FWHM and thus screw dislocation density were insensitive to the temperature change. This study suggests that high-quality AlN/sapphire templates may be potentially achieved at temperatures as low as 1086 °C which is accessible by most of the III-nitride MOCVD systems.

  19. Final Report: Rational Design of Wide Band Gap Buffer Layers for High-Efficiency Thin-Film Photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lordi, Vincenzo

    The main objective of this project is to enable rational design of wide band gap buffer layer materials for CIGS thin-film PV by building understanding of the correlation of atomic-scale defects in the buffer layer and at the buffer/absorber interface with device electrical properties. Optimized wide band gap buffers are needed to reduce efficiency loss from parasitic absorption in the buffer. The approach uses first-principles materials simulations coupled with nanoscale analytical electron microscopy as well as device electrical characterization. Materials and devices are produced by an industrial partner in a manufacturing line to maximize relevance, with the goal of enablingmore » R&D of new buffer layer compositions or deposition processes to push device efficiencies above 21%. Cadmium sulfide (CdS) is the reference material for analysis, as the prototypical high-performing buffer material.« less

  20. Benzocyclobutene (BCB) Polymer as Amphibious Buffer Layer for Graphene Field-Effect Transistor.

    PubMed

    Wu, Yun; Zou, Jianjun; Huo, Shuai; Lu, Haiyan; Kong, Yuecan; Chen, Tangshen; Wu, Wei; Xu, Jingxia

    2015-08-01

    Owing to the scattering and trapping effects, the interfaces of dielectric/graphene or substrate/graphene can tailor the performance of field-effect transistor (FET). In this letter, the polymer of benzocyclobutene (BCB) was used as an amphibious buffer layer and located at between the layers of substrate and graphene and between the layers of dielectric and graphene. Interestingly, with the help of nonpolar and hydrophobic BCB buffer layer, the large-scale top-gated, chemical vapor deposited (CVD) graphene transistors was prepared on Si/SiO2 substrate, its cutoff frequency (fT) and the maximum cutoff frequency (fmax) of the graphene field-effect transistor (GFET) can be reached at 12 GHz and 11 GHz, respectively.

  1. Threading Dislocations in InGaAs/GaAs (001) Buffer Layers for Metamorphic High Electron Mobility Transistors

    NASA Astrophysics Data System (ADS)

    Song, Yifei; Kujofsa, Tedi; Ayers, John E.

    2018-07-01

    In order to evaluate various buffer layers for metamorphic devices, threading dislocation densities have been calculated for uniform composition In x Ga1- x As device layers deposited on GaAs (001) substrates with an intermediate graded buffer layer using the L MD model, where L MD is the average length of misfit dislocations. On this basis, we compare the relative effectiveness of buffer layers with linear, exponential, and S-graded compositional profiles. In the case of a 2 μm thick buffer layer linear grading results in higher threading dislocation densities in the device layer compared to either exponential or S-grading. When exponential grading is used, lower threading dislocation densities are obtained with a smaller length constant. In the S-graded case, lower threading dislocation densities result when a smaller standard deviation parameter is used. As the buffer layer thickness is decreased from 2 μm to 0.1 μm all of the above effects are diminished, and the absolute threading dislocation densities increase.

  2. Method for making MgO buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.

    2002-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.

  3. Photovoltaic devices comprising zinc stannate buffer layer and method for making

    DOEpatents

    Wu, Xuanzhi; Sheldon, Peter; Coutts, Timothy J.

    2001-01-01

    A photovoltaic device has a buffer layer zinc stannate Zn.sub.2 SnO.sub.4 disposed between the semiconductor junction structure and the transparent conducting oxide (TCO) layer to prevent formation of localized junctions with the TCO through a thin window semiconductor layer, to prevent shunting through etched grain boundaries of semiconductors, and to relieve stresses and improve adhesion between these layers.

  4. The effect of the MgO buffer layer thickness on magnetic anisotropy in MgO/Fe/Cr/MgO buffer/MgO(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kozioł-Rachwał, Anna, E-mail: a.koziolrachwal@aist.go.jp; AGH University of Science and Technology, Faculty of Physics and Applied Computer Science, al. Mickiewicza 30, 30-059 Kraków; Nozaki, Takayuki

    2016-08-28

    The relationship between the magnetic properties and MgO buffer layer thickness d was studied in epitaxial MgO/Fe(t)/Cr/MgO(d) layers grown on MgO(001) substrate in which the Fe thickness t ranged from 0.4 nm to 1.1 nm. For 0.4 nm ≤ t ≤ 0.7 nm, a non-monotonic coercivity dependence on the MgO buffer thickness was shown by perpendicular magneto-optic Kerr effect magnetometry. For thicker Fe films, an increase in the buffer layer thickness resulted in a spin reorientation transition from perpendicular to the in-plane magnetization direction. Possible origins of these unusual behaviors were discussed in terms of the suppression of carbon contamination at the Fe surface and changes inmore » the magnetoelastic anisotropy in the system. These results illustrate a method to control magnetic anisotropy in MgO/Fe/Cr/MgO(d) via an appropriate choice of MgO buffer layer thickness d.« less

  5. Conductive and robust nitride buffer layers on biaxially textured substrates

    DOEpatents

    Sankar, Sambasivan; Goyal, Amit; Barnett, Scott A.; Kim, Ilwon; Kroeger, Donald M.

    2004-08-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metal and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layers. In some embodiments the article further comprises electromagnetic devices which may be super conducting properties.

  6. Conductive and robust nitride buffer layers on biaxially textured substrates

    DOEpatents

    Sankar, Sambasivan [Chicago, IL; Goyal, Amit [Knoxville, TN; Barnett, Scott A [Evanston, IL; Kim, Ilwon [Skokie, IL; Kroeger, Donald M [Knoxville, TN

    2009-03-31

    The present invention relates to epitaxial, electrically conducting and mechanically robust, cubic nitride buffer layers deposited epitaxially on biaxially textured substrates such as metals and alloys. The invention comprises of a biaxially textured substrate with epitaxial layers of nitrides. The invention also discloses a method to form such epitaxial layers using a high rate deposition method as well as without the use of forming gases. The invention further comprises epitaxial layers of oxides on the biaxially textured nitride layer. In some embodiments the article further comprises electromagnetic devices which may have superconducting properties.

  7. Structures and stabilities of Al(n) (+), Al(n), and Al(n) (-) (n=13-34) clusters.

    PubMed

    Aguado, Andrés; López, José M

    2009-02-14

    Putative global minima of neutral (Al(n)) and singly charged (Al(n) (+) and Al(n) (-)) aluminum clusters with n=13-34 have been located from first-principles density functional theory structural optimizations. The calculations include spin polarization and employ the generalized gradient approximation of Perdew, Burke, and Ernzerhof to describe exchange-correlation electronic effects. Our results show that icosahedral growth dominates the structures of aluminum clusters for n=13-22. For n=23-34, there is a strong competition between decahedral structures, relaxed fragments of a fcc crystalline lattice (some of them including stacking faults), and hexagonal prismatic structures. For such small cluster sizes, there is no evidence yet for a clear establishment of the fcc atomic packing prevalent in bulk aluminum. The global minimum structure for a given number of atoms depends significantly on the cluster charge for most cluster sizes. An explicit comparison is made with previous theoretical results in the range n=13-30: for n=19, 22, 24, 25, 26, 29, 30 we locate a lower energy structure than previously reported. Sizes n=32, 33 are studied here for the first time by an ab initio technique.

  8. Theoretical investigation of Lamb wave characteristics in AlN/3C-SiC composite membranes

    NASA Astrophysics Data System (ADS)

    Lin, Chih-Ming; Chen, Yung-Yu; Pisano, Albert P.

    2010-11-01

    Cubic silicon carbide (3C-SiC) layer can provide advantages of high frequency and high quality factor for Lamb wave devices due to the superior properties of high acoustic velocity and low acoustic loss. In this study, Lamb wave propagation characteristics in composite membranes consisting of a c-axis oriented aluminum nitride (AlN) film and an epitaxial 3C-SiC (100) layer are investigated by theoretical calculation. The lowest symmetric mode Lamb wave propagating along the [011] direction exhibits a phase velocity higher than 10 000 m/s and an electromechanical coupling coefficient above 2% in the AlN/3C-SiC multilayered membranes.

  9. Low-Cd CIGS solar cells made with a hybrid CdS/Zn(O,S) buffer layer

    DOE PAGES

    Garris, Rebekah L.; Mansfield, Lorelle M.; Egaas, Brian; ...

    2016-10-27

    In Cu(In,Ga)Se2 (CIGS) solar cells, CdS and Zn(O,S) buffer layers were compared with a hybrid buffer layer consisting of thin CdS followed Zn(O,S). We explore the physics of this hybrid layer that combines the standard (Cd) approach with the alternative (Zn) approach in the pursuit to unlock further potential for CIGS technology. CdS buffer development has shown optimal interface properties, whereas Zn(O,S) buffer development has shown increased photocurrent. Although a totally Cd-free solar module is more marketable, the retention of a small amount of Cd can be beneficial to achieve optimum junction properties. As long as the amount of Cdmore » is reduced to less than 0.01% by weight, the presence of Cd does not violate the hazardous substance restrictions of the European Union (EU). We estimate the amount of Cd allowed in the EU for CIGS on both glass and stainless steel substrates, and we show that reducing Cd becomes increasingly important as substrate weights decrease. As a result, this hybrid buffer layer had reduced Cd content and a wider space charge region, while achieving equal or better solar cell performance than buffer layers of either CdS or Zn(O,S) alone.« less

  10. The effect of the carbon nanotube buffer layer on the performance of a Li metal battery

    NASA Astrophysics Data System (ADS)

    Zhang, Ding; Zhou, Yi; Liu, Changhong; Fan, Shoushan

    2016-05-01

    Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a smaller charge transfer resistance and larger Li ion diffusion coefficient during the deposition process on the Li electrode than the conventional Li metal batteries. Symmetric battery tests show that the interfacial behavior of the Li metal electrode with the buffer layer is more stable than the naked Li metal electrode. The morphological characterization of the CNT buffer layer and Li metal lamina reveals that the CNT buffer layer has restrained the growth of Li dendrites. The CNT buffer layer has great potential to solve the safety problem of the Li metal battery.Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a

  11. High-quality AlN film grown on a nanosized concave-convex surface sapphire substrate by metalorganic vapor phase epitaxy

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Akira; Nagatomi, Takaharu; Morishita, Tomohiro; Iwaya, Motoaki; Takeuchi, Tetsuya; Kamiyama, Satoshi; Akasaki, Isamu

    2017-10-01

    We developed a method for fabricating high-crystal-quality AlN films by combining a randomly distributed nanosized concavo-convex sapphire substrate (NCC-SS) and a three-step growth method optimized for NCC-SS, i.e., a 3-nm-thick nucleation layer (870 °C), a 150-nm-thick high-temperature layer (1250 °C), and a 3.2-μm-thick medium-temperature layer (1110 °C). The NCC-SS is easily fabricated using a conventional metalorganic vapor phase epitaxy reactor equipped with a showerhead plate. The resultant AlN film has a crack-free and single-step surface with a root-mean-square roughness of 0.5 nm. The full-widths at half-maxima of the X-ray rocking curve were 50/250 arcsec for the (0002)/(10-12) planes, revealing that the NCC surface is critical for achieving such a high-quality film. Hexagonal-pyramid-shaped voids at the AlN/NCC-SS interface and confinement of dislocations within the 150-nm-thick high-temperature layer were confirmed. The NCC surface feature and resultant faceted voids play an important role in the growth of high-crystal-quality AlN films, likely via localized and/or disordered growth of AlN at the initial stage, contributing to the alignment of high-crystal-quality nuclei and dislocations.

  12. C-axis orientated AlN films deposited using deep oscillation magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Lin, Jianliang; Chistyakov, Roman

    2017-02-01

    Highly <0001> c-axis orientated aluminum nitride (AlN) films were deposited on silicon (100) substrates by reactive deep oscillation magnetron sputtering (DOMS). No epitaxial favored bond layer and substrate heating were applied for assisting texture growth. The effects of the peak target current density (varied from 0.39 to 0.8 Acm-2) and film thickness (varied from 0.25 to 3.3 μm) on the c-axis orientation, microstructure, residual stress and mechanical properties of the AlN films were investigated by means of X-ray diffraction rocking curve methodology, transmission electron microscopy, optical profilometry, and nanoindentation. All AlN films exhibited a <0001> preferred orientation and compressive residual stresses. At similar film thicknesses, an increase in the peak target current density to 0.53 Acm-2 improved the <0001> orientation. Further increasing the peak target current density to above 0.53 Acm-2 showed limited contribution to the texture development. The study also showed that an increase in the thickness of the AlN films deposited by DOMS improved the c-axis alignment accompanied with a reduction in the residual stress.

  13. Alternative buffer layer development in Cu(In,Ga)Se2 thin film solar cells

    NASA Astrophysics Data System (ADS)

    Xin, Peipei

    Cu(In,Ga)Se2-based thin film solar cells are considered to be one of the most promising photovoltaic technologies. Cu(In,Ga)Se2 (CIGS) solar devices have the potential advantage of low-cost, fast fabrication by using semiconductor layers of only a few micrometers thick and high efficiency photovoltaics have been reported at both the cell and the module levels. CdS via chemical bath deposition (CBD) has been the most widely used buffer option to form the critical junction in CIGS-based thin film photovoltaic devices. However, the disadvantages of CdS can’t be ignored - regulations on cadmium usage are getting stricter primarily due to its toxicity and environmental impacts, and the proper handling of the large amount of toxic chemical bath waste is a massive and expensive task. This dissertation is devoted to the development of Cd-free alternative buffer layers in CIGS-based thin film solar cells. Based on the considerations of buffer layer selection criteria and extensive literature review, Zn-compound buffer materials are chosen as the primary investigation candidates. Radio frequency magnetron sputtering is the preferred buffer deposition approach since it’s a clean and more controllable technique compared to CBD, and is readily scaled to large area manufacturing. First, a comprehensive study of the ZnSe1-xOx compound prepared by reactive sputtering was completed. As the oxygen content in the reactive sputtering gas increased, ZnSe1-xOx crystallinity and bandgap decreased. It’s observed that oxygen miscibility in ZnSe was low and a secondary phase formed when the O2 / (O2 + Ar) ratio in the sputtering gas exceeded 2%. Two approaches were proposed to optimize the band alignment between the CIGS and buffer layer. One method focused on the bandgap engineering of the absorber, the other focused on the band structure modification of the buffer. As a result, improved current of the solar cell was achieved although a carrier transport barrier at the junction

  14. Effect of buffer layer on photoresponse of MoS2 phototransistor

    NASA Astrophysics Data System (ADS)

    Miyamoto, Yuga; Yoshikawa, Daiki; Takei, Kuniharu; Arie, Takayuki; Akita, Seiji

    2018-06-01

    An atomically thin MoS2 field-effect transistor (FET) is expected as an ultrathin photosensor with high sensitivity. However, a persistent photoconductivity phenomenon prevents high-speed photoresponse. Here, we investigate the photoresponse of a MoS2 FET with a thin Al2O3 buffer layer on a SiO2 gate insulator. The application of a 2-nm-thick Al2O3 buffer layer greatly improves not only the steady state properties but also the response speed from 1700 to 0.2 s. These experimental results are well explained by the random localized potential fluctuation model combined with the model based on the recombination of the bounded electrons around the trapped hole.

  15. Dependence of magnetic properties on different buffer layers of Mn3.5Ga thin films

    NASA Astrophysics Data System (ADS)

    Takahashi, Y.; Sato, K.; Shima, T.; Doi, M.

    2018-05-01

    D022-Mn3.5Ga thin films were prepared on MgO (100) single crystalline substrates with different buffer layer (Cr, Fe, Cr/Pt and Cr/Au) using an ultra-high-vacuum electron beam vapor deposition system. From XRD patterns, a fundamental (004) peak has clearly observed for all samples. The relatively low saturation magnetization (Ms) of 178 emu/cm3, high magnetic anisotropy (Ku) of 9.1 Merg/cm3 and low surface roughness (Ra) of 0.30 nm were obtained by D022-Mn3.5Ga film (20 nm) on Cr/Pt buffer layer at Ts = 300 °C, Ta = 400 °C (3h). These findings suggest that MnGa film on Cr/Pt buffer layer is a promising PMA layer for future spin electronics devices.

  16. Inverted bulk-heterojunction organic solar cells with the transfer-printed anodes and low-temperature-processed ultrathin buffer layers

    NASA Astrophysics Data System (ADS)

    Itoh, Eiji; Sakai, Shota; Fukuda, Katsutoshi

    2018-03-01

    We studied the effects of a hole buffer layer [molybdenum oxide (MoO3) and natural copper oxide layer] and a low-temperature-processed electron buffer layer on the performance of inverted bulk-heterojunction organic solar cells in a device consisting of indium-tin oxide (ITO)/poly(ethylene imine) (PEI)/titanium oxide nanosheet (TiO-NS)/poly(3-hexylthiopnehe) (P3HT):phenyl-C61-butyric acid methylester (PCBM)/oxide/anode (Ag or Cu). The insertion of ultrathin TiO-NS (˜1 nm) and oxide hole buffer layers improved the open circuit voltage V OC, fill factor, and rectification properties owing to the effective hole blocking and electron transport properties of ultrathin TiO-NS, and to the enhanced work function difference between TiO-NS and the oxide hole buffer layer. The insertion of the TiO-NS contributed to the reduction in the potential barrier at the ITO/PEI/TiO-NS/active layer interface for electrons, and the insertion of the oxide hole buffer layer contributed to the reduction in the potential barrier for holes. The marked increase in the capacitance under positive biasing in the capacitance-voltage characteristics revealed that the combination of TiO-NS and MoO3 buffer layers contributes to the selective transport of electrons and holes, and blocks counter carriers at the active layer/oxide interface. The natural oxide layer of the copper electrode also acts as a hole buffer layer owing to the increase in the work function of the Cu surface in the inverted cells. The performance of the cell with evaporated MoO3 and Cu layers that were transfer-printed to the active layer was almost comparable to that of the cell with MoO3 and Ag layers directly evaporated onto the active layer. We also demonstrated comparable device performance in the cell with all-printed MoO3 and low-temperature-processed silver nanoparticles as an anode.

  17. Hafnium nitride buffer layers for growth of GaN on silicon

    DOEpatents

    Armitage, Robert D.; Weber, Eicke R.

    2005-08-16

    Gallium nitride is grown by plasma-assisted molecular-beam epitaxy on (111) and (001) silicon substrates using hafnium nitride buffer layers. Wurtzite GaN epitaxial layers are obtained on both the (111) and (001) HfN/Si surfaces, with crack-free thickness up to 1.2 {character pullout}m. However, growth on the (001) surface results in nearly stress-free films, suggesting that much thicker crack-free layers could be obtained.

  18. Effect of ZnO buffer layer on phase transition properties of vanadium dioxide thin films

    NASA Astrophysics Data System (ADS)

    Zhu, Huiqun; Li, Lekang; Li, Chunbo

    2016-03-01

    VO2 thin films were prepared on ZnO buffer layers by DC magnetron sputtering at room temperature using vanadium target and post annealing at 400 °C. The ZnO buffer layers with different thickness deposited on glass substrates by magnetron sputtering have a high visible and near infrared optical transmittance. The electrical resistivity and the phase transition properties of the VO2/ZnO composite thin films in terms of temperature were investigated. The results showed that the resistivity variation of VO2 thin film with ZnO buffer layer deposited for 35 min was 16 KΩ-cm. The VO2/ZnO composite thin films exhibit a reversible semiconductor-metal phase transition at 48 °C.

  19. Comparative analysis of strain fields in layers of step-graded metamorphic buffers of various designs

    NASA Astrophysics Data System (ADS)

    Aleshin, A. N.; Bugaev, A. S.; Ruban, O. A.; Tabachkova, N. Yu.; Shchetinin, I. V.

    2017-10-01

    Spatial distribution of residual elastic strain in the layers of two step-graded metamophic buffers of various designs, grown by molecular beam epitaxy from ternary InxAl1-xAs solutions on GaAs(001) substrates, is obtained using reciprocal space mapping by three-axis X-ray diffractometry and the linear theory of elasticity. The difference in the design of the buffers enabled the formation of a dislocation-free layer with different thickness in each of the heterostructures, which was the main basis of this study. It is shown that, in spite of the different design of graded metamorphic buffers, the nature of strain fields in them is the same, and the residual elastic strains in the final elements of both buffers adjusted for the effect of work hardening subject to the same phenomenological law, which describes the strain relief process in single-layer heterostructures.

  20. The effect of the carbon nanotube buffer layer on the performance of a Li metal battery.

    PubMed

    Zhang, Ding; Zhou, Yi; Liu, Changhong; Fan, Shoushan

    2016-06-07

    Lithium (Li) metal is one of the most promising candidates as an anode for the next-generation energy storage systems because of its high specific capacity and lowest negative electrochemical potential. But the growth of Li dendrites limits the application of the Li metal battery. In this work, a type of modified Li metal battery with a carbon nanotube (CNT) buffer layer inserted between the separator and the Li metal electrode was reported. The electrochemical results show that the modified batteries have a much better rate capability and cycling performance than the conventional Li metal batteries. The mechanism study by electrochemical impedance spectroscopy reveals that the modified battery has a smaller charge transfer resistance and larger Li ion diffusion coefficient during the deposition process on the Li electrode than the conventional Li metal batteries. Symmetric battery tests show that the interfacial behavior of the Li metal electrode with the buffer layer is more stable than the naked Li metal electrode. The morphological characterization of the CNT buffer layer and Li metal lamina reveals that the CNT buffer layer has restrained the growth of Li dendrites. The CNT buffer layer has great potential to solve the safety problem of the Li metal battery.

  1. Selective Epitaxial Graphene Growth on SiC via AlN Capping

    NASA Astrophysics Data System (ADS)

    Zaman, Farhana; Rubio-Roy, Miguel; Moseley, Michael; Lowder, Jonathan; Doolittle, William; Berger, Claire; Dong, Rui; Meindl, James; de Heer, Walt; Georgia Institute of Technology Team

    2011-03-01

    Electronic-quality graphene is epitaxially grown by graphitization of carbon-face silicon carbide (SiC) by the sublimation of silicon atoms from selected regions uncapped by aluminum nitride (AlN). AlN (deposited by molecular beam epitaxy) withstands high graphitization temperatures of 1420o C, hence acting as an effective capping layer preventing the growth of graphene under it. The AlN is patterned and etched to open up windows onto the SiC surface for subsequent graphitization. Such selective epitaxial growth leads to the formation of high-quality graphene in desired patterns without the need for etching and lithographic patterning of graphene itself. No detrimental contact of the graphene with external chemicals occurs throughout the fabrication-process. The impact of process-conditions on the mobility of graphene is investigated. Graphene hall-bars were fabricated and characterized by scanning Raman spectroscopy, ellipsometry, and transport measurements. This controlled growth of graphene in selected regions represents a viable approach to fabrication of high-mobility graphene as the channel material for fast-switching field-effect transistors.

  2. Selective growth of Pb islands on graphene/SiC buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, X. T.; Miao, Y. P.; Ma, D. Y.

    2015-02-14

    Graphene is fabricated by thermal decomposition of silicon carbide (SiC) and Pb islands are deposited by Pb flux in molecular beam epitaxy chamber. It is found that graphene domains and SiC buffer layer coexist. Selective growth of Pb islands on SiC buffer layer rather than on graphene domains is observed. It can be ascribed to the higher adsorption energy of Pb atoms on the 6√(3) reconstruction of SiC. However, once Pb islands nucleate on graphene domains, they will grow very large owing to the lower diffusion barrier of Pb atoms on graphene. The results are consistent with first-principle calculations. Sincemore » Pb atoms on graphene are nearly free-standing, Pb islands grow in even-number mode.« less

  3. The structure of crystallographic damage in GaN formed during rare earth ion implantation with and without an ultrathin AlN capping layer

    NASA Astrophysics Data System (ADS)

    Gloux, F.; Ruterana, P.; Wojtowicz, T.; Lorenz, K.; Alves, E.

    2006-10-01

    The crystallographic nature of the damage created in GaN implanted by rare earth ions at 300 keV and room temperature has been investigated by transmission electron microscopy versus the fluence, from 7×10 13 to 2×10 16 at/cm 2, using Er, Eu or Tm ions. The density of point defect clusters was seen to increase with the fluence. From about 3×10 15 at/cm 2, a highly disordered 'nanocrystalline layer' (NL) appears on the GaN surface. Its structure exhibits a mixture of voids and misoriented nanocrystallites. Basal stacking faults (BSFs) of I 1, E and I 2 types have been noticed from the lowest fluence, they are I 1 in the majority. Their density increases and saturates when the NL is observed. Many prismatic stacking faults (PSFs) with Drum atomic configuration have been identified. The I 1 BSFs are shown to propagate easily through GaN by folding from basal to prismatic planes thanks to the PSFs. When implanting through a 10 nm AlN cap, the NL threshold goes up to about 3×10 16 at/cm 2. The AlN cap plays a protective role against the dissociation of the GaN up to the highest fluences. The flat surface after implantation and the absence of SFs in the AlN cap indicate its high resistance to the damage formation.

  4. The roles of buffer layer thickness on the properties of the ZnO epitaxial films

    NASA Astrophysics Data System (ADS)

    Tang, Kun; Huang, Shimin; Gu, Shulin; Zhu, Shunming; Ye, Jiandong; Xu, Zhonghua; Zheng, Youdou

    2016-12-01

    In this article, the authors have investigated the optimization of the buffer thickness for obtaining high-quality ZnO epi-films on sapphire substrates. The growth mechanism of the buffers with different thickness has been clearly revealed, including the initial nucleation and vertical growth, the subsequent lateral growth with small grain coalescence, and the final vertical growth along the existing larger grains. Overall, the quality of the buffer improves with increasing thickness except the deformed surface morphology. However, by a full-scale evaluation of the properties for the epi-layers, the quality of the epi-film is briefly determined by the surface morphology of the buffer, rather than the structural, optical, or electrical properties of it. The best quality epi-layer has been grown on the buffer with a smooth surface and well-coalescent grains. Meanwhile, due to the huge lattice mismatch between sapphire and ZnO, dislocations are inevitably formed during the growth of buffers. More importantly, as the film grows thicker, the dislocations may attracting other smaller dislocations and defects to reduce the total line energy and thus result in the formation of V-shape defects, which are connected with the bottom of the threading dislocations in the buffers. The V-defects appear as deep and large hexagonal pits from top view and they may act as electron traps which would affect the free carrier concentration of the epi-layers.

  5. Improving fatigue resistance of Pb(Zr,Ti)O3 thin films by using PbZrO3 buffer layers

    NASA Astrophysics Data System (ADS)

    Mensur Alkoy, Ebru; Uchiyama, Kiyoshi; Shiosaki, Tadashi; Alkoy, Sedat

    2006-05-01

    Ferroelectric Pb(Zr0.52Ti0.48)O3 (PZT) thin films with PbZrO3 (PZ) buffer layers were prepared on Pt(111)/Ti/SiO2/Si(100) substrates using a hybrid rf magnetron sputtering and sol-gel process. Texture of PZT films was found to depend on Pb content of PZ buffer layers. Buffered PZT films displayed comparable ferroelectric properties (2Pr=38-53 μC/cm2,2Ec=136-170 kV/cm) with unbuffered PZT. Asymmetric leakage current and fatigue behavior with superior fatigue resistance was observed in PZ buffered PZT compared to unbuffered films. PZ buffer layers were found to affect crystallization and texture of PZT, and act as a capacitive interface layer possibly blocking charge injection from electrodes.

  6. Buffer layers and articles for electronic devices

    DOEpatents

    Paranthaman, Mariappan P.; Aytug, Tolga; Christen, David K.; Feenstra, Roeland; Goyal, Amit

    2004-07-20

    Materials for depositing buffer layers on biaxially textured and untextured metallic and metal oxide substrates for use in the manufacture of superconducting and other electronic articles comprise RMnO.sub.3, R.sub.1-x A.sub.x MnO.sub.3, and combinations thereof; wherein R includes an element selected from the group consisting of La, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, and Y, and A includes an element selected from the group consisting of Be, Mg, Ca, Sr, Ba, and Ra.

  7. Alendronate (ALN) combined with Osteoprotegerin (OPG) significantly improves mechanical properties of long bone than the single use of ALN or OPG in the ovariectomized rats

    PubMed Central

    2011-01-01

    Background Alendronate (ALN) is the most common form of bisphosphonates used for the treatment of osteoporosis. Osteoprotegerin (OPG) has also been shown to reduce osteoporotic changes in both humans and experimental animals after systemic administration. The aim of this current study was to test if the anti-resorption effects of ALN may be enhanced when used in combination with OPG. Objectives To investigate the effects of ALN, OPG or combined on bone mass and bone mechanical properties in ovariectomized (OVX) rats. Methods OVX rats were treated with ALN, OPG-Fc, or OPG-Fc and ALN. Biochemical markers, trabecular bone mass, biomechanics, histomorphometry and RANKL expression in the bone tissues were examined following the treatments. Results The treatment of ALN, OPG-Fc and ALN+OPG-Fc all prevented bone loss in the OVX-rats, there was no statistical difference among the three treatment groups in terms of vertebrae BMD, mineralizing surfaces, mineral apposition rate, BFR/BS. The ALN+OPG-Fc treatment group had significantly increased the mechanical strength of lumber vertebral bodies and femoral shafts when compared to the ALN and OPG-Fc treatment groups. The RANKL protein expression in the vertebral bones was significantly decreased in the ALN and ALN+OPG-Fc treatment groups, suggesting the combined use of OPG-Fc and ALN might have amplified inhibition of bone resorption through inhibiting RANKL-dependent osteoclastogenesis. Conclusion The combined use of OPG-Fc and ALN may be a new treatment strategy for reversing bone loss and restoring bone quality in osteoprotic disorders. PMID:21752290

  8. Improvement of the interfacial Dzyaloshinskii-Moriya interaction by introducing a Ta buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Nam-Hui; Jung, Jinyong; Cho, Jaehun

    2015-10-05

    We report systematic measurements of the interfacial Dzyaloshinskii-Moriya interaction (iDMI) by employing Brillouin light scattering in Pt/Co/AlO{sub x} and Ta/Pt/Co/AlO{sub x} structures. By introducing a tantalum buffer layer, the saturation magnetization and the interfacial perpendicular magnetic anisotropy are significantly improved due to the better interface between heavy metal and ferromagnetic layer. From the frequency shift between Stokes- and anti-Stokes spin-waves, we successively obtain considerably larger iDM energy densities (D{sub max} = 1.65 ± 0.13 mJ/m{sup 2} at t{sub Co} = 1.35 nm) upon adding the Ta buffer layer, despite the nominally identical interface materials. Moreover, the energy density shows an inverse proportionality with the Co layer thickness,more » which is the critical clue that the observed iDMI is indeed originating from the interface between the Pt and Co layers.« less

  9. Compatibility of AlN with liquid lithium

    NASA Astrophysics Data System (ADS)

    Terai, T.; Suzuki, A.; Yoneoka, T.; Mitsuyama, T.

    2000-12-01

    Development of ceramic coatings is one of the most important subjects in liquid blanket research and development. Compatibility of sintered AlN and AlN coatings with liquid lithium, a candidate breeding material, was investigated. Sintered AlN with or without the sintering aid of Y 2O 3 examined in lithium at 773 K for 1390 h showed a slight decrease in electrical resistivity because of a reduction in Al 2O 3 impurity, though AlN and Y 2O 3 components themselves were subject to no severe corrosion. On the other hand, AlN ceramic coatings on SUS430 with high resistivity (> 10 11 Ω m) fabricated by the RF sputtering method disappeared in liquid lithium at 773 K in 56 h. This may be because cracks were formed due to the difference in thermal expansion between the coatings and the substrate or because the oxide formed between the two was removed by liquid lithium.

  10. Enhanced electrical stability of flexible indium tin oxide films prepared on stripe SiO 2 buffer layer-coated polymer substrates by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Yu, Zhi-nong; Zhao, Jian-jian; Xia, Fan; Lin, Ze-jiang; Zhang, Dong-pu; Leng, Jian; Xue, Wei

    2011-03-01

    The electrical stability of flexible indium tin oxide (ITO) films fabricated on stripe SiO 2 buffer layer-coated polyethylene terephthalate (PET) substrates by magnetron sputtering was investigated by the bending test. The ITO thin films with stripe SiO 2 buffer layer under bending have better electrical stability than those with flat SiO 2 buffer layer and without buffer layer. Especially in inward bending text, the ITO thin films with stripe SiO 2 buffer layer only have a slight resistance change when the bending radius r is not less than 8 mm, while the resistances of the films with flat SiO 2 buffer layer and without buffer layer increase significantly at r = 16 mm with decreasing bending radius. This improvement of electrical stability in bending test is due to the small mismatch factor α in ITO-SiO 2, the enhanced interface adhesion and the balance of residual stress. These results indicate that the stripe SiO 2 buffer layer is suited to enhance the electrical stability of flexible ITO film under bending.

  11. Effect of post-implantation annealing on Al-N isoelectronic trap formation in silicon: Al-N pair formation and defect recovery mechanisms

    NASA Astrophysics Data System (ADS)

    Mori, Takahiro; Morita, Yukinori; Matsukawa, Takashi

    2018-05-01

    The effect of post-implantation annealing (PIA) on Al-N isoelectronic trap (IET) formation in silicon has been experimentally investigated to discuss the Al-N IET formation and implantation-induced defect recovery mechanisms. We performed a photoluminescence study, which indicated that self-interstitial clusters and accompanying vacancies are generated in the ion implantation process. It is supposed that Al and N atoms move to the vacancy sites and form stable Al-N pairs in the PIA process. Furthermore, the PIA process recovers self-interstitial clusters while transforming their atomic configuration. The critical temperature for the formation/dissociation of Al-N pairs was found to be 450 °C, with which we describe the process integration for devices utilizing Al-N IET technology.

  12. High-Quality GaN Epilayers Achieved by Facet-Controlled Epitaxial Lateral Overgrowth on Sputtered AlN/PSS Templates.

    PubMed

    He, Chenguang; Zhao, Wei; Zhang, Kang; He, Longfei; Wu, Hualong; Liu, Ningyang; Zhang, Shan; Liu, Xiaoyan; Chen, Zhitao

    2017-12-13

    It is widely believed that the lack of high-quality GaN wafers severely hinders the progress in GaN-based devices, especially for defect-sensitive devices. Here, low-cost AlN buffer layers were sputtered on cone-shaped patterned sapphire substrates (PSSs) to obtain high-quality GaN epilayers. Without any mask or regrowth, facet-controlled epitaxial lateral overgrowth was realized by metal-organic chemical vapor deposition. The uniform coating of the sputtered AlN buffer layer and the optimized multiple modulation guaranteed high growth selectivity and uniformity of the GaN epilayer. As a result, an extremely smooth surface was achieved with an average roughness of 0.17 nm over 3 × 3 μm 2 . It was found that the sputtered AlN buffer layer could significantly suppress dislocations on the cones. Moreover, the optimized three-dimensional growth process could effectively promote dislocation bending. Therefore, the threading dislocation density (TDD) of the GaN epilayer was reduced to 4.6 × 10 7 cm -2 , which is about an order of magnitude lower than the case of two-step GaN on the PSS. In addition, contamination and crack in the light-emitting diode fabricated on the obtained GaN were also effectively suppressed by using the sputtered AlN buffer layer. All of these advantages led to a high output power of 116 mW at 500 mA with an emission wavelength of 375 nm. This simple, yet effective growth technique is believed to have great application prospects in high-performance TDD-sensitive optoelectronic and electronic devices.

  13. Influence of C or In buffer layer on photoluminescence behaviour of ultrathin ZnO film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saravanan, K., E-mail: saravanan@igcar.gov.in; Jayalakshmi, G.; Krishnan, R.

    We study the effect of the indium or carbon buffer layer on the photoluminescence (PL) property of ZnO ultrathin films deposited on a Si(100) substrate. The surface morphology of the films obtained using scanning tunnelling microscopy shows spherical shaped ZnO nanoparticles of size ∼8 nm in ZnO/C/Si and ∼22 nm in ZnO/Si samples, while the ZnO/In/Si sample shows elliptical shaped ZnO particles. Further, the ZnO/C/Si sample shows densely packed ZnO nanoparticles in comparison with other samples. Strong band edge emission has been observed in the presence of In or C buffer layer, whereas the ZnO/Si sample exhibits poor PL emission. The influencemore » of C and In buffer layers on the PL behaviour of ZnO films is studied in detail using temperature dependent PL measurements in the range of 4 K–300 K. The ZnO/C/Si sample exhibits a multi-fold enhancement in the PL emission intensity with well-resolved free and bound exciton emission lines. Our experimental results imply that the ZnO films deposited on the C buffer layer showed higher particle density and better exciton emission desired for optoelectronic applications.« less

  14. Cooptimization of Adhesion and Power Conversion Efficiency of Organic Solar Cells by Controlling Surface Energy of Buffer Layers.

    PubMed

    Lee, Inhwa; Noh, Jonghyeon; Lee, Jung-Yong; Kim, Taek-Soo

    2017-10-25

    Here, we demonstrate the cooptimization of the interfacial fracture energy and power conversion efficiency (PCE) of poly[N-9'-heptadecanyl-2,7-carbazole-alt-5,5-(4',7'-di-2-thienyl-2',1',3'-benzothiadiazole)] (PCDTBT)-based organic solar cells (OSCs) by surface treatments of the buffer layer. The investigated surface treatments of the buffer layer simultaneously changed the crack path and interfacial fracture energy of OSCs under mechanical stress and the work function of the buffer layer. To investigate the effects of surface treatments, the work of adhesion values were calculated and matched with the experimental results based on the Owens-Wendt model. Subsequently, we fabricated OSCs on surface-treated buffer layers. In particular, ZnO layers treated with poly[(9,9-bis(3'-(N,N-dimethylamino)propyl)-2,7-fluorene)-alt-2,7-(9,9-dioctylfluorene)] (PFN) simultaneously satisfied the high mechanical reliability and PCE of OSCs by achieving high work of adhesion and optimized work function.

  15. Effect of Homo-buffer Layers on the Properties of Sputtering Deposited Ga2O3 Films

    NASA Astrophysics Data System (ADS)

    Huang, Jian; Li, Bing; Ma, Yuncheng; Tang, Ke; Huang, Haofei; Hu, Yan; Zou, Tianyu; Wang, Linjun

    2018-05-01

    β- Ga2O3 films were grown by radio-frequency magnetron sputtering method. The influence of Ga2O3 buffer layers and annealing treatment on the structural, optical, morphological and electrical properties of Ga2O3 films was studied. The results revealed an improvement of crystalline quality and transmittance of annealed β- Ga2O3 films prepared with homo-buffer layers. Ga2O3 film UV photodetectors were fabricated with a new B and Ga co-doped ZnO films (BGZO)/Au interdigitated electrode. A good ohmic contact was formed between the film and the electrode. For the detector based on Ga2O3 films with buffer layers, a higher value of photo response and faster response times was obtained.

  16. Dense and high-stability Ti2AlN MAX phase coatings prepared by the combined cathodic arc/sputter technique

    NASA Astrophysics Data System (ADS)

    Wang, Zhenyu; Liu, Jingzhou; Wang, Li; Li, Xiaowei; Ke, Peiling; Wang, Aiying

    2017-02-01

    Ti2AlN belongs to a family of ternary nano-laminate alloys known as the MAX phases, which exhibit a unique combination of metallic and ceramic properties. In the present work, the dense and high-stability Ti2AlN coating has been successfully prepared through the combined cathodic arc/sputter deposition, followed by heat post-treatment. It was found that the as-deposited Ti-Al-N coating behaved a multilayer structure, where (Ti, N)-rich layer and Al-rich layer grew alternately, with a mixed phase constitution of TiN and TiAlx. After annealing at 800 °C under vacuum condition for 1.5 h, although the multilayer structure still was found, part of multilayer interfaces became indistinct and disappeared. In particular, the thickness of the Al-rich layer decreased in contrast to that of as-deposited coating due to the inner diffusion of the Al element. Moreover, the Ti2AlN MAX phase emerged as the major phase in the annealed coatings and its formation mechanism was also discussed in this study. The vacuum thermal analysis indicated that the formed Ti2AlN MAX phase exhibited a high-stability, which was mainly benefited from the large thickness and the dense structure. This advanced technique based on the combined cathodic arc/sputter method could be extended to deposit other MAX phase coatings with tailored high performance like good thermal stability, high corrosion and oxidation resistance etc. for the next protective coating materials.

  17. AlN and Al oxy-nitride gate dielectrics for reliable gate stacks on Ge and InGaAs channels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Guo, Y.; Li, H.; Robertson, J.

    2016-05-28

    AlN and Al oxy-nitride dielectric layers are proposed instead of Al{sub 2}O{sub 3} as a component of the gate dielectric stacks on higher mobility channels in metal oxide field effect transistors to improve their positive bias stress instability reliability. It is calculated that the gap states of nitrogen vacancies in AlN lie further away in energy from the semiconductor band gap than those of oxygen vacancies in Al{sub 2}O{sub 3}, and thus AlN might be less susceptible to charge trapping and have a better reliability performance. The unfavourable defect energy level distribution in amorphous Al{sub 2}O{sub 3} is attributed tomore » its larger coordination disorder compared to the more symmetrically bonded AlN. Al oxy-nitride is also predicted to have less tendency for charge trapping.« less

  18. Investigation of layered structure SAW devices fabricated using low temperature grown AlN thin film on GaN/sapphire.

    PubMed

    Lin, Hui-Feng; Wu, Chun-Te; Chien, Wei-Cheng; Chen, Sheng-Wen; Kao, Hui-Ling; Chyi, Jen-Inn; Chen, Jyh-Shin

    2005-05-01

    Epitaxial AlN films have been grown on GaN/sapphire using helicon sputtering at 300 degrees C. The surface acoustic wave (SAW) filters fabricated on AlN/GaN/sapphire exhibit more superior characteristics than those made on GaN/sapphire. This composite structure of AlN on GaN may bring about the development of high-frequency components, which integrate and use their semiconducting, optoelectronic, and piezoelectric properties.

  19. Non-polar a-plane ZnO films grown on r-Al2O3 substrates using GaN buffer layers

    NASA Astrophysics Data System (ADS)

    Xu, C. X.; Chen, W.; Pan, X. H.; Chen, S. S.; Ye, Z. Z.; Huang, J. Y.

    2016-09-01

    In this work, GaN buffer layer has been used to grow non-polar a-plane ZnO films by laser-assisted and plasma-assisted molecular beam epitaxy. The thickness of GaN buffer layer ranges from ∼3 to 12 nm. The GaN buffer thickness effect on the properties of a-plane ZnO thin films is carefully investigated. The results show that the surface morphology, crystal quality and optical properties of a-plane ZnO films are strongly correlated with the thickness of GaN buffer layer. It was found that with 6 nm GaN buffer layer, a-plane ZnO films display the best crystal quality with X-ray diffraction rocking curve full-width at half-maximum of only 161 arcsec for the (101) reflection.

  20. Dependence of Magnetic Properties of Co/Pt Multilayers on Deposition Temperature of Pt Buffer Layers

    NASA Astrophysics Data System (ADS)

    Shiomi, Shigeru; Nishimura, Tomotaka; Kobayashi, Tadashi; Masuda, Morio

    1993-04-01

    A 15-nm-thick Pt buffer layer was deposited on a glass slide at temperature Ts(Ptbuf) ranging from 30 to 300°C by e-gun evaporation. Following the cooling in vacuum to ambient temperature, Co and Pt layers have been alternately deposited on it. Very large perpendicular anisotropy and coercivity have been obtained at Ts(Ptbuf) higher than 200°C. The (111) preferred orientation of the Co/Pt multilayer as well as the Pt buffer layer became more pronounced with elevating Ts(Ptbuf), to which the enhancement of perpendicular anisotropy with elevating Ts(Ptbuf) might be ascribable.

  1. Tuning the Two-Dimensional Electron Liquid at Oxide Interfaces by Buffer-Layer-Engineered Redox Reactions.

    PubMed

    Chen, Yunzhong; Green, Robert J; Sutarto, Ronny; He, Feizhou; Linderoth, Søren; Sawatzky, George A; Pryds, Nini

    2017-11-08

    Polar discontinuities and redox reactions provide alternative paths to create two-dimensional electron liquids (2DELs) at oxide interfaces. Herein, we report high mobility 2DELs at interfaces involving SrTiO 3 (STO) achieved using polar La 7/8 Sr 1/8 MnO 3 (LSMO) buffer layers to manipulate both polarities and redox reactions from disordered overlayers grown at room temperature. Using resonant X-ray reflectometry experiments, we quantify redox reactions from oxide overlayers on STO as well as polarity induced electronic reconstruction at epitaxial LSMO/STO interfaces. The analysis reveals how these effects can be combined in a STO/LSMO/disordered film trilayer system to yield high mobility modulation doped 2DELs, where the buffer layer undergoes a partial transformation from perovskite to brownmillerite structure. This uncovered interplay between polar discontinuities and redox reactions via buffer layers provides a new approach for the design of functional oxide interfaces.

  2. Structure and magnetic properties of FeSiAl-based soft magnetic composite with AlN and Al2O3 insulating layer prepared by selective nitridation and oxidation

    NASA Astrophysics Data System (ADS)

    Zhong, Xiaoxi; Liu, Ying; Li, Jun; Wang, Yiwei

    2012-08-01

    FeSiAl is widely used in switching power supply, filter inductors and pulse transformers. But when used under higher frequencies in some particular condition, it is required to reduce its high-frequency loss. Preparing a homogeneous insulating coating with good heat resistance and high resistivity, such as AlN and Al2O3, is supposed to be an effective way to reduce eddy current loss, which is less focused on. In this project, mixed AlN and Al2O3 insulating layers were prepared on the surface of FeSiAl powders after 30 min exposure at 1100 °C in high purity nitrogen atmosphere, by means of surface nitridation and oxidation. The results revealed that the insulating layers increase the electrical resistivity, and hence decrease the loss factor, improve the frequency stability and increase the quality factor, especially in the high-frequency range. The morphologies, microstructure and compositions of the oxidized and nitrided products on the surface were characterized by Scanning Electron Microscopy/Energy Disperse Spectroscopy, X-Ray Diffraction, Transmission Electron Microscopy, Selected Area Electron Diffraction and X-ray Photoelectron Spectroscopy.

  3. Methods of producing free-standing semiconductors using sacrificial buffer layers and recyclable substrates

    DOEpatents

    Ptak, Aaron Joseph; Lin, Yong; Norman, Andrew; Alberi, Kirstin

    2015-05-26

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a spinel substrate using a sacrificial buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The sacrificial buffer material and semiconductor materials may be deposited using lattice-matching epitaxy or coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The sacrificial buffer layer may be dissolved using an epitaxial liftoff technique in order to separate the semiconductor device from the spinel substrate, and the spinel substrate may be reused in the subsequent fabrication of other semiconductor devices. The low-defect density semiconductor materials produced using this method result in the enhanced performance of the semiconductor devices that incorporate the semiconductor materials.

  4. Sol-gel deposition of buffer layers on biaxially textured metal substances

    DOEpatents

    Shoup, Shara S.; Paranthamam, Mariappan; Beach, David B.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    A method is disclosed for forming a biaxially textured buffer layer on a biaxially oriented metal substrate by using a sol-gel coating technique followed by pyrolyzing/annealing in a reducing atmosphere. This method is advantageous for providing substrates for depositing electronically active materials thereon.

  5. ZnO buffer layer for metal films on silicon substrates

    DOEpatents

    Ihlefeld, Jon

    2014-09-16

    Dramatic improvements in metallization integrity and electroceramic thin film performance can be achieved by the use of the ZnO buffer layer to minimize interfacial energy between metallization and adhesion layers. In particular, the invention provides a substrate metallization method utilizing a ZnO adhesion layer that has a high work of adhesion, which in turn enables processing under thermal budgets typically reserved for more exotic ceramic, single-crystal, or metal foil substrates. Embodiments of the present invention can be used in a broad range of applications beyond ferroelectric capacitors, including microelectromechanical systems, micro-printed heaters and sensors, and electrochemical energy storage, where integrity of metallized silicon to high temperatures is necessary.

  6. Methods for improved growth of group III nitride semiconductor compounds

    DOEpatents

    Melnik, Yuriy; Chen, Lu; Kojiri, Hidehiro

    2015-03-17

    Methods are disclosed for growing group III-nitride semiconductor compounds with advanced buffer layer technique. In an embodiment, a method includes providing a suitable substrate in a processing chamber of a hydride vapor phase epitaxy processing system. The method includes forming an AlN buffer layer by flowing an ammonia gas into a growth zone of the processing chamber, flowing an aluminum halide containing precursor to the growth zone and at the same time flowing additional hydrogen halide or halogen gas into the growth zone of the processing chamber. The additional hydrogen halide or halogen gas that is flowed into the growth zone during buffer layer deposition suppresses homogeneous AlN particle formation. The hydrogen halide or halogen gas may continue flowing for a time period while the flow of the aluminum halide containing precursor is turned off.

  7. Epitaxial growth of metallic buffer layer structure and c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 thin film on Si for high performance piezoelectric micromachined ultrasonic transducer

    NASA Astrophysics Data System (ADS)

    Thao, Pham Ngoc; Yoshida, Shinya; Tanaka, Shuji

    2017-12-01

    This paper reports on the development of a metallic buffer layer structure, (100) SrRuO3 (SRO)/(100) Pt/(100) Ir/(100) yttria-stabilized zirconia (YSZ) layers for the epitaxial growth of a c-axis oriented Pb(Mn1/3,Nb2/3)O3-Pb(Zr,Ti)O3 (PMnN-PZT) thin film on a (100) Si wafer for piezoelectric micro-electro mechanical systems (MEMS) application. The stacking layers were epitaxially grown on a Si substrate under the optimal deposition condition. A crack-free PMnN-PZT epitaxial thin films was obtained at a thickness up to at least 1.7 µm, which is enough for MEMS applications. The unimorph MEMS cantilevers based on the PMnN-PZT thin film were fabricated and characterized. As a result, the PMnN-PZT thin film exhibited -10 to -12 C/m2 as a piezoelectric coefficient e 31,f and ˜250 as a dielectric constants ɛr. The resultant FOM for piezoelectric micromachined ultrasonic transducer (pMUT) is higher than those of general PZT and AlN thin films. This structure has a potential to provide high-performance pMUTs.

  8. Selectively Enhanced UV-A Photoresponsivity of a GaN MSM UV Photodetector with a Step-Graded AlxGa1-xN Buffer Layer.

    PubMed

    Lee, Chang-Ju; Won, Chul-Ho; Lee, Jung-Hee; Hahm, Sung-Ho; Park, Hongsik

    2017-07-21

    The UV-to-visible rejection ratio is one of the important figure of merits of GaN-based UV photodetectors. For cost-effectiveness and large-scale fabrication of GaN devices, we tried to grow a GaN epitaxial layer on silicon substrate with complicated buffer layers for a stress-release. It is known that the structure of the buffer layers affects the performance of devices fabricated on the GaN epitaxial layers. In this study, we show that the design of a buffer layer structure can make effect on the UV-to-visible rejection ratio of GaN UV photodetectors. The GaN photodetector fabricated on GaN-on-silicon substrate with a step-graded Al x Ga -x N buffer layer has a highly-selective photoresponse at 365-nm wavelength. The UV-to-visible rejection ratio of the GaN UV photodetector with the step-graded Al x Ga 1-x N buffer layer was an order-of-magnitude higher than that of a photodetector with a conventional GaN/AlN multi buffer layer. The maximum photoresponsivity was as high as 5 × 10 - ² A/W. This result implies that the design of buffer layer is important for photoresponse characteristics of GaN UV photodetectors as well as the crystal quality of the GaN epitaxial layers.

  9. Structural and Optical Studies of ZnCdSe/ZnSe/ZnMgSSe Separate Confinement Heterostructures with Different Buffer Layers

    NASA Astrophysics Data System (ADS)

    Tu, Ru-Chin; Su, Yan-Kuin; Huang, Ying-Sheng; Chen, Giin-Sang; Chou, Shu-Tsun

    1998-09-01

    Detailed structural and optical studies of ZnCdSe/ZnSe/ZnMgSSe separate confinementheterostructures (SCH) grown on ZnSe, ZnSe/ZnSSe strained-layer superlattices (SLS),and GaAs buffer layers at the II VI/GaAs interface have been carried out by employingtransmission electron microscopy, variable temperature photoluminescence (PL), andcontactless electroreflectance (CER) measurements. A significant improvement onthe defect reduction and the optical quality has been observed by using either theZnSe/ZnSSe SLS or GaAs as the buffer layers when compared to that of the sample usingonly ZnSe as the buffer layer. However, the sample grown with the SLS buffer layersreveals a room temperature PL intensity higher than that of the sample grown witha GaAs buffer layer, which may still suffer from the great ionic differences betweenthe II V and III V atoms. Using 15 K CER spectra, we have also studied variousexcitonic transitions originating from strained Zn0.80Cd0.20Se/ZnSe single quantumwell in SCH with different buffer layers. An analysis of the CER spectra has ledto the identification of various excitonic transitions, mnH (L), between the mthconduction band state and the nth heavy (light)-hole band state. An excellentagreement between experiments and theoretical calculations based on the envelopefunction approximation model has been achieved.

  10. A Comparative Study of Thermal Conductivity and Tribological Behavior of Squeeze Cast A359/AlN and A359/SiC Composites

    NASA Astrophysics Data System (ADS)

    Shalaby, Essam. A. M.; Churyumov, Alexander. Yu.; Besisa, Dina. H. A.; Daoud, A.; Abou El-khair, M. T.

    2017-07-01

    A comparative study of thermal and wear behavior of squeeze cast A359 alloy and composites containing 5, 10 and 15 wt.% AlN and SiC particulates was investigated. It was pointed out that A359/AlN composites have a superior thermal conductivity as compared to A359 alloy or even to A359/SiC composites. Composites wear characteristics were achieved by pins-on-disk instrument over a load range of 20-60 N and a sliding speed of 2.75 m/s. Results showed that A359/AlN and A359/SiC composites exhibited higher wear resistance values compared to A359 alloy. Moreover, A359/AlN composites showed superior values of wear resistance than A359/SiC composites at relatively high loads. Friction coefficients and contact surface temperature for A359/AlN specimens decreased as AlN content increased, while they increased for A359/SiC. Investigations of worn surfaces revealed that A359/AlN composites were covered up by aluminum nitrides and iron oxides, which acted as smooth layers. However, A359/SiC composites were mainly covered only by iron oxides. The superior thermal conductivity and the significant wear resistance of the developed A359/AlN composites provided a high durable material suitable for industrial applications.

  11. MBE grown III-V strain relaxed buffer layers and superlattices characterized by atomic force microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Howard, A.J.; Fritz, I.J.; Drummond, T.J.

    1993-11-01

    Using atomic force microscopy (AFM), the authors have investigated the effects of growth temperature and dopant incorporation on the surface morphology of MBE grown graded buffer layers and strained layer superlattices (SLSs) in the InGaAlAs/GaAs and InAsSb/InSb material systems. The AFM results show quantitatively that over the temperature range from 380 to 545 C, graded in{sub x}Al{sub 1{minus}x}As(x = 0.05 {minus} 0.32) buffer layers grown at high temperatures ({approximately}520 C), and graded In{sub x}Ga{sub 1{minus}x}As (x = 0.05 {minus} 0.33) buffer layers and In{sub 0.4}Ga{sub 0.6}As/In{sub 0.26}Al{sub 0.35}Ga{sub 0.39}As SLSs grown at low temperatures ({approximately}400 C) have the lowest RMSmore » roughness. Also, for SLSs InAs{sub 0.21}Sb{sub 0.79}/InSb, undoped layers grown at 470 C were smoother than undoped layers grown at 420 C and Be-doped layers grown at 470 C. These results illustrate the role of surface tension in the growth of strained layer materials near the melting temperature of the InAs{sub x}Sb{sub {minus}x}/InSb superlattice. Nomarski interference and transmission electron microscopies, IR photoluminescence, x-ray diffraction, and photocurrent spectroscopy were also used to evaluate the relative quality of the material but usually, the results were not conclusive.« less

  12. Growth and characterization of CdS buffer layers by CBD and MOCVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morrone, A.A.; Huang, C.; Li, S.S.

    1999-03-01

    Thin film CdS has been widely used in thin-film photovoltaic devices. The most efficient Cu(In,&hthinsp;Ga)Se{sub 2} (CIGS) solar cells reported to date utilized a thin CdS buffer layer prepared by a reactive solution growth technique known as chemical bath deposition (CBD). Considerable effort has been directed to better understand the role and find a replacement for the CBD CdS process in CIGS-based solar cells. We reported a low temperature ({approximately}150&hthinsp;{degree}C) Metalorganic Chemical Vapor Deposition (MOCVD) CdS thin film buffer layer process for CIGS absorbers. Many prior studies have reported that CBD CdS contains a mixture of crystal structures. Recent investigationsmore » of CBD CdS thin films by ellipsometry suggested a multilayer structure. In this study we compare CdS thin films prepared by CBD and MOCVD and the effects of annealing. TED and XRD are used to characterize the crystal structure, the film microstructure is studied by HRTEM, and the optical properties are studied by Raman and spectrophotometry. All of these characterization techniques reveal superior crystalline film quality for CdS films grown by MOCVD compared to those grown by CBD. Dual Beam Optical Modulation (DBOM) studies showed that the MOCVD and CBD CdS buffer layer processes have nearly the same effect on CIGS absorbers when combined with a cadmium partial electrolyte aqueous dip. {copyright} {ital 1999 American Institute of Physics.}« less

  13. Effect of sputtering pressure on crystalline quality and residual stress of AlN films deposited at 823 K on nitrided sapphire substrates by pulsed DC reactive sputtering

    NASA Astrophysics Data System (ADS)

    Ohtsuka, Makoto; Takeuchi, Hiroto; Fukuyama, Hiroyuki

    2016-05-01

    Aluminum nitride (AlN) is a promising material for use in applications such as deep-ultraviolet light-emitting diodes (UV-LEDs) and surface acoustic wave (SAW) devices. In the present study, the effect of sputtering pressure on the surface morphology, crystalline quality, and residual stress of AlN films deposited at 823 K on nitrided a-plane sapphire substrates, which have high-crystalline-quality c-plane AlN thin layers, by pulsed DC reactive sputtering was investigated. The c-axis-oriented AlN films were homoepitaxially grown on nitrided sapphire substrates at sputtering pressures of 0.4-1.5 Pa. Surface damage of the AlN sputtered films increased with increasing sputtering pressure because of arcing (abnormal electrical discharge) during sputtering. The sputtering pressure affected the crystalline quality and residual stress of AlN sputtered films because of a change in the number and energy of Ar+ ions and Al sputtered atoms. The crystalline quality of AlN films was improved by deposition with lower sputtering pressure.

  14. Bimetallic nanocomposite as hole transport co-buffer layer in organic solar cell

    NASA Astrophysics Data System (ADS)

    Mola, Genene Tessema; Arbab, Elhadi A. A.

    2017-12-01

    Silver-zinc bimetallic nanocomposite (Ag:Zn BiM-NPs) was used as an inter-facial buffer layer in the preparation of thin film organic solar cell (TFOSC). The current investigation focuses on the effect of bimetallic nanoparticles on the performance of TFOSC. A number experiments were conducted by employing Ag:Zn nanocomposite buffer layer of thickness 1 nm at various positions of the device structure. In all cases, we found significant improvement on the power conversion efficiency of the solar cells. It is also noted that the open circuit voltage of the devices are decreasing when Ag:Zn form direct contact with the ITO electrode and without the inclusion of PEDOT:PSS. However, all results show that the introduction of Ag:Zn nanocomposite layer close to PEDOT:PSS could be beneficial to improve the charge transport processes in the preparation of thin film organic solar cell. The Ag:Zn BiM-NPs and the device properties were presented and discussed in terms of optical, electrical and film morphologies of the devices.

  15. Effect of organic buffer layer in the electrical properties of amorphous-indium gallium zinc oxide thin film transistor.

    PubMed

    Wang, Jian-Xun; Hyung, Gun Woo; Li, Zhao-Hui; Son, Sung-Yong; Kwon, Sang Jik; Kim, Young Kwan; Cho, Eou Sik

    2012-07-01

    In this research, we reported on the fabrication of top-contact amorphous-indium gallium zinc oxide (a-IGZO) thin-film transistors (TFTs) with an organic buffer layer between inorganic gate dielectric and active layer in order to improve the electrical properties of devices. By inserting an organic buffer layer, it was possible to make an affirmation of the improvements in the electrical characteristics of a-IGZO TFTs such as subthreshold slope (SS), on/off current ratio (I(ON/OFF)), off-state current, and saturation field-effect mobility (muFE). The a-IGZO TFTs with the cross-linked polyvinyl alcohol (c-PVA) buffer layer exhibited the pronounced improvements of the muFE (17.4 cm2/Vs), SS (0.9 V/decade), and I(ON/OFF) (8.9 x 10(6)).

  16. Improved properties of barium strontium titanate thin films grown on copper foils by pulsed laser deposition using a self-buffered layer.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, S.; Ma, B.; Narayanan, M.

    2012-01-01

    Ba{sub 0.6}Sr{sub 0.4}TiO{sub 3} (BST) films were deposited by pulsed laser deposition on copper foils with low-temperature self-buffered layers. The deposition conditions included a low oxygen partial pressure and a temperature of 700 C to crystallize the films without the formation of secondary phases and substrate oxidation. The results from x-ray diffraction and scanning electron microscopy indicated that the microstructure of the BST films strongly depended on the growth temperature. The use of the self-buffered layer improved the dielectric properties of the deposited BST films. The leakage current density of the BST films on the copper foil was 4.4 xmore » 10{sup -9} A cm{sup -2} and 3.3 x 10{sup -6} A cm{sup -2} with and without the self-buffered layer, respectively. The ferroelectric hysteresis loop for the BST thin film with buffer layer was slim, in contrast to the distorted loop observed for the film without the buffer layer. The permittivity (7 0 0) and dielectric loss tangent (0.013) of the BST film on the copper foil with self-buffered layer at room temperature were comparable to those of the film on metal and single-crystal substrates.« less

  17. Solution-processed MoS(x) as an efficient anode buffer layer in organic solar cells.

    PubMed

    Li, Xiaodong; Zhang, Wenjun; Wu, Yulei; Min, Chao; Fang, Junfeng

    2013-09-25

    We reported a facile solution-processed method to fabricate a MoSx anode buffer layer through thermal decomposition of (NH4)2MoS4. Organic solar cells (OSCs) based on in situ growth MoSx as the anode buffer layer showed impressive improvements, and the power conversion efficiency was higher than that of conventional PEDOT:PSS-based device. The MoSx films obtained at different temperatures and the corresponding device performance were systematically studied. The results indicated that both MoS3 and MoS2 were beneficial to the device performance. MoS3 could result in higher Voc, while MoS2 could lead to higher Jsc. Our results proved that, apart from MoO3, molybdenum sulfides and Mo(4+) were also promising candidates for the anode buffer materials in OSCs.

  18. Growth and micro structural studies on Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) buffer layers

    NASA Technical Reports Server (NTRS)

    Srinivas, S.; Pinto, R.; Pai, S. P.; Dsousa, D. P.; Apte, P. R.; Kumar, D.; Purandare, S. C.; Bhatnagar, A. K.

    1995-01-01

    Microstructure of Yittria Stabilized Zirconia (YSZ) and Strontium Titanate (STO) of radio frequency magnetron sputtered buffer layers was studied at various sputtering conditions on Si (100), Sapphire and LaAlO3 (100) substrates. The effect of substrate temperatures up to 800 C and sputtering gas pressures in the range of 50 mTorr. of growth conditions was studied. The buffer layers of YSZ and STO showed a strong tendency for columnar growth was observed above 15 mTorr sputtering gas pressure and at high substrate temperatures. Post annealing of these films in oxygen atmosphere reduced the oxygen deficiency and strain generated during growth of the films. Strong c-axis oriented superconducting YBa2Cu3O7-x (YBCO) thin films were obtained on these buffer layers using pulsed laser ablation technique. YBCO films deposited on multilayers of YSZ and STO were shown to have better superconducting properties.

  19. Carbon doped GaN buffer layer using propane for high electron mobility transistor applications: Growth and device results

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, X.; Nilsson, D.; Danielsson, Ö.

    2015-12-28

    The creation of a semi insulating (SI) buffer layer in AlGaN/GaN High Electron Mobility Transistor (HEMT) devices is crucial for preventing a current path beneath the two-dimensional electron gas (2DEG). In this investigation, we evaluate the use of a gaseous carbon gas precursor, propane, for creating a SI GaN buffer layer in a HEMT structure. The carbon doped profile, using propane gas, is a two stepped profile with a high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) epitaxial layer closest to the substrate and a lower doped layer (3 × 10{sup 16 }cm{sup −3}) closest to the 2DEG channel. Secondary Ion Mass Spectrometry measurement showsmore » a uniform incorporation versus depth, and no memory effect from carbon doping can be seen. The high carbon doping (1.5 × 10{sup 18 }cm{sup −3}) does not influence the surface morphology, and a roughness root-mean-square value of 0.43 nm is obtained from Atomic Force Microscopy. High resolution X-ray diffraction measurements show very sharp peaks and no structural degradation can be seen related to the heavy carbon doped layer. HEMTs are fabricated and show an extremely low drain induced barrier lowering value of 0.1 mV/V, demonstrating an excellent buffer isolation. The carbon doped GaN buffer layer using propane gas is compared to samples using carbon from the trimethylgallium molecule, showing equally low leakage currents, demonstrating the capability of growing highly resistive buffer layers using a gaseous carbon source.« less

  20. RBS/Channeling Studies of Swift Heavy Ion Irradiated GaN Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sathish, N.; Dhamodaran, S.; Pathak, A. P.

    2009-03-10

    Epitaxial GaN layers grown by MOCVD on c-plane sapphire substrates were irradiated with 150 MeV Ag ions at a fluence of 5x10{sup 12} ions/cm{sup 2}. Samples used in this study are 2 {mu}m thick GaN layers, with and without a thin AlN cap-layer. Energy dependent RBS/Channeling measurements have been carried out on both irradiated and unirradiated samples for defects characterization. Observed results are compared and correlated with previous HRXRD, AFM and optical studies. The {chi}{sub min} values for unirradiated samples show very high value and the calculated defect densities are of the order of 10{sup 10} cm{sup -2} as expectedmore » in these samples. Effects of irradiation on these samples are different as initial samples had different defect densities. Epitaxial reconstruction of GaN buffer layer has been attributed to the observed changes, which are generally grown to reduce the strain between GaN and Sapphire.« less

  1. High performance polymer solar cells with as-prepared zirconium acetylacetonate film as cathode buffer layer

    PubMed Central

    Tan, Zhan'ao; Li, Shusheng; Wang, Fuzhi; Qian, Deping; Lin, Jun; Hou, Jianhui; Li, Yongfang

    2014-01-01

    Low-work-function active metals are commonly used as cathode in polymer solar cells (PSCs), but sensitivity of the active metals towards moisture and oxygen results in poor stability of the devices. Therefore, solution-proceessable and stable cathode buffer layer is of great importance for the application of PSCs. Here we demonstrate high performance PSCs by employing as-prepared zirconium acetylacetonate (a-ZrAcac) film spin-cast from its ethanol solution as cathode buffer layer. The PSCs based on a low bandgap polymer PBDTBDD as donor and PC60BM as acceptor with a-ZrAcac/Al cathode demonstrated an average power conversion efficiency (PCE) of 8.75% which is significantly improved than that of the devices with traditional Ca/Al cathode. The improved photovoltaic performance is benefitted from the decreased series resistance and enhanced light harvest of the PSCs with the a-ZrAcac/Al cathode. The results indicate that a-ZrAcac is a promising high performance cathode buffer layer for fabricating large area flexible PSCs. PMID:24732976

  2. Effect of annealing on magnetoresistance and microstructure of multilayered CoFe/Cu systems with different buffer layer

    NASA Astrophysics Data System (ADS)

    Bannikova, N. S.; Milyaev, M. A.; Naumova, L. I.; Proglyado, V. V.; Krinitsina, T. P.; Chernyshova, T. A.; Ustinov, V. V.

    2015-02-01

    The effects of annealing on the structure, magnetic hysteresis, and magnetoresistance of [Co90Fe10(15 Å)/Cu(23 Å)] n superlattices with Cr and Co90Fe10 buffer layers of different thicknesses have been studied. The optimum temperature and time of annealing that increase the magnetoresistance were shown to depend on the buffer layer thickness. The coefficients of effective interlayer diffusion due to the annealing have been determined.

  3. The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.

    PubMed

    Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei

    2018-06-08

    In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.

  4. Buffer layer dependence of magnetoresistance effects in Co2Fe0.4Mn0.6Si/MgO/Co50Fe50 tunnel junctions

    NASA Astrophysics Data System (ADS)

    Sun, Mingling; Kubota, Takahide; Takahashi, Shigeki; Kawato, Yoshiaki; Sonobe, Yoshiaki; Takanashi, Koki

    2018-05-01

    Buffer layer dependence of tunnel magnetoresistance (TMR) effects was investigated in Co2Fe0.4Mn0.6Si (CFMS)/MgO/Co50Fe50 magnetic tunnel junctions (MTJs). Pd, Ru and Cr were selected for the buffer layer materials, and MTJs with three different CFMS thicknesses (30, 5, and 0.8 nm) were fabricated. A maximum TMR ratio of 136% was observed in the Ru buffer layer sample with a 30-nm-thick CFMS layer. TMR ratios drastically degraded for the CFMS thickness of 0.8 nm, and the values were 26% for Cr buffer layer and less than 1% for Pd and Ru buffer layers. From the annealing temperature dependence of the TMR ratios, amounts of interdiffusion and effects from the lattice mismatch were discussed.

  5. Fabrication and characterization of AlN metal-insulator-semiconductor grown Si substrate

    NASA Astrophysics Data System (ADS)

    Mahyuddin, A.; Azrina, A.; Mohd Yusoff, M. Z.; Hassan, Z.

    2017-11-01

    An experimental investigation was conducted to explore the effect of inserting a single AlGaN interlayer between AlN epilayer and GaN/AlN heterostructures on Si (111) grown by molecular beam epitaxy (MBE). It is confirmed from the scanning electron microscopy (SEM) that the AlGaN interlayer has a remarkable effect on reducing the tensile stress and dislocation density in AlN top layer. Capacitance-voltage (C-V) measurements were conducted to study the electrical properties of AlN/GaN heterostructures. While deriving the findings through the calculation it is suggested that the AlGaN interlayer can significantly reduce the value of effective oxide charge density and total effective number of charges per unit area which are 1.37 × 10-6C/cm2 and 8.55 × 1012cm-2, respectively.

  6. Cu(In,Ga)Se2 solar cells with In2S3 buffer layer deposited by thermal evaporation

    NASA Astrophysics Data System (ADS)

    Kim, SeongYeon; Rana, Tanka R.; Kim, JunHo; Yun, JaeHo

    2017-12-01

    We report on physical vapor deposition of indium sulfide (In2S3) buffer layers and its application to Cu(In,Ga)Se2 (CIGSe) thin film solar cell. The Indium sulfide buffer layers were evaporated onto CIGSe at various substrate temperatures from room temperature (RT) to 350 °C. The effect of deposition temperature of buffer layers on the solar cell device performance were investigated by analyzing temperature dependent current-voltage ( J- V- T), external quantum efficiency (EQE) and Raman spectroscopy. The fabricated device showed the highest power conversion efficiency of 6.56% at substrate temperature of 250 °C, which is due to the decreased interface recombination. However, the roll-over in J- V curves was observed for solar cell device having buffer deposited at substrate temperature larger than 250 °C. From the measurement results, the interface defect and roll-over related degradation were found to have limitation on the performance of solar cell device.

  7. Buffer Layer Doping Concentration Measurement Using VT-VSUB Characteristics of GaN HEMT with p-GaN Substrate Layer

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Nakatani, Katsutoshi; Kawai, Hiroji; Ao, Jin-Ping; Ohno, Yasuo

    To improve the high voltage performance of AlGaN/GaN heterojunction field effect transistors (HFETs), we have fabricated AlGaN/GaN HFETs with p-GaN epi-layer on sapphire substrate with an ohmic contact to the p-GaN (p-sub HFET). Substrate bias dependent threshold voltage variation (VT-VSUB) was used to directly determine the doping concentration profile in the buffer layer. This VT-VSUB method was developed from Si MOSFET. For HFETs, the insulator is formed by epitaxially grown and heterogeneous semiconductor layer while for Si MOSFETs the insulator is amorphous SiO2. Except that HFETs have higher channel mobility due to the epitaxial insulator/semiconductor interface, HFETs and Si MOSFETs are basically the same in the respect of device physics. Based on these considerations, the feasibility of this VT-VSUB method for AlGaN/GaN HFETs was discussed. In the end, the buffer layer doping concentration was measured to be 2 × 1017cm-3, p-type, which is well consistent with the Mg concentration obtained from secondary ion mass spectroscopy (SIMS) measurement.

  8. Mass sensing AlN sensors for waste water monitoring

    NASA Astrophysics Data System (ADS)

    Porrazzo, R.; Potter, G.; Lydecker, L.; Foraida, Z.; Gattu, S.; Tokranova, N.; Castracane, J.

    2014-08-01

    Monitoring the presence of nanomaterials in waste water from semiconductor facilities is a critical task for public health organizations. Advanced semiconductor technology allows the fabrication of sensitive piezoelectric-based mass sensors with a detection limit of less than 1.35 ng/cm2 of nanomaterials such as nanoparticles of alumina, amorphous silica, ceria, etc. The interactions between acoustic waves generated by the piezoelectric sensor and nanomaterial mass attached to its surface define the sensing response as a shift in the resonant frequency. In this article the development and characterization of a prototype AlN film bulk acoustic resonator (FBAR) are presented. DC reactive magnetron sputtering was used to create tilted c-axis oriented AlN films to generate shear waves which don't propagate in liquids thus minimizing the acoustic losses. The high acoustic velocity of AlN over quartz allows an increase in resonance frequency in comparison with a quartz crystal microbalance (QCM) and results in a higher frequency shift per mass change, and thus greater sensitivity. The membrane and electrodes were fabricated using state of the art semiconductor technology. The device surface functionalization was performed to demonstrate selectivity towards a specific nanomaterial. As a result, the devices were covered with a "docking" layer that allows the nanomaterials to be selectively attached to the surface. This was achieved using covalent modification of the surface, specifically targeting ZnO nanoparticles. Our functionalization approach was tested using two different types of nanoparticles, and binding specificity was confirmed with various analytical techniques.

  9. Optimization of the Energy Level Alignment between the Photoactive Layer and the Cathode Contact Utilizing Solution-Processed Hafnium Acetylacetonate as Buffer Layer for Efficient Polymer Solar Cells.

    PubMed

    Yu, Lu; Li, Qiuxiang; Shi, Zhenzhen; Liu, Hao; Wang, Yaping; Wang, Fuzhi; Zhang, Bing; Dai, Songyuan; Lin, Jun; Tan, Zhan'ao

    2016-01-13

    The insertion of an appropriate interfacial buffer layer between the photoactive layer and the contact electrodes makes a great impact on the performance of polymer solar cells (PSCs). Ideal interfacial buffer layers could minimize the interfacial traps and the interfacial barriers caused by the incompatibility between the photoactive layer and the electrodes. In this work, we utilized solution-processed hafnium(IV) acetylacetonate (Hf(acac)4) as an effective cathode buffer layer (CBL) in PSCs to optimize the energy level alignment between the photoactive layer and the cathode contact, with the short-circuit current density (Jsc), open-circuit voltage (Voc), and fill factor (FF) all simultaneously improved with Hf(acac)4 CBL, leading to enhanced power conversion efficiencies (PCEs). Ultraviolet photoemission spectroscopy (UPS) and scanning Kelvin probe microscopy (SKPM) were performed to confirm that the interfacial dipoles were formed with the same orientation direction as the built-in potential between the photoactive layer and Hf(acac)4 CBL, benefiting the exciton separation and electron transport/extraction. In addition, the optical characteristics and surface morphology of the Hf(acac)4 CBL were also investigated.

  10. High free carrier concentration in p-GaN grown on AlN substrates

    NASA Astrophysics Data System (ADS)

    Sarkar, Biplab; Mita, Seiji; Reddy, Pramod; Klump, Andrew; Kaess, Felix; Tweedie, James; Bryan, Isaac; Bryan, Zachary; Kirste, Ronny; Kohn, Erhard; Collazo, Ramon; Sitar, Zlatko

    2017-07-01

    A high free hole concentration in III-nitrides is important for next generation optoelectronic and high power electronic devices. The free hole concentration exceeding 1018 cm-3 and resistivity as low as 0.7 Ω cm are reported for p-GaN layers grown by metalorganic vapor phase epitaxy on single crystal AlN substrates. Temperature dependent Hall measurements confirmed a much lower activation energy, 60-80 mV, for p-GaN grown on AlN as compared to sapphire substrates; the lowering of the activation energy was due to screening of Coulomb potential by free carriers. It is also shown that a higher doping density (more than 5 × 1019 cm-3) can be achieved in p-GaN/AlN without the onset of self-compensation.

  11. Cd ion implantation in AlN

    NASA Astrophysics Data System (ADS)

    Miranda, S. M. C.; Franco, N.; Alves, E.; Lorenz, K.

    2012-10-01

    AlN thin films were implanted with cadmium, to fluences of 1 × 1013 and 8 × 1014 at/cm2. The implanted samples were annealed at 950 °C under flowing nitrogen. Although implantation damage in AlN is known to be extremely stable the crystal could be fully recovered at low fluences. At high fluences the implantation damage was only partially removed. Implantation defects cause an expansion of the c-lattice parameter. For the high fluence sample the lattice site location of the ions was studied by Rutherford Backscattering/Channelling Spectrometry. Cd ions are found to be incorporated in substitutional Al sites in the crystal and no significant diffusion is seen upon thermal annealing. The observed high solubility limit and site stability are prerequisite for using Cd as p-type dopant in AlN.

  12. Versatile buffer layer architectures based on Ge1-xSnx alloys

    NASA Astrophysics Data System (ADS)

    Roucka, R.; Tolle, J.; Cook, C.; Chizmeshya, A. V. G.; Kouvetakis, J.; D'Costa, V.; Menendez, J.; Chen, Zhihao D.; Zollner, S.

    2005-05-01

    We describe methodologies for integration of compound semiconductors with Si via buffer layers and templates based on the GeSn system. These layers exhibit atomically flat surface morphologies, low defect densities, tunable thermal expansion coefficients, and unique ductile properties, which enable them to readily absorb differential stresses produced by mismatched overlayers. They also provide a continuous selection of lattice parameters higher than that of Ge, which allows lattice matching with technologically useful III-V compounds. Using this approach we have demonstrated growth of GaAs, GeSiSn, and pure Ge layers at low temperatures on Si(100). These materials display extremely high-quality structural, morphological, and optical properties opening the possibility of versatile integration schemes directly on silicon.

  13. Effect of Mg doping in ZnO buffer layer on ZnO thin film devices for electronic applications

    NASA Astrophysics Data System (ADS)

    Giri, Pushpa; Chakrabarti, P.

    2016-05-01

    Zinc Oxide (ZnO) thin films have been grown on p-silicon (Si) substrate using magnesium doped ZnO (Mg: ZnO) buffer layer by radio-frequency (RF) sputtering method. In this paper, we have optimized the concentration of Mg (0-5 atomic percent (at. %)) ZnO buffer layer to examine its effect on ZnO thin film based devices for electronic and optoelectronic applications. The crystalline nature, morphology and topography of the surface of the thin film have been characterized. The optical as well as electrical properties of the active ZnO film can be tailored by varying the concentration of Mg in the buffer layer. The crystallite size in the active ZnO thin film was found to increase with the Mg concentration in the buffer layer in the range of 0-3 at. % and subsequently decrease with increasing Mg atom concentration in the ZnO. The same was verified by the surface morphology and topography studies carried out with scanning electron microscope (SEM) and atomic electron microscopy (AFM) respectively. The reflectance in the visible region was measured to be less than 80% and found to decrease with increase in Mg concentration from 0 to 3 at. % in the buffer region. The optical bandgap was initially found to increase from 3.02 eV to 3.74 eV by increasing the Mg content from 0 to 3 at. % but subsequently decreases and drops down to 3.43 eV for a concentration of 5 at. %. The study of an Au:Pd/ZnO Schottky diode reveals that for optimum doping of the buffer layer the device exhibits superior rectifying behavior. The barrier height, ideality factor, rectification ratio, reverse saturation current and series resistance of the Schottky diode were extracted from the measured current voltage (I-V) characteristics.

  14. Structural characteristics of a non-polar ZnS layer on a ZnO buffer layer formed on a sapphire substrate by mist chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Okita, Koshi; Inaba, Katsuhiko; Yatabe, Zenji; Nakamura, Yusui

    2018-06-01

    ZnS is attractive as a material for low-cost light-emitting diodes. In this study, a non-polar ZnS layer was epitaxially grown on a sapphire substrate by inserting a ZnO buffer layer between ZnS and sapphire. The ZnS and ZnO layers were grown by a mist chemical vapor deposition system with a simple setup operated under atmospheric pressure. The sample was characterized by high-resolution X-ray diffraction measurements including 2θ/ω scans, rocking curves, and reciprocal space mapping. The results showed that an m-plane wurtzite ZnS layer grew epitaxially on an m-plane wurtzite ZnO buffer layer formed on the m-plane sapphire substrate to provide a ZnS/ZnO/sapphire structure.

  15. Spotting 2D atomic layers on aluminum nitride thin films.

    PubMed

    Chandrasekar, Hareesh; Bharadwaj B, Krishna; Vaidyuala, Kranthi Kumar; Suran, Swathi; Bhat, Navakanta; Varma, Manoj; Srinivasan Raghavan

    2015-10-23

    Substrates for 2D materials are important for tailoring their fundamental properties and realizing device applications. Aluminum nitride (AIN) films on silicon are promising large-area substrates for such devices in view of their high surface phonon energies and reasonably large dielectric constants. In this paper epitaxial layers of AlN on 2″ Si wafers have been investigated as a necessary first step to realize devices from exfoliated or transferred atomic layers. Significant thickness dependent contrast enhancements are both predicted and observed for monolayers of graphene and MoS2 on AlN films as compared to the conventional SiO2 films on silicon, with calculated contrast values approaching 100% for graphene on AlN as compared to 8% for SiO2 at normal incidences. Quantitative estimates of experimentally measured contrast using reflectance spectroscopy show very good agreement with calculated values. Transistors of monolayer graphene on AlN films are demonstrated, indicating the feasibility of complete device fabrication on the identified layers.

  16. Design of optimal buffer layers for CuInGaSe2 thin-film solar cells(Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Lordi, Vincenzo; Varley, Joel B.; He, Xiaoqing; Rockett, Angus A.; Bailey, Jeff; Zapalac, Geordie H.; Mackie, Neil; Poplavskyy, Dmitry; Bayman, Atiye

    2016-09-01

    Optimizing the buffer layer in manufactured thin-film PV is essential to maximize device efficiency. Here, we describe a combined synthesis, characterization, and theory effort to design optimal buffers based on the (Cd,Zn)(O,S) alloy system for CIGS devices. Optimization of buffer composition and absorber/buffer interface properties in light of several competing requirements for maximum device efficiency were performed, along with process variations to control the film and interface quality. The most relevant buffer properties controlling performance include band gap, conduction band offset with absorber, dopability, interface quality, and film crystallinity. Control of an all-PVD deposition process enabled variation of buffer composition, crystallinity, doping, and quality of the absorber/buffer interface. Analytical electron microscopy was used to characterize the film composition and morphology, while hybrid density functional theory was used to predict optimal compositions and growth parameters based on computed material properties. Process variations were developed to produce layers with controlled crystallinity, varying from amorphous to fully epitaxial, depending primarily on oxygen content. Elemental intermixing between buffer and absorber, particularly involving Cd and Cu, also is controlled and significantly affects device performance. Secondary phase formation at the interface is observed for some conditions and may be detrimental depending on the morphology. Theoretical calculations suggest optimal composition ranges for the buffer based on a suite of computed properties and drive process optimizations connected with observed film properties. Prepared by LLNL under Contract DE-AC52-07NA27344.

  17. High-Temperature Electromechanical Characterization of AlN Single Crystals.

    PubMed

    Kim, Taeyang; Kim, Jinwook; Dalmau, Rafael; Schlesser, Raoul; Preble, Edward; Jiang, Xiaoning

    2015-10-01

    Hexagonal AlN is a non-ferroelectric material and does not have any phase transition up to its melting point (>2000°C), which indicates the potential use of AlN for high-temperature sensing. In this work, the elastic, dielectric, and piezoelectric constants of AlN single crystals were investigated at elevated temperatures up to 1000°C by the resonance method. We used resonators of five different modes to obtain a complete set of material constants of AlN single crystals. The electrical resistivity of AlN at elevated temperature (1000°C) was found to be greater than 5 × 10(10) Ω · cm. The resonance frequency of the resonators, which was mainly determined by the elastic compliances, decreased linearly with increasing temperature, and was characterized by a relatively low temperature coefficient of frequency, in the range of -20 to -36 ppm/°C. For all the investigated resonator modes, the elastic constants and the electromechanical coupling factors exhibited excellent temperature stability, with small variations over the full temperature range, <11.2% and <17%, respectively. Of particular significance is that due to the pyroelectricity of AlN, both the dielectric and the piezoelectric constants had high thermal resistivity even at extreme high temperature (1000°C). Therefore, high electrical resistivity, temperature independence of electromechanical properties, as well as high thermal resistivity of the elastic, dielectric, and piezoelectric properties, suggest that AlN single crystals are a promising candidate for high-temperature piezoelectric sensing applications.

  18. Buffer layers on rolled nickel or copper as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, Yb.sub.2 O.sub.3 /CeO.sub.2 /Ni, RE.sub.2 O.sub.3 /Ni (RE=Rare Earth), and Yb.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, Yb.sub.2 O.sub.3 /CeO.sub.2 /Cu, RE.sub.2 O.sub.3 /Cu, and Yb.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approach, which includes chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  19. Magnetic properties of Pr-Fe-B thick-film magnets deposited on Si substrates with glass buffer layer

    NASA Astrophysics Data System (ADS)

    Nakano, M.; Kurosaki, A.; Kondo, H.; Shimizu, D.; Yamaguchi, Y.; Yamashita, A.; Yanai, T.; Fukunaga, H.

    2018-05-01

    In order to improve the magnetic properties of PLD-made Pr-Fe-B thick-film magnets deposited on Si substrates, an adoption of a glass buffer layer was carried out. The glass layer could be fabricated under the deposition rate of approximately 70 μm/h on a Si substrate using a Nd-YAG pulse laser in the vacuum atmosphere. The use of the layer enabled us to reduce the Pr content without a mechanical destruction and enhance (BH)max value by approximately 20 kJ/m3 compared with the average value of non-buffer layered Pr-Fe-B films with almost the same thickness. It is also considered that the layer is also effective to apply a micro magnetization to the films deposited on Si ones.

  20. Growth mechanisms of GaSb heteroepitaxial films on Si with an AlSb buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vajargah, S. Hosseini; Botton, G. A.; Brockhouse Institute for Materials Research, McMaster University, Hamilton, Ontario L8S 4M1

    2013-09-21

    The initial growth stages of GaSb epilayers on Si substrates and the role of the AlSb buffer layer were studied by high-angle annular dark-field scanning transmission electron microscopy (HAADF-STEM). Heteroepitaxy of GaSb and AlSb on Si both occur by Volmer-Weber (i.e., island mode) growth. However, the AlSb and GaSb islands have distinctly different characteristics as revealed through an atomic-resolution structural study using Z-contrast of HAADF-STEM imaging. While GaSb islands are sparse and three dimensional, AlSb islands are numerous and flattened. The introduction of 3D island-forming AlSb buffer layer facilitates the nucleation of GaSb islands. The AlSb islands-assisted nucleation of GaSbmore » islands results in the formation of drastically higher quality planar film at a significantly smaller thickness of films. The interface of the AlSb and GaSb epilayers with the Si substrate was further investigated with energy dispersive X-ray spectrometry to elucidate the key role of the AlSb buffer layer in the growth of GaSb epilayers on Si substrates.« less

  1. Study of the effects of GaN buffer layer quality on the dc characteristics of AlGaN/GaN high electron mobility transistors

    DOE PAGES

    Ahn, Shihyun; Zhu, Weidi; Dong, Chen; ...

    2015-04-21

    Here we studied the effect of buffer layer quality on dc characteristics of AlGaN/GaN high electron mobility (HEMTs). AlGaN/GaN HEMT structures with 2 and 5 μm GaN buffer layers on sapphire substrates from two different vendors with the same Al concentration of AlGaN were used. The defect densities of HEMT structures with 2 and 5 μm GaN buffer layer were 7 × 10 9 and 5 × 10 8 cm ₋2, respectively, as measured by transmission electron microscopy. There was little difference in drain saturation current or in transfer characteristics in HEMTs on these two types of buffer. However, theremore » was no dispersion observed on the nonpassivated HEMTs with 5 μm GaN buffer layer for gate-lag pulsed measurement at 100 kHz, which was in sharp contrast to the 71% drain current reduction for the HEMT with 2 μm GaN buffer layer.« less

  2. Ultrathin Polyaniline-based Buffer Layer for Highly Efficient Polymer Solar Cells with Wide Applicability

    PubMed Central

    Zhao, Wenchao; Ye, Long; Zhang, Shaoqing; Fan, Bin; Sun, Mingliang; Hou, Jianhui

    2014-01-01

    Interfacial buffer layers often attribute the improved device performance in organic optoelectronic device. Herein, a water-soluble hydrochloric acid doped polyanilines (HAPAN) were utilized as p-type electrode buffer layer in highly efficient polymer solar cells (PSC) based on PBDTTT-EFT and several representative polymers. The PBDTTT-EFT-based conventional PSC featuring ultrathin HAPAN (1.3 nm) delivered high PCE approximately 9%, which is one of the highest values among conventional PSC devices. Moreover, ultrathin HAPAN also exhibited wide applicability in a variety of efficient photovoltaic polymers including PBDTTT-C-T, PTB7, PBDTBDD, PBTTDPP-T, PDPP3T and P3HT. The excellent performances were originated from the high transparency, small film roughness and suitable work function. PMID:25300365

  3. The role of hydrogenated amorphous silicon oxide buffer layer on improving the performance of hydrogenated amorphous silicon germanium single-junction solar cells

    NASA Astrophysics Data System (ADS)

    Sritharathikhun, Jaran; Inthisang, Sorapong; Krajangsang, Taweewat; Krudtad, Patipan; Jaroensathainchok, Suttinan; Hongsingtong, Aswin; Limmanee, Amornrat; Sriprapha, Kobsak

    2016-12-01

    Hydrogenated amorphous silicon oxide (a-Si1-xOx:H) film was used as a buffer layer at the p-layer (μc-Si1-xOx:H)/i-layer (a-Si1-xGex:H) interface for a narrow band gap hydrogenated amorphous silicon germanium (a-Si1-xGex:H) single-junction solar cell. The a-Si1-xOx:H film was deposited by plasma enhanced chemical vapor deposition (PECVD) at 40 MHz in a same processing chamber as depositing the p-type layer. An optimization of the thickness of the a-Si1-xOx:H buffer layer and the CO2/SiH4 ratio was performed in the fabrication of the a-Si1-xGex:H single junction solar cells. By using the wide band gap a-Si1-xOx:H buffer layer with optimum thickness and CO2/SiH4 ratio, the solar cells showed an improvement in the open-circuit voltage (Voc), fill factor (FF), and short circuit current density (Jsc), compared with the solar cells fabricated using the conventional a-Si:H buffer layer. The experimental results indicated the excellent potential of the wide-gap a-Si1-xOx:H buffer layers for narrow band gap a-Si1-xGex:H single junction solar cells.

  4. Buffer layers on metal surfaces having biaxial texture as superconductor substrates

    DOEpatents

    Paranthaman, Mariappan; Lee, Dominic F.; Kroeger, Donald M.; Goyal, Amit

    2000-01-01

    Buffer layer architectures are epitaxially deposited on biaxially-textured rolled substrates of nickel and/or copper and their alloys for high current conductors, and more particularly buffer layer architectures such as Y.sub.2 O.sub.3 /Ni, YSZ/Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /Ni, (RE=Rare Earth), RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Ni, RE.sub.2 O.sub.3 /CeO.sub.2 /Ni, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Ni, Y.sub.2 O.sub.3 /Cu, YSZ/Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /Y.sub.2 O.sub.3 /Cu, RE.sub.2 O.sub.3 /CeO.sub.2 /Cu, and RE.sub.2 O.sub.3 /YSZ/CeO.sub.2 /Cu. Deposition methods include physical vapor deposition techniques which include electron-beam evaporation, rf magnetron sputtering, pulsed laser deposition, thermal evaporation, and solution precursor approaches, which include chemical vapor deposition, combustion CVD, metal-organic decomposition, sol-gel processing, and plasma spray.

  5. Efficiency enhancement of polymer solar cells by applying poly(vinylpyrrolidone) as a cathode buffer layer via spin coating or self-assembly.

    PubMed

    Wang, Haitao; Zhang, Wenfeng; Xu, Chenhui; Bi, Xianghong; Chen, Boxue; Yang, Shangfeng

    2013-01-01

    A non-conjugated polymer poly(vinylpyrrolidone) (PVP) was applied as a new cathode buffer layer in P3HT:PCBM bulk heterojunction polymer solar cells (BHJ-PSCs), by means of either spin coating or self-assembly, resulting in significant efficiency enhancement. For the case of incorporation of PVP by spin coating, power conversion efficiency (PCE) of the ITO/PEDOT:PSS/P3HT:PCBM/PVP/Al BHJ-PSC device (3.90%) is enhanced by 29% under the optimum PVP spin-coating speed of 3000 rpm, which leads to the optimum thickness of PVP layer of ~3 nm. Such an efficiency enhancement is found to be primarily due to the increase of the short-circuit current (J(sc)) (31% enhancement), suggesting that the charge collection increases upon the incorporation of a PVP cathode buffer layer, which originates from the conjunct effects of the formation of a dipole layer between P3HT:PCBM active layer and Al electrodes, the chemical reactions of PVP molecules with Al atoms, and the increase of the roughness of the top Al film. Incorporation of PVP layer by doping PVP directly into the P3HT:PCBM active layer leads to an enhancement of PCE by 13% under the optimum PVP doping ratio of 3%, and this is interpreted by the migration of PVP molecules to the surface of the active layer via self-assembly, resulting in the formation of the PVP cathode buffer layer. While the formation of the PVP cathode buffer layer is fulfilled by both fabrication methods (spin coating and self-assembly), the dependence of the enhancement of the device performance on the thickness of the PVP cathode buffer layer formed by self-assembly or spin coating is different, because of the different aggregation microstructures of the PVP interlayer.

  6. 19.5%-Efficient CuIn1-xGaxSe2 Photovoltaic Cells Using A Cd-Zn-S Buffer Layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bhattacharya. R. N.

    2008-01-01

    CuIn1-xGaxSe2 (CIGS) solar cell junctions prepared by chemical-bath-deposited (CBD) Zn1-xCdxS (CdZnS), ZnS, and CdS buffer layers are discussed. A 19.52%-efficient, CIGS-based, thin-film photovoltaic device has been fabricated using a single-layer CBD CdZnS buffer layer. The mechanism that creates extensive hydroxide and oxide impurities in CBD-ZnS and CBD-CdZnS thin films (compared to CBD-CdS thin film) is presented.

  7. Simple O2 plasma-processed V2O5 as an anode buffer layer for high-performance polymer solar cells.

    PubMed

    Bao, Xichang; Zhu, Qianqian; Wang, Ting; Guo, Jing; Yang, Chunpeng; Yu, Donghong; Wang, Ning; Chen, Weichao; Yang, Renqiang

    2015-04-15

    A simple O2 plasma processing method for preparation of a vanadium oxide (V2O5) anode buffer layer on indium tin oxide (ITO)-coated glass for polymer solar cells (PSCs) is reported. The V2O5 layer with high transmittance and good electrical and interfacial properties was prepared by spin coating a vanadium(V) triisopropoxide oxide alcohol solution on ITO and then O2 plasma treatment for 10 min [V2O5 (O2 plasma)]. PSCs based on P3HT:PC61BM and PBDTTT-C:PC71BM using V2O5 (O2 plasma) as an anode buffer layer show high power conversion efficiencies (PCEs) of 4.47 and 7.54%, respectively, under the illumination of AM 1.5G (100 mW/cm(2)). Compared to that of the control device with PBDTTT-C:PC71BM as the active layer and PSS (PCE of 6.52%) and thermally annealed V2O5 (PCE of 6.27%) as the anode buffer layer, the PCE was improved by 15.6 and 20.2%, respectively, after the introduction of a V2O5 (O2 plasma) anode buffer layer. The improved PCE is ascribed to the greatly improved fill factor and enhanced short-circuit current density of the devices, which benefited from the change in the work function of V2O5, a surface with many dangling bonds for better interfacial contact, and the excellent charge transport property of the V2O5 (O2 plasma) layer. The results indicate that an O2 plasma-processed V2O5 film is an efficient and economical anode buffer layer for high-performance PSCs. It also provides an attractive choice for low-cost fabrication of organic electronics.

  8. Effect of Alloy 625 Buffer Layer on Hardfacing of Modified 9Cr-1Mo Steel Using Nickel Base Hardfacing Alloy

    NASA Astrophysics Data System (ADS)

    Chakraborty, Gopa; Das, C. R.; Albert, S. K.; Bhaduri, A. K.; Murugesan, S.; Dasgupta, Arup

    2016-04-01

    Dashpot piston, made up of modified 9Cr-1Mo steel, is a part of diverse safety rod used for safe shutdown of a nuclear reactor. This component was hardfaced using nickel base AWS ER NiCr-B alloy and extensive cracking was experienced during direct deposition of this alloy on dashpot piston. Cracking reduced considerably and the component was successfully hardfaced by application of Inconel 625 as buffer layer prior to hardface deposition. Hence, a separate study was undertaken to investigate the role of buffer layer in reducing the cracking and on the microstructure of the hardfaced deposit. Results indicate that in the direct deposition of hardfacing alloy on modified 9Cr-1Mo steel, both heat-affected zone (HAZ) formed and the deposit layer are hard making the thickness of the hard layer formed equal to combined thickness of both HAZ and deposit. This hard layer is unable to absorb thermal stresses resulting in the cracking of the deposit. By providing a buffer layer of Alloy 625 followed by a post-weld heat treatment, HAZ formed in the modified 9Cr-1Mo steel is effectively tempered, and HAZ formed during the subsequent deposition of the hardfacing alloy over the Alloy 625 buffer layer is almost completely confined to Alloy 625, which does not harden. This reduces the cracking susceptibility of the deposit. Further, unlike in the case of direct deposition on modified 9Cr-1Mo steel, dilution of the deposit by Ni-base buffer layer does not alter the hardness of the deposit and desired hardness on the deposit surface could be achieved even with lower thickness of the deposit. This gives an option for reducing the recommended thickness of the deposit, which can also reduce the risk of cracking.

  9. Simultaneous enhancement of photovoltage and charge transfer in Cu{sub 2}O-based photocathode using buffer and protective layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Changli; Delaunay, Jean-Jacques, E-mail: jean@mech.t.u-tokyo.ac.jp; Hisatomi, Takashi

    2016-07-18

    Coating n-type buffer and protective layers on Cu{sub 2}O may be an effective means to improve the photoelectrochemical (PEC) water-splitting performance of Cu{sub 2}O-based photocathodes. In this letter, the functions of the buffer layer and protective layer on Cu{sub 2}O are examined. It is found that a Ga{sub 2}O{sub 3} buffer layer can form a buried junction with Cu{sub 2}O, which inhibits Cu{sub 2}O self-reduction as well as increases the photovoltage through a small conduction band offset between the two semiconductors. The introduction of a TiO{sub 2} thin protective layer not only improves the stability of the photocathode but alsomore » enhances the electron transfer from the photocathode surface into the electrolyte, thus resulting in an increase in photocurrent at positive potentials. These results show that the selection of overlayers with appropriate conduction band positions provides an effective strategy for obtaining a high photovoltage and high photocurrent in PEC systems.« less

  10. The effects of GaN nanocolumn arrays and thin SixNy buffer layers on the morphology of GaN layers grown by plasma-assisted molecular beam epitaxy on Si(111) substrates

    NASA Astrophysics Data System (ADS)

    Shubina, K. Yu; Pirogov, E. V.; Mizerov, A. M.; Nikitina, E. V.; Bouravleuv, A. D.

    2018-03-01

    The effects of GaN nanocolumn arrays and a thin SixNy layer, used as buffer layers, on the morphology of GaN epitaxial layers are investigated. Two types of samples with different buffer layers were synthesized by PA-MBE. The morphology of the samples was characterized by SEM. The crystalline quality of the samples was assessed by XRD. The possibility of synthesis of continuous crystalline GaN layers on Si(111) substrates without the addition of other materials such as aluminum nitride was demonstrated.

  11. Finding the lost open-circuit voltage in polymer solar cells by UV-ozone treatment of the nickel acetate anode buffer layer.

    PubMed

    Wang, Fuzhi; Sun, Gang; Li, Cong; Liu, Jiyan; Hu, Siqian; Zheng, Hua; Tan, Zhan'ao; Li, Yongfang

    2014-06-25

    Efficient polymer solar cells (PSCs) with enhanced open-circuit voltage (Voc) are fabricated by introducing solution-processed and UV-ozone (UVO)-treated nickel acetate (O-NiAc) as an anode buffer layer. According to X-ray photoelectron spectroscopy data, NiAc partially decomposed to NiOOH during the UVO treatment. NiOOH is a dipole species, which leads to an increase in the work function (as confirmed by ultraviolet photoemission spectroscopy), thus benefitting the formation of ohmic contact between the anode and photoactive layer and leading to increased Voc. In addition, the UVO treatment improves the wettability between the substrate and solvent of the active layer, which facilitates the formation of an upper photoactive layer with better morphology. Further, the O-NiAc layer can decrease the series resistance (Rs) and increase the parallel resistance (Rp) of the devices, inducing enhanced Voc in comparison with the as-prepared NiAc-buffered control devices without UVO treatment. For PSCs based on the P3HT:PCBM system, Voc increases from 0.50 to 0.60 V after the NiAc buffer layer undergoes UVO treatment. Similarly, in the P3HT:ICBA system, the Voc value of the device with a UVO-treated NiAc buffer layer increases from 0.78 to 0.88 V, showing an enhanced power conversion efficiency of 6.64%.

  12. Effect of CoSi2 buffer layer on structure and magnetic properties of Co films grown on Si (001) substrate

    NASA Astrophysics Data System (ADS)

    Hu, Bo; He, Wei; Ye, Jun; Tang, Jin; Syed Sheraz, Ahmad; Zhang, Xiang-Qun; Cheng, Zhao-Hua

    2015-01-01

    Buffer layer provides an opportunity to enhance the quality of ultrathin magnetic films. In this paper, Co films with different thickness of CoSi2 buffer layers were grown on Si (001) substrates. In order to investigate morphology, structure, and magnetic properties of films, scanning tunneling microscope (STM), low energy electron diffraction (LEED), high resolution transmission electron microscopy (HRTEM), and surface magneto-optical Kerr effect (SMOKE) were used. The results show that the crystal quality and magnetic anisotropies of the Co films are strongly affected by the thickness of CoSi2 buffer layers. Few CoSi2 monolayers can prevent the interdiffusion of Si substrate and Co film and enhance the Co film quality. Furthermore, the in-plane magnetic anisotropy of Co film with optimal buffer layer shows four-fold symmetry and exhibits the two-jumps of magnetization reversal process, which is the typical phenomenon in cubic (001) films. Project supported by the National Basic Research Program of China (Grant Nos. 2011CB921801 and 2012CB933102), the National Natural Science Foundation of China (Grant Nos. 11374350, 11034004, 11274361, and 11274033), and the Research Fund for the Doctoral Program of Higher Education of China (Grant No. 20131102130005).

  13. Terahertz characterization of Y2O3-added AlN ceramics

    NASA Astrophysics Data System (ADS)

    Kang, Seung Beom; Chung, Dong Chul; Kim, Sung-Jin; Chung, Jun-Ki; Park, Sang-Yeup; Kim, Ki-Chul; Kwak, Min Hwan

    2016-12-01

    Terahertz optical and dielectric properties of AlN ceramics fabricated by hot pressed sintering are investigated by THz time-domain spectroscopy in the frequency range of 0.2-3.5 THz. The measured properties of the pure AlN ceramic are compared with those of Y2O3-added AlN ceramic. Two prominent resonance modes, which are essentially responsible for the dielectric properties of the Y2O3-added AlN in terahertz regime, are characterized at ωTO1/(2π) = 2.76 THz (92 cm-1) and ωTO2/(2π) = 18.2 THz (605 cm-1) and are well described by the pseudo-harmonic oscillator model through theoretical fitting. The resonance ωTO1 at 2.76 THz is proposed to be due to the formation of a YAG (Y3Al5O12) secondary phase in Y2O3-added AlN ceramic. From the experimental results, good correlation is observed between the prominent peak of YAG secondary phase at 2.76 THz and thermal conductivity. Additionally, there is a high correlation between densification and refractive index of AlN ceramics fabricated by hot pressed sintering.

  14. SnS2 films deposited from molecular ink as Cd-free alternative buffer layer for solar cells

    NASA Astrophysics Data System (ADS)

    Jariwala, Akshay; Chaudhuri, Tapas K.; Toshniwal, Aditi; Patel, Sanjay; Kheraj, Vipul; Ray, Abhijit

    2018-05-01

    This work investigates the potential of SnS2 as a Cd-free alternative buffer layer for CIGS solar cells. The suitability of SnS2 film as a buffer layer has been evaluated by numerical analysis using SCAPS software. A new simple method for preparation of SnS2 films by dip-coating from molecular ink is reported. The formation of SnS2 is confirmed by Raman spectroscopy. The films are smooth and shiny with roughness of 2-3 nm. The films are n-type with band gap of 2.6 eV and electrical conductivity of 10-3 S/cm.

  15. Exploring Cd-Zn-O-S alloys for improved buffer layers in thin-film photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.; He, X.

    Here, to compete with existing and more mature solar cell technologies such as crystalline Si, thin-film photovoltaics require optimization of every aspect in the device heterostructure to reach maximum efficiencies and cost effectiveness. For absorbers like CdTe, Cu(In,Ga)Se 2 (CIGSe), and Cu 2ZnSn(S,Se) 4 (CZTSSe), improving the n-type buffer layer partner beyond conventional CdS is one avenue that can reduce photocurrent losses and improve overall performance. Here, we use first-principles calculations based on hybrid functionals to explore alloys spanning the Cd-, Zn-, O-, and S-containing phase space to identify compositions that may be superior to common buffers like pure CdSmore » or Zn(O,S). We address issues highly correlated with device performance such as lattice-matching for improved buffer-absorber epitaxy and interface quality, dopability, the band gap for reduced absorption losses in the buffer, and the conduction-band offsets shown to facilitate improved charge separation from photoexcited carriers. We supplement our analysis with device-level simulations as parameterized from our calculations and real devices to assess our conclusions of low-Zn and O content buffers showing improved performance with respect to CdS buffers.« less

  16. Exploring Cd-Zn-O-S alloys for improved buffer layers in thin-film photovoltaics

    DOE PAGES

    Varley, J. B.; Lordi, V.; He, X.; ...

    2017-07-17

    Here, to compete with existing and more mature solar cell technologies such as crystalline Si, thin-film photovoltaics require optimization of every aspect in the device heterostructure to reach maximum efficiencies and cost effectiveness. For absorbers like CdTe, Cu(In,Ga)Se 2 (CIGSe), and Cu 2ZnSn(S,Se) 4 (CZTSSe), improving the n-type buffer layer partner beyond conventional CdS is one avenue that can reduce photocurrent losses and improve overall performance. Here, we use first-principles calculations based on hybrid functionals to explore alloys spanning the Cd-, Zn-, O-, and S-containing phase space to identify compositions that may be superior to common buffers like pure CdSmore » or Zn(O,S). We address issues highly correlated with device performance such as lattice-matching for improved buffer-absorber epitaxy and interface quality, dopability, the band gap for reduced absorption losses in the buffer, and the conduction-band offsets shown to facilitate improved charge separation from photoexcited carriers. We supplement our analysis with device-level simulations as parameterized from our calculations and real devices to assess our conclusions of low-Zn and O content buffers showing improved performance with respect to CdS buffers.« less

  17. Coincident site lattice-matched growth of semiconductors on substrates using compliant buffer layers

    DOEpatents

    Norman, Andrew

    2016-08-23

    A method of producing semiconductor materials and devices that incorporate the semiconductor materials are provided. In particular, a method is provided of producing a semiconductor material, such as a III-V semiconductor, on a silicon substrate using a compliant buffer layer, and devices such as photovoltaic cells that incorporate the semiconductor materials. The compliant buffer material and semiconductor materials may be deposited using coincident site lattice-matching epitaxy, resulting in a close degree of lattice matching between the substrate material and deposited material for a wide variety of material compositions. The coincident site lattice matching epitaxial process, as well as the use of a ductile buffer material, reduce the internal stresses and associated crystal defects within the deposited semiconductor materials fabricated using the disclosed method. As a result, the semiconductor devices provided herein possess enhanced performance characteristics due to a relatively low density of crystal defects.

  18. Y1Ba2Cu3O(6+delta) growth on thin Y-enhanced SiO2 buffer layers on silicon

    NASA Technical Reports Server (NTRS)

    Robin, T.; Mesarwi, A.; Wu, N. J.; Fan, W. C.; Espoir, L.; Ignatiev, A.; Sega, R.

    1991-01-01

    SiO2 buffer layers as thin as 2 nm have been developed for use in the growth of Y1Ba2Cu3O(6+delta) thin films on silicon substrates. The SiO2 layers are formed through Y enhancement of silicon oxidation, and are highly stoichiometric. Y1Ba2Cu3O(6+delta) film growth on silicon with thin buffer layers has shown c orientation and Tc0 = 78 K.

  19. Modified secondary lithium metal batteries with the polyaniline-carbon nanotube composite buffer layer.

    PubMed

    Zhang, Ding; Yin, Yanli; Liu, Changhong; Fan, Shoushan

    2015-01-07

    A modified secondary lithium metal battery inserted with a polyaniline-carbon nanotube nanoporous composite buffer layer was fabricated. This unique and simple design of battery has the great potential to decrease the safety risk of the secondary Li metal battery in cycles of recharging processes and improve its cycle life in the future.

  20. Stress in (Al, Ga)N heterostructures grown on 6H-SiC and Si substrates byplasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Koshelev, O. A.; Nechaev, D. V.; Sitnikova, A. A.; Ratnikov, V. V.; Ivanov, S. V.; Jmerik, V. N.

    2017-11-01

    The paper describes experimental results on low temperature plasma-assisted molecular beam epitaxy of GaN/AlN heterostructures on both 6H-SiC and Si(111) substrates. We demonstrate that application of migration enhanced epitaxy and metal-modulated epitaxy for growth of AlN nucleation and buffer layers lowers the screw and edge(total)threading dislocation (TD) densities down to 1.7·108 and 2·109 cm-2, respectively, in a 2.8-μm-thick GaN buffer layer grown atop of AlN/6H-SiC. The screw and total TD densities of 1.2·109 and 7.4·109 cm-2, respectively, were achieved in a 1-μm-thickGaN/AlNheterostructure on Si(111). Stress generation and relaxation in GaN/AlN heterostructures were investigated by using multi-beam optical stress sensor (MOSS) to achieve zero substrate curvature at room temperature. It is demonstrated that a 1-μm-thick GaN/AlN buffer layer grown by PA MBE provides planar substrate morphology in the case of growth on Si substrates whereas 5-μm-thick GaN buffer layers have to be used to achieve the same when growing on 6H-SiC substrates.

  1. Improved high temperature integration of Al{sub 2}O{sub 3} on MoS{sub 2} by using a metal oxide buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Son, Seokki; Choi, Moonseok; Kim, Dohyung

    2015-01-12

    We deposited a metal oxide buffer layer before atomic layer deposition (ALD) of Al{sub 2}O{sub 3} onto exfoliated molybdenum disulfide (MoS{sub 2}) in order to accomplish enhanced integration. We demonstrate that even at a high temperature, functionalization of MoS{sub 2} by means of a metal oxide buffer layer can effectively provide nucleation sites for ALD precursors, enabling much better surface coverage of Al{sub 2}O{sub 3}. It is shown that using a metal oxide buffer layer not only allows high temperature ALD process, resulting in highly improved quality of Al{sub 2}O{sub 3}/MoS{sub 2} interface, but also leaves MoS{sub 2} intact.

  2. Electron microscopy characterization of AlGaN/GaN heterostructures grown on Si (111) substrates

    NASA Astrophysics Data System (ADS)

    Gkanatsiou, A.; Lioutas, Ch. B.; Frangis, N.; Polychroniadis, E. K.; Prystawko, P.; Leszczynski, M.

    2017-03-01

    AlGaN/GaN buffer heterostructures were grown on "on axis" and 4 deg off Si (111) substrates by MOVPE. The electron microscopy study reveals the very good epitaxial growth of the layers. Almost c-plane orientated nucleation grains are achieved after full AlN layer growth. Step-graded AlGaN layers were introduced, in order to prevent the stress relaxation and to work as a dislocation filter. Thus, a crack-free smooth surface of the final GaN epitaxial layer is achieved in both cases, making the buffer structure ideal for the forthcoming growth of the heterostructure (used for HEMT device applications). Finally, the growth of the AlGaN/GaN heterostructure on top presents characteristic and periodic undulations (V-pits) on the surface, due to strain relaxation reasons. The AlN interlayer grown in between the heterostructure demonstrates an almost homogeneous thickness, probably reinforcing the 2DEG electrical characteristics.

  3. FIBER AND INTEGRATED OPTICS: Investigation of a fiber-optic polarizer with a metal film and a dielectric buffer layer

    NASA Astrophysics Data System (ADS)

    Gelikonov, V. M.; Gusovskiĭ, D. D.; Konoplev, Yu N.; Leonov, V. I.; Mamaev, Yu A.; Turkin, A. A.

    1990-01-01

    A model of a plane-layer waveguide is used in a theoretical analysis of the attenuation coefficients of the TM0 and TE0 waves in a fiber-optic polarizer with a metal film and two dielectric buffer layers, one of which is the residual part of the fiber cladding. A report is given of the construction and experimental investigation of polarizers with a buffer layer of magnesium fluoride and an aluminum film operating at wavelengths of 0.63 and 0.81 μm and characterized by extinction coefficients of at least 53 and 46 dB, respectively, and by losses not exceeding 0.5 dB.

  4. High quality Ge epilayer on Si (1 0 0) with an ultrathin Si1-x Ge x /Si buffer layer by RPCVD

    NASA Astrophysics Data System (ADS)

    Chen, Da; Guo, Qinglei; Zhang, Nan; Xu, Anli; Wang, Bei; Li, Ya; Wang, Gang

    2017-07-01

    The authors report a method to grow high quality strain-relaxed Ge epilayer on a combination of low temperature Ge seed layer and Si1-x Ge x /Si superlattice buffer layer by reduced pressure chemical vapor deposition system without any subsequent annealing treatment. Prior to the growth of high quality Ge epilayer, an ultrathin Si1-x Ge x /Si superlattice buffer layer with the thickness of 50 nm and a 460 nm Ge seed layer were deposited successively at low temperature. Then an 840 nm Ge epilayer was grown at high deposition rate with the surface root-mean-square roughness of 0.707 nm and threading dislocation density of 2.5  ×  106 cm-2, respectively. Detailed investigations of the influence of ultrathin low-temperature Si1-x Ge x /Si superlattice buffer layer on the quality of Ge epilayer were performed, which indicates that the crystalline quality of Ge epilayer can be significantly improved by enhancing the Ge concentration of Si1-x Ge x /Si superlattice buffer layer.

  5. Surfactant effect of gallium during molecular-beam epitaxy of GaN on AlN (0001)

    NASA Astrophysics Data System (ADS)

    Mula, Guido; Adelmann, C.; Moehl, S.; Oullier, J.; Daudin, B.

    2001-11-01

    We study the adsorption of Ga on (0001) GaN surfaces by reflection high-energy electron diffraction. It is shown that a dynamically stable Ga bilayer can be formed on the GaN surface for appropriate Ga fluxes and substrate temperatures. The influence of the presence of this Ga film on the growth mode of GaN on AlN(0001) by plasma-assisted molecular-beam epitaxy is studied. It is demonstrated that under nearly stoichiometric and N-rich conditions, the GaN layer relaxes elastically during the first stages of epitaxy. At high temperatures the growth follows a Stranski-Krastanov mode, whereas at lower temperatures kinetically formed flat platelets are observed. Under Ga-rich conditions-where a Ga bilayer is rapidly formed due to excess Ga accumulating on the surface-the growth follows a Frank-van der Merwe layer-by-layer mode at any growth temperature and no initial elastic relaxation occurs. Hence, it is concluded that excess Ga acts as a surfactant, effectively suppressing both Stranski-Krastanov islanding and platelet formation. It is further demonstrated that the Stranski-Krastanov transition is in competition with elastic relaxation by platelets, and it is only observed when relaxation by platelets is inefficient. As a result, a growth mode phase diagram is outlined for the growth of GaN on AlN(0001).

  6. The Oxidation of AlN in Dry and Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth; Humphrey, Donald; Jacobson, Nathan; Yoshio, Tetsuo; Oda, Kohei

    1998-01-01

    The oxidation kinetics of AlN containing 3.5 wt% Y2O3 were studied by thermogravimetric analysis in dry oxygen and 10% H2O/balance oxygen at temperatures between 1000 and 1200 C for times between 48 and 100 h. The oxidation kinetics for AlN in dry oxygen were parabolic and of approximately the same magnitude and temperature dependence as other alumina forming materials. In this case, diffusion of oxygen and/or aluminum through the alumina scale is the rate limiting mechanism. The oxidation kinetics for AlN in wet oxygen were nearly linear and much more rapid than rates observed in dry oxygen. Numerous micropores were observed in the alumina formed on AIN in wet oxygen. These pores provide a fast path for oxygen transport. The linear kinetics observed in this case suggest that the interface reaction rate of AlN with wet oxygen is the oxidation rate limiting step.

  7. Directed Vertical Diffusion of Photovoltaic Active Layer Components into Porous ZnO-Based Cathode Buffer Layers.

    PubMed

    Kang, Jia-Jhen; Yang, Tsung-Yu; Lan, Yi-Kang; Wu, Wei-Ru; Su, Chun-Jen; Weng, Shih-Chang; Yamada, Norifumi L; Su, An-Chung; Jeng, U-Ser

    2018-04-01

    Cathode buffer layers (CBLs) can effectively further the efficiency of polymer solar cells (PSCs), after optimization of the active layer. Hidden between the active layer and cathode of the inverted PSC device configuration is the critical yet often unattended vertical diffusion of the active layer components across CBL. Here, a novel methodology of contrast variation with neutron and anomalous X-ray reflectivity to map the multicomponent depth compositions of inverted PSCs, covering from the active layer surface down to the bottom of the ZnO-based CBL, is developed. Uniquely revealed for a high-performance model PSC are the often overlooked porosity distributions of the ZnO-based CBL and the differential diffusions of the polymer PTB7-Th and fullerene derivative PC 71 BM of the active layer into the CBL. Interface modification of the ZnO-based CBL with fullerene derivative PCBEOH for size-selective nanochannels can selectively improve the diffusion of PC 71 BM more than that of the polymer. The deeper penetration of PC 71 BM establishes a gradient distribution of fullerene derivatives over the ZnO/PCBE-OH CBL, resulting in markedly improved electron mobility and device efficiency of the inverted PSC. The result suggests a new CBL design concept of progressive matching of the conduction bands. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Chemical shielding properties for BN, BP, AlN, and AlP nanocones: DFT studies

    NASA Astrophysics Data System (ADS)

    Mirzaei, Mahmoud; Yousefi, Mohammad; Meskinfam, Masoumeh

    2012-06-01

    The properties of boron nitride (BN), boron phosphide (BP), aluminum nitride (AlN), and aluminum phosphide (AlP) nanocones were investigated by density functional theory (DFT) calculations. The investigated structures were optimized and chemical shielding (CS) properties including isotropic and anisotropic CS parameters were calculated for the atoms of the optimized structures. The magnitudes of CS parameters were observed to be mainly dependent on the bond lengths of considered atoms. The results indicated that the atoms could be divided into atomic layers due to the similarities of their CS properties for the atoms of each layer. The trend means that the atoms of each layer detect almost similar electronic environments. Moreover, the atoms at the apex and mouth of nanocones exhibit different properties with respect to the other atomic layers.

  9. First-principles study on stability, and growth strategies of small AlnZr (n=1-9) clusters

    NASA Astrophysics Data System (ADS)

    Li, Zhi; Zhou, Zhonghao; Wang, Hongbin; Li, Shengli; Zhao, Zhen

    2016-09-01

    The geometries, relative stability as well as growth strategies of the AlnZr (n=1-9) clusters are investigated with spin polarized density functional theory: BLYP. The results reveal that the AlnZr clusters are more likely to form the dense accumulation structures than the AlN (N=1-10) clusters. The average binding energies of AlnZr are higher than those of AlN clusters. The AlnZr (n=3, 5, and 7) clusters are more stable than others by the differences of the total binding energies. Mülliken population analysis for the AlnZr clusters shows that the electron's adsorption ability of Zr is slightly lower than that of Al except for AlZr cluster. Local peaks of the HOMO-LUMO gap curve are found at n=3, 5, and 7. The reaction energies of AlnZr are higher, which means that AlnZr clusters are easier to react with Al clusters. Zr atom preferential reacts with Al2 cluster. Local peaks of the magnetic dipole moments are found at n=2, 5, and 8.

  10. Electrical properties of n-type GaSb substrates and p-type GaSb buffer layers for InAs/InGaSb superlattice infrared detectors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitchel, W. C., E-mail: William.Mitchel.1@us.af.mil; Haugan, H. J.; Mou, Shin

    2015-09-15

    Lightly doped n-type GaSb substrates with p-type GaSb buffer layers are the preferred templates for growth of InAs/InGaSb superlattices used in infrared detector applications because of relatively high infrared transmission and a close lattice match to the superlattices. We report here temperature dependent resistivity and Hall effect measurements of bare substrates and substrate-p-type buffer layer structures grown by molecular beam epitaxy. Multicarrier analysis of the resistivity and Hall coefficient data demonstrate that high temperature transport in the substrates is due to conduction in both the high mobility zone center Γ band and the low mobility off-center L band. High overallmore » mobility values indicate the absence of close compensation and that improved infrared and transport properties were achieved by a reduction in intrinsic acceptor concentration. Standard transport measurements of the undoped buffer layers show p-type conduction up to 300 K indicating electrical isolation of the buffer layer from the lightly n-type GaSb substrate. However, the highest temperature data indicate the early stages of the expected p to n type conversion which leads to apparent anomalously high carrier concentrations and lower than expected mobilities. Data at 77 K indicate very high quality buffer layers.« less

  11. Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Rui; University of Chinese Academy of Sciences, Beijing 100049; Makise, Kazumasa

    We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{supmore » 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.« less

  12. Strain effect in epitaxial VO2 thin films grown on sapphire substrates using SnO2 buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, Heungsoo; Bingham, Nicholas S.; Charipar, Nicholas A.; Piqué, Alberto

    2017-10-01

    Epitaxial VO2/SnO2 thin film heterostructures were deposited on m-cut sapphire substrates via pulsed laser deposition. By adjusting SnO2 (150 nm) growth conditions, we are able to control the interfacial strain between the VO2 film and SnO2 buffer layer such that the semiconductor-to-metal transition temperature (TC) of VO2 films can be tuned without diminishing the magnitude of the transition. It is shown that in-plane tensile strain and out-of-plane compressive strain of the VO2 film leads to a decrease of Tc. Interestingly, VO2 films on SnO2 buffer layers exhibit a structural phase transition from tetragonal-like VO2 to tetragonal-VO2 during the semiconductor-to-metal transition. These results suggest that the strain generated by SnO2 buffer provides an effective way for tuning the TC of VO2 films.

  13. Long wavelength emitting GaInN quantum wells on metamorphic GaInN buffer layers with enlarged in-plane lattice parameter

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Däubler, J., E-mail: juergen.daeubler@iaf.fraunhofer.de; Passow, T.; Aidam, R.

    Metamorphic (i.e., linear composition graded) GaInN buffer layers with an increased in-plane lattice parameter, grown by plasma-assisted molecular beam epitaxy, were used as templates for metal organic vapor phase epitaxy (MOVPE) grown GaInN/GaInN quantum wells (QWs), emitting in the green to red spectral region. A composition pulling effect was observed allowing considerable higher growth temperatures for the QWs for a given In composition. The internal quantum efficiency (IQE) of the QWs was determined by temperature and excitation power density dependent photoluminescence (PL) spectroscopy. An increase in IQE by a factor of two was found for green emitting QWs grown onmore » metamorphic GaInN buffer compared to reference samples grown on standard GaN buffer layers. The ratio of room temperature to low temperature intensity PL of the red emitting QWs were found to be comparable to the PL efficiency of green emitting QWs, both grown on metamorphic GaInN buffers. The excitation density and well width dependence of the IQE indicate a reduction of the quantum confined Stark effect upon growth on GaInN buffer layers with increased in-plane lattice parameter.« less

  14. Understanding AlN Obtaining Through Computational Thermodynamics Combined with Experimental Investigation

    NASA Astrophysics Data System (ADS)

    Florea, R. M.

    2017-06-01

    Basic material concept, technology and some results of studies on aluminum matrix composite with dispersive aluminum nitride reinforcement was shown. Studied composites were manufactured by „in situ” technique. Aluminum nitride (AlN) has attracted large interest recently, because of its high thermal conductivity, good dielectric properties, high flexural strength, thermal expansion coefficient matches that of Si and its non-toxic nature, as a suitable material for hybrid integrated circuit substrates. AlMg alloys are the best matrix for AlN obtaining. Al2O3-AlMg, AlN-Al2O3, and AlN-AlMg binary diagrams were thermodynamically modelled. The obtained Gibbs free energies of components, solution parameters and stoichiometric phases were used to build a thermodynamic database of AlN- Al2O3-AlMg system. Obtaining of AlN with Liquid-phase of AlMg as matrix has been studied and compared with the thermodynamic results. The secondary phase microstructure has a significant effect on the final thermal conductivity of the obtained AlN. Thermodynamic modelling of AlN-Al2O3-AlMg system provided an important basis for understanding the obtaining behavior and interpreting the experimental results.

  15. Understanding the growth of micro and nano-crystalline AlN by thermal plasma process

    NASA Astrophysics Data System (ADS)

    Kanhe, Nilesh S.; Nawale, Ashok B.; Gawade, Rupesh L.; Puranik, Vedavati G.; Bhoraskar, Sudha V.; Das, Asoka K.; Mathe, Vikas L.

    2012-01-01

    We report the studies related to the growth of crystalline AlN in a DC thermal plasma reactor, operated by a transferred arc plasma torch. The reactor is capable of producing the nanoparticles of Al and AlN depending on the composition of the reacting gas. Al and AlN micro crystals are formed at the anode placed on the graphite and nano crystalline Al and AlN gets deposited on the inner surface of the plasma reactor. X-ray diffraction, Raman spectroscopy analysis, single crystal X-ray diffraction and TGA-DTA techniques are used to infer the purity of post process crystals as a hexagonal AlN. The average particle size using SEM was found to be around 30 μm. The morphology of nanoparticles of Al and AlN, nucleated by gas phase condensation in a homogeneous medium were studied by transmission electron microscopy analysis. The particle ranged in size between 15 and 80 nm in diameter. The possible growth mechanism of crystalline AlN at the anode has been explained on the basis of non-equilibrium processes in the core of the plasma and steep temperature gradient near its periphery. The gas phase species of AlN and various constituent were computed using Murphy code based on minimization of free energy. The process provides 50% yield of microcrystalline AlN and remaining of Al at anode and that of nanocrystalline h-AlN and c-Al collected from the walls of the chamber is about 33% and 67%, respectively.

  16. Evaluation of methods for application of epitaxial layers of superconductor and buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    1997-06-01

    The recent achievements in a number of laboratories of critical currents in excess of 1.0x10{sup 6} amp/cm{sup 2} at 77K in YBCO deposited over suitably textured buffer/substrate composites have stimulated interest in the potential applications of coated conductors at high temperatures and high magnetic fields. As of today, two different approaches for obtaining the textured substrates have been identified. These are: Los Alamos National Laboratory`s (LANL) ion-beam assisted deposition called IBAD, to obtain a highly textured yttria-stabilized zirconia (YSZ) buffer on nickel alloy strips, and Oak Ridge National Laboratory`s (ORNL) rolling assisted, bi-axial texturized substrate option called RABiTS. Similarly, basedmore » on the published literature, the available options to form High Temperature Superconductor (HTS) films on metallic, semi-metallic or ceramic substrates can be divided into: physical methods, and non-physical or chemical methods. Under these two major groups, the schemes being proposed consist of: - Sputtering - Electron-Beam Evaporation - Flash Evaporation - Molecular Beam Epitaxy - Laser Ablation - Electrophoresis - Chemical Vapor Deposition (Including Metal-Organic Chemical Vapor Deposition) - Sol-Gel - Metal-Organic Decomposition - Electrodeposition, and - Aerosol/Spray Pyrolysis. In general, a spool- to-spool or reel-to-reel type of continuous manufacturing scheme developed out of any of the above techniques, would consist of: - Preparation of Substrate Material - Preparation and Application of the Buffer Layer(s) - Preparation and Application of the HTS Material and Required Post-Annealing, and - Preparation and Application of the External Protective Layer. These operations would be affected by various process parameters which can be classified into: Chemistry and Material Related Parameters; and Engineering and Environmental Based Parameters. Thus, one can see that for successful development of the coated conductors manufacturing process

  17. Growth and characterizations of various GaN nanostructures on C-plane sapphire using laser MBE

    NASA Astrophysics Data System (ADS)

    Ch., Ramesh; Tyagi, P.; Maurya, K. K.; Kumar, M. Senthil; Kushvaha, S. S.

    2017-05-01

    We have grown various GaN nanostructures such as three-dimensional islands, nanowalls and nanocolumns on c-plane sapphire substrates using laser assisted molecular beam epitaxy (LMBE) system. The shape of the GaN nanostructures was controlled by using different nucleation surfaces such as bare and nitridated sapphire with GaN or AlN buffer layers. The structural and surface morphological properties of grown GaN nanostructures were characterized by ex-situ high resolution x-ray diffraction, Raman spectroscopy and field emission scanning electron microscopy. The symmetric x-ray rocking curve along GaN (0002) plane shows that the GaN grown on pre-nitridated sapphire with GaN or AlN buffer layer possesses good crystalline quality compared to sapphire without nitridation. The Raman spectroscopy measurements revealed the wurtzite phase for all the GaN nanostructures grown on c-sapphire.

  18. Magneto-optical properties of CoFeB ultrathin films: Effect of Ta buffer and capping layer

    NASA Astrophysics Data System (ADS)

    Husain, Sajid; Gupta, Nanhe Kumar; Barwal, Vineet; Chaudhary, Sujeet

    2018-05-01

    The effect of adding Ta as a capping and buffer layer on ultrathin CFB(Co60Fe20B20) thin films has been investigated by magneto-optical Kerr effect. A large difference in the coercivity and saturation field is observed between the single layer CFB(2nm) and Ta(5nm)/CFB(2nm)/Ta(2nm) trilayer structure. In particular, the in-plane anisotropy energy is found to be 90kJ/m3 on CFB(2nm) and 2.22kJ/m3 for Ta(5nm)/CFB(2nm)/Ta(2nm) thin films. Anisotropy energy further reduced to 0.93kJ/m3 on increasing the CFB thinness in trilayer structure i.e., Ta(5nm)/CFB(4nm)/Ta(2nm). Using VSM measurement, the saturation magnetization is found to be 1230±50 kA/m. Low coercivity and anisotropy energy in capped and buffer layer thin films envisage the potential of employing CFB for low field switching applications of the spintronic devices.

  19. Investigation of noble metal substrates and buffer layers for BiSrCaCuO thin films

    NASA Astrophysics Data System (ADS)

    Matthiesen, M. M.; Rubin, L. M.; Williams, K. E.; Rudman, D. A.

    Noble metal buffer layers and substrates for Bi2Sr2CaCu2O8 (BSCCO) films were investigated using bulk ceramic processing and thin-film techniques. Highly oriented, superconducting BSCCO films were fabricated on polycrystalline Ag substrates and on Ag/MgO and Ag/YSZ structures. Such films could not be produced on Au or Pt substrates under any annealing conditions. In addition, superconducting BSCCO films could not be produced on Ag/Al2O3, Ag/SiO2/Si, or Ag/(Haynes 230 alloy) structures using high annealing temperatures (870 C). However, oriented although poorly connected, superconducting BSCCO films were fabricated on Ag/Al2O3 structures by using lower annealing temperatures (820 C). Once lower processing temperatures are optimized, Ag may be usable as a buffer layer for BSCCO films.

  20. On compensation in Si-doped AlN

    NASA Astrophysics Data System (ADS)

    Harris, Joshua S.; Baker, Jonathon N.; Gaddy, Benjamin E.; Bryan, Isaac; Bryan, Zachary; Mirrielees, Kelsey J.; Reddy, Pramod; Collazo, Ramón; Sitar, Zlatko; Irving, Douglas L.

    2018-04-01

    Controllable n-type doping over wide ranges of carrier concentrations in AlN, or Al-rich AlGaN, is critical to realizing next-generation applications in high-power electronics and deep UV light sources. Silicon is not a hydrogenic donor in AlN as it is in GaN; despite this, the carrier concentration should be controllable, albeit less efficiently, by increasing the donor concentration during growth. At low doping levels, an increase in the Si content leads to a commensurate increase in free electrons. Problematically, this trend does not persist to higher doping levels. In fact, a further increase in the Si concentration leads to a decrease in free electron concentration; this is commonly referred to as the compensation knee. While the nature of this decrease has been attributed to a variety of compensating defects, the mechanism and identity of the predominant defects associated with the knee have not been conclusively determined. Density functional theory calculations using hybrid exchange-correlation functionals have identified VAl+n SiAl complexes as central to mechanistically understanding compensation in the high Si limit in AlN, while secondary impurities and vacancies tend to dominate compensation in the low Si limit. The formation energies and optical signatures of these defects in AlN are calculated and utilized in a grand canonical charge balance solver to identify carrier concentrations as a function of Si content. The results were found to qualitatively reproduce the experimentally observed compensation knee. Furthermore, these calculations predict a shift in the optical emissions present in the high and low doping limits, which is confirmed with detailed photoluminescence measurements.

  1. Improvement of transmission properties of visible pilot beam for polymer-coated silver hollow fibers with acrylic silicone resin as buffer layer for sturdy structure

    NASA Astrophysics Data System (ADS)

    Iwai, Katsumasa; Takaku, Hiroyuki; Miyagi, Mitsunobu; Shi, Yi-Wei; Zhu, Xiao-Song; Matsuura, Yuji

    2017-02-01

    Flexible hollow fibers with 530-μm-bore size were developed for infrared laser delivery. Sturdy hollow fibers were fabricated by liquid-phase coating techniques. A silica glass capillary is used as the substrate. Acrylic silicone resin is used as a buffer layer and the buffer layer is firstly coated on the inner surface of the capillary to protect the glass tube from chemical damages due to the following silver plating process. A silver layer was inner-plated by using the conventional silver mirror-plating technique. To improve adhesion of catalyst to the buffer layer, a surface conditioner has been introduced in the method of silver mirror-plating technique. We discuss improvement of transmission properties of sturdy polymer-coated silver hollow fibers for the Er:YAG laser and red pilot beam delivery.

  2. Evidence for graphite-like hexagonal AlN nanosheets epitaxially grown on single crystal Ag(111)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tsipas, P.; Kassavetis, S.; Tsoutsou, D.

    Ultrathin (sub-monolayer to 12 monolayers) AlN nanosheets are grown epitaxially by plasma assisted molecular beam epitaxy on Ag(111) single crystals. Electron diffraction and scanning tunneling microscopy provide evidence that AlN on Ag adopts a graphite-like hexagonal structure with a larger lattice constant compared to bulk-like wurtzite AlN. This claim is further supported by ultraviolet photoelectron spectroscopy indicating a reduced energy bandgap as expected for hexagonal AlN.

  3. Chemical Bath Deposited Zinc Sulfide Buffer Layers for Copper Indium Gallium Sulfur-selenide Solar Cells and Device Analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kundu, Sambhu N.; Olsen, Larry C.

    2005-01-03

    Cd free CIGSS thin film solar cell structures with a MgF2/TCO/CGD-ZnS/CIGSS/Mo/SLG structure have been fabricated using chemical bath deposited (CBD)-ZnS buffer layers and high quality CIGSS absorber layers supplied from Shell Solar Industries. The use of CBD-ZnS, which is a higher band gap materials than CdS, improved the quantum efficiency of fabricated cells at lower wavelengths, leading to an increase in short circuit current. The best cell to date yielded an active area (0.43 cm2) efficiency of 13.3%. This paper also presents a discussion of the issues relating to the use of the CBD-ZnS buffer materials for improving device performance.

  4. The influence of point defects on the thermal conductivity of AlN crystals

    NASA Astrophysics Data System (ADS)

    Rounds, Robert; Sarkar, Biplab; Alden, Dorian; Guo, Qiang; Klump, Andrew; Hartmann, Carsten; Nagashima, Toru; Kirste, Ronny; Franke, Alexander; Bickermann, Matthias; Kumagai, Yoshinao; Sitar, Zlatko; Collazo, Ramón

    2018-05-01

    The average bulk thermal conductivity of free-standing physical vapor transport and hydride vapor phase epitaxy single crystal AlN samples with different impurity concentrations is analyzed using the 3ω method in the temperature range of 30-325 K. AlN wafers grown by physical vapor transport show significant variation in thermal conductivity at room temperature with values ranging between 268 W/m K and 339 W/m K. AlN crystals grown by hydride vapor phase epitaxy yield values between 298 W/m K and 341 W/m K at room temperature, suggesting that the same fundamental mechanisms limit the thermal conductivity of AlN grown by both techniques. All samples in this work show phonon resonance behavior resulting from incorporated point defects. Samples shown by optical analysis to contain carbon-silicon complexes exhibit higher thermal conductivity above 100 K. Phonon scattering by point defects is determined to be the main limiting factor for thermal conductivity of AlN within the investigated temperature range.

  5. Effect of dopent on the structural and optical properties of ZnS thin film as a buffer layer in solar cell application

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vashistha, Indu B., E-mail: indu-139@yahoo.com; Sharma, S. K.; Sharma, Mahesh C.

    2015-08-28

    In order to find the suitable alternative of toxic CdS buffer layer, deposition of pure ZnS and doped with Al by chemical bath deposition method have been reported. Further as grown pure and doped thin films have been annealed at 150°C. The structural and surface morphological properties have been characterized by X-Ray diffraction (XRD) and Atomic Force Microscope (AFM).The XRD analysis shows that annealed thin film has been polycrystalline in nature with sphalerite cubic crystal structure and AFM images indicate increment in grain size as well as growth of crystals after annealing. Optical measurement data give band gap of 3.5more » eV which is ideal band gap for buffer layer for solar cell suggesting that the obtained ZnS buffer layer is suitable in a low-cost solar cell.« less

  6. Mechanical and physicochemical properties of AlN thin films obtained by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Cibert, C.; Tétard, F.; Djemia, P.; Champeaux, C.; Catherinot, A.; Tétard, D.

    2004-10-01

    AlN thin films have been deposited on Si(100) substrates by a pulsed laser deposition method. The deposition parameters (pressure, temperature, purity of target) play an important role in the mechanical and physicochemical properties. The films have been characterized using X-ray diffraction, atomic force microscopy, Brillouin light scattering, Fourier transform infrared spectroscopy and wettability testing. With a high purity target of AlN and a temperature deposition of 750 ∘C, the measured Rayleigh wave velocity is close to the one previously determined for AlN films grown at high temperature by metal-organic chemical vapour deposition. Growth of nanocrystalline AlN at low temperature and of AlN film with good crystallinity for samples deposited at higher temperature is confirmed by infrared spectroscopy, as it was by atomic force microscopy, in agreement with X-ray diffraction results. A high hydrophobicity has been measured with zero polar contribution for the surface energy. These results confirm that films made by pulsed laser deposition of pure AlN at relatively low temperature have good prospects for microelectromechanical systems applications.

  7. Far-infrared transmission in GaN, AlN, and AlGaN thin films grown by molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ibanez, J.; Hernandez, S.; Alarcon-Llado, E.

    2008-08-01

    We present a far-infrared transmission study on group-III nitride thin films. Cubic GaN and AlN layers and c-oriented wurtzite GaN, AlN, and Al{sub x}Ga{sub 1-x}N (x<0.3) layers were grown by molecular beam epitaxy on GaAs and Si(111) substrates, respectively. The Berreman effect allows us to observe simultaneously the transverse optic and the longitudinal optic phonons of both the cubic and the hexagonal films as transmission minima in the infrared spectra acquired with obliquely incident radiation. We discuss our results in terms of the relevant electromagnetic theory of infrared transmission in cubic and wurtzite thin films. We compare the infrared resultsmore » with visible Raman-scattering measurements. In the case of films with low scattering volumes and/or low Raman efficiencies and also when the Raman signal of the substrate material obscures the weaker peaks from the nitride films, we find that the Berreman technique is particularly useful to complement Raman spectroscopy.« less

  8. Nanometer-Scale Epitaxial Strain Release in Perovskite Heterostructures Using 'SrAlOx' Sliding Buffer Layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bell, Christopher

    2011-08-11

    We demonstrate the strain release of LaAlO{sub 3} epitaxial film on SrTiO{sub 3} (001) by inserting ultra-thin 'SrAlO{sub x}' buffer layers. Although SrAlO{sub x} is not a perovskite, nor stable as a single phase in bulk, epitaxy stabilizes the perovskite structure up to a thickness of 2 unit cells (uc). At a critical thickness of 3 uc of SrAlO{sub x}, the interlayer acts as a sliding buffer layer, and abruptly relieves the lattice mismatch between the LaAlO{sub 3} filmand the SrTiO{sub 3} substrate, while maintaining crystallinity. This technique may provide a general approach for strain relaxation of perovskite film farmore » below the thermodynamic critical thickness. A central issue in heteroepitaxial filmgrowth is the inevitable difference in lattice constants between the filmand substrate. Due to this lattice mismatch, thin film are subjected to microstructural strain, which can have a significan effect on the filmproperties. This challenge is especially prominent in the rapidly developing fiel of oxide electronics, where much interest is focused on incorporating the emergent physical properties of oxides in devices. Although strain can be used to great effect to engineer unusual ground states, it is often deleterious for bulk first-orde phase transitions, which are suppressed by the strain and symmetry constraints of the substrate. While there are some reports discussing the control of the lattice mismatch in oxides using thick buffer layers, the materials choice, lattice-tunable range, and control of misfit dislocations are still limited. In this Letter, we report the fabrication of strain-relaxed LaAlO{sub 3} (LAO) thin film on SrTiO{sub 3} (STO) (001) using very thin 'SrAlO{sub x}' (SAO) buffer layers. Whereas for 1 or 2 pseudo-perovskite unit cells (uc) of SAO, the subsequent LAO filmis strained to the substrate, at a critical thickness of 3 uc the SAO interlayer abruptly relieves the lattice mismatch between the LAO and the STO, although maintaining

  9. Growth of ZnO(0001) on GaN(0001)/4H-SiC buffer layers by plasma-assisted hybrid molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Ive, Tommy

    2015-09-01

    Plasma-assisted molecular beam epitaxy was used to grow ZnO(0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 445 °C and an O2 flow rate of 2.5 standard cubic centimeters per minute, we obtained ZnO layers with statistically smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm as revealed by atomic force microscopy. The full-width-at-half-maximum for x-ray rocking curves obtained across the ZnO(0002) and ZnO(10 1 bar 5) reflections was 198 and 948 arcsec, respectively. These values indicated that the mosaicity of the ZnO layer was comparable to the corresponding values of the underlying GaN buffer layer. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82% and 73%, respectively, and that the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements revealed that the layers were inherently n-type and had an electron concentration of 1×1019 cm-3 and a Hall mobility of 51 cm2/V s.

  10. Characterization of ZrO2 buffer layers for sequentially evaporated Y-Ba-CuO on Si and Al2O3 substrates

    NASA Technical Reports Server (NTRS)

    Valco, George J.; Rohrer, Norman J.; Pouch, John J.; Warner, Joseph D.; Bhasin, Kul B.

    1988-01-01

    Thin film high temperature superconductors have the potential to change the microwave technology for space communications systems. For such applications it is desirable that the films be formed on substrates such as Al2O3 which have good microwave properties. The use of ZrO2 buffer layers between Y-Ba-Cu-O and the substrate has been investigated. These superconducting films have been formed by multilayer sequential electron beam evaporation of Cu, BaF2 and Y with subsequent annealing. The three layer sequence of Y/BaF2/Cu is repeated four times for a total of twelve layers. Such a multilayer film, approximately 1 micron thick, deposited directly on SrTiO3 and annealed at 900 C for 45 min produces a film with a superconducting onset of 93 K and critical temperature of 85 K. Auger electron spectroscopy in conjunction with argon ion sputtering was used to obtain the distribution of each element as a function of depth for an unannealed film, the annealed film on SrTiO3 and annealed films on ZrO2 buffer layers. The individual layers were apparent. After annealing, the bulk of the film on SrTiO3 is observed to be fairly uniform while films on the substrates with buffer layers are less uniform. The Y-Ba-Cu-O/ZrO2 interface is broad with a long Ba tail into the ZrO2, suggesting interaction between the film and the buffer layer. The underlying ZrO2/Si interface is sharper. The detailed Auger results are presented and compared with samples annealed at different temperatures and durations.

  11. Depth-resolved cathodoluminescence of a homoepitaxial AlN thin film

    NASA Astrophysics Data System (ADS)

    Silveira, E.; Freitas, J. A.; Slack, G. A.; Schowalter, L. J.; Kneissl, M.; Treat, D. W.; Johnson, N. M.

    2005-07-01

    In the present work we will report on the optical properties of an AlN film homoepitaxially grown on a high-quality large bulk AlN single crystal. The latter was grown by a sublimation-recondensation technique, while the film was grown by organometallic vapor-phase epitaxy. Cathodoluminescence measurements were performed using electron beam energies between 2 and 10 keV in order to excite the sample and so to probe different sample depths, making it possible to differentiate between different features which originate in the AlN homoepitaxial film. The penetration depth has been determined through the calculation of the Bohr-Bethe maximum range of excitation using the approximation to the Everhart-Hoff expression for the energy loss within a solid.

  12. Fabrication of AlN/BN bishell hollow nanofibers by electrospinning and atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Haider, Ali; Kayaci, Fatma; Uyar, Tamer

    2014-09-01

    Aluminum nitride (AlN)/boron nitride (BN) bishell hollow nanofibers (HNFs) have been fabricated by successive atomic layer deposition (ALD) of AlN and sequential chemical vapor deposition (CVD) of BN on electrospun polymeric nanofibrous template. A four-step fabrication process was utilized: (i) fabrication of polymeric (nylon 6,6) nanofibers via electrospinning, (ii) hollow cathode plasma-assisted ALD of AlN at 100 °C onto electrospun polymeric nanofibers, (iii) calcination at 500 °C for 2 h in order to remove the polymeric template, and (iv) sequential CVD growth of BN at 450 °C. AlN/BN HNFs have been characterized for their chemical composition, surface morphology, crystal structure, and internal nanostructuremore » using X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, energy dispersive X-ray spectroscopy, and selected area electron diffraction. Measurements confirmed the presence of crystalline hexagonal BN and AlN within the three dimensional (3D) network of bishell HNFs with relatively low impurity content. In contrast to the smooth surface of the inner AlN layer, outer BN coating showed a highly rough 3D morphology in the form of BN nano-needle crystallites. It is shown that the combination of electrospinning and plasma-assisted low-temperature ALD/CVD can produce highly controlled multi-layered bishell nitride ceramic hollow nanostructures. While electrospinning enables easy fabrication of nanofibrous template, self-limiting reactions of plasma-assisted ALD and sequential CVD provide control over the wall thicknesses of AlN and BN layers with sub-nanometer accuracy.« less

  13. Tandem organic light-emitting diodes with buffer-modified C60/pentacene as charge generation layer

    NASA Astrophysics Data System (ADS)

    Wang, Zhen; Zheng, Xin; Liu, Fei; Wang, Pei; Gan, Lin; Wang, Jing-jing

    2017-09-01

    Buffer-modified C60/pentacene as charge generation layer (CGL) is investigated to achieve effective performance of charge generation. Undoped green electroluminescent tandem organic light-emitting diodes (OLEDs) with multiple identical emissive units and using buffer-modified C60/pentacene organic semiconductor heterojunction (OHJ) as CGL are demonstrated to exhibit better current density and brightness, compared with conventional single-unit devices. The current density and brightness both can be significantly improved with increasing the thickness of Al. However, excessive thickness of Al seriously decreases the transmittance of films and damages the interface. As a result, the maximum current efficiency of 1.43 cd·A-1 at 30 mA·cm-2 can be achieved for tandem OLEDs with optimal thickness of Al. These results clearly demonstrate that Cs2CO3/Al is an effective buffer for C60/pentacene-based tandem OLEDs.

  14. Defect reduction in MBE-grown AlN by multicycle rapid thermal annealing

    NASA Astrophysics Data System (ADS)

    Greenlee, Jordan D.; Gunning, Brendan; Feigelson, Boris N.; Anderson, Travis J.; Koehler, Andrew D.; Hobart, Karl D.; Kub, Francis J.; Doolittle, W. Alan

    2016-01-01

    Multicycle rapid thermal annealing (MRTA) is shown to reduce the defect density of molecular beam epitaxially grown AlN films. No damage to the AlN surface occurred after performing the MRTA process at 1520°C. However, the individual grain structure was altered, with the emergence of step edges. This change in grain structure and diffusion of AlN resulted in an improvement in the crystalline structure. The Raman E2 linewidth decreased, confirming an improvement in crystal quality. The optical band edge of the AlN maintained the expected value of 6.2 eV throughout MRTA annealing, and the band edge sharpened after MRTA annealing at increased temperatures, providing further evidence of crystalline improvement. X-ray diffraction shows a substantial improvement in the (002) and (102) rocking curve FWHM for both the 1400 and 1520°C MRTA annealing conditions compared to the as-grown films, indicating that the screw and edge type dislocation densities decreased. Overall, the MRTA post-growth annealing of AlN lowers defect density, and thus will be a key step to improving optoelectronic and power electronic devices. [Figure not available: see fulltext.

  15. Loss of Ductility Caused by AlN Precipitation in Hadfield Steel

    NASA Astrophysics Data System (ADS)

    Radis, Rene; Schlacher, Christian; Kozeschnik, Ernst; Mayr, Peter; Enzinger, Norbert; Schröttner, Hartmuth; Sommitsch, Christof

    2012-04-01

    Two modified X120Mn12 Hadfield steels, differing in the amount of the alloying elements Al and N, are analyzed with respect to AlN precipitation and its effects on ductility. Charpy impact tests are performed, demonstrating the loss of ductility in the one grade containing a high density of AlN precipitates. The characterization of the precipitates is carried out by high-resolution scanning electron microscopy (HRSEM). Depending on chemical composition, primary and secondary AlN precipitates are detected on prior austenite grain boundaries and within the bulk volume. The experimental observations are confirmed by thermokinetic simulations, using the software package MatCalc (Vienna University of Technology, Vienna, Austria).

  16. Co-solvent enhanced zinc oxysulfide buffer layers in Kesterite copper zinc tin selenide solar cells.

    PubMed

    Steirer, K Xerxes; Garris, Rebekah L; Li, Jian V; Dzara, Michael J; Ndione, Paul F; Ramanathan, Kannan; Repins, Ingrid; Teeter, Glenn; Perkins, Craig L

    2015-06-21

    A co-solvent, dimethylsulfoxide (DMSO), is added to the aqueous chemical "bath" deposition (CBD) process used to grow ZnOS buffer layers for thin film Cu2ZnSnSe4 (CZTSe) solar cells. Device performance improves markedly as fill factors increase from 0.17 to 0.51 upon the co-solvent addition. X-ray photoelectron spectroscopy (XPS) analyses are presented for quasi-in situ CZTSe/CBD-ZnOS interfaces prepared under an inert atmosphere and yield valence band offsets equal to -1.0 eV for both ZnOS preparations. When combined with optical band gap data, conduction band offsets exceed 1 eV for the water and the water/DMSO solutions. XPS measurements show increased downward band bending in the CZTSe absorber layer when the ZnOS buffer layer is deposited from water only. Admittance spectroscopy data shows that the ZnOS deposited from water increases the built-in potential (Vbi) yet these solar cells perform poorly compared to those made with DMSO added. The band energy offsets imply an alternate form of transport through this junction. Possible mechanisms are discussed, which circumvent the otherwise large conduction band spike between CZTSe and ZnOS, and improve functionality with the low-band gap absorber, CZTSe (Eg = 0.96 eV).

  17. Performance and Metastability of CdTe Solar Cells with a Te Back-Contact Buffer Layer

    NASA Astrophysics Data System (ADS)

    Moore, Andrew

    Thin-film CdTe photovoltaics are quickly maturing into a viable clean-energy solution through demonstration of competitive costs and performance stability with existing energy sources. Over the last half decade, CdTe solar technology has achieved major gains in performance; however, there are still aspects that can be improved to progress toward their theoretical maximum efficiency. Perhaps equally valuable as high photovoltaic efficiency and a low levelized cost of energy, is device reliability. Understanding the root causes for changes in performance is essential for accomplishing long-term stability. One area for potential performance enhancement is the back contact of the CdTe device. This research incorporated a thin-film Te-buffer layer into the contact structure, between the CdTe and contact metal. The device performance and characteristics of many different back contact configurations were rigorously studied. CdTe solar cells fabricated with the Te-buffer contact showed short-circuit current densities and open-circuit voltages that were on par with the traditional back-contacts used at CSU. However, the Te-buffer contact typically produced 2% larger fill-factors on average, leading to greater conversation efficiency. Furthermore, using the Te buffer allowed for incorporation of 50% less Cu, which is used for p-type doping but is also known to decrease lifetime and stability. This resulted in an additional 3% fill-factor gain with no change in other parameters compared to the standard-Cu treated device. In order to better understand the physical mechanisms of the Te-buffer contact, electrical and material properties of the Te layer were extracted and used to construct a simple energy band diagram. The Te layer was found to be highly p-type (>1018 cm-3) and possess a positive valence-band offset of 0.35-0.40 eV with CdTe. An existing simulation model incorporating the Te-layer properties was implemented and validated by comparing simulated results of Cd

  18. ZnS/Zn(O,OH)S-based buffer layer deposition for solar cells

    DOEpatents

    Bhattacharya, Raghu N [Littleton, CO

    2009-11-03

    The invention provides CBD ZnS/Zn(O,OH)S and spray deposited ZnS/Zn(O,OH)S buffer layers prepared from a solution of zinc salt, thiourea and ammonium hydroxide dissolved in a non-aqueous/aqueous solvent mixture or in 100% non-aqueous solvent. Non-aqueous solvents useful in the invention include methanol, isopropanol and triethyl-amine. One-step deposition procedures are described for CIS, CIGS and other solar cell devices.

  19. Berkovich Nanoindentation on AlN Thin Films

    PubMed Central

    2010-01-01

    Berkovich nanoindentation-induced mechanical deformation mechanisms of AlN thin films have been investigated by using atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (XTEM) techniques. AlN thin films are deposited on the metal-organic chemical-vapor deposition (MOCVD) derived Si-doped (2 × 1017 cm−3) GaN template by using the helicon sputtering system. The XTEM samples were prepared by means of focused ion beam (FIB) milling to accurately position the cross-section of the nanoindented area. The hardness and Young’s modulus of AlN thin films were measured by a Berkovich nanoindenter operated with the continuous contact stiffness measurements (CSM) option. The obtained values of the hardness and Young’s modulus are 22 and 332 GPa, respectively. The XTEM images taken in the vicinity regions just underneath the indenter tip revealed that the multiple “pop-ins” observed in the load–displacement curve during loading are due primarily to the activities of dislocation nucleation and propagation. The absence of discontinuities in the unloading segments of load–displacement curve suggests that no pressure-induced phase transition was involved. Results obtained in this study may also have technological implications for estimating possible mechanical damages induced by the fabrication processes of making the AlN-based devices. PMID:20672096

  20. Berkovich Nanoindentation on AlN Thin Films.

    PubMed

    Jian, Sheng-Rui; Chen, Guo-Ju; Lin, Ting-Chun

    2010-03-31

    Berkovich nanoindentation-induced mechanical deformation mechanisms of AlN thin films have been investigated by using atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (XTEM) techniques. AlN thin films are deposited on the metal-organic chemical-vapor deposition (MOCVD) derived Si-doped (2 × 1017 cm-3) GaN template by using the helicon sputtering system. The XTEM samples were prepared by means of focused ion beam (FIB) milling to accurately position the cross-section of the nanoindented area. The hardness and Young's modulus of AlN thin films were measured by a Berkovich nanoindenter operated with the continuous contact stiffness measurements (CSM) option. The obtained values of the hardness and Young's modulus are 22 and 332 GPa, respectively. The XTEM images taken in the vicinity regions just underneath the indenter tip revealed that the multiple "pop-ins" observed in the load-displacement curve during loading are due primarily to the activities of dislocation nucleation and propagation. The absence of discontinuities in the unloading segments of load-displacement curve suggests that no pressure-induced phase transition was involved. Results obtained in this study may also have technological implications for estimating possible mechanical damages induced by the fabrication processes of making the AlN-based devices.

  1. Buffer architecture for biaxially textured structures and method of fabricating same

    DOEpatents

    Norton, David P.; Park, Chan; Goyal, Amit

    2004-04-06

    The invention relates to an article with an improved buffer layer architecture comprising a substrate having a metal surface, and an epitaxial buffer layer on the surface of the substrate. The epitaxial buffer layer comprises at least one of the group consisting of ZrO.sub.2, HfO.sub.2, and compounds having at least one of Ca and a rare earth element stabilizing cubic phases of ZrO.sub.2 and/or HfO.sub.2. The article can also include a superconducting layer deposited on the epitaxial buffer layer. The article can also include an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer. A method for preparing an epitaxial article comprises providing a substrate with a metal surface, depositing on the metal surface an epitaxial buffer layer comprising at least one material selected from the group consisting of ZrO.sub.2, HfO.sub.2, and compounds having at least one of Ca and a rare earth element stabilizing cubic phases of at least one of ZrO.sub.2 and HfO.sub.2. The epitaxial layer depositing step occurs in a vacuum with a background pressure of no more than 1.times.10.sup.-5 Torr. The method can further comprise depositing a superconducting layer on the epitaxial layer, and depositing an epitaxial capping layer between the epitaxial buffer layer and the superconducting layer.

  2. Plasma-assisted molecular beam epitaxy of ZnO on in-situ grown GaN/4H-SiC buffer layers

    NASA Astrophysics Data System (ADS)

    Adolph, David; Tingberg, Tobias; Andersson, Thorvald; Ive, Tommy

    2015-04-01

    Plasma-assisted molecular beam epitaxy (MBE) was used to grow ZnO (0001) layers on GaN(0001)/4H-SiC buffer layers deposited in the same growth chamber equipped with both N- and O-plasma sources. The GaN buffer layers were grown immediately before initiating the growth of ZnO. Using a substrate temperature of 440°C-445°C and an O2 flow rate of 2.0-2.5 sccm, we obtained ZnO layers with smooth surfaces having a root-mean-square roughness of 0.3 nm and a peak-to-valley distance of 3 nm shown by AFM. The FWHM for X-ray rocking curves recorded across the ZnO(0002) and ZnO(10bar 15) reflections were 200 and 950 arcsec, respectively. These values showed that the mosaicity (tilt and twist) of the ZnO film was comparable to corresponding values of the underlying GaN buffer. It was found that a substrate temperature > 450°C and a high Zn-flux always resulted in a rough ZnO surface morphology. Reciprocal space maps showed that the in-plane relaxation of the GaN and ZnO layers was 82.3% and 73.0%, respectively and the relaxation occurred abruptly during the growth. Room-temperature Hall-effect measurements showed that the layers were intrinsically n-type with an electron concentration of 1019 cm-3 and a Hall mobility of 50 cm2·V-1·s-1.

  3. Metalorganic vapor phase epitaxy of AlN on sapphire with low etch pit density

    NASA Astrophysics Data System (ADS)

    Koleske, D. D.; Figiel, J. J.; Alliman, D. L.; Gunning, B. P.; Kempisty, J. M.; Creighton, J. R.; Mishima, A.; Ikenaga, K.

    2017-06-01

    Using metalorganic vapor phase epitaxy, methods were developed to achieve AlN films on sapphire with low etch pit density (EPD). Key to this achievement was using the same AlN growth recipe and only varying the pre-growth conditioning of the quartz-ware. After AlN growth, the quartz-ware was removed from the growth chamber and either exposed to room air or moved into the N2 purged glove box and exposed to H2O vapor. After the quartz-ware was exposed to room air or H2O, the AlN film growth was found to be more reproducible, resulting in films with (0002) and (10-12) x-ray diffraction (XRD) rocking curve linewidths of 200 and 500 arc sec, respectively, and EPDs < 100 cm-2. The EPD was found to correlate with (0002) linewidths, suggesting that the etch pits are associated with open core screw dislocations similar to GaN films. Once reproducible AlN conditions were established using the H2O pre-treatment, it was found that even small doses of trimethylaluminum (TMAl)/NH3 on the quartz-ware surfaces generated AlN films with higher EPDs. The presence of these residual TMAl/NH3-derived coatings in metalorganic vapor phase epitaxy (MOVPE) systems and their impact on the sapphire surface during heating might explain why reproducible growth of AlN on sapphire is difficult.

  4. Graphene as a Buffer Layer for Silicon Carbide-on-Insulator Structures

    PubMed Central

    Astuti, Budi; Tanikawa, Masahiro; Rahman, Shaharin Fadzli Abd; Yasui, Kanji; Hashim, Abdul Manaf

    2012-01-01

    We report an innovative technique for growing the silicon carbide-on-insulator (SiCOI) structure by utilizing polycrystalline single layer graphene (SLG) as a buffer layer. The epitaxial growth was carried out using a hot-mesh chemical vapor deposition (HM-CVD) technique. Cubic SiC (3C-SiC) thin film in (111) domain was realized at relatively low substrate temperature of 750 °C. 3C-SiC energy bandgap of 2.2 eV was confirmed. The Si-O absorption band observed in the grown film can be caused by the out-diffusion of the oxygen atom from SiO2 substrate or oxygen doping during the cleaning process. Further experimental works by optimizing the cleaning process, growth parameters of the present growth method, or by using other growth methods, as well, are expected to realize a high quality SiCOI structure, thereby opening up the way for a breakthrough in the development of advanced ULSIs with multifunctionalities.

  5. Annealing induced structural changes in amorphous Co{sub 23}Fe{sub 60}B{sub 17} film on Mo buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dwivedi, Jagrati, E-mail: jdwivedi.phy@gmail.com; Mishra, Ashutosh; Gupta, Ranjeeta

    2016-05-23

    Structural changes occurring in a thin amorphous Co{sub 23}Fe{sub 60}B{sub 17} film sandwiched between two Mo layers, as a function of thermal annealing has been studied. Thermal stability of the Co{sub 23}Fe{sub 60}B{sub 17} film is found to be significantly lower than the bulk ribbons. SIMS measurements show that during crystallization, boron which is expelled out of the crystallites, has a tendency to move towards the surface. No significant diffusion of boron in Mo buffer layer is observed. This result is in contrast with some earlier studies where it was proposed that the role of buffer layer of refractory metalmore » is to absorb boron which is expelled out of the bcc FeCo phase during crystallization.« less

  6. Improvement in temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films using Ba(Mg1/3Ta2/3)O3 buffer layer

    NASA Astrophysics Data System (ADS)

    Wu, Zhi; Zhou, Jing; Chen, Wen; Shen, Jie; Yang, Huimin; Zhang, Shisai; Liu, Yueli

    2016-12-01

    In this paper, Pb(Zr0.52Ti0.48)O3 (PZT) thin films were prepared via sol-gel method. The effects of Ba(Mg1/3Ta2/3)O3 (BMT) buffer layer on the temperature dependence and dielectric tunability properties of PZT thin films were studied. As the thickness of BMT buffer layer increases, the tan δ and tunability of PZT thin films decrease while tunability still maintains above 10%. This result shows that BMT buffer layer can improve the dielectric tunability properties of PZT thin films. Furthermore, the temperature coefficient of the dielectric constant decreases from 2333.4 to 906.9 ppm/°C with the thickness of BMT buffer layer increasing in the range from 25 to 205 °C, indicating that BMT buffer layer can improve the temperature stability of PZT thin films. Therefore, BMT buffer layer plays a critical role in improving temperature dependence and dielectric tunability properties of PbZr0.52Ti0.48O3 thin films.

  7. Conductive buffer layers and overlayers for the thermal stability of coated conductors

    NASA Astrophysics Data System (ADS)

    Cantoni, C.; Aytug, T.; Verebelyi, D. T.; Paranthaman, M.; Specht, E. D.; Norton, D. P.; Christen, D. K.

    2001-03-01

    We analyze fundamental issues related to the thermal and electrical stability of a coated conductor during its operation. We address the role of conductive buffer layers in the stability of Ni-based coated conductors, and the effect of a metallic cap layer on the electrical properties of Ni alloy-based superconducting tapes. For the first case we report on the fabrication of a fully conductive RABiTS architecture formed of bilayers of conductive oxides SrRuO3 and LaNiO3 on textured Ni tapes. For the second case we discuss measurements of current-voltage relations on Ag/YBa2Cu3O7-d and Cu/Ag/ YBa2Cu3O7-d prototype multilayers on insulating substrates. Limitations on the overall tape structure and properties that are posed by the stability requirement are presented.

  8. Direct electron injection into an oxide insulator using a cathode buffer layer

    PubMed Central

    Lee, Eungkyu; Lee, Jinwon; Kim, Ji-Hoon; Lim, Keon-Hee; Seok Byun, Jun; Ko, Jieun; Dong Kim, Young; Park, Yongsup; Kim, Youn Sang

    2015-01-01

    Injecting charge carriers into the mobile bands of an inorganic oxide insulator (for example, SiO2, HfO2) is a highly complicated task, or even impossible without external energy sources such as photons. This is because oxide insulators exhibit very low electron affinity and high ionization energy levels. Here we show that a ZnO layer acting as a cathode buffer layer permits direct electron injection into the conduction bands of various oxide insulators (for example, SiO2, Ta2O5, HfO2, Al2O3) from a metal cathode. Studies of current–voltage characteristics reveal that the current ohmically passes through the ZnO/oxide-insulator interface. Our findings suggests that the oxide insulators could be used for simply fabricated, transparent and highly stable electronic valves. With this strategy, we demonstrate an electrostatic discharging diode that uses 100-nm SiO2 as an active layer exhibiting an on/off ratio of ∼107, and protects the ZnO thin-film transistors from high electrical stresses. PMID:25864642

  9. Epitaxial growth of YBa2Cu3O7 - delta films on oxidized silicon with yttria- and zirconia-based buffer layers

    NASA Astrophysics Data System (ADS)

    Pechen, E. V.; Schoenberger, R.; Brunner, B.; Ritzinger, S.; Renk, K. F.; Sidorov, M. V.; Oktyabrsky, S. R.

    1993-09-01

    A study of epitaxial growth of YBa2Cu3O7-δ films on oxidized Si with yttria- and zirconia-based buffer layers is reported. Using substrates with either SiO2 free or naturally oxidized (100) surfaces of Si it was found that a thin SiO2 layer on top of the Si favors high-quality superconducting film formation. Compared to yttria-stabilized ZrO2 (YSZ) single layers, YSZY2O3 double and YSZ/Y2O3YSZ triple layers allows the deposition of thin YBa2Cu3O7-δ films with improved properties including reduced aging effects. In epitaxial YBa2Cu3O7-δ films grown on the double buffer layers a critical temperature Tc(R=0)=89.5 K and critical current densities of 3.5×106 A/cm2 at 77 K and 1×107 A/cm2 at 66 K were reached.

  10. Comparison of different photoresist buffer layers in SPR sensors based on D-shaped POF and gold film

    NASA Astrophysics Data System (ADS)

    Cennamo, Nunzio; Pesavento, Maria; De Maria, Letizia; Galatus, Ramona; Mattiello, Francesco; Zeni, Luigi

    2017-04-01

    A comparative analysis of two optical fiber sensing platforms is presented. The sensors are based on surface plasmon resonance (SPR) in a D-shaped plastic optical fiber (POF) with a photoresist buffer layer between the exposed POF core and the thin gold film. We show how the sensor's performances change when the photoresist layer changes. The photoresist layers proposed in this analysis are SU-8 3005 and S1813. The experimental results are congruent with the numerical studies and it is instrumental for chemical and bio-chemical applications. Usually, the photoresist layer is required in order to increase the performance of the SPR-POF sensor.

  11. Epitaxial Growth of GaN Films by Pulse-Mode Hot-Mesh Chemical Vapor Deposition

    NASA Astrophysics Data System (ADS)

    Komae, Yasuaki; Yasui, Kanji; Suemitsu, Maki; Endoh, Tetsuo; Ito, Takashi; Nakazawa, Hideki; Narita, Yuzuru; Takata, Masasuke; Akahane, Tadashi

    2009-07-01

    Intermittent gas supplies for hot-mesh chemical vapor deposition (CVD) for the epitaxial growth of gallium nitride (GaN) films were investigated to improve film crystallinity and optical properties. The GaN films were deposited on SiC/Si(111) substrates using an alternating-source gas supply or an intermittent supply of source gases such as ammonia (NH3) and trimethylgallium (TMG) in hot-mesh CVD after deposition of an aluminum nitride (AlN) buffer layer. The AlN layer was deposited using NH3 and trimethylaluminum (TMA) on a SiC layer grown by carbonization of a Si substrate using propane (C3H8). GaN films were grown on the AlN layer by a reaction between NHx radicals generated on a ruthenium (Ru)-coated tungsten (W) mesh and TMG molecules. After testing various gas supply modes, GaN films with good crystallinity and surface morphology were obtained using an intermittent supply of TMG and a continuous supply of NH3 gas. An optimal interval for the TMG gas supply was also obtained for the apparatus employed.

  12. LPE growth of crack-free PbSe layers on Si(100) using MBE-Grown PbSe/BaF2CaF2 buffer layers

    NASA Astrophysics Data System (ADS)

    Strecker, B. N.; McCann, P. J.; Fang, X. M.; Hauenstein, R. J.; O'Steen, M.; Johnson, M. B.

    1997-05-01

    Crack-free PbSe on (100)-oriented Si has been obtained by a combination of liquid phase epitaxy (LPE) and molecular beam epitaxy (MBE) techniques. MBE is employed first to grow a PbSe/BaF2/CaF2 buffer structure on the (100)-oriented Si. A 2.5 μm thick PbSe layer is then grown by LPE. The LPE-grown PbSe displays excellent surface morphology and is continuous over the entire 8×8 mm2 area of growth. This result is surprising because of the large mismatch in thermal expansion coefficients between PbSe and Si. Previous attempts to grow crack-free PbSe by MBE alone using similar buffer structures on (100)-oriented Si have been unsuccessful. It is speculated that the large concentration of Se vacancies in the LPE-grown PbSe layer may allow dislocation climb along higher order slip planes, providing strain relaxation.

  13. Superconducting composite with multilayer patterns and multiple buffer layers

    DOEpatents

    Wu, X.D.; Muenchausen, R.E.

    1993-10-12

    An article of manufacture is described including a substrate, a patterned interlayer of a material selected from the group consisting of magnesium oxide, barium-titanium oxide or barium-zirconium oxide, the patterned interlayer material overcoated with a secondary interlayer material of yttria-stabilized zirconia or magnesium-aluminum oxide, upon the surface of the substrate whereby an intermediate article with an exposed surface of both the overcoated patterned interlayer and the substrate is formed, a coating of a buffer layer selected from the group consisting of cerium oxide, yttrium oxide, curium oxide, dysprosium oxide, erbium oxide, europium oxide, iron oxide, gadolinium oxide, holmium oxide, indium oxide, lanthanum oxide, manganese oxide, lutetium oxide, neodymium oxide, praseodymium oxide, plutonium oxide, samarium oxide, terbium oxide, thallium oxide, thulium oxide, yttrium oxide and ytterbium oxide over the entire exposed surface of the intermediate article, and, a ceramic superconductor. 5 figures.

  14. Al{sub x}Ga{sub 1−x}N-based solar-blind ultraviolet photodetector based on lateral epitaxial overgrowth of AlN on Si substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cicek, E.; McClintock, R.; Cho, C. Y.

    2013-10-28

    We report on Al{sub x}Ga{sub 1−x}N-based solar-blind ultraviolet (UV) photodetector (PD) grown on Si(111) substrate. First, Si(111) substrate is patterned, and then metalorganic chemical vapor deposition is implemented for a fully-coalesced ∼8.5 μm AlN template layer via a pulsed atomic layer epitaxial growth technique. A back-illuminated p-i-n PD structure is subsequently grown on the high quality AlN template layer. After processing and implementation of Si(111) substrate removal, the optical and electrical characteristic of PDs are studied. Solar-blind operation is observed throughout the array; at the peak detection wavelength of 290 nm, 625 μm{sup 2} area PD showed unbiased peak externalmore » quantum efficiency and responsivity of ∼7% and 18.3 mA/W, respectively, with a UV and visible rejection ratio of more than three orders of magnitude. Electrical measurements yielded a low-dark current density below 1.6 × 10{sup −8} A/cm{sup 2} at 10 V reverse bias.« less

  15. Photovoltaic Properties in Interpenetrating Heterojunction Organic Solar Cells Utilizing MoO3 and ZnO Charge Transport Buffer Layers

    PubMed Central

    Hori, Tetsuro; Moritou, Hiroki; Fukuoka, Naoki; Sakamoto, Junki; Fujii, Akihiko; Ozaki, Masanori

    2010-01-01

    Organic thin-film solar cells with a conducting polymer (CP)/fullerene (C60) interpenetrating heterojunction structure, fabricated by spin-coating a CP onto a C60 deposit thin film, have been investigated and demonstrated to have high efficiency. The photovoltaic properties of solar cells with a structure of indium-tin-oxide/C60/poly(3-hexylthiophene) (PAT6)/Au have been improved by the insertion of molybdenum trioxide (VI) (MoO3) and zinc oxide charge transport buffer layers. The enhanced photovoltaic properties have been discussed, taking into consideration the ground-state charge transfer between PAT6 and MoO3 by measurement of the differential absorption spectra and the suppressed contact resistance at the interface between the organic and buffer layers. PMID:28883360

  16. Effect of InSb/In0.9Al0.1Sb superlattice buffer layer on the structural and electronic properties of InSb films

    NASA Astrophysics Data System (ADS)

    Zhao, Xiaomeng; Zhang, Yang; Guan, Min; Cui, Lijie; Wang, Baoqiang; Zhu, Zhanping; Zeng, Yiping

    2017-07-01

    The effect of InSb/In0.9Al0.1Sb buffer layers on InSb thin films grown on GaAs (0 0 1) substrate by molecular beam epitaxy (MBE) is investigated. The crystal quality and the surface morphology of InSb are characterized by XRD and AFM. The carrier transport property is researched through variable temperature hall test. The sharp interface between InSb/In0.9Al0.1Sb is demonstrated important for the high quality InSb thin film. We try different superlattice buffer layers by changing ratios, 2-0.5, thickness, 300-450 nm, and periods, 20-50. According to the function of the dislocation density to the absolute temperature below 150 K with different periods of SL buffers, we can find that the number of periods of superlattice is a major factor to decrease the density of threading dislocations. With the 50 periods SL buffer layer, the electron mobility of InSb at the room temperature and liquid nitrogen cooling temperature is ∼63,000 and ∼4600 cm2/V s, respectively. We deduce that the interface in the SL structure works as a filter layer to prevent the dislocation propagating to the upper InSb thin films.

  17. Electro-acoustic sensors based on AlN thin film: possibilities and limitations

    NASA Astrophysics Data System (ADS)

    Wingqvist, Gunilla

    2011-06-01

    The non-ferroelectric polar wurtzite aluminium nitride (AlN) material has been shown to have potential for various sensor applications both utilizing the piezoelectric effect directly for pressure sensors or indirectly for acoustic sensing of various physical, chemical and biochemical sensor applications. Especially, sputter deposited AlN thin films have played a central role for successful development of the thin film electro-acoustic technology. The development has been primarily driven by one device - the thin film bulk acoustic resonator (FBAR or TFBAR), with its primary use for high frequency filter applications for the telecom industry. AlN has been the dominating choice for commercial application due to compatibility with the integrated circuit technology, low acoustic and dielectric losses, high acoustic velocity in combination with comparably high (but still for some applications limited) electromechanical coupling. Recently, increased piezoelectric properties (and also electromechanical coupling) in the AlN through the alloying with scandium nitride (ScN) have been identified both experimentally and theoretically. Inhere, the utilization of piezoelectricity in electro-acoustic sensing will be discussed together with expectation on acoustic FBAR sensor performance with variation in piezoelectric material properties in the parameter space around AlN due to alloying, in view of the ScxAl1-xN (0

  18. Free and bound excitons in thin wurtzite GaN layers on sapphire

    NASA Astrophysics Data System (ADS)

    Merz, C.; Kunzer, M.; Kaufmann, U.; Akasaki, I.; Amano, H.

    1996-05-01

    Free and bound excitons have been studied by photoluminescence in thin (0268-1242/11/5/010/img8) wurtzite-undoped GaN, n-type GaN:Si as well as p-type GaN:Mg and GaN:Zn layers grown by metal-organic chemical vapour phase deposition (MOCVD). An accurate value for the free A exciton binding energy and an estimate for the isotropically averaged hole mass of the uppermost 0268-1242/11/5/010/img9 valence band are deduced from the data on undoped samples. The acceptor-doped samples reveal recombination lines which are attributed to excitons bound to 0268-1242/11/5/010/img10 and 0268-1242/11/5/010/img11 respectively. These lines are spectrally clearly separated and the exciton localization energies are in line with Haynes' rule. Whenever a comparison is possible, it is found that the exciton lines in these thin MOCVD layers are ultraviolet-shifted by 20 to 25 meV as compared to quasi-bulk (0268-1242/11/5/010/img12) samples. This effect is interpreted in terms of the compressive hydrostatic stress component which thin GaN layers experience when grown on sapphire with an AlN buffer layer.

  19. The effects of the porous buffer layer and doping with dysprosium on internal stresses in the GaInP:Dy/por-GaAs/GaAs(100) heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Seredin, P. V.; Gordienko, N. N.; Glotov, A. V.

    2009-08-15

    In structures with a porous buffer layer, residual internal stresses caused by a mismatch between the crystal-lattice parameters of the epitaxial GaInP alloy and the GaAs substrate are redistributed to the porous layer that acts as a buffer and is conducive to disappearance of internal stresses. Doping of the epitaxial layer with dysprosium exerts a similar effect on the internal stresses in the film-substrate structure.

  20. Integration of (208) oriented epitaxial Hf-doped Bi4Ti3O12 with (0002) GaN using SrTiO3/TiO2 buffer layer

    NASA Astrophysics Data System (ADS)

    Luo, W. B.; Zhu, J.; Li, Y. R.; Wang, X. P.; Zhang, Y.

    2009-05-01

    Hf-doped Bi4Ti3O12 (BTH) ferroelectric films with excellent electrical properties were epitaxially integrated with GaN semiconductor using (111) SrTiO3 (STO)/rutile (200) TiO2 as buffer layer. The STO/TiO2 buffer layer was deposited by laser molecular beam epitaxy. The structural characteristics of the buffer layer were in situ and ex situ characterized by reflective high energy electron diffraction, x-ray diffraction (XRD), and high resolution transmission microscopy. The overlaying SrRuO3 (SRO) and BTH films were then deposited by pulsed laser deposition. XRD spectra, including θ-2θ and Φ scans, show that the (208) BTH films were epitaxially grown on GaN, and the BTH films inherit the in-plane twin-domain of STO buffer layer. Electrical measurements demonstrate that the non-c axis BTH films possess a large remnant polarization (2Pr=45 μC/cm2), excellent fatigue endurance (10.2% degradation after 1.1×1010 switching cycles), and a low leakage current density (1.94×10-7 A/cm2 at an electric field of 200 kV/cm). These results reveal that the (208) BTH films with favorable electrical performance could be epitaxially grown on GaN template using STO/TiO2 buffer layer.

  1. Calcium manganate: A promising candidate as buffer layer for hybrid halide perovskite photovoltaic-thermoelectric systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhao, Pengjun; Wang, Hongguang; Kong, Wenwen

    2014-11-21

    We have systematically studied the feasibility of CaMnO{sub 3} thin film, an n-type perovskite, to be utilized as the buffer layer for hybrid halide perovskite photovoltaic-thermoelectric device. Locations of the conduction band and the valence band, spontaneous polarization performance, and optical properties were investigated. Results indicate the energy band of CaMnO{sub 3} can match up well with that of CH{sub 3}NH{sub 3}PbI{sub 3} on separating electron-hole pairs. In addition, the consistent polarization angle helps enlarge the open circuit voltage of the composite system. Besides, CaMnO{sub 3} film shows large absorption coefficient and low extinction coefficient under visible irradiation, demonstrating highmore » carrier concentration, which is beneficial to the current density. More importantly, benign thermoelectric properties enable CaMnO{sub 3} film to assimilate phonon vibration from CH{sub 3}NH3PbI{sub 3}. All the above features lead to a bright future of CaMnO{sub 3} film, which can be a promising candidate as a buffer layer for hybrid halide perovskite photovoltaic-thermoelectric systems.« less

  2. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations.

    PubMed

    Lee, H-P; Perozek, J; Rosario, L D; Bayram, C

    2016-11-21

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {Al x Ga 1-x N}/AlN, (b) Thin-GaN/3 × {Al x Ga 1-x N}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm 2 /V∙s) and 2DEG carrier concentration (>1.0 × 10 13  cm -2 ) on Si(111) substrates.

  3. Investigation of AlGaN/GaN high electron mobility transistor structures on 200-mm silicon (111) substrates employing different buffer layer configurations

    PubMed Central

    Lee, H.-P.; Perozek, J.; Rosario, L. D.; Bayram, C.

    2016-01-01

    AlGaN/GaN high electron mobility transistor (HEMT) structures are grown on 200-mm diameter Si(111) substrates by using three different buffer layer configurations: (a) Thick-GaN/3 × {AlxGa1−xN}/AlN, (b) Thin-GaN/3 × {AlxGa1−xN}/AlN, and (c) Thin-GaN/AlN, so as to have crack-free and low-bow (<50 μm) wafer. Scanning electron microscopy, energy-dispersive X-ray spectroscopy, high resolution-cross section transmission electron microscopy, optical microscopy, atomic-force microscopy, cathodoluminescence, Raman spectroscopy, X-ray diffraction (ω/2θ scan and symmetric/asymmetric ω scan (rocking curve scan), reciprocal space mapping) and Hall effect measurements are employed to study the structural, optical, and electrical properties of these AlGaN/GaN HEMT structures. The effects of buffer layer stacks (i.e. thickness and content) on defectivity, stress, and two-dimensional electron gas (2DEG) mobility and 2DEG concentration are reported. It is shown that 2DEG characteristics are heavily affected by the employed buffer layers between AlGaN/GaN HEMT structures and Si(111) substrates. Particularly, we report that in-plane stress in the GaN layer affects the 2DEG mobility and 2DEG carrier concentration significantly. Buffer layer engineering is shown to be essential for achieving high 2DEG mobility (>1800 cm2/V∙s) and 2DEG carrier concentration (>1.0 × 1013 cm−2) on Si(111) substrates. PMID:27869222

  4. Power Conversion Efficiency and Device Stability Improvement of Inverted Perovskite Solar Cells by Using a ZnO:PFN Composite Cathode Buffer Layer.

    PubMed

    Jia, Xiaorui; Zhang, Lianping; Luo, Qun; Lu, Hui; Li, Xueyuan; Xie, Zhongzhi; Yang, Yongzhen; Li, Yan-Qing; Liu, Xuguang; Ma, Chang-Qi

    2016-07-20

    We have demonstrated in this article that both power conversion efficiency (PCE) and performance stability of inverted planar heterojunction perovskite solar cells can be improved by using a ZnO:PFN nanocomposite (PFN: poly[(9,9-bis(3'-(N,N-dimethylamion)propyl)-2,7-fluorene)-alt-2,7-(9,9-dioctyl)-fluorene]) as the cathode buffer layer (CBL). This nanocomposite could form a compact and defect-less CBL film on the perovskite/PC61BM surface (PC61BM: phenyl-C61-butyric acid methyl ester). In addition, the high conductivity of the nanocomposite layer makes it works well at a layer thickness of 150 nm. Both advantages of the composite layer are helpful in reducing interface charge recombination and improving device performance. The power conversion efficiency (PCE) of the best ZnO:PFN CBL based device was measured to be 12.76%, which is higher than that of device without CBL (9.00%), or device with ZnO (7.93%) or PFN (11.30%) as the cathode buffer layer. In addition, the long-term stability is improved by using ZnO:PFN composite cathode buffer layer when compare to that of the reference cells. Almost no degradation of open circuit voltage (VOC) and fill factor (FF) was found for the device having ZnO:PFN, suggesting that ZnO:PFN is able to stabilize the interface property and consequently improve the solar cell performance stability.

  5. Structural properties and glass transition in Aln clusters

    NASA Astrophysics Data System (ADS)

    Sun, D. Y.; Gong, X. G.

    1998-02-01

    We have studied the structural and dynamical properties of several Aln clusters by the molecular-dynamics method combined with simulated annealing. The well-fitted glue potential is used to describe the interatomic interaction. The obtained atomic structures for n=13, 55, and 147 are in agreement with results from ab initio calculations. Our results have demonstrated that the disordered cluster Al43 can be considered as a glass cluster. The obtained thermal properties of glass cluster Al43 are clearly different from the results for high-symmetry clusters, its melting behavior has properties similar to those of a glass solid. The present studies also show that the surface melting behavior does not exist in the studied Aln clusters.

  6. Improvement in performance and reliability with CF4 plasma pretreatment on the buffer oxide layer for low-temperature polysilicon thin-film transistor

    NASA Astrophysics Data System (ADS)

    Fan, Ching-Lin; Lin, Yi-Yan; Yang, Chun-Chieh

    2012-03-01

    This study applies CF4 plasma pretreatment to a buffer oxide layer to improve the performance of low-temperature polysilicon thin-film transistors (LTPS TFTs). Results show that the fluorine atoms piled up at the interface between the bulk channel and buffer oxide layer and accumulated in the bulk channel. The reduction of the trap states density by fluorine passivation can improve the electrical characteristics of the LTPS TFTs. It is found that the threshold voltage reduced from 4.32 to 3.03 V and the field-effect mobility increased from 29.71 to 45.65 cm2 V-1 S-1. In addition, the on current degradation and threshold voltage shift after stressing were significantly improved about 31% and 70%, respectively. We believe that the proposed CF4 plasma pretreatment on the buffer oxide layer can passivate the trap states and avoid the plasma induced damage on the polysilicon channel surface, resulting in the improvement in performance and reliability for LTPS-TFT mass production application on AMOLED displays with critical reliability requirement.

  7. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs

    PubMed Central

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-01-01

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This “compliant” buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 105 cm−2. In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6” wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors. PMID:26329829

  8. Ultralow threading dislocation density in GaN epilayer on near-strain-free GaN compliant buffer layer and its applications in hetero-epitaxial LEDs.

    PubMed

    Shih, Huan-Yu; Shiojiri, Makoto; Chen, Ching-Hsiang; Yu, Sheng-Fu; Ko, Chung-Ting; Yang, Jer-Ren; Lin, Ray-Ming; Chen, Miin-Jang

    2015-09-02

    High threading dislocation (TD) density in GaN-based devices is a long unresolved problem because of the large lattice mismatch between GaN and the substrate, which causes a major obstacle for the further improvement of next-generation high-efficiency solid-state lighting and high-power electronics. Here, we report InGaN/GaN LEDs with ultralow TD density and improved efficiency on a sapphire substrate, on which a near strain-free GaN compliant buffer layer was grown by remote plasma atomic layer deposition. This "compliant" buffer layer is capable of relaxing strain due to the absorption of misfit dislocations in a region within ~10 nm from the interface, leading to a high-quality overlying GaN epilayer with an unusual TD density as low as 2.2 × 10(5) cm(-2). In addition, this GaN compliant buffer layer exhibits excellent uniformity up to a 6" wafer, revealing a promising means to realize large-area GaN hetero-epitaxy for efficient LEDs and high-power transistors.

  9. Wide-bandgap III-Nitride based Second Harmonic Generation

    DTIC Science & Technology

    2014-10-02

    fabrication process for a GaN LPS. Fig. 1: 3-step Fabrication process of a GaN based lateral polar structure. ( a ) Growth of a 20 nm AlN buffer layer...etching of the LT-AlN stripes. This results are shown in Fig. 2 ( a ) and (b). Fig. 2: AFM images of KOH ( a ) and RIE (b) patterned templates for lateral ...was varied between 0.6 - 1.0. FIG. 3: Growth process of AlGaN based Lateral Polar Structures. ( a ) RIE patterning. (b) Growth of HT- AlN. (c

  10. CHEMICAL SOLUTION DEPOSITION BASED OXIDE BUFFERS AND YBCO COATED CONDUCTORS

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Paranthaman, Mariappan Parans

    We have reviewed briefly the growth of buffer and high temperature superconducting oxide thin films using a chemical solution deposition (CSD) method. In the Rolling-Assisted Biaxially Textured Substrates (RABiTS) process, developed at Oak Ridge National Laboratory, utilizes the thermo mechanical processing to obtain the flexible, biaxially oriented copper, nickel or nickel-alloy substrates. Buffers and Rare Earth Barium Copper Oxide (REBCO) superconductors have been deposited epitaxially on the textured nickel alloy substrates. The starting substrate serves as a template for the REBCO layer, which has substantially fewer weak links. Buffer layers play a major role in fabricating the second generation REBCOmore » wire technology. The main purpose of the buffer layers is to provide a smooth, continuous and chemically inert surface for the growth of the REBCO film, while transferring the texture from the substrate to the superconductor layer. To achieve this, the buffer layers need to be epitaxial to the substrate, i.e. they have to nucleate and grow in the same bi-axial texture provided by the textured metal foil. The most commonly used RABiTS multi-layer architectures consist of a starting template of biaxially textured Ni-5 at.% W (Ni-W) substrate with a seed (first) layer of Yttrium Oxide (Y2O3), a barrier (second) layer of Yttria Stabilized Zirconia (YSZ), and a Cerium Oxide (CeO2) cap (third) layer. These three buffer layers are generally deposited using physical vapor deposition (PVD) techniques such as reactive sputtering. On top of the PVD template, REBCO film is then grown by a chemical solution deposition. This article reviews in detail about the list of oxide buffers and superconductor REBCO films grown epitaxially on single crystal and/or biaxially textured Ni-W substrates using a CSD method.« less

  11. Buffer-regulated biocorrosion of pure magnesium.

    PubMed

    Kirkland, Nicholas T; Waterman, Jay; Birbilis, Nick; Dias, George; Woodfield, Tim B F; Hartshorn, Richard M; Staiger, Mark P

    2012-02-01

    Magnesium (Mg) alloys are being actively investigated as potential load-bearing orthopaedic implant materials due to their biodegradability in vivo. With Mg biomaterials at an early stage in their development, the screening of alloy compositions for their biodegradation rate, and hence biocompatibility, is reliant on cost-effective in vitro methods. The use of a buffer to control pH during in vitro biodegradation is recognised as critically important as this seeks to mimic pH control as it occurs naturally in vivo. The two different types of in vitro buffer system available are based on either (i) zwitterionic organic compounds or (ii) carbonate buffers within a partial-CO(2) atmosphere. This study investigated the influence of the buffering system itself on the in vitro corrosion of Mg. It was found that the less realistic zwitterion-based buffer did not form the same corrosion layers as the carbonate buffer, and was potentially affecting the behaviour of the hydrated oxide layer that forms on Mg in all aqueous environments. Consequently it was recommended that Mg in vitro experiments use the more biorealistic carbonate buffering system when possible.

  12. Growth of high-quality AlN epitaxial film by optimizing the Si substrate surface

    NASA Astrophysics Data System (ADS)

    Huang, Liegen; Li, Yuan; Wang, Wenliang; Li, Xiaochan; zheng, Yulin; Wang, Haiyan; Zhang, Zichen; Li, Guoqiang

    2018-03-01

    High-quality AlN epitaxial films have been grown on Si substrates by optimizing the hydrofluoric acid (HF) solution for cleaning of Si substrates. Effect of the Si substrate surface on the surface morphology and structural property of AlN epitaxial films is investigated in detail. It is revealed that as the concentration of HF solution increases from 0 to 2.0%, the surface morphology and the crystalline quality are initially improved and then get worse, and show an optimized value at 1.5%. The as-grown ∼200 nm-thick AlN epitaxial films on Si substrates grown with HF solution of 1.5% reveal the root-mean-square (RMS) surface roughness of 0.49 nm and the full-width at half-maximum for AlN(0002) X-ray rocking curve of 0.35°, indicating the smooth surface morphology and the high crystalline quality. The corresponding mechanism is proposed to interpret the effect of Si substrate surface on surface morphology and structural property of AlN epitaxial films, and provides an effective approach for the perspective fabrication of AlN-based devices.

  13. Evidence from EELS of oxygen in the nucleation layer of a MBE grown III-N HEMT[Electron Energy Loss Spectroscopy, Molecular Beam Epitaxy, High Electron Mobility Transistor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eustis, T.J.; Silcox, J.; Murphy, M.J.

    The presence of oxygen throughout the nominally AlN nucleation layer of a RF assisted MBE grown III-N HEMT was revealed upon examination by Electron Energy Loss Spectroscopy (EELS) in a Scanning Transmission Electron Microscope (STEM). The nucleation layer generates the correct polarity (gallium face) required for producing a piezoelectric induced high mobility two dimensional electron gas at the AlGaN/GaN heterojunction. Only AlN or AlGaN nucleation layers have provided gallium face polarity in RF assisted MBE grown III-N's on sapphire. The sample was grown at Cornell University in a Varian GenII MBE using an EPI Uni-Bulb nitrogen plasma source. The nucleationmore » layer was examined in the Cornell University STEM using Annular Dark Field (ADF) imaging and Parallel Electron Energy Loss Spectroscopy (PEELS). Bright Field TEM reveals a relatively crystallographically sharp interface, while the PEELS reveal a chemically diffuse interface. PEELS of the nitrogen and oxygen K-edges at approximately 5-Angstrom steps across the GaN/AlN/sapphire interfaces reveals the presence of oxygen in the AlN nucleation layer. The gradient suggests that the oxygen has diffused into the nucleation region from the sapphire substrate forming this oxygen containing AlN layer. Based on energy loss near edge structure (ELNES), oxygen is in octahedral interstitial sites in the AlN and Al is both tetrahedrally and octahedrally coordinated in the oxygen rich region of the AlN.« less

  14. New MBE buffer for micron- and quarter-micron-gateGaAs MESFETs

    NASA Technical Reports Server (NTRS)

    1988-01-01

    A new buffer layer has been developed that eliminates backgating in GaAs MESFETs and substantially reduces short-channel effects in GaAs MESFETs with 0.27-micron-long gates. The new buffer is grown by molecular beam epitaxy (MBE) at a substrate temperature of 200 C using Ga and As sub 4 beam fluxes. The buffer is crystalline, highly resistive, optically inactive, and can be overgrown with high quality GaAs. GaAs MESFETs with a gate length of 0.27 microns that incorporate the new buffer show improved dc and RF properties in comparison with a similar MESFET with a thin undoped GaAs buffer. To demonstrate the backgating performance improvement afforded by the new buffer, MESFETs were fabricated using a number of different buffer layers and structures. A schematic cross section of the MESFET structure used in this study is shown. The measured gate length, gate width, and source-drain spacing of this device are 2,98, and 5.5 microns, respectively. An ohmic contact, isolated from the MESFET by mesa etching, served as the sidegate. The MESFETs were fabricated in MBE n-GaAs layers grown on the new buffer and also in MBE n-GaAs layers grown on buffer layers of undoped GaAs, AlGaAs, and GaAs/AlGaAs superlattices. All the buffer layers were grown by MBE and are 2 microns thick. The active layer is doped to approximately 2 x 10 to the 17th/cu cm with silicon and is 0.3 microns thick.

  15. DFT study on the adsorption behavior and electronic response of AlN nanotube and nanocage toward toxic halothane gas

    NASA Astrophysics Data System (ADS)

    Mohammadi, R.; Hosseinian, A.; Khosroshahi, E. Saedi; Edjlali, L.; Vessally, E.

    2018-04-01

    We have investigated the adsorption of a halothane molecule on the AlN nanotube, and nanocage using density functional theory calculations. We predicted that the halothane molecule tends to be physically adsorbed on the surface of AlN nanotube with adsorption energy (Ead) of -4.2 kcal/mol. The electronic properties of AlN nanotube are not affected by the halothane, and it is not a sensor. But the AlN nanocage is more reactive than the AlN nanotube because of its higher curvature. The halothane tends to be adsorbed on a hexagonal ring, an Alsbnd N bond, and a tetragonal ring of the AlN nanocage. The adsorption ability order is as follows: tetragonal ring (Ead = -14.7 kcal/mol) > Alsbnd N bond (Ead = -12.3 kcal/mol) > hexagonal ring (Ead = -10.1 kcal/mol). When a halothane molecule is adsorbed on the AlN nanocage, its electrical conductivity is increased, demonstrating that it can yield an electronic signal at the presence of this molecule, and can be employed in chemical sensors. The AlN nanocage benefits from a short recovery time of about 58 ms at room temperature.

  16. Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers

    NASA Astrophysics Data System (ADS)

    Al Balushi, Zakaria Y.

    of N-polar InGaN by MOCVD is challenging. These challenges arise from the lack of available native substrates suitable for N-polar film growth. As a result, InGaN layers are conventionally grown in the III-polar direction (i.e. III-polar InGaN) and typically grow under considerable amounts of stress on III-polar GaN base layers. While the structure-property relations of thin III-polar InGaN layers have been widely studied in quantum well structures, insight into the growth of thick films and N-polar InGaN layers have been limited. Therefore, this dissertation research compared the growth of both thick III-polar and N-polar InGaN films grown on optimized GaN base layers. III-polar InGaN films were rough and exhibited a high density of V-pits, while the growth of thick N-polar InGaN films showed improved structural quality and low surface roughness. The results of this dissertation work thereby provide an alternative route to the fabrication of thick InGaN films for potential use in solar cells as well as strain reducing schemes for deep-green and red light emitters. Moreover, this dissertation investigated stress relaxation in thick N-polar films using in situ reflectivity and curvature measurements. The results showed that stress relaxation in N-polar InGaN significantly differed from III-polar InGaN due to the absence of V-pits and it was hypothesized that plastic relaxation in N-polar InGaN could occur by dislocation glide, which typically is kinetically limited at such low growth temperatures required for InGaN. The second part of this dissertation research work focused on buffer free growth of GaN directly on SiC and on epitaxial graphene produced on SiC for potential vertical devices. The studies presented in this dissertation work on the growth of GaN directly on SiC compared the stress evolution of GaN films grown with and without an AlN buffer layer. Films grown directly on SiC showed reduced threading dislocation densities and improved surface roughness when

  17. Impact of the deposition conditions of buffer and windows layers on lowering the metastability effects in Cu(In,Ga)Se2/Zn(S,O)-based solar cell

    NASA Astrophysics Data System (ADS)

    Naghavi, Negar; Hildebrandt, Thibaud; Bouttemy, Muriel; Etcheberry, Arnaud; Lincot, Daniel

    2016-02-01

    The highest and most reproducible (Cu(In,Ga)Se2 (CIGSe) based solar-cell efficiencies are obtained by use of a very thin n-type CdS layer deposited by chemical bath deposition (CBD). However because of both Cadmium's adverse environmental impact and the narrow bandgap of CdS (2.4-2.5 eV) one of the major objectives in the field of CIGSe technology remains the development and implementation in the production line of Cd-free buffer layers. The CBDZn( S,O) remains one the most studied buffer layer for replacing the CdS in Cu(In,Ga)Se2-based solar cells and has already demonstrated its potential to lead to high-efficiency solar cells up to 22.3%. However one of the key issue to implement a CBD-Zn(S,O) process in a CIGSe production line is the cells stability, which depends both on the deposition conditions of CBD-Zn(S,O) and on a good band alignment between CIGSe/Zn(S,O)/windows layers. The most common window layers applied in CIGSe solar cells consist of two layers : a thin (50-100 nm) and highly resistive i-ZnO layer deposited by magnetron sputtering and a transparent conducting 300-500 nm ZnO:Al layer. In the case of CBD-Zn(S,O) buffer layer, the nature and deposition conditions of both Zn(S,O) and the undoped window layer can strongly influence the performance and stability of cells. The present contribution will be specially focused on the effect of condition growth of CBD-Zn(S,O) buffer layers and the impact of the composition and deposition conditions of the undoped window layers such as ZnxMgyO or ZnxSnyO on the stability and performance of these solar cells.

  18. Compact hematite buffer layer as a promoter of nanorod photoanode performances

    NASA Astrophysics Data System (ADS)

    Milan, R.; Cattarin, S.; Comisso, N.; Baratto, C.; Kaunisto, K.; Tkachenko, N. V.; Concina, I.

    2016-10-01

    The effect of a thin α-Fe2O3 compact buffer layer (BL) on the photoelectrochemical performances of a bare α-Fe2O3 nanorods photoanode is investigated. The BL is prepared through a simple spray deposition onto a fluorine-doped tin oxide (FTO) conducting glass substrate before the growth of a α-Fe2O3 nanorods via a hydrothermal process. Insertion of the hematite BL between the FTO and the nanorods markedly enhances the generated photocurrent, by limiting undesired losses of photogenerated charges at the FTO||electrolyte interface. The proposed approach warrants a marked improvement of material performances, with no additional thermal treatment and no use/dispersion of rare or toxic species, in agreement with the principles of green chemistry.

  19. Direct charge carrier injection into Ga2O3 thin films using an In2O3 cathode buffer layer: their optical, electrical and surface state properties

    NASA Astrophysics Data System (ADS)

    Cui, W.; Zhao, X. L.; An, Y. H.; Guo, D. Y.; Qing, X. Y.; Wu, Z. P.; Li, P. G.; Li, L. H.; Cui, C.; Tang, W. H.

    2017-04-01

    Conductive Ga2O3 thin films with an In2O3 buffer layer have been prepared on c-plane sapphire substrates using a laser molecular beam epitaxy technique. The effects of the In2O3 buffer layer on the structure and optical, electrical and surface state properties of the Ga2O3 films have been studied. The change in conductivity of the thin films is attributed to different thicknesses of the In2O3 buffer layer, which determine the concentration of charge carriers injected into the upper Ga2O3 layer from the interface of the bilayer thin films. In addition, the increase in flat band voltage shift and capacitance values as the In2O3 buffer layer thickens are attributed to the increase in surface state density, which also contributes to the rapid shrinkage of the optical band gap of the Ga2O3. With transparency to visible light, high n-type conduction and the ability to tune the optical band gap and surface state density, we propose that Ga2O3/In2O3 bilayer thin film is an ideal n-type semiconductor for fabrication of transparent power devices, solar cell electrodes and gas sensors.

  20. Observation of stimulated emission from a single Fe-doped AlN triangular fiber at room temperature

    PubMed Central

    Jiang, Liangbao; Jin, Shifeng; Wang, Wenjun; Zuo, Sibin; Li, Zhilin; Wang, Shunchong; Zhu, Kaixing; Wei, Zhiyi; Chen, Xiaolong

    2015-01-01

    Aluminum nitride (AlN) is a well known wide-band gap semiconductor that has been widely used in fabricating various ultraviolet photo-electronic devices. Herein, we demonstrate that a fiber laser can be achieved in Fe-doped AlN fiber where Fe is the active ion and AlN fiber is used as the gain medium. Fe-doped single crystal AlN fibers with a diameter of 20–50 μm and a length of 0.5–1 mm were preparated successfully. Stimulated emission (peak at about 607 nm and FWHM ~0.2 nm) and a long luminescence lifetime (2.5 ms) were observed in the fibers by a 532nm laser excitation at room temperature. The high quality long AlN fibers are also found to be good optical waveguides. This kind of fiber lasers may possess potential advantages over traditional fiber lasers in enhancing power output and extending laser wavelengths from infrared to visible regime. PMID:26647969

  1. The electronic structures of AlN and InN wurtzite nanowires

    NASA Astrophysics Data System (ADS)

    Xiong, Wen; Li, Dong-Xiao

    2017-07-01

    We derive the relations between the analogous seven Luttinger-Kohn parameters and six Rashba-Sheka-Pikus parameters for wurtzite semiconductors, which can be used to investigate the electronic structures of some wurtzite semiconductors such as AlN and InN materials, including their low-dimensional structures. As an example, the electronic structures of AlN and InN nanowires are calculated by using the derived relations and six-band effective-mass k · p theory. Interestingly, it is found that the ground hole state of AlN nanowires is always a pure S state whether the radius R is small (1 nm) or large (6 nm), and the ground hole state only contains | Z 〉 Bloch orbital component. Therefore, AlN nanowires is the ideal low-dimensional material for the production of purely linearly polarized π light, unlike ZnO nanowires, which emits plane-polarized σ light. However, the ground hole state of InN nanowires can be tuned from a pure S state to a mixed P state when the radius R is larger than 2.6 nm, which will make the polarized properties of the lowest optical transition changes from linearly polarized π light to plane-polarized σ light. Meanwhile, the valence band structures of InN nanowires will present strong band-crossings when the radius R increases to 6 nm, and through the detail analysis of possible transitions of InN nanowires at the Γ point, we find some of the neighbor optical transitions are almost degenerate, because the spin-orbit splitting energy of InN material is only 0.001 eV. Therefore, it is concluded that the electronic structures and optical properties of InN nanowires present great differences with that of AlN nanowires.

  2. High dielectric constant and energy density induced by the tunable TiO2 interfacial buffer layer in PVDF nanocomposite contained with core-shell structured TiO2@BaTiO3 nanoparticles

    NASA Astrophysics Data System (ADS)

    Hu, Penghao; Jia, Zhuye; Shen, Zhonghui; Wang, Peng; Liu, Xiaoru

    2018-05-01

    To realize application in high-capacity capacitors and portable electric devices, large energy density is eagerly desired for polymer-based nanocomposite. The core-shell structured nanofillers with inorganic buffer layer are recently supposed to be promising in improving the dielectric property of polymer nanocomposite. In this work, core-shell structured TO@BT nanoparticles with crystalline TiO2 buffer layer coated on BaTiO3 nanoparticle were fabricated via solution method and heat treatment. The thickness of the TO buffer layer can be tailored by modulating the additive amount of the titanate coupling agent in preparation process, and the apparent dielectric properties of nanocomposite are much related to the thickness of the TO layer. The relatively thin TO layer prefer to generate high polarization to increase dielectric constant while the relatively thick TO layer would rather to homogenize field to maintain breakdown strength. Simulation of electric field distribution in the interfacial region reveals the improving effect of the TO buffer layer on the dielectric properties of nanocomposite which accords with the experimental results well. The optimized nanoparticle TO@BT-2 with a mean thickness of 3-5 nm buffer layer of TO is effective in increasing both the ε and Eb in the PVDF composite film. The maximal discharged energy density of 8.78 J/cm3 with high energy efficiency above 0.6 is obtained in TO@BT-2/PVDF nanocomposite with 2.5 vol% loading close to the breakdown strength of 380 kV/mm. The present study demonstrates the approach to optimize the structure of core-shell nanoparticles by modulating buffer layer and provides a new way to further enlarge energy density in polymer nanocomposite.

  3. Effect of different thickness crystalline SiC buffer layers on the ordering of MgB{sub 2} films probed by extended x-ray absorption fine structure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Putri, W. B. K.; Tran, D. H.; Kang, B., E-mail: bwkang@chungbuk.ac.kr

    2014-03-07

    Extended X-ray absorption fine structure (EXAFS) spectroscopy is a powerful method to investigate the local structure of thin films. Here, we have studied EXAFS of MgB{sub 2} films grown on SiC buffer layers. Crystalline SiC buffer layers with different thickness of 70, 100, and 130 nm were deposited on the Al{sub 2}O{sub 3} (0001) substrates by using a pulsed laser deposition method, and then MgB{sub 2} films were grown on the SiC buffer layer by using a hybrid physical-chemical vapor deposition technique. Transition temperature of MgB{sub 2} film decreased with increasing thickness of SiC buffer layer. However, the T{sub c} droppingmore » went no farther than 100 nm-thick-SiC. This uncommon behavior of transition temperature is likely to be created from electron-phonon interaction in MgB{sub 2} films, which is believed to be related to the ordering of MgB{sub 2} atomic bonds, especially in the ordering of Mg–Mg bonds. Analysis from Mg K-edge EXAFS measurements showed interesting ordering behavior of MgB{sub 2} films. It is noticeable that the ordering of Mg–B bonds is found to decrease monotonically with the increase in SiC thickness of the MgB{sub 2} films, while the opposite happens with the ordering in Mg–Mg bonds. Based on these results, crystalline SiC buffer layers in MgB{sub 2} films seemingly have evident effects on the alteration of the local structure of the MgB{sub 2} film.« less

  4. Buffers more than buffering agent: introducing a new class of stabilizers for the protein BSA.

    PubMed

    Gupta, Bhupender S; Taha, Mohamed; Lee, Ming-Jer

    2015-01-14

    In this study, we have analyzed the influence of four biological buffers on the thermal stability of bovine serum albumin (BSA) using dynamic light scattering (DLS). The investigated buffers include 4-(2-hydroxyethyl)piperazine-1-ethanesulfonic acid (HEPES), 4-(2-hydroxyethyl)-1-piperazine-propanesulfonic acid (EPPS), 4-(2-hydroxyethyl)piperazine-1-ethanesulfonic acid sodium salt (HEPES-Na), and 4-morpholinepropanesulfonic acid sodium salt (MOPS-Na). These buffers behave as a potential stabilizer for the native structure of BSA against thermal denaturation. The stabilization tendency follows the order of MOPS-Na > HEPES-Na > HEPES ≫ EPPS. To obtain an insight into the role of hydration layers and peptide backbone in the stabilization of BSA by these buffers, we have also explored the phase transition of a thermoresponsive polymer, poly(N-isopropylacrylamide (PNIPAM)), a model compound for protein, in aqueous solutions of HEPES, EPPS, HEPES-Na, and MOPS-Na buffers at different concentrations. It was found that the lower critical solution temperatures (LCST) of PNIPAM in the aqueous buffer solutions substantially decrease with increase in buffer concentration. The mechanism of interactions between these buffers and protein BSA was probed by various techniques, including UV-visible, fluorescence, and FTIR. The results of this series of studies reveal that the interactions are mainly governed by the influence of the buffers on the hydration layers surrounding the protein. We have also explored the possible binding sites of BSA with these buffers using a molecular docking technique. Moreover, the activities of an industrially important enzyme α-chymotrypsin (α-CT) in 0.05 M, 0.5 M, and 1.0 M of HEPES, EPPS, HEPES-Na, and MOPS-Na buffer solutions were analyzed at pH = 8.0 and T = 25 °C. Interestingly, the activities of α-CT were found to be enhanced in the aqueous solutions of these investigated buffers. Based upon the Jones-Dole viscosity parameters, the

  5. Infrared blocking, microwave and terahertz low-loss transmission AlN films grown on flexible polymeric substrates

    NASA Astrophysics Data System (ADS)

    Rudenko, E.; Tsybrii, Z.; Sizov, F.; Korotash, I.; Polotskiy, D.; Skoryk, M.; Vuichyk, M.; Svezhentsova, K.

    2017-04-01

    Aluminum nitride (AlN) film coatings on flexible substrates (polymeric Teflon, Mylar) have been obtained using a hybrid helicon-arc ion-plasma deposition technique with high adhesion of coatings. Studies of optical, morphological, and structural properties of AlN films have been carried out. It was found that AlN coatings on Teflon and Mylar thin-film substrates substantially suppress transmission of infrared (IR) radiation within the spectral range λ ˜ 5-20 μm at certain technological parameters and thickness of AlN. Transmission in THz regions by using quasioptics attains T ≈ 79%-95%, and losses measured in the channels within the microwave region 2 to 36 GHz are <0.06 dB. The obtained composite structures (AlN coatings on Teflon and Mylar thin-film substrates), due to a high thermal conductivity of AlN, could be used as efficient blocking structures in the infrared spectral range ("infrared stealth") withdrawing the heat from filters warmed by IR radiation. At the same time, they can be used as the transparent ones in the microwave and THz regions, which can be important for low-temperature detector components of navigation, positioning, and telecommunication systems due to reducing the background noise.

  6. Spray-Pyrolyzed Three-Dimensional CuInS2 Solar Cells on Nanocrystalline-Titania Electrodes with Chemical-Bath-Deposited Inx(OH)ySz Buffer Layers

    NASA Astrophysics Data System (ADS)

    Nguyen, Duy-Cuong; Mikami, Yuki; Tsujimoto, Kazuki; Ryo, Toshihiro; Ito, Seigo

    2012-10-01

    Three-dimensional (3D) compound solar cells with the structure of have been fabricated by spray pyrolysis deposition of CuInS2 and chemical-bath deposition of Inx(OH)ySz for the light absorber and buffer layer, respectively. The effect of deposition and annealing conditions of Inx(OH)ySz on the photovoltaic properties of 3D CuInS2 solar cells was investigated. Inx(OH)ySz annealed in air ambient showed a better cell performance than those annealed in nitrogen ambient and without annealing. The improvement of the performance of cells with Inx(OH)ySz buffer layers annealed in air ambient is due to the increase in oxide concentration in the buffer layers [confirmed by X-ray photoelectron spectroscopy (XPS) measurement]. Among cells with Inx(OH)ySz buffer layers deposited for 1, 1.5, 1.75, and 2 h, that with Inx(OH)ySz deposited for 1.75 h showed the best cell performance. The best cell performance was observed for Inx(OH)ySz deposited for 1.75 h with annealing at 300 °C for 30 min in air ambient, and cell parameters were 22 mA cm-2 short-circuit photocurrent density, 0.41 V open-circuit voltage, 0.35 fill factor, and 3.2% conversion efficiency.

  7. Competitive growth mechanisms of AlN on Si (111) by MOVPE.

    PubMed

    Feng, Yuxia; Wei, Hongyuan; Yang, Shaoyan; Chen, Zhen; Wang, Lianshan; Kong, Susu; Zhao, Guijuan; Liu, Xianglin

    2014-09-18

    To improve the growth rate and crystal quality of AlN, the competitive growth mechanisms of AlN under different parameters were studied. The mass transport limited mechanism was competed with the gas-phase parasitic reaction and became dominated at low reactor pressure. The mechanism of strain relaxation at the AlN/Si interface was studied by transmission electron microscopy (TEM). Improved deposition rate in the mass-transport-limit region and increased adatom mobility were realized under extremely low reactor pressure.

  8. Glass-Based Transparent Conductive Electrode: Its Application to Visible-to-Ultraviolet Light-Emitting Diodes.

    PubMed

    Lee, Tae Ho; Kim, Kyeong Heon; Lee, Byeong Ryong; Park, Ju Hyun; Schubert, E Fred; Kim, Tae Geun

    2016-12-28

    Nitride-based ultraviolet light-emitting diodes (UV LEDs) are promising replacements for conventional UV lamps. However, the external quantum efficiency of UV LEDs is much lower than for visible LEDs due to light absorption in the p-GaN contact and electrode layers, along with p-AlGaN growth and doping issues. To minimize such absorption, we should obtain direct ohmic contact to p-AlGaN using UV-transparent ohmic electrodes and not use p-GaN as a contact layer. Here, we propose a glass-based transparent conductive electrode (TCE) produced using electrical breakdown (EBD) of an AlN thin film, and we apply the thin film to four (Al)GaN-based visible and UV LEDs with thin buffer layers for current spreading and damage protection. Compared to LEDs with optimal ITO contacts, our LEDs with AlN TCEs exhibit a lower forward voltage, higher light output power, and brighter light emission for all samples. The ohmic transport mechanism for current injection and spreading from the metal electrode to p-(Al)GaN layer via AlN TCE is also investigated by analyzing the p-(Al)GaN surface before and after EBD.

  9. A first-principles study of the properties of four predicted novel phases of AlN

    NASA Astrophysics Data System (ADS)

    Yang, Ruike; Zhu, Chuanshuai; Wei, Qun; Du, Zheng

    2017-05-01

    Structural, elastic, thermodynamic, electronic and optical properties of four predicted novel AlN phases (Pmn21-AlN, Pbam-AlN, Pbca-AlN and Cmcm-AlN) are calculated using first-principles according to density function theory (DFT). These phases were found using the CALYPSO method but have not yet been synthesized experimentally. Here we predict some of their properties. The properties are analyzed by means of GGA-PBE and PBE0 respectively. The more precision results are obtained by PBE0. Cmcm-AlN owns better plasticity and it's Young's modulus has clearer anisotropy than Pmn21-AlN, Pbam-AlN and Pbca-AlN. The Debye temperature, under higher temperature, shows weak temperature dependence and approach to a constant value. The Dulong-Petit limit of all four novel AlN phases and wz-AlN is about 48 J mol-1 K-1 and they have almost the same temperature law. The band structures show that the four AlN are the wide direct band gap semiconductors, which band gaps are 5.95 (Pmn21-AlN), 5.99 (Pbam-AlN), 5.88 (Pbca-AlN) and 5.59 eV (Cmcm-AlN). The bonding behaviors are the combination of covalent and ionic nature. The dielectric constants, refractive index, reflectivity, absorption, loss spectra, conductivity and Raman spectra are also calculated in detail. All four phases have a lower plasma frequency than of wz-AlN.

  10. A computational study on the electronic and field emission properties of Mg and Si doped AlN nanocones

    NASA Astrophysics Data System (ADS)

    Saedi, Leila; Soleymanabadi, Hamed; Panahyab, Ataollah

    2018-05-01

    Following an experimental work, we explored the effect of replacing an Al atom of an AlN nanocone by Si or Mg atom on its electronic and field emission properties using density functional theory calculations. We found that both Si-doping and Mg-doping increase the electrical conductivity of AlN nanocone, but their influences on the filed emission properties are significantly different. The Si-doping increases the electron concentration of AlN nanocone and results in a large electron mobility and a low work function, whereas Mg-doping leads to a high hole concentration below the conduction level and increases the work function in agreement with the experimental results. It is predicted that Si-doped AlN nanocones show excellent filed emission performance with higher emitted electron current density compared to the pristine AlN nanocone. But the Mg-doping meaningfully decreases the emitted electron current density from the surface of AlN nanocone. The Mg-doping can increase the work function about 41.9% and the Si-doping can decrease it about 6.3%. The Mg-doping and Si-doping convert the AlN nanocone to a p-type and n-type semiconductors, respectively. Our results explain in a molecular level what observed in the experiment.

  11. Ab initio molecular dynamics simulations of AlN responding to low energy particle radiation

    NASA Astrophysics Data System (ADS)

    Xi, Jianqi; Liu, Bin; Zhang, Yanwen; Weber, William J.

    2018-01-01

    Ab initio molecular dynamics simulations of low energy recoil events in wurtzite AlN have been performed to determine threshold displacement energies, defect production and evolution mechanisms, role of partial charge transfer during the process, and the influence of irradiation-induced defects on the properties of AlN. The results show that the threshold displacement energies, Ed, along the direction parallel to the basal planes are smaller than those perpendicular to the basal planes. The minimum Ed values are determined to be 19 eV and 55 eV for N and Al atom, respectively, which occur along the [ 1 ¯ 1 ¯ 20 ] direction. In general, the threshold displacement energies for N are smaller than those for Al atom, indicating the N defects would be dominant under irradiation. The defect production mechanisms have been analyzed. It is found that charge transfer and redistribution for both the primary knock-on atom and the subsequent recoil atoms play a significant role in defect production and evolution. Similar to the trend in oxide materials, there is a nearly linear relationship between Ed and the total amount of charge transfer at the potential energy peak in AlN, which provides guidance on the development of charge-transfer interatomic potentials for classic molecular dynamics simulations. Finally, the response behavior of AlN to low energy irradiation is qualitatively investigated. The existence of irradiation-induced defects significantly modifies the electronic structure, and thus affects the magnetic, electronic and optical properties of AlN. These findings further enrich the understanding of defects in the wide bandgap semiconductor of AlN.

  12. The role of Ag buffer layer in Fe islands growth on Ge (111) surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fu, Tsu-Yi, E-mail: phtifu@phy.ntnu.edu.tw; Wu, Jia-Yuan; Jhou, Ming-Kuan

    2015-05-07

    Sub-monolayer iron atoms were deposited at room temperature on Ge (111)-c(2 × 8) substrates with and without Ag buffer layers. The behavior of Fe islands growth was investigated by using scanning tunneling microscope (STM) after different annealing temperatures. STM images show that iron atoms will cause defects and holes on substrates at room temperature. As the annealing temperature rises, iron atoms pull out germanium to form various kinds of alloyed islands. However, the silver layer can protect the Ag/Ge(111)-(√3×√3) reconstruction from forming defects. The phase diagram shows that ring, dot, and triangular defects were only found on Ge (111)-c(2 × 8) substrates. The kindsmore » of islands found in Fe/Ge system are similar to Fe/Ag/Ge system. It indicates that Ge atoms were pulled out to form islands at high annealing temperatures whether there was a Ag layer or not. But a few differences in big pyramidal or strip islands show that the silver layer affects the development of islands by changing the surface symmetry and diffusion coefficient. The structure characters of various islands are also discussed.« less

  13. Spectral features and voltage effects in high-field electroluminescence of AlN filamentary nanocrystals

    NASA Astrophysics Data System (ADS)

    Weinstein, I. A.; Vokhmintsev, A. S.; Chaikin, D. V.; Afonin, Yu. D.

    2016-11-01

    The high-field electroluminescence (EL) spectra for Al-rich AlN nanowhiskers varying applied voltage were studied. The observed 2.70 eV emission, which can be considered as superposition of two Gaussian bands in 2.75 and 2.53 eV, was analyzed. It was shown that Fowler-Nordheim effect took place in EL mechanism with participation of capturing levels of ON- and VN-centers when AlN nanowhiskers were exposed to an external field of 2.5 ÷ 10 V/μm. Obtained results and made conclusions are in a good agreement with independent electron field emission measurements for different one-dimensional AlN nanostructures.

  14. Defect characterization of MOCVD grown AlN/AlGaN films on sapphire substrates by TEM and TKD

    NASA Astrophysics Data System (ADS)

    O'Connell, J. H.; Lee, M. E.; Westraadt, J.; Engelbrecht, J. A. A.

    2018-04-01

    High resolution transmission electron microscopy (TEM) has been used to characterize defects structures in AlN/AlGaN epilayers grown by metal-organic chemical vapour deposition (MOCVD) on c-plane sapphire (Al2O3) substrates. The AlN buffer layer was shown to be epitaxially grown on the sapphire substrate with the two lattices rotated relatively through 30°. The AlN layer had a measured thickness of 20-30 nm and was also shown to contain nano-sized voids. The misfit dislocations in the buffer layer have been shown to be pure edge with a spacing of 1.5 nm. TEM characterization of the AlGaN epilayers was shown to contain a higher than expected threading dislocation density of the order 1010 cm-2 as well as the existence of "nanopipes". TEM analysis of the planar lamella for AlGaN has presented evidence for the possibility of columnar growth. The strain and misorientation mapping in the AlGaN epilayer by transmission Kikuchi diffraction (TKD) using the FIB lamella has also been demonstrated to be complimentary to data obtained by TEM imaging.

  15. Polarization characteristics of semipolar (112̄2) InGaN/GaN quantum well structures grown on relaxed InGaN buffer layers and comparison with experiment.

    PubMed

    Park, Seoung-Hwan; Mishra, Dhaneshwar; Eugene Pak, Y; Kang, K; Park, Chang Yong; Yoo, Seung-Hyun; Cho, Yong-Hee; Shim, Mun-Bo; Kim, Sungjin

    2014-06-16

    Partial strain relaxation effects on polarization ratio of semipolar (112̄2) InxGa1−xN/GaN quantum well (QW) structures grown on relaxed InGaN buffers were investigated using the multiband effective-mass theory. The absolute value of the polarization ratio gradually decreases with increasing In composition in InGaN buffer layer when the strain relaxation ratio (ε0y′y′−εy′y′)/ε0y′y′ along y′-axis is assumed to be linearly proportional to the difference of lattice constants between the well and the buffer layer. Also, it changes its sign for the QW structure grown on InGaN buffer layer with a relatively larger In composition (x > 0.07). These results are in good agreement with the experiment. This can be explained by the fact that, with increasing In composition in the InGaN subsrate, the spontaneous emission rate for the y′-polarization gradually increases while that for x′-polarization decreases due to the decrease in a matrix element at the band-edge (k‖ = 0).

  16. AlGaN-based ultraviolet light-emitting diodes on sputter-deposited AlN templates with epitaxial AlN/AlGaN superlattices

    NASA Astrophysics Data System (ADS)

    Zhao, Lu; Zhang, Shuo; Zhang, Yun; Yan, Jianchang; Zhang, Lian; Ai, Yujie; Guo, Yanan; Ni, Ruxue; Wang, Junxi; Li, Jinmin

    2018-01-01

    We demonstrate AlGaN-based ultraviolet light-emitting diodes (UV-LEDs) grown by metalorganic chemical vapor deposition (MOCVD) on sputter-deposited AlN templates upon sapphire substrates. An AlN/AlGaN superlattices structure is inserted as a dislocation filter between the LED structure and the AlN template. The full width at half maximum values for (0002) and (10 1 bar 2) X-ray rocking curves of the n-type Al0.56Ga0.44N layer are 513 and 1205 arcsec, respectively, with the surface roughness of 0.52 nm. The electron concentration and mobility measured by Hall measurement are 9.3 × 1017cm-3 and 54 cm2/V·s at room temperature, respectively. The light output power of a 282-nm LED reaches 0.28 mW at 20 mA with an external quantum efficiency of 0.32%. And the values of leakage current and forward voltage of the LEDs are ∼3 nA at -10 V and 6.9 V at 20 mA, respectively, showing good electrical performance. It is expected that the cost of the UV-LED can be reduced by using sputter-deposited AlN template.

  17. Development of buffer layer structure for epitaxial growth of (100)/(001)Pb(Zr,Ti)O3-based thin film on (111)Si wafer

    NASA Astrophysics Data System (ADS)

    Hayasaka, Takeshi; Yoshida, Shinya; Tanaka, Shuji

    2017-07-01

    This paper reports on the development of a novel buffer layer structure, (100)SrRuO3/(100)LaNiO3/(111)Pt/(111)CeO2, for the epitaxial growth of a (100)/(001)-oriented Pb(Zr,Ti)O3 (PZT)-based thin film on a (111)Si wafer. (111)Pt and (111)CeO2 were epitaxially grown on (111)Si straightforwardly. Then, the crystal orientation was forcibly changed from (111) to (100) at the LaNiO3 layer owing to its strong (100)-self-orientation property, which enabled the cube-on-cube epitaxial growth of the subsequent (100)SrRuO3 layer and preferentially (100)/(001)-oriented PZT-based thin film. The PZT-based epitaxial thin films were comprehensively characterized in terms of the crystallinity, in-plane epitaxial relationships, piezoelectricity, and so forth. This buffer layer structure for the epitaxial growth of PZT can be applied to piezoelectric micro-electro-mechanical systems (MEMS) vibrating ring gyroscopes.

  18. Plasma-assisted Molecular Beam Epitaxy of N-polar InAlN-barrier High-electron-mobility Transistors.

    PubMed

    Hardy, Matthew T; Storm, David F; Katzer, D Scott; Downey, Brian P; Nepal, Neeraj; Meyer, David J

    2016-11-24

    Plasma-assisted molecular beam epitaxy is well suited for the epitaxial growth of III-nitride thin films and heterostructures with smooth, abrupt interfaces required for high-quality high-electron-mobility transistors (HEMTs). A procedure is presented for the growth of N-polar InAlN HEMTs, including wafer preparation and growth of buffer layers, the InAlN barrier layer, AlN and GaN interlayers and the GaN channel. Critical issues at each step of the process are identified, such as avoiding Ga accumulation in the GaN buffer, the role of temperature on InAlN compositional homogeneity, and the use of Ga flux during the AlN interlayer and the interrupt prior to GaN channel growth. Compositionally homogeneous N-polar InAlN thin films are demonstrated with surface root-mean-squared roughness as low as 0.19 nm and InAlN-based HEMT structures are reported having mobility as high as 1,750 cm 2 /V∙sec for devices with a sheet charge density of 1.7 x 10 13 cm -2 .

  19. La0.7Sr0.3MnO3: A single, conductive-oxide buffer layer for the development of YBa2Cu3O7-δ coated conductors

    NASA Astrophysics Data System (ADS)

    Aytug, T.; Paranthaman, M.; Kang, B. W.; Sathyamurthy, S.; Goyal, A.; Christen, D. K.

    2001-10-01

    Coated conductor applications in power technologies require stabilization of the high-temperature superconducting (HTS) layers against thermal runaway. Conductive La0.7Sr0.3MnO3 (LSMO) has been epitaxially grown on biaxially textured Ni substrates as a single buffer layer. The subsequent epitaxial growth of YBa2Cu3O7-δ (YBCO) coatings by pulsed laser deposition yielded self-field critical current densities (Jc) of 0.5×106A/cm2 at 77 K, and provided good electrical connectivity over the entire structure (HTS+conductive-buffer+metal substrate). Property characterizations of YBCO/LSMO/Ni architecture revealed excellent crystallographic and morphological properties. These results have demonstrated that LSMO, used as a single, conductive buffer layer, may offer potential for use in fully stabilized YBCO coated conductors.

  20. Thermal atomic layer etching of crystalline aluminum nitride using sequential, self-limiting hydrogen fluoride and Sn(acac){sub 2} reactions and enhancement by H{sub 2} and Ar plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Nicholas R.; Sun, Huaxing; Sharma, Kashish

    2016-09-15

    Thermal atomic layer etching (ALE) of crystalline aluminum nitride (AlN) films was demonstrated using sequential, self-limiting reactions with hydrogen fluoride (HF) and tin(II) acetylacetonate [Sn(acac){sub 2}] as the reactants. Film thicknesses were monitored versus number of ALE reaction cycles at 275 °C using in situ spectroscopic ellipsometry (SE). A low etch rate of ∼0.07 Å/cycle was measured during etching of the first 40 Å of the film. This small etch rate corresponded with the AlO{sub x}N{sub y} layer on the AlN film. The etch rate then increased to ∼0.36 Å/cycle for the pure AlN films. In situ SE experiments established the HF and Sn(acac){submore » 2} exposures that were necessary for self-limiting surface reactions. In the proposed reaction mechanism for thermal AlN ALE, HF fluorinates the AlN film and produces an AlF{sub 3} layer on the surface. The metal precursor, Sn(acac){sub 2}, then accepts fluorine from the AlF{sub 3} layer and transfers an acac ligand to the AlF{sub 3} layer in a ligand-exchange reaction. The possible volatile etch products are SnF(acac) and either Al(acac){sub 3} or AlF(acac){sub 2}. Adding a H{sub 2} plasma exposure after each Sn(acac){sub 2} exposure dramatically increased the AlN etch rate from 0.36 to 1.96 Å/cycle. This enhanced etch rate is believed to result from the ability of the H{sub 2} plasma to remove acac surface species that may limit the AlN etch rate. The active agent from the H{sub 2} plasma is either hydrogen radicals or radiation. Adding an Ar plasma exposure after each Sn(acac){sub 2} exposure increased the AlN etch rate from 0.36 to 0.66 Å/cycle. This enhanced etch rate is attributed to either ions or radiation from the Ar plasma that may also lead to the desorption of acac surface species.« less

  1. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    NASA Astrophysics Data System (ADS)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  2. Organic Solar Cells Based on WO2.72 Nanowire Anode Buffer Layer with Enhanced Power Conversion Efficiency and Ambient Stability.

    PubMed

    You, Longzhen; Liu, Bin; Liu, Tao; Fan, Bingbing; Cai, Yunhao; Guo, Lin; Sun, Yanming

    2017-04-12

    Tungsten oxide as an alternative to conventional acidic PEDOT:PSS has attracted much attention in organic solar cells (OSCs). However, the vacuum-processed WO 3 layer and high-temperature sol-gel hydrolyzed WO X are incompatible with large-scale manufacturing of OSCs. Here, we report for the first time that a specific tungsten oxide WO 2.72 (W 18 O 49 ) nanowire can function well as the anode buffer layer. The nw-WO 2.72 film exhibits a high optical transparency. The power conversion efficiency (PCE) of OSCs based on three typical polymer active layers PTB7:PC 71 BM, PTB7-Th:PC 71 BM, and PDBT-T1:PC 71 BM with nw-WO 2.72 layer were improved significantly from 7.27 to 8.23%, from 8.44 to 9.30%, and from 8.45 to 9.09%, respectively compared to devices with PEDOT:PSS. Moreover, the photovoltaic performance of OSCs based on small molecule p-DTS(FBTTh 2 ) 2 :PC 71 BM active layer was also enhanced with the incorporation of nw-WO 2.72 . The enhanced performance is mainly attributed to the improved short-circuit current density (J sc ), which benefits from the oxygen vacancies and the surface apophyses for better charge extraction. Furthermore, OSCs based on nw-WO 2.72 show obviously improved ambient stability compared to devices with PEDOT:PSS layer. The results suggest that nw-WO 2.72 is a promising candidate for the anode buffer layer materials in organic solar cells.

  3. Effects of V2O3 buffer layers on sputtered VO2 smart windows: Improved thermochromic properties, tunable width of hysteresis loops and enhanced durability

    NASA Astrophysics Data System (ADS)

    Long, Shiwei; Cao, Xun; Sun, Guangyao; Li, Ning; Chang, Tianci; Shao, Zewei; Jin, Ping

    2018-05-01

    Vanadium dioxide (VO2) is one of the most well-known thermochromic materials, which exhibits a notable optical change from transparent to reflecting in the infrared region upon a metal-insulator phase transition. For practical applications, VO2 thin films should be in high crystalline quality to obtain a strong solar modulation ability (ΔTsol). Meanwhile, narrow hysteresis loops and robust ambient durability are also indispensable for sensitivity and long-lived utilization, respectively. In this work, a series of high-quality V2O3/VO2 bilayer structures were grown on quartz glass substrates by reactive magnetron sputtering. Basically, the bottom V2O3 acts as the buffer layer to improve the crystallinity of the top VO2, while the VO2 serves as the thermochromic layer to guarantee the solar modulation ability for energy-saving. We observed an obvious increase in ΔTsol of 76% (from 7.5% to 13.2%) for VO2 films after introducing V2O3 buffer layers. Simultaneously, a remarkable reduction by 79% (from 21.9 °C to 4.7 °C) in width of hysteresis loop was obtained when embedding 60 nm V2O3 buffer for 60 nm VO2. In addition, VO2 with non-stoichiometry of V2O3±x buffer demonstrates a broadening hysteresis loops width, which is derived from the lattice distortion caused by lattice imperfection. Finally, durability of VO2 has been significantly improved due to positive effects of V2O3 buffer layer. Our results lead to a comprehensive enhancement in crystallinity of VO2 and shed new light on the promotion of thermochromic property by homologous oxides for VO2.

  4. Growth of High-Quality GaAs on Ge by Controlling the Thickness and Growth Temperature of Buffer Layer

    NASA Astrophysics Data System (ADS)

    Zhou, Xu-Liang; Pan, Jiao-Qing; Yu, Hong-Yan; Li, Shi-Yan; Wang, Bao-Jun; Bian, Jing; Wang, Wei

    2014-12-01

    High-quality GaAs thin films grown on miscut Ge substrates are crucial for GaAs-based devices on silicon. We investigate the effect of different thicknesses and temperatures of GaAs buffer layers on the crystal quality and surface morphology of GaAs on Ge by metal-organic chemical vapor deposition. Through high resolution x-ray diffraction measurements, it is demonstrated that the full width at half maximum for the GaAs epilayer (Ge substrate) peak could achieve 19.3 (11.0) arcsec. The value of etch pit density could be 4×104 cm-2. At the same time, GaAs surfaces with no pyramid-shaped pits are obtained when the buffer layer growth temperature is lower than 360°C, due to effective inhibition of initial nucleation at terraces of the Ge surface. In addition, it is shown that large island formation at the initial stage of epitaxial growth is a significant factor for the final rough surface and that this initial stage should be carefully controlled when a device quality GaAs surface is desired.

  5. Chemical bath deposited ZnS buffer layer for Cu(In,Ga)Se2 thin film solar cell

    NASA Astrophysics Data System (ADS)

    Hong, Jiyeon; Lim, Donghwan; Eo, Young-Joo; Choi, Changhwan

    2018-02-01

    The dependence of Zn precursors using zinc sulfate (ZnSO4), zinc acetate (Zn(CH3COO)2), and zinc chloride (ZnCl2) on the characteristics of the chemical bath deposited ZnS thin film used as a buffer layer of Cu(In,Ga)Se2 (CIGS) thin film solar cell was studied. It is found that the ZnS film deposition rate increases with higher stability constant during decomplexation reaction of zinc ligands, which affects the crack formation and the amount of sulfur and oxygen contents within the film. The band gap energies of all deposited films are in the range of 3.40-3.49 eV, which is lower than that of the bulk ZnS film due to oxygen contents within the films. Among the CIGS solar cells having ZnS buffer layers prepared by different Zn precursors, the best cell efficiency with 9.4% was attained using Zn(CH3COO)2 precursor due to increased Voc mainly. This result suggests that [Zn(NH3)4]2+ complex formation should be well controlled to attain the high quality ZnS thin films.

  6. Influence of Surface Passivation on AlN Barrier Stress and Scattering Mechanism in Ultra-thin AlN/GaN Heterostructure Field-Effect Transistors.

    PubMed

    Lv, Y J; Song, X B; Wang, Y G; Fang, Y L; Feng, Z H

    2016-12-01

    Ultra-thin AlN/GaN heterostructure field-effect transistors (HFETs) with, and without, SiN passivation were fabricated by the same growth and device processes. Based on the measured DC characteristics, including the capacitance-voltage (C-V) and output current-voltage (I-V) curves, the variation of electron mobility with gate bias was found to be quite different for devices with, and without, SiN passivation. Although the AlN barrier layer is ultra thin (c. 3 nm), it was proved that SiN passivation induces no additional tensile stress and has no significant influence on the piezoelectric polarization of the AlN layer using Hall and Raman measurements. The SiN passivation was found to affect the surface properties, thereby increasing the electron density of the two-dimensional electron gas (2DEG) under the access region. The higher electron density in the access region after SiN passivation enhanced the electrostatic screening for the non-uniform distributed polarization charges, meaning that the polarization Coulomb field scattering has a weaker effect on the electron drift mobility in AlN/GaN-based devices.

  7. High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.

    PubMed

    Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan

    2018-06-08

    Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Hydride vapor phase epitaxy of high structural perfection thick AlN layers on off-axis 6H-SiC

    NASA Astrophysics Data System (ADS)

    Volkova, Anna; Ivantsov, Vladimir; Leung, Larry

    2011-01-01

    The employment of more than 10 μm thick AlN epilayers on SiC substrates for AlGaN/GaN high-electron-mobility transistors (HEMTs) substantially raises their performance in high-power energy-efficient amplifiers for 4G wireless mobile stations. In this paper, structural properties and surface morphology of thick AlN epilayers deposited by hydride vapor phase epitaxy (HVPE) on off-axis conductive 6H-SiC substrates are reported. The epilayers were examined in detail by high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), Nomarski differential interference contrast (DIC), scanning electron microscopy (SEM), and selective wet chemical etching. At optimal substrate preparation and growth conditions, a full width at half-maximum (FWHM) of the XRD rocking curve (RC) for the symmetric (00.2) reflex was very close to that of the substrate (less than 40 arcsec) suggesting low screw dislocation density in the epilayer (˜10 6 cm -2) and small in-plane tilt misorientation. Reciprocal space mapping around asymmetric reflexes and measured lattice parameters indicated a fully relaxed state of the epilayers. The unit-cell-high stepped areas of the epilayers with 0.5 nm root mean square (RMS) roughness over 1×1 μm 2 scan were alternated with step-bunching instabilities up to 350 nm in height. Low warp of the substrates makes them suitable for precise epitaxy of HEMT structures.

  9. Method to grow group III-nitrides on copper using passivation layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Qiming; Wang, George T; Figiel, Jeffrey T

    Group III-nitride epilayers can be grown directly on copper substrates using intermediate passivation layers. For example, single crystalline c-plane GaN can be grown on Cu (110) substrates with MOCVD. The growth relies on a low temperature AlN passivation layer to isolate any alloying reaction between Ga and Cu.

  10. Cubic GaN quantum dots embedded in zinc-blende AlN microdisks

    NASA Astrophysics Data System (ADS)

    Bürger, M.; Kemper, R. M.; Bader, C. A.; Ruth, M.; Declair, S.; Meier, C.; Förstner, J.; As, D. J.

    2013-09-01

    Microresonators containing quantum dots find application in devices like single photon emitters for quantum information technology as well as low threshold laser devices. We demonstrate the fabrication of 60 nm thin zinc-blende AlN microdisks including cubic GaN quantum dots using dry chemical etching techniques. Scanning electron microscopy analysis reveals the morphology with smooth surfaces of the microdisks. Micro-photoluminescence measurements exhibit optically active quantum dots. Furthermore this is the first report of resonator modes in the emission spectrum of a cubic AlN microdisk.

  11. Transparent-conductive-oxide (TCO) buffer layer effect on the resistive switching process in metal/TiO2/TCO/metal assemblies

    NASA Astrophysics Data System (ADS)

    Filatova, E. O.; Baraban, A. P.; Konashuk, A. S.; Konyushenko, M. A.; Selivanov, A. A.; Sokolov, A. A.; Schaefers, F.; Drozd, V. E.

    2014-11-01

    The effect of a transparent conductive oxide (TCO) buffer layer on the insulator matrix and on the resistive switching process in the metal/TiO2/TCO/metal assembly was studied depending on the material of the TCO (ITO-(In2O3)0.9(SnO2)0.1 or SnO2 or ZnO). For the first time electro-physical studies and near edge x-ray absorption fine structure (NEXAFS) studies were carried out jointly and at the same point of the sample, providing direct experimental evidence that the switching process strongly influences the lowest unoccupied bands and the local atomic structure of the TiO2 layers. It was established that a TCO layer in a metal/TiO2/TCO/metal assembly is an additional source of oxygen vacancies for the TiO2 film. The RL (RH) states are achieved presumably with the formation (rupture) of the electrically conductive path of oxygen vacancies. Inserting an Al2O3 thin layer between the TiO2 and TCO layers to some extent restricts the processes of migration of the oxygen ions and vacancies, and does not allow the anti-clockwise bipolar resistive switching in a Au/TiO2/Al2O3/ITO/Au assembly. The greatest value of the ratio RH/RL is observed for the assembly with a SnO2 buffer layer that will provide the maximum set of intermediate states (recording analog data) and increase the density of information recording in this case.

  12. Quality-enhanced In{sub 0.3}Ga{sub 0.7}As film grown on GaAs substrate with an ultrathin amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, Fangliang; Li, Guoqiang, E-mail: msgli@scut.edu.cn

    2014-01-27

    Using low-temperature molecular beam epitaxy, amorphous In{sub 0.6}Ga{sub 0.4}As layers have been grown on GaAs substrates to act as buffer layers for the subsequent epitaxial growth of In{sub 0.3}Ga{sub 0.7}As films. It is revealed that the crystallinity of as-grown In{sub 0.3}Ga{sub 0.7}As films is strongly affected by the thickness of the large-mismatched amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer. Given an optimized thickness of 2 nm, this amorphous In{sub 0.6}Ga{sub 0.4}As buffer layer can efficiently release the misfit strain between the In{sub 0.3}Ga{sub 0.7}As epi-layer and the GaAs substrate, trap the threading and misfit dislocations from propagating to the following In{sub 0.3}Ga{submore » 0.7}As epi-layer, and reduce the surface fluctuation of the as-grown In{sub 0.3}Ga{sub 0.7}As, leading to a high-quality In{sub 0.3}Ga{sub 0.7}As film with competitive crystallinity to that grown on GaAs substrate using compositionally graded In{sub x}Ga{sub 1-x}As metamorphic buffer layers. Considering the complexity of the application of the conventional In{sub x}Ga{sub 1-x}As graded buffer layers, this work demonstrates a much simpler approach to achieve high-quality In{sub 0.3}Ga{sub 0.7}As film on GaAs substrate and, therefore, is of huge potential for the InGaAs-based high-efficiency photovoltaic industry.« less

  13. Effects of a GaSb buffer layer on an InGaAs overlayer grown on Ge(111) substrates: Strain, twin generation, and surface roughness

    NASA Astrophysics Data System (ADS)

    Kajikawa, Y.; Nishigaichi, M.; Tenma, S.; Kato, K.; Katsube, S.

    2018-04-01

    InGaAs layers were grown by molecular-beam epitaxy on nominal and vicinal Ge(111) substrates with inserting GaSb buffer layers. High-resolution X-ray diffraction using symmetric 333 and asymmetric 224 reflections was employed to analyze the crystallographic properties of the grown layers. By using the two reflections, we determined the lattice constants (the unit cell length a and the angle α between axes) of the grown layers with taking into account the rhombohedral distortion of the lattices of the grown layers. This allowed us the independent determination of the strain components (perpendicular and parallel components to the substrate surface, ε⊥ and ε//) and the composition x of the InxGa1-xAs layers by assuming the distortion coefficient D, which is defined as the ratio of ε⊥ against ε//. Furthermore, the twin ratios were determined for the GaSb and the InGaAs layers by comparing asymmetric 224 reflections from the twin domain with that from the normal domain of the layers. As a result, it has been shown that the twin ratio in the InGaAs layer can be decreased to be less than 0.1% by the use of the vicinal substrate together with annealing the GaSb buffer layer during the growth interruption before the InGaAs overgrowth.

  14. Enhanced Efficiency of Polymer Light-Emitting Diodes by Dispersing Dehydrated Nanotube Titanic Acid in the Hole-buffer Layer

    NASA Astrophysics Data System (ADS)

    Qian, L.; Xu, Z.; Teng, F.; Duan, X.-X.; Jin, Z.-S.; Du, Z.-L.; Li, F.-S.; Zheng, M.-J.; Wang, Y.-S.

    2007-06-01

    Efficiency of polymer light-emitting diodes (PLEDs) with poly(2-methoxy-5-(2-ethyl hexyloxy)- p-phenylene vinylene) (MEH-PPV) as an emitting layer was improved if a dehydrated nanotubed titanic acid (DNTA) doped hole-buffer layer polyethylene dioxythiophene (PEDOT) was used. Photoluminescence (PL) and Raman spectra indicated a stronger interaction between DNTA and sulfur atom in thiophene of PEDOT, which suppresses the chemical interaction between vinylene of MEH-PPV and thiophene of PEDOT. The interaction decreases the defect states in an interface region to result in enhancement in device efficiency, even though the hole transporting ability of PEDOT was decreased.

  15. Investigations of YBa2Cu3O y films sputtered onto a substrate of amorphous quartz with a platinum buffer layer

    NASA Astrophysics Data System (ADS)

    Blinova, Yu. V.; Snigirev, O. V.; Porokhov, N. V.; Evlashin, S. A.

    2017-10-01

    Results of investigations using X-ray diffraction and scanning electron microscopy of composite materials made from YBa2Cu3O y films sputtered (using various regimes) onto a substrate of amorphous quartz with a platinum buffer layer, have been given.

  16. Domain matched epitaxial growth of (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} thin films on (0001) Al{sub 2}O{sub 3} with ZnO buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Krishnaprasad, P. S., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Jayaraj, M. K., E-mail: pskrishnaprasu@gmail.com, E-mail: mkj@cusat.ac.in; Antony, Aldrin

    2015-03-28

    Epitaxial (111) Ba{sub 0.5}Sr{sub 0.5}TiO{sub 3} (BST) thin films have been grown by pulsed laser deposition on (0001) Al{sub 2}O{sub 3} substrate with ZnO as buffer layer. The x-ray ω-2θ, Φ-scan and reciprocal space mapping indicate epitaxial nature of BST thin films. The domain matched epitaxial growth of BST thin films over ZnO buffer layer was confirmed using Fourier filtered high resolution transmission electron microscope images of the film-buffer interface. The incorporation of ZnO buffer layer effectively suppressed the lattice mismatch and promoted domain matched epitaxial growth of BST thin films. Coplanar inter digital capacitors fabricated on epitaxial (111) BSTmore » thin films show significantly improved tunable performance over polycrystalline thin films.« less

  17. Reduction of the Mg acceptor activation energy in GaN, AlN, Al0.83Ga0.17N and MgGa δ-doping (AlN)5/(GaN)1: the strain effect

    NASA Astrophysics Data System (ADS)

    Jiang, Xin-He; Shi, Jun-Jie; Zhang, Min; Zhong, Hong-Xia; Huang, Pu; Ding, Yi-Min; He, Ying-Ping; Cao, Xiong

    2015-12-01

    To resolve the p-type doping problem of Al-rich AlGaN alloys, we investigate the influence of biaxial and hydrostatic strains on the activation energy, formation energy and band gap of Mg-doped GaN, AlN, Al0.83Ga0.17N disorder alloy and (AlN)5/(GaN)1 superlattice based on first-principles calculations by combining the standard DFT and hybrid functional. We find that the Mg acceptor activation energy {{E}\\text{A}} , the formation energy {{E}\\text{f}} and the band gap {{E}\\text{g}} decrease with increasing the strain ɛ. The hydrostatic strain has a more remarkable impact on {{E}\\text{g}} and {{E}\\text{A}} than the biaxial strain. Both {{E}\\text{A}} and {{E}\\text{g}} have a linear dependence on the hydrostatic strain. For the biaxial strain, {{E}\\text{g}} shows a parabolic dependence on ɛ if \\varepsilon ≤slant 0 while it becomes linear if \\varepsilon ≥slant 0 . In GaN and (AlN)5/(GaN)1, {{E}\\text{A}} parabolically depends on the biaxial compressive strain and linearly depends on the biaxial tensible strain. However, the dependence is approximately linear over the whole biaxial strain range in AlN and Al0.83Ga0.17N. The Mg acceptor activation energy in (AlN)5/(GaN)1 can be reduced from 0.26 eV without strain to 0.16 (0.22) eV with the hydrostatic (biaxial) tensible strain 3%.

  18. A comparative study on magnetism in Zn-doped AlN and GaN from first-principles

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xu, Liang; Wang, Lingling, E-mail: llwang@hnu.edu.cn, E-mail: xiaowenzhi@hnu.edu.cn; Huang, Weiqing

    2014-09-14

    First-principles calculations have been used to comparatively investigate electronic and magnetic properties of Zn-doped AlN and GaN. A total magnetic moment of 1.0 μ B{sub B} induced by Zn is found in AlN, but not in GaN. Analyses show that the origin of spontaneous polarization not only depend on the localized atomic orbitals of N and sufficient hole concentration, but also the relative intensity of the covalency of matrix. The relatively stronger covalent character of GaN with respect to AlN impedes forming local magnetic moment in GaN matrix. Our study offers a fresh sight of spontaneous spin polarization in d⁰more » magnetism. The much stronger ferromagnetic coupling in c-plane of AlN means that it is feasible to realize long-range ferromagnetic order via monolayer delta-doping. This can apply to other wide band-gap semiconductors in wurtzite structure.« less

  19. Structural and optical properties of low temperature grown AlN films on sapphire using helicon sputtering system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Meei-Ru; Chen, Hou-Guang; Kao, Hui-Ling, E-mail: hlkao@cycu.edu.tw

    2015-05-15

    AlN thin films have been deposited directly on c-plane sapphire substrates at low temperatures by a helicon sputtering system. The structural quality of AlN epitaxial films was characterized by x-ray diffractometry and transmission electron microscopy. The films exhibit smooth surface with root-mean-square roughness as small as 0.7 nm evaluated by atomic force microscope. The optical transmittance spectra show a steep absorption edge at the wavelength of 200 nm and a high transmittance of over 80% in the visible range. The band-edge transition (6.30 eV) of AlN film was observed in the cathodoluminescence spectrum recorded at 11 K. The spectral response of metal–semiconductor–metal photodetectors constructedmore » with AlN/sapphire reveals the peak responsivity at 200 nm and a UV/visible rejection ratio of about two orders of magnitude. The results of this low temperature deposition suggest the feasibility of the epitaxial growth of AlN on sapphire substrates and the incorporation of the AlN films in the surface acoustic wave devices and the optical devices at deep ultraviolet region.« less

  20. CVD of SiC and AlN using cyclic organometallic precursors

    NASA Technical Reports Server (NTRS)

    Interrante, L. V.; Larkin, D. J.; Amato, C.

    1992-01-01

    The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.

  1. Control of metamorphic buffer structure and device performance of In(x)Ga(1-x)As epitaxial layers fabricated by metal organic chemical vapor deposition.

    PubMed

    Nguyen, H Q; Yu, H W; Luc, Q H; Tang, Y Z; Phan, V T H; Hsu, C H; Chang, E Y; Tseng, Y C

    2014-12-05

    Using a step-graded (SG) buffer structure via metal-organic chemical vapor deposition, we demonstrate a high suitability of In0.5Ga0.5As epitaxial layers on a GaAs substrate for electronic device application. Taking advantage of the technique's precise control, we were able to increase the number of SG layers to achieve a fairly low dislocation density (∼10(6) cm(-2)), while keeping each individual SG layer slightly exceeding the critical thickness (∼80 nm) for strain relaxation. This met the demanded but contradictory requirements, and even offered excellent scalability by lowering the whole buffer structure down to 2.3 μm. This scalability overwhelmingly excels the forefront studies. The effects of the SG misfit strain on the crystal quality and surface morphology of In0.5Ga0.5As epitaxial layers were carefully investigated, and were correlated to threading dislocation (TD) blocking mechanisms. From microstructural analyses, TDs can be blocked effectively through self-annihilation reactions, or hindered randomly by misfit dislocation mechanisms. Growth conditions for avoiding phase separation were also explored and identified. The buffer-improved, high-quality In0.5Ga0.5As epitaxial layers enabled a high-performance, metal-oxide-semiconductor capacitor on a GaAs substrate. The devices displayed remarkable capacitance-voltage responses with small frequency dispersion. A promising interface trap density of 3 × 10(12) eV(-1) cm(-2) in a conductance test was also obtained. These electrical performances are competitive to those using lattice-coherent but pricey InGaAs/InP systems.

  2. Probing the dielectric response of the interfacial buffer layer in epitaxial graphene via optical spectroscopy

    NASA Astrophysics Data System (ADS)

    Hill, Heather M.; Rigosi, Albert F.; Chowdhury, Sugata; Yang, Yanfei; Nguyen, Nhan V.; Tavazza, Francesca; Elmquist, Randolph E.; Newell, David B.; Hight Walker, Angela R.

    2017-11-01

    Monolayer epitaxial graphene (EG) is a suitable candidate for a variety of electronic applications. One advantage of EG growth on the Si face of SiC is that it develops as a single crystal, as does the layer below, referred to as the interfacial buffer layer (IBL), whose properties include an electronic band gap. Although much research has been conducted to learn about the electrical properties of the IBL, not nearly as much work has been reported on the optical properties of the IBL. In this work, we combine measurements from Mueller matrix ellipsometry, differential reflectance contrast, atomic force microscopy, and Raman spectroscopy, as well as calculations from Kramers-Kronig analyses and density-functional theory, to determine the dielectric function of the IBL within the energy range of 1 eV to 8.5 eV.

  3. Giant increase in piezoelectric coefficient of AlN by Mg-Nb simultaneous addition and multiple chemical states of Nb

    NASA Astrophysics Data System (ADS)

    Uehara, Masato; Shigemoto, Hokuto; Fujio, Yuki; Nagase, Toshimi; Aida, Yasuhiro; Umeda, Keiichi; Akiyama, Morito

    2017-09-01

    Aluminum nitride (AlN) is one of piezoelectric materials, which are eagerly anticipated for use in microelectromechanical systems (MEMS) applications such as communication resonators, sensors, and energy harvesters. AlN is particularly excellent in generated voltage characteristics for the MEMS rather than oxide piezoelectric materials such as lead zirconium titanate Pb(Zr, Ti)O3. However, it is necessary to improve the piezoelectric properties of AlN in order to advance the performance of the MEMS. We dramatically increased the piezoelectric coefficient d33 of AlN films by simultaneously adding magnesium (Mg) and niobium (Nb). The d33 of Mg39.3Nb25.0Al35.7N is 22 pC/N, which is about four times that of AlN. The d33 is increased by Mg and Nb simultaneous addition, and is not increased by Mg or Nb single addition. Interestingly, the Nb has multiple chemical states, and which are influenced by the Mg concentration.

  4. Dual role of TiO2 buffer layer in Pt catalyzed BiFeO3 photocathodes: Efficiency enhancement and surface protection

    NASA Astrophysics Data System (ADS)

    Shen, Huanyu; Zhou, Xiaoxue; Dong, Wen; Su, Xiaodong; Fang, Liang; Wu, Xi; Shen, Mingrong

    2017-09-01

    Polycrystalline ferroelectric BiFeO3 (BFO) films deposited on transparent indium tin oxide (ITO) electrodes have shown to be an interesting photocathode for photoelectrochemical (PEC) water splitting; however, its PEC performance and stability are far from perfection. Herein, we reported an amorphous TiO2 buffer layer, inserted between BFO and Pt catalyst, improves significantly both its PEC activity and stability. A photocathodic current density of -460 μA/cm2 at 0 V vs. reversible hydrogen electrode (RHE) and an onset potential of 1.25 V vs. RHE were obtained in ITO/BFO/TiO2/Pt photocathode under 100 mW/cm2 Xe-lamp illumination. TiO2 functions as a buffer layer to remove the upward barrier between BFO and Pt, and makes the photogenerated carriers separate efficiently. The photocathode also shows high stability in acid solution after a 10-h PEC continuous testing.

  5. Molecular beam epitaxy growth of SrO buffer layers on graphite and graphene for the integration of complex oxides

    DOE PAGES

    Ahmed, Adam S.; Wen, Hua; Ohta, Taisuke; ...

    2016-04-27

    Here, we report the successful growth of high-quality SrO films on highly-ordered pyrolytic graphite (HOPG) and single-layer graphene by molecular beam epitaxy. The SrO layers have (001) orientation as confirmed by X-ray diffraction (XRD) while atomic force microscopy measurements show continuous pinhole-free films having rms surface roughness of <1.5 Å. Moreover, transport measurements of exfoliated graphene, after SrO deposition, show a strong dependence between the Dirac point and Sr oxidation. As a result, the SrO is leveraged as a buffer layer for more complex oxide integration via the demonstration of (001) oriented SrTiO3 grown atop a SrO/HOPG stack.

  6. Molecular beam epitaxy growth of SrO buffer layers on graphite and graphene for the integration of complex oxides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahmed, Adam S.; Wen, Hua; Ohta, Taisuke

    Here, we report the successful growth of high-quality SrO films on highly-ordered pyrolytic graphite (HOPG) and single-layer graphene by molecular beam epitaxy. The SrO layers have (001) orientation as confirmed by X-ray diffraction (XRD) while atomic force microscopy measurements show continuous pinhole-free films having rms surface roughness of <1.5 Å. Moreover, transport measurements of exfoliated graphene, after SrO deposition, show a strong dependence between the Dirac point and Sr oxidation. As a result, the SrO is leveraged as a buffer layer for more complex oxide integration via the demonstration of (001) oriented SrTiO3 grown atop a SrO/HOPG stack.

  7. Ab initio molecular dynamics simulations of AlN responding to low energy particle radiation

    DOE PAGES

    Xi, Jianqi; Liu, Bin; Zhang, Yanwen; ...

    2018-01-30

    Ab initio molecular dynamics simulations of low energy recoil events in wurtzite AlN have been performed to determine threshold displacement energies, defect production and evolution mechanisms, role of partial charge transfer during the process, and the influence of irradiation-induced defects on the properties of AlN. Here, the results show that the threshold displacement energies, E d, along the direction parallel to the basal planes are smaller than those perpendicular to the basal planes. The minimum E d values are determined to be 19 eV and 55 eV for N and Al atom, respectively, which occur along the [more » $$\\overline{11}20$$] direction. In general, the threshold displacement energies for N are smaller than those for Al atom, indicating the N defects would be dominant under irradiation. The defect production mechanisms have been analyzed. It is found that charge transfer and redistribution for both the primary knock-on atom and the subsequent recoil atoms play a significant role in defect production and evolution. Similar to the trend in oxide materials, there is a nearly linear relationship between E d and the total amount of charge transfer at the potential energy peak in AlN, which provides guidance on the development of charge-transfer interatomic potentials for classic molecular dynamics simulations. Finally, the response behavior of AlN to low energy irradiation is qualitatively investigated. The existence of irradiation-induced defects significantly modifies the electronic structure, and thus affects the magnetic, electronic and optical properties of AlN. In conclusion, these findings further enrich the understanding of defects in the wide bandgap semiconductor of AlN.« less

  8. Ab initio molecular dynamics simulations of AlN responding to low energy particle radiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Xi, Jianqi; Liu, Bin; Zhang, Yanwen

    Ab initio molecular dynamics simulations of low energy recoil events in wurtzite AlN have been performed to determine threshold displacement energies, defect production and evolution mechanisms, role of partial charge transfer during the process, and the influence of irradiation-induced defects on the properties of AlN. Here, the results show that the threshold displacement energies, E d, along the direction parallel to the basal planes are smaller than those perpendicular to the basal planes. The minimum E d values are determined to be 19 eV and 55 eV for N and Al atom, respectively, which occur along the [more » $$\\overline{11}20$$] direction. In general, the threshold displacement energies for N are smaller than those for Al atom, indicating the N defects would be dominant under irradiation. The defect production mechanisms have been analyzed. It is found that charge transfer and redistribution for both the primary knock-on atom and the subsequent recoil atoms play a significant role in defect production and evolution. Similar to the trend in oxide materials, there is a nearly linear relationship between E d and the total amount of charge transfer at the potential energy peak in AlN, which provides guidance on the development of charge-transfer interatomic potentials for classic molecular dynamics simulations. Finally, the response behavior of AlN to low energy irradiation is qualitatively investigated. The existence of irradiation-induced defects significantly modifies the electronic structure, and thus affects the magnetic, electronic and optical properties of AlN. In conclusion, these findings further enrich the understanding of defects in the wide bandgap semiconductor of AlN.« less

  9. Mechanical and Thermophysical Properties of Cubic Rock-Salt AlN Under High Pressure

    NASA Astrophysics Data System (ADS)

    Lebga, Noudjoud; Daoud, Salah; Sun, Xiao-Wei; Bioud, Nadhira; Latreche, Abdelhakim

    2018-03-01

    Density functional theory, density functional perturbation theory, and the Debye model have been used to investigate the structural, elastic, sound velocity, and thermodynamic properties of AlN with cubic rock-salt structure under high pressure, yielding the equilibrium structural parameters, equation of state, and elastic constants of this interesting material. The isotropic shear modulus, Pugh ratio, and Poisson's ratio were also investigated carefully. In addition, the longitudinal, transverse, and average elastic wave velocities, phonon contribution to the thermal conductivity, and interesting thermodynamic properties were predicted and analyzed in detail. The results demonstrate that the behavior of the elastic wave velocities under increasing hydrostatic pressure explains the hardening of the corresponding phonons. Based on the elastic stability criteria under pressure, it is found that AlN with cubic rock-salt structure is mechanically stable, even at pressures up to 100 GPa. Analysis of the Pugh ratio and Poisson's ratio revealed that AlN with cubic rock-salt structure behaves in brittle manner.

  10. Superconducting composite with multilayer patterns and multiple buffer layers

    DOEpatents

    Wu, Xin D.; Muenchausen, Ross E.

    1993-01-01

    An article of manufacture including a substrate, a patterned interlayer of a material selected from the group consisting of magnesium oxide, barium-titanium oxide or barium-zirconium oxide, the patterned interlayer material overcoated with a secondary interlayer material of yttria-stabilized zirconia or magnesium-aluminum oxide, upon the surface of the substrate whereby an intermediate article with an exposed surface of both the overcoated patterned interlayer and the substrate is formed, a coating of a buffer layer selected from the group consisting of cerium oxide, yttrium oxide, curium oxide, dysprosium oxide, erbium oxide, europium oxide, iron oxide, gadolinium oxide, holmium oxide, indium oxide, lanthanum oxide, manganese oxide, lutetium oxide, neodymium oxide, praseodymium oxide, plutonium oxide, samarium oxide, terbium oxide, thallium oxide, thulium oxide, yttrium oxide and ytterbium oxide over the entire exposed surface of the intermediate article, and, a ceramic superco n FIELD OF THE INVENTION The present invention relates to the field of superconducting articles having two distinct regions of superconductive material with differing in-plane orientations whereby the conductivity across the boundary between the two regions can be tailored. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  11. Fabrication and electrochemical properties of insoluble fullerene-diamine adduct thin-films as buffer layer by alternate immersion process

    NASA Astrophysics Data System (ADS)

    Saito, Jo; Akiyama, Tsuyoshi; Suzuki, Atsushi; Oku, Takeo

    2017-01-01

    Insoluble fullerene-diamine adduct thin-films consisting of C60 and 1,2-diaminoethane were easily fabricated on an electrode by an alternate immersion process. Formation of the C60-diamine adduct films were confirmed using transmission absorption spectroscopy and atomic force microscopy. An inverted-type organic solar cells were fabricated by using the C60-diamine adduct film as the electron transport layer. The resultant photoelectric conversation performance of the solar cells suggested that photocurrent is generated via the photoexcitation of polythiophene. The result suggests that the present insoluble fullerene-diamine adduct films worked as buffer layer for organic thin-film solar cells.

  12. Piezoelectric actuated micro-resonators based on the growth of diamond on aluminum nitride thin films.

    PubMed

    Hees, J; Heidrich, N; Pletschen, W; Sah, R E; Wolfer, M; Williams, O A; Lebedev, V; Nebel, C E; Ambacher, O

    2013-01-18

    Unimorph heterostructures based on piezoelectric aluminum nitride (AlN) and diamond thin films are highly desirable for applications in micro- and nanoelectromechanical systems. In this paper, we present a new approach to combine thin conductive boron-doped as well as insulating nanocrystalline diamond (NCD) with sputtered AlN films without the need for any buffer layers between AlN and NCD or polishing steps. The zeta potentials of differently treated nanodiamond (ND) particles in aqueous colloids are adjusted to the zeta potential of AlN in water. Thereby, the nucleation density for the initial growth of diamond on AlN can be varied from very low (10(8) cm(-2)), in the case of hydrogen-treated ND seeding particles, to very high values of 10(11) cm(-2) for oxidized ND particles. Our approach yielding high nucleation densities allows the growth of very thin NCD films on AlN with thicknesses as low as 40 nm for applications such as microelectromechanical beam resonators. Fabricated piezo-actuated micro-resonators exhibit enhanced mechanical properties due to the incorporation of boron-doped NCD films. Highly boron-doped NCD thin films which replace the metal top electrode offer Young's moduli of more than 1000 GPa.

  13. Optimization by simulation of the nature of the buffer, the gap profile of the absorber and the thickness of the various layers in CZTSSe solar cells

    NASA Astrophysics Data System (ADS)

    Chadel, Meriem; Chadel, Asma; Moustafa Bouzaki, Mohammed; Aillerie, Michel; Benyoucef, Boumediene; Charles, Jean-Pierre

    2017-11-01

    Performances of ZnO/ZnS/CZTSSe polycrystalline thin film solar cells (Copper Zinc Tin Sulphur Selenium-solar cell) were simulated for different thicknesses of the absorber and ZnS buffer layers. Simulations were performed with SCAPS (Solar Cell Capacitance Simulator) software, starting with actual parameters available from industrial data for commercial cells processing. The influences of the thickness of the various layers in the structure of the solar cell and the gap profile of the CZTSSe absorber layer on the performance of the solar cell were studied in detail. Through considerations of recent works, we discuss possible routes to enhance the performance of CZTSSe solar cells towards a higher efficiency level. Thus, we found that for one specific thickness of the absorber layer, the efficiency of the CZTSSe solar cell can be increased when a ZnS layer replaces the usual CdS buffer layer. On the other hand, the efficiency of the solar cell can be also improved when the absorber layer presents a grad-gap. In this case, the maximum efficiency for the CZTSSe cell was found equal to 13.73%.

  14. Emission wavelength red-shift by using ;semi-bulk; InGaN buffer layer in InGaN/InGaN multiple-quantum-well

    NASA Astrophysics Data System (ADS)

    Alam, Saiful; Sundaram, Suresh; Li, Xin; El Gmili, Youssef; Elouneg-Jamroz, Miryam; Robin, Ivan Christophe; Patriarche, Gilles; Salvestrini, Jean-Paul; Voss, Paul L.; Ougazzaden, Abdallah

    2017-12-01

    We report an elongation of emission wavelength by inserting a ∼70 nm thick high quality semi-bulk (SB) InyGa1-yN buffer layer underneath the InxGa1-xN/InyGa1-yN (x > y) multi-quantum-well (MQW).While the MQW structure without the InGaN SB buffer is fully strained on the n-GaN template, the MQW structure with the buffer has ∼15% relaxation. This small relaxation along with slight compositional pulling induced well thickness increase of MQW is believed to be the reason for the red-shift of emission wavelength. In addition, the SB InGaN buffer acts as an electron reservoir and also helps to reduce the Quantum Confined Stark Effect (QCSE) and thus increase the emission intensity. In this way, by avoiding fully relaxed buffer induced material degradation, a longer emission wavelength can be achieved by just using InGaN SB buffer while keeping all other growth conditions the same as the reference structure. Thus, a reasonably thick fully strained or very little relaxed InGaN buffer, which is realized by ;semi-bulk; approach to maintain good InGaN material quality, can be beneficial for realizing LEDs, grown on top of this buffer, emitting in the blue to cyan to green regime without using excess indium (In).

  15. Growth and Comparison of Residual Stress of AlN Films on Silicon (100), (110) and (111) Substrates

    NASA Astrophysics Data System (ADS)

    Pandey, Akhilesh; Dutta, Shankar; Prakash, Ravi; Raman, R.; Kapoor, Ashok Kumar; Kaur, Davinder

    2018-02-01

    This paper reports on the comparison of residual stresses in AlN thin films sputter-deposited in identical conditions on Si (100) (110) and (111) substrates. The deposited films are of polycrystalline wurtzite structure with preferred orientation along the (002) direction. AlN film on the Si (111) substrate showed a vertical columnar structure, whereas films on Si (100) and (110) showed tilted columnar structures. Residual stress in the AlN films is estimated by x-ray diffraction (XRD), infra-red absorption method and wafer curvature technique. Films residual stress are found compressive and values are in the range of - 650 (± 50) MPa, - 730 (± 50) MPa and - 300 (± 50) MPa for the AlN films grown on Si (100), (110) and (111) substrates, respectively, with different techniques. The difference in residual stresses can be attributed to the microstructure of the films and mismatch between in plane atomic arrangements of the film and substrates.

  16. Modification of electron states in CdTe absorber due to a buffer layer in CdTe/CdS solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fedorenko, Y. G., E-mail: y.fedorenko@liverpool.ac.uk; Major, J. D.; Pressman, A.

    2015-10-28

    By application of the ac admittance spectroscopy method, the defect state energy distributions were determined in CdTe incorporated in thin film solar cell structures concluded on ZnO, ZnSe, and ZnS buffer layers. Together with the Mott-Schottky analysis, the results revealed a strong modification of the defect density of states and the concentration of the uncompensated acceptors as influenced by the choice of the buffer layer. In the solar cells formed on ZnSe and ZnS, the Fermi level and the energy position of the dominant deep trap levels were observed to shift closer to the midgap of CdTe, suggesting the mid-gapmore » states may act as recombination centers and impact the open-circuit voltage and the fill factor of the solar cells. For the deeper states, the broadening parameter was observed to increase, indicating fluctuations of the charge on a microscopic scale. Such changes can be attributed to the grain-boundary strain and the modification of the charge trapped at the grain-boundary interface states in polycrystalline CdTe.« less

  17. Ti, Al and N adatom adsorption and diffusion on rocksalt cubic AlN (001) and (011) surfaces: Ab initio calculations

    NASA Astrophysics Data System (ADS)

    Mastail, C.; David, M.; Nita, F.; Michel, A.; Abadias, G.

    2017-11-01

    We use ab initio calculations to determine the preferred nucleation sites and migration pathways of Ti, Al and N adatoms on cubic NaCl-structure (B1) AlN surfaces, primary inputs towards a further thin film growth modelling of the TiAlN alloy system. The potential energy landscape is mapped out for both metallic species and nitrogen adatoms for two different AlN surface orientations, (001) and (110), using density functional theory. For all species, the adsorption energies on AlN(011) surface are larger than on AlN(001) surface. Ti and Al adatom adsorption energy landscapes determined at 0 K by ab initio show similar features, with stable binding sites being located in, or near, epitaxial surface positions, with Ti showing a stronger binding compared to Al. In direct contrast, N adatoms (Nad) adsorb preferentially close to N surface atoms (Nsurf), thus forming strong N2-molecule-like bonds on both AlN(001) and (011). Similar to N2 desorption mechanisms reported for other cubic transition metal nitride surfaces, in the present work we investigate Nad/Nsurf desorption on AlN(011) using a drag calculation method. We show that this process leaves a Nsurf vacancy accompanied with a spontaneous surface reconstruction, highlighting faceting formation during growth.

  18. Asymmetric metal-insulator-metal (MIM) structure formed by pulsed Nd:YAG laser deposition with titanium nitride (TiN) and aluminum nitride (AlN)

    NASA Astrophysics Data System (ADS)

    Oshikane, Yasushi

    2017-08-01

    A novel nanostructured end cap for a truncated conical apex of optical fiber has been studied experimental and numerically. The peculiar cap is composed of asymmetric metal-insulator-metal (MIM) structure coupled with subwavelength holes. The MIM structure may act as reflective band cut filter or generator of surface plasmon polariton (SPP). And nano holes in the thicker metal layer could extract the SPP from the MIM structure and lead it to outer surface of the metal layer. For the purpose, the author has started to create the asymmetric MIM structure with TiN and AlN by pulsed laser deposition (PLD). The resultant structure was diagnosed by spectroscopic analyses.

  19. Cathode buffer composed of fullerene-ethylenediamine adduct for an organic solar cell

    NASA Astrophysics Data System (ADS)

    Kimoto, Yoshinori; Akiyama, Tsuyoshi; Fujita, Katsuhiko

    2017-02-01

    We developed a fullerene-ethylenediamine adduct (C60P-DC) for a cathode buffer material in organic bulk heterojunction solar cells, which enhance the open-circuit voltage (V oc). The evaporative spray deposition using ultra dilute solution (ESDUS) technique was employed to deposit the buffer layer onto the organic active layer to avoid damage during the deposition. By the insertion of a C60P-DC buffer layer, V oc and power conversion efficiency (PCE) were increased from 0.41 to 0.57 V and from 1.65 to 2.10%, respectively. The electron-only device with the C60P-DC buffer showed a much lower current level than that without the buffer, indicating that the V oc increase is caused not by vacuum level shift but by hole blocking. The curve fitting of current density-voltage (J-V) characteristics to the equivalent circuit with a single diode indicated that the decrease in reversed saturation current by hole blocking increased caused the V oc.

  20. Revealing the Bonding Environment of Zn in ALD Zn(O,S) Buffer Layers through X-ray Absorption Spectroscopy

    PubMed Central

    2017-01-01

    Zn(O,S) buffer layer electronic configuration is determined by its composition and thickness, tunable through atomic layer deposition. The Zn K and L-edges in the X-ray absorption near edge structure verify ionicity and covalency changes with S content. A high intensity shoulder in the Zn K-edge indicates strong Zn 4s hybridized states and a preferred c-axis orientation. 2–3 nm thick films with low S content show a subdued shoulder showing less contribution from Zn 4s hybridization. A lower energy shift with film thickness suggests a decreasing bandgap. Further, ZnSO4 forms at substrate interfaces, which may be detrimental for device performance. PMID:29083141

  1. Native defect properties and p -type doping efficiency in group-IIA doped wurtzite AlN

    NASA Astrophysics Data System (ADS)

    Zhang, Yong; Liu, Wen; Niu, Hanben

    2008-01-01

    Using the first-principles full-potential linearized augmented plane-wave (FPLAPW) method based on density functional theory (DFT), we have investigated the native defect properties and p -type doping efficiency in AlN doped with group-IIA elements such as Be, Mg, and Ca. It is shown that nitrogen vacancies (VN) have low formation energies and introduce deep donor levels in wurtzite AlN, while in zinc blende AlN and GaN, these levels are reported to be shallow. The calculated acceptor levels γ(0/-) for substitutional Be (BeAl) , Mg (MgAl) , and Ca (CaAl) are 0.48, 0.58, and 0.95eV , respectively. In p -type AlN, Be interstitials (Bei) , which act as donors, have low formation energies, making them a likely compensating center in the case of acceptor doping. Whereas, when N-rich growth conditions are applied, Bei are energetically not favorable. It is found that p -type doping efficiency of substitutional Be, Mg, and Ca impurities in w-AlN is affected by atomic size and electronegativity of dopants. Among the three dopants, Be may be the best candidate for p -type w-AlN . N-rich growth conditions help us to increase the concentration of BeAl , MgAl , and CaAl .

  2. Energy structure and radiative lifetimes of InxGa1-xN /AlN quantum dots

    NASA Astrophysics Data System (ADS)

    Aleksandrov, Ivan A.; Zhuravlev, Konstantin S.

    2018-01-01

    We report calculations of the ground state transition energies and the radiative lifetimes in InxGa1-xN /AlN quantum dots with different size and indium content. The ground state transition energy and the radiative lifetime of the InxGa1-xN /AlN quantum dots can be varied over a wide range by changing the height of the quantum dot and the indium content. The sizes and compositions for quantum dots emitting in the wavelength range for fiber-optic telecommunications have been found. The radiative lifetime of the InxGa1-xN /AlN quantum dots increases with increase in quantum dot height at a constant indium content, and increases with increase in indium content at constant quantum dot height. For quantum dots with constant ground state transition energy the radiative lifetime decreases with increase in indium content.

  3. Ti{sub 2}AlN thin films synthesized by annealing of (Ti+Al)/AlN multilayers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cabioch, Thierry, E-mail: Thierry.cabioch@univ-poitiers.fr; Alkazaz, Malaz; Beaufort, Marie-France

    2016-08-15

    Highlights: • Epitaxial thin films of the MAX phase Ti{sub 2}AlN are obtained by thermal annealing. • A new metastable (Ti,Al,N) solid solution with the structure of α-T is evidenced. • The formation of the MAX phase occurs at low temperature (600 °C). - Abstract: Single-phase Ti{sub 2}AlN thin films were obtained by annealing in vacuum of (Ti + Al)/AlN multilayers deposited at room temperature by magnetron sputtering onto single-crystalline (0001) 4H-SiC and (0001) Al{sub 2}O{sub 3} substrates. In-situ X-ray diffraction experiments combined with ex-situ cross-sectional transmission electron microscopy observations reveal that interdiffusion processes occur in the multilayer at amore » temperature of ∼400 °C leading to the formation of a (Ti, Al, N) solid solution, having the hexagonal structure of α-Ti, whereas the formation of Ti{sub 2}AlN occurs at 550–600 °C. Highly oriented (0002) Ti{sub 2}AlN thin films can be obtained after an annealing at 750 °C.« less

  4. Protective capping and surface passivation of III-V nanowires by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhaka, Veer, E-mail: veer.dhaka@aalto.fi; Perros, Alexander; Kakko, Joona-Pekko

    2016-01-15

    Low temperature (∼200 °C) grown atomic layer deposition (ALD) films of AlN, TiN, Al{sub 2}O{sub 3}, GaN, and TiO{sub 2} were tested for protective capping and surface passivation of bottom-up grown III-V (GaAs and InP) nanowires (NWs), and top-down fabricated InP nanopillars. For as-grown GaAs NWs, only the AlN material passivated the GaAs surface as measured by photoluminescence (PL) at low temperatures (15K), and the best passivation was achieved with a few monolayer thick (2Å) film. For InP NWs, the best passivation (∼2x enhancement in room-temperature PL) was achieved with a capping of 2nm thick Al{sub 2}O{sub 3}. All othermore » ALD capping layers resulted in a de-passivation effect and possible damage to the InP surface. Top-down fabricated InP nanopillars show similar passivation effects as InP NWs. In particular, capping with a 2 nm thick Al{sub 2}O{sub 3} layer increased the carrier decay time from 251 ps (as-etched nanopillars) to about 525 ps. Tests after six months ageing reveal that the capped nanostructures retain their optical properties. Overall, capping of GaAs and InP NWs with high-k dielectrics AlN and Al{sub 2}O{sub 3} provides moderate surface passivation as well as long term protection from oxidation and environmental attack.« less

  5. Magnetic Anisotropy and Chemical Order of Artificially Synthesized L10-Ordered FeNi Films on Au-Cu-Ni Buffer Layers

    NASA Astrophysics Data System (ADS)

    Kojima, Takayuki; Mizuguchi, Masaki; Koganezawa, Tomoyuki; Osaka, Keiichi; Kotsugi, Masato; Takanashi, Koki

    2012-01-01

    L10-FeNi films were grown by alternate monatomic layer deposition on Au-Cu-Ni buffer layers at several substrate temperatures (Ts), and the relation between the uniaxial magnetic anisotropy energy (Ku) and the long-range chemical order parameter (S) was investigated. A large Ku of (7.0 ±0.2) ×106 erg/cm3 and S of 0.48 ±0.05 were obtained. The value of Ku was larger than those reported previously for artificially synthesized FeNi films. It was first found that both Ku and S increased with Ts, and Ku was roughly proportional to S.

  6. Propagation of misfit dislocations from buffer/Si interface into Si

    DOEpatents

    Liliental-Weber, Zuzanna [El Sobrante, CA; Maltez, Rogerio Luis [Porto Alegre, BR; Morkoc, Hadis [Richmond, VA; Xie, Jinqiao [Raleigh, VA

    2011-08-30

    Misfit dislocations are redirected from the buffer/Si interface and propagated to the Si substrate due to the formation of bubbles in the substrate. The buffer layer growth process is generally a thermal process that also accomplishes annealing of the Si substrate so that bubbles of the implanted ion species are formed in the Si at an appropriate distance from the buffer/Si interface so that the bubbles will not migrate to the Si surface during annealing, but are close enough to the interface so that a strain field around the bubbles will be sensed by dislocations at the buffer/Si interface and dislocations are attracted by the strain field caused by the bubbles and move into the Si substrate instead of into the buffer epi-layer. Fabrication of improved integrated devices based on GaN and Si, such as continuous wave (CW) lasers and light emitting diodes, at reduced cost is thereby enabled.

  7. Fabrication and characterization of {110}-oriented Pb(Zr,Ti)O3 thin films on Pt/SiO2/Si substrates using PdO//Pd buffer layer

    NASA Astrophysics Data System (ADS)

    Oshima, Naoya; Uchiyama, Kiyoshi; Ehara, Yoshitaka; Oikawa, Takahiro; Ichinose, Daichi; Tanaka, Hiroki; Sato, Tomoya; Uchida, Hiroshi; Funakubo, Hiroshi

    2017-10-01

    A strongly {110}-oriented perovskite-type thin film of tetragonal Pb(Zr0.4Ti0.6)O3 (PZT) was successfully obtained on a (100)Si substrate using a {101}PdO//{111}Pd thin film as a buffer layer. The {101}PdO//{111}Pd thin film buffer layer was obtained by oxidizing {111}Pd after depositing {111}Pd on a {111}Pt/TiO x /SiO2/{100}Si substrate. Using this buffer layer, a {110} c -oriented SrRuO3 (SRO) thin film was deposited by sputtering as a bottom electrode of PZT thin films. Subsequently, the {110}-oriented PZT thin film can be deposited on a (110) c SRO thin film by metal-organic chemical deposition (MOCVD) and its properties can be compared with those of PZT thin films with other orientations of {100} and {111}. Among the {100}, {110}, {111}-oriented PZT films, the {100}-oriented one showed the largest remnant polarization, which is in good agreement with those of the PZTs epitaxially grown in the 〈100〉, 〈110〉, and 〈111〉 directions. The other properties, i.e., piezoelectricity and dielectric constants, also showed similar anisotropic tendencies, which is in good agreement with the data reported in the epitaxially grown PZTs.

  8. Hydride vapor phase epitaxy of AlN using a high temperature hot-wall reactor

    NASA Astrophysics Data System (ADS)

    Baker, Troy; Mayo, Ashley; Veisi, Zeinab; Lu, Peng; Schmitt, Jason

    2014-10-01

    Aluminum nitride (AlN) was grown on c-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). The experiments utilized a two zone inductively heated hot-wall reactor. The surface morphology, crystal quality, and growth rate were investigated as a function of growth temperature in the range of 1450-1575 °C. AlN templates grown to a thickness of 1 μm were optimized with double axis X-ray diffraction (XRD) rocking curve full width half maximums (FWHMs) of 135″ for the (002) and 513″ for the (102).

  9. Enhanced Piezoelectric Response of AlN via CrN Alloying

    NASA Astrophysics Data System (ADS)

    Manna, Sukriti; Talley, Kevin R.; Gorai, Prashun; Mangum, John; Zakutayev, Andriy; Brennecka, Geoff L.; Stevanović, Vladan; Ciobanu, Cristian V.

    2018-03-01

    Since AlN has emerged as an important piezoelectric material for a wide variety of applications, efforts have been made to increase its piezoelectric response via alloying with transition metals that can substitute for Al in the wurtzite lattice. We report on density functional theory calculations of structure and properties of the Crx Al1 -x N system for Cr concentrations ranging from zero to beyond the wurtzite-rocksalt transition point. By studying the different contributions to the longitudinal piezoelectric coefficient, we propose that the physical origin of the enhanced piezoelectricity in Crx Al1 -x N alloys is the increase of the internal parameter u of the wurtzite structure upon substitution of Al with the larger Cr ions. Among a set of wurtzite-structured materials, we find that Crx Al1 -x N has the most sensitive piezoelectric coefficient with respect to alloying concentration. Based on these results, we propose that Crx Al1 -x N is a viable piezoelectric material whose properties can be tuned via Cr composition. We support this proposal by combinatorial synthesis experiments, which show that Cr can be incorporated in the AlN lattice up to 30% before a detectable transition to rocksalt occurs. At this Cr content, the piezoelectric modulus d33 is approximately 4 times larger than that of pure AlN. This finding, combined with the relative ease of synthesis under nonequilibrium conditions, may position Crx Al1 -x N as a prime piezoelectric material for applications such as resonators and acoustic wave generators.

  10. Enhanced Piezoelectric Response of AlN via CrN Alloying

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Manna, Sukriti; Talley, Kevin R.; Gorai, Prashun

    2018-03-01

    Since AlN has emerged as an important piezoelectric material for a wide variety of applications, efforts have been made to increase its piezoelectric response via alloying with transition metals that can substitute for Al in the wurtzite lattice. We report on density functional theory calculations of structure and properties of the CrxAl1-xN system for Cr concentrations ranging from zero to beyond the wurtzite-rocksalt transition point. By studying the different contributions to the longitudinal piezoelectric coefficient, we propose that the physical origin of the enhanced piezoelectricity in CrxAl1-xN alloys is the increase of the internal parameter u of the wurtzite structuremore » upon substitution of Al with the larger Cr ions. Among a set of wurtzite-structured materials, we find that CrxAl1-xN has the most sensitive piezoelectric coefficient with respect to alloying concentration. Based on these results, we propose that CrxAl1-xN is a viable piezoelectric material whose properties can be tuned via Cr composition. We support this proposal by combinatorial synthesis experiments, which show that Cr can be incorporated in the AlN lattice up to 30% before a detectable transition to rocksalt occurs. At this Cr content, the piezoelectric modulus d33 is approximately 4 times larger than that of pure AlN. This finding, combined with the relative ease of synthesis under nonequilibrium conditions, may position CrxAl1-xN as a prime piezoelectric material for applications such as resonators and acoustic wave generators.« less

  11. Great enhancement of pyroelectric properties for Ba{sub 0.65}Sr{sub 0.35}TiO{sub 3} films on Pt-Si substrates by inserting a self-buffered layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wu, C. G.; Li, Y. R.; Zhu, J.

    2009-02-15

    (100)-Ba{sub 0.65}Sr{sub 0.35}TiO{sub 3} (BST) films were deposited on Pt/Ti/SiO{sub 2}/Si substrates using a low-temperature self-buffered layer. X-ray diffraction and atomic force microscope investigations show that the microstructure of BST films strongly depends on surface morphology of annealed self-buffered layer. The mechanism of nucleus formation and the growth initiation of BST films on self-buffered layers were proposed. It was found that the pyroelectric properties of BST films can be greatly enhanced. The pyroelectric coefficient and material merit figure of (100)-BST films are 1.16x10{sup 4} {mu}C m{sup -2} K{sup -1} and 2.18x10{sup -4} Pa{sup -1/2}, respectively. The detectivity of 9.4x10{sup 7}more » cm Hz{sup 1/2} W{sup -1} was obtained in the (100)-BST film capacitors thermally isolated by 500 nm SiO{sub 2} films.« less

  12. Texture-enhanced Al-Cu electrodes on ultrathin Ti buffer layers for high-power durable 2.6 GHz SAW filters

    NASA Astrophysics Data System (ADS)

    Fu, Sulei; Wang, Weibiao; Xiao, Li; Lu, Zengtian; Li, Qi; Song, Cheng; Zeng, Fei; Pan, Feng

    2018-04-01

    Achieving high resistance to acoustomigration and electromigration in the electrodes used in high-power and high-frequency surface acoustic wave (SAW) filters is important to mobile communications development. In this study, the effects of the Ti buffer layers on the textures and acoustomigration and electromigration resistances of the Al-Cu electrodes were studied comprehensively. The results demonstrate that both power durability and electromigration lifetime are positively correlated with the Al-Cu electrode texture quality. Ultrathin (˜2 nm) Ti can lead to the strongest Al-Cu (111) textured electrodes, with a full width at half maximum of the rocking curve of 2.09°. This represents a remarkable enhancement of the power durability of high-frequency 2.6 GHz SAW filters from 29 dBm to 35 dBm. It also produces lifetime almost 7 times longer than those of electrodes without Ti buffer layers in electromigration tests. X-ray diffraction and transmission electron microscopy analyses revealed that these improved acoustomigration and electromigration resistances can be attributed primarily to the reductions in overall and large-angle grain boundaries in the highly Al-Cu (111) textured electrodes. Furthermore, the growth mechanism of highly Al-Cu texture films is discussed in terms of surface-interface energy balance.

  13. Single orthorhombic b axis orientation and antiferromagnetic ordering type in multiferroic CaMnO3 thin film with La0.67Ca0.33MnO3 buffer layer

    NASA Astrophysics Data System (ADS)

    Wang, F.; Dong, B. J.; Zhang, Y. Q.; Liu, W.; Zhang, H. R.; Bai, Y.; Li, S. K.; Yang, T.; Sun, J. R.; Wang, Z. J.; Zhang, Z. D.

    2017-09-01

    The detailed crystal structure and antiferromagnetic properties of a 42 nm thick CaMnO3 film grown on a LaAlO3 substrate with a 9 nm La0.67Ca0.33MnO3 buffer layer have been investigated. Compared with a CaMnO3 film directly grown on a LaAlO3 substrate, only one kind of orthorhombic b axis orientation along the [100] axis of the substrate is observed in the CaMnO3 film with a La0.67Ca0.33MnO3 buffer layer. To determine the antiferromagnetic ordering type of our CaMnO3 film with a buffer layer, the first-principles calculations were carried out with the results, indicating that the CaMnO3 film, even under a tensile strain of 1.9%, is still a compensated G-type antiferromagnetic order, the same as the bulk. Moreover, the exchange bias effect is observed at the interface of the CaMnO3/La0.67Ca0.33MnO3 film, further confirming the antiferromagnetic ordering of the CaMnO3 film with a buffer layer. In addition, it is concluded that the exchange bias effect originates from the spin glass state at the La0.67Ca0.33MnO3/CaMnO3 interface, which arises from a competition between the double-exchange ferromagnetic La0.67Ca0.33MnO3 and super-exchange antiferromagnetic CaMnO3 below the spin glass freezing temperature.

  14. Acceptor binding energies in GaN and AlN

    NASA Astrophysics Data System (ADS)

    Mireles, Francisco; Ulloa, Sergio E.

    1998-08-01

    We employ effective-mass theory for degenerate hole bands to calculate the acceptor binding energies for Be, Mg, Zn, Ca, C, and Si substitutional acceptors in GaN and AlN. The calculations are performed through the 6×6 Rashba-Sheka-Pikus and the Luttinger-Kohn matrix Hamiltonians for wurtzite (WZ) and zinc-blende (ZB) crystal phases, respectively. An analytic representation for the acceptor pseudopotential is used to introduce the specific nature of the impurity atoms. The energy shift due to polaron effects is also considered in this approach. The ionization energy estimates are in very good agreement with those reported experimentally in WZ GaN. The binding energies for ZB GaN acceptors are all predicted to be shallower than the corresponding impurities in the WZ phase. The binding-energy dependence upon the crystal-field splitting in WZ GaN is analyzed. Ionization levels in AlN are found to have similar ``shallow'' values to those in GaN, but with some important differences which depend on the band structure parametrizations, especially the value of the crystal-field splitting used.

  15. Synthesis of Nano-Size AlN Powders by Carbothermal Reduction from Plasma-Assisted Ball Milling Precursor

    NASA Astrophysics Data System (ADS)

    Liu, Zhijie; Wang, Wenchun; Yang, Dezheng; Wang, Sen; Dai, Leyang

    2016-07-01

    Nano-size aluminum nitride (AlN) powders have been successfully synthesized with a high efficiency method through annealing from milling assisted by discharge plasma (p-milling) alumina (Al2O3) precursors. The characterization of the p-milling Al2O3 powders and the synthesized AlN are investigated. Compared to conventional ball milling (c-milling), it can be found that the precursors by p-milling have a finer grain size with a higher specific surface area, which lead to a faster reaction efficiency and higher conversion to AlN at lower temperatures. The activation energy of p-milling Al2O3 is found to be 371.5 kJ/mol, a value that is much less than the reported value of the unmilled and the conventional milled Al2O3. Meanwhile, the synthesized AlN powders have unique features, such as an irregular lamp-like morphology with uniform particle distribution and fine average particle size. The results are attributed to the unique synergistic effect of p-milling, which is the effect of deformation, fracture, and cold welding of Al2O3 powders resulting from ball milling, that will be enhanced due to the introduction of discharge plasma. supported by National Natural Science Foundation of China (No. 51177008)

  16. Fabrication and structural properties of AlN submicron periodic lateral polar structures and waveguides for UV-C applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alden, D.; Institut für Festkörperphysik, Technische Universität Berlin, Hardenbergstr. 36, 10623 Berlin; Guo, W.

    Periodically poled AlN thin films with submicron domain widths were fabricated for nonlinear applications in the UV-VIS region. A procedure utilizing metalorganic chemical vapor deposition growth of AlN in combination with laser interference lithography was developed for making a nanoscale lateral polarity structure (LPS) with domain size down to 600 nm. The Al-polar and N-polar domains were identified by wet etching the periodic LPS in a potassium hydroxide solution and subsequent scanning electron microscopy (SEM) characterization. Fully coalesced and well-defined vertical interfaces between the adjacent domains were established by cross-sectional SEM. AlN LPSs were mechanically polished and surface roughness with amore » root mean square value of ∼10 nm over a 90 μm × 90 μm area was achieved. 3.8 μm wide and 650 nm thick AlN LPS waveguides were fabricated. The achieved domain sizes, surface roughness, and waveguides are suitable for second harmonic generation in the UVC spectrum.« less

  17. Functional solid additive modified PEDOT:PSS as an anode buffer layer for enhanced photovoltaic performance and stability in polymer solar cells

    PubMed Central

    Xu, Binrui; Gopalan, Sai-Anand; Gopalan, Anantha-Iyengar; Muthuchamy, Nallal; Lee, Kwang-Pill; Lee, Jae-Sung; Jiang, Yu; Lee, Sang-Won; Kim, Sae-Wan; Kim, Ju-Seong; Jeong, Hyun-Min; Kwon, Jin-Beon; Bae, Jin-Hyuk; Kang, Shin-Won

    2017-01-01

    Poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) is most commonly used as an anode buffer layer in bulk-heterojunction (BHJ) polymer solar cells (PSCs). However, its hygroscopic and acidic nature contributes to the insufficient electrical conductivity, air stability and restricted photovoltaic (PV) performance for the fabricated PSCs. In this study, a new multifunctional additive, 2,3-dihydroxypyridine (DOH), has been used in the PEDOT: PSS buffer layer to obtain modified properties for PEDOT: PSS@DOH and achieve high PV performances. The electrical conductivity of PEDOT:PSS@DOH films was markedly improved compared with that of PEDOT:PSS. The PEDOT:PSS@DOH film exhibited excellent optical characteristics, appropriate work function alignment, and good surface properties in BHJ-PSCs. When a poly(3-hexylthiohpene):[6,6]-phenyl C61-butyric acid methyl ester blend system was applied as the photoactive layer, the power conversion efficiency of the resulting PSCs with PEDOT:PSS@DOH(1.0%) reached 3.49%, outperforming pristine PEDOT:PSS, exhibiting a power conversion enhancement of 20%. The device fabricated using PEDOT:PSS@DOH (1.0 wt%) also exhibited improved thermal and air stability. Our results also confirm that DOH, a basic pyridine derivative, facilitates adequate hydrogen bonding interactions with the sulfonic acid groups of PSS, induces the conformational transformation of PEDOT chains and contributes to the phase separation between PEDOT and PSS chains. PMID:28338088

  18. Functional solid additive modified PEDOT:PSS as an anode buffer layer for enhanced photovoltaic performance and stability in polymer solar cells

    NASA Astrophysics Data System (ADS)

    Xu, Binrui; Gopalan, Sai-Anand; Gopalan, Anantha-Iyengar; Muthuchamy, Nallal; Lee, Kwang-Pill; Lee, Jae-Sung; Jiang, Yu; Lee, Sang-Won; Kim, Sae-Wan; Kim, Ju-Seong; Jeong, Hyun-Min; Kwon, Jin-Beon; Bae, Jin-Hyuk; Kang, Shin-Won

    2017-03-01

    Poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) is most commonly used as an anode buffer layer in bulk-heterojunction (BHJ) polymer solar cells (PSCs). However, its hygroscopic and acidic nature contributes to the insufficient electrical conductivity, air stability and restricted photovoltaic (PV) performance for the fabricated PSCs. In this study, a new multifunctional additive, 2,3-dihydroxypyridine (DOH), has been used in the PEDOT: PSS buffer layer to obtain modified properties for PEDOT: PSS@DOH and achieve high PV performances. The electrical conductivity of PEDOT:PSS@DOH films was markedly improved compared with that of PEDOT:PSS. The PEDOT:PSS@DOH film exhibited excellent optical characteristics, appropriate work function alignment, and good surface properties in BHJ-PSCs. When a poly(3-hexylthiohpene):[6,6]-phenyl C61-butyric acid methyl ester blend system was applied as the photoactive layer, the power conversion efficiency of the resulting PSCs with PEDOT:PSS@DOH(1.0%) reached 3.49%, outperforming pristine PEDOT:PSS, exhibiting a power conversion enhancement of 20%. The device fabricated using PEDOT:PSS@DOH (1.0 wt%) also exhibited improved thermal and air stability. Our results also confirm that DOH, a basic pyridine derivative, facilitates adequate hydrogen bonding interactions with the sulfonic acid groups of PSS, induces the conformational transformation of PEDOT chains and contributes to the phase separation between PEDOT and PSS chains.

  19. Solid-solution Zn(O,S) thin films: Potential alternative buffer layer for Cu2ZnSnS4 solar cells

    NASA Astrophysics Data System (ADS)

    Jani, Margi; Raval, Dhyey; Chavda, Arvind; Mukhopadhyay, Indrajit; Ray, Abhijit

    2018-05-01

    This report investigates the alternative buffer material as Zn(O,S) for chalcogenide Cu2ZnSnS4 (CZTS) solar cell application. Using the band gap tailoring (band bowing) properties of Zn(O,S) system, performance of CZTS solar cell is explore in the present study. Reducing the band offsets with the hetero-junction partners plays a deterministic role in the performance of the device using Zn(O,S) as buffer layer. The experimental performance of the device with the CZTS/Zn(O,S) film developed by Spray pyrolysis method and analyze using J-V characterization in dark and illuminated configuration. Device with the best achievable performance shows Voc of 150 mV and Jsc of 0.47 mA/cm2 has been presented with the possibility of application in the energy harvesting.

  20. Off-wall boundary conditions for turbulent flows obtained from buffer-layer minimal flow units

    NASA Astrophysics Data System (ADS)

    Garcia-Mayoral, Ricardo; Pierce, Brian; Wallace, James

    2012-11-01

    There is strong evidence that the transport processes in the buffer region of wall-bounded turbulence are common across various flow configurations, even in the embryonic turbulence in transition (Park et al., Phys. Fl. 24). We use this premise to develop off-wall boundary conditions for turbulent simulations. Boundary conditions are constructed from DNS databases using periodic minimal flow units and reduced order modeling. The DNS data was taken from a channel at Reτ = 400 and a zero-pressure gradient transitional boundary layer (Sayadi et al., submitted to J . FluidMech .) . Both types of boundary conditions were first tested on a DNS of the core of the channel flow with the aim of extending their application to LES and to spatially evolving flows. 2012 CTR Summer Program.

  1. Demonstrating antiphase domain boundary-free GaAs buffer layer on zero off-cut Si (0 0 1) substrate for interfacial misfit dislocation GaSb film by metalorganic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Ha, Minh Thien Huu; Hoang Huynh, Sa; Binh Do, Huy; Nguyen, Tuan Anh; Luc, Quang Ho; Chang, Edward Yi

    2017-08-01

    High quality 40 nm GaSb thin film was grown on the zero off-cut Si (0 0 1)-oriented substrate using metalorganic chemical vapor deposition with the temperature-graded GaAs buffer layer. The growth time of the GaAs nucleation layer, which was deposited at a low temperature of 490 °C, is systematically investigated in this paper. Cross-sections of the high resolution transmission electron microscopy images indicate that the GaAs compound formed 3D-islands first before to quasi-2D islands, and finally formed uniform GaAs layer. The optimum thickness of the 490 °C-GaAs layer was found to be 10 nm to suppress the formation of antiphase domain boundaries (APDs). The thin GaAs nucleation layer had a root-mean-square surface roughness of 0.483 nm. This allows the continued high temperature GaAs buffer layer to be achieved with low threading dislocation density of around 7.1  ×  106 cm-2 and almost invisible APDs. Finally, a fully relaxed GaSb film was grown on the top of the GaAs/Si heterostructure using interfacial misfit dislocation growth mode. These results indicate that the GaSb epitaxial layer can be grown on Si substrate with GaAs buffer layer for future p-channel metal-oxide-semiconductor field effect transistors (MOSFETs) applications.

  2. Zero lattice mismatch and twin-free single crystalline ScN buffer layers for GaN growth on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lupina, L.; Zoellner, M. H.; Dietrich, B.

    2015-11-16

    We report the growth of thin ScN layers deposited by plasma-assisted molecular beam epitaxy on Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3}/Si(111) substrates. Using x-ray diffraction, Raman spectroscopy, and transmission electron microscopy, we find that ScN films grown at 600 °C are single crystalline, twin-free with rock-salt crystal structure, and exhibit a direct optical band gap of 2.2 eV. A high degree of crystalline perfection and a very good lattice matching between ScN and GaN (misfit < 0.1%) makes the ScN/Sc{sub 2}O{sub 3}/Y{sub 2}O{sub 3} buffer system a very promising template for the growth of high quality GaN layers on silicon.

  3. Comparative study of GaN-based ultraviolet LEDs grown on different-sized patterned sapphire substrates with sputtered AlN nucleation layer

    NASA Astrophysics Data System (ADS)

    Zhou, Shengjun; Hu, Hongpo; Liu, Xingtong; Liu, Mengling; Ding, Xinghuo; Gui, Chengqun; Liu, Sheng; Guo, L. Jay

    2017-11-01

    GaN-based ultraviolet-light-emitting diodes (UV LEDs) with 375 nm emission were grown on different-sized patterned sapphire substrates (PSSs) with ex situ 15-nm-thick sputtered AlN nucleation layers by metal-organic chemical vapor deposition (MOCVD). It was observed through in situ optical reflectance monitoring that the transition time from a three-dimensional (3D) island to a two-dimensional (2D) coalescence was prolonged when GaN was grown on a larger PSS, owing to a much longer lateral growth time of GaN. The full widths at half-maximum (FWHMs) of symmetric GaN(002) and asymmetric GaN(102) X-ray diffraction (XRD) rocking curves decreased as the PSS size increased. By cross-sectional transmission electron microscopy (TEM) analysis, it was found that the threading dislocation (TD) density in UV LEDs decreased with increasing pattern size and fill factor of the PSS, thereby resulting in a marked improvement in internal quantum efficiency (IQE). Finite-difference time-domain (FDTD) simulations quantitatively demonstrated a progressive decrease in light extraction efficiency (LEE) as the PSS size increased. However, owing to the significantly reduced TD density in InGaN/AlInGaN multiple quantum wells (MQWs) and thus improved IQE, the light output power of the UV LED grown on a large PSS with a fill factor of 0.71 was 131.8% higher than that of the UV LED grown on a small PSS with a fill factor of 0.4, albeit the UV LED grown on a large PSS exhibited a much lower LEE.

  4. Buffering the buffer

    Treesearch

    Leslie M. Reid; Sue Hilton

    1998-01-01

    Riparian buffer strips are a widely accepted tool for helping to sustain aquatic ecosystems and to protect downstream resources and values in forested areas, but controversy persists over how wide a buffer strip is necessary. The physical integrity of stream channels is expected to be sustained if the characteristics and rates of tree fall along buffered reaches are...

  5. Characterization of N-polar AlN in GaN/AlN/(Al,Ga)N heterostructures grown by metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Li, Haoran; Mazumder, Baishakhi; Bonef, Bastien; Keller, Stacia; Wienecke, Steven; Speck, James S.; Denbaars, Steven P.; Mishra, Umesh K.

    2017-11-01

    In GaN/(Al,Ga)N high-electron-mobility transistors (HEMT), AlN interlayer between GaN channel and AlGaN barrier suppresses alloy scattering and significantly improves the electron mobility of the two-dimensional electron gas. While high concentrations of gallium were previously observed in Al-polar AlN interlayers grown by metal-organic chemical vapor deposition, the N-polar AlN (Al x Ga1-x N) films examined by atom probe tomography in this study exhibited aluminum compositions (x) equal to or higher than 95% over a wide range of growth conditions. The also investigated AlN interlayer in a N-polar GaN/AlN/AlGaN/ S.I. GaN HEMT structure possessed a similarly high x content.

  6. Device performance and lifetime of polymer:fullerene solar cells with UV-ozone-irradiated hole-collecting buffer layers.

    PubMed

    Lee, Seungsoo; Nam, Sungho; Lee, Hyena; Kim, Hwajeong; Kim, Youngkyoo

    2011-11-18

    We report the influence of UV-ozone irradiation of the hole-collecting buffer layers on the performance and lifetime of polymer:fullerene solar cells. UV-ozone irradiation was targeted at the surface of the poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS) layers by varying the irradiation time up to 600 s. The change of the surface characteristics in the PEDOT:PSS after UV-ozone irradiation was measured by employing optical absorption spectroscopy, photoelectron yield spectroscopy, and contact angle measurements, while Raman and X-ray photoelectron spectroscopy techniques were introduced for more microscopic analysis. Results showed that the UV-ozone irradiation changed the chemical structure/composition of the surface of the PEDOT:PSS layers leading to the gradual increase of ionization potential with irradiation time in the presence of up-and-down variations in the contact angle (polarity). This surface property change was attributed to the formation of oxidative components, as evidenced by XPS and Auger electron images, which affected the sheet resistance of the PEDOT:PSS layers. Interestingly, device performance was slightly improved by short irradiation (up to 10 s), whereas it was gradually decreased by further irradiation. The short-duration illumination test showed that the lifetime of solar cells with the UV-ozone irradiated PEDOT:PSS layer was improved due to the protective role of the oxidative components formed upon UV-ozone irradiation against the attack of sulfonic acid groups in the PEDOT:PSS layer to the active layer. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Influence of AlN(0001) Surface Reconstructions on the Wettability of an Al/AlN System: A First-Principle Study.

    PubMed

    Cao, Junhua; Liu, Yang; Ning, Xiao-Shan

    2018-05-11

    A successful application of a hot dip coating process that coats aluminum (Al) on aluminum nitride (AlN) ceramics, revealed that Al had a perfect wettability to the ceramics under specific circumstances, which was different from previous reports. In order to elucidate the mechanism that controlled the supernormal wetting phenomenon during the dip coating, a first-principle calculation of an Al(111)/AlN(0001) interface, based on the density functional theory (DFT), was employed. The wettability of the Al melt on the AlN(0001) surface, as well as the effect that the surface reconstruction of AlN and the oxygen adsorption had on Al for the adhesion and the wettability of the Al/AlN system, were studied. The results revealed that a LCM (laterally contracted monolayer) reconstruction could improve the adhesion and wettability of the system. Oxygen adsorption on the free surface of Al decreased the contact angle, because the adsorption reduced of the surface tension of Al. A prefect wetting was obtained only after some of the oxygen atoms adsorbed on the free surface of Al. The supernormal wetting phenomenon came from the surface reconstruction of the AlN and the adsorption of oxygen atoms on the Al melt surface.

  8. A comparative study of the annealing behavior of Cu(In,Ga)(S,Se){sub 2} based solar cells with an indium sulfide buffer layer, partly submitted to wet chemical treatments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hönes, C., E-mail: christian.hoenes@de.bosch.com; Laboratory for Photovoltaics, University of Luxembourg, 41 rue du Brill, L-4422 Belvaux; Hackenberg, J.

    2015-03-07

    Indium sulfide thin films deposited via thermal evaporation from compound source material have been successfully utilized as a cadmium free buffer layer for Cu(In,Ga)Se{sub 2} based solar cells. However, high efficiencies are only reached after an additional annealing step. In this work, the annealing behavior of Cu(In,Ga)(S,Se){sub 2} based indium sulfide buffered solar cells is compared to the annealing behavior of similar cells, which were submitted to wet chemical treatments partly containing cadmium ions. Upon annealing a significant improvement of the initial solar cell characteristics is observed for the untreated cell and is related to the increase of activation energymore » for the carrier recombination process and a decrease of the ideality factor within the one diode model. It is shown here that this improvement can also be achieved by wet treatments of the absorber prior to buffer layer deposition. Upon annealing these treated cells still gain in collection length but lose open circuit voltage, which is explained here within a model including a highly p-doped absorber surface layer and supported by simulations showing that a decrease in doping density of such a surface layer would lead to the observed effects.« less

  9. Calculated defect levels in GaN and AlN and their pressure coefficients

    NASA Astrophysics Data System (ADS)

    Gorczyca, I.; Svane, A.; Christensen, N. E.

    1997-03-01

    Using the Green's function technique based on the linear muffin-tin orbital method in the atomic-spheres approximation we perform self-consistent calculations of the electronic structure of native defects and other impurities in cubic GaN and AlN. Vacancies, antisites and interstitials and some of the most common dopants such as Zn, Mg, Cd, C and Ge are investigated in different charge states. To examine the lattice relaxation effects the super-cell approach in connection with the full-potential linear muffin-tin-orbital method is applied to the aluminum vacancy and the nitrogen antisite in AlN. The influence of hydrostatic pressure on the energy positions of some defect states is also studied.

  10. Design of high breakdown voltage GaN vertical HFETs with p-GaN buried buffer layers for power switching applications

    NASA Astrophysics Data System (ADS)

    Du, Jiangfeng; Liu, Dong; Zhao, Ziqi; Bai, Zhiyuan; Li, Liang; Mo, Jianghui; Yu, Qi

    2015-07-01

    To achieve a high breakdown voltage, a GaN vertical heterostructure field effect transistor with p-GaN buried layers (PBL-VHFET) is proposed in this paper. The breakdown voltage of this GaN-based PBL-VHFET could be improved significantly by the optimizing thickness of p-GaN buried layers and doping concentration in PBL. When the GaN buffer layer thickness is 15 μm, the thickness, length and p-doping concentration of PBL are 0.3 μm, 2.7 μm, and 3 × 1017 cm-3, respectively. Simulation results show that the breakdown voltage and on-resistance of the device with two p-GaN buried layers are 3022 V and 3.13 mΩ cm2, respectively. The average breakdown electric field would reach as high as 201.5 V/μm. Compared with the typical GaN vertical heterostructure FETs without PBL, both of breakdown voltage and average breakdown electric field of device are increased more than 50%.

  11. Early and Late Retrieval of the ALN Removable Vena Cava Filter: Results from a Multicenter Study

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pellerin, O., E-mail: olivier.pellerin@egp.aphp.f; Barral, F. G.; Lions, C.

    Retrieval of removable inferior vena cava (IVC) filters in selected patients is widely practiced. The purpose of this multicenter study was to evaluate the feasibility and results of percutaneous removal of the ALN removable filter in a large patient cohort. Between November 2003 and June 2006, 123 consecutive patients were referred for percutaneous extraction of the ALN filter at three centers. The ALN filter is a removable filter that can be implanted through a femoral/jugular vein approach and extracted by the jugular vein approach. Filter removal was attempted after an implantation period of 93 {+-} 15 days (range, 6-722 days)more » through the right internal jugular vein approach using the dedicated extraction kit after control inferior vena cavography. Following filter removal, vena cavograms were obtained in all patients. Successful extraction was achieved in all but one case. Among these successful retrievals, additional manipulation using a femoral approach was needed when the apex of the filter was close to the IVC wall in two patients. No immediate IVC complications were observed according to the postimplantation cavography. Neither technical nor clinical differences between early and late filter retrieval were noticed. Our data confirm the safety of ALN filter retrieval up to 722 days after implantation. In infrequent cases, additional endovenous filter manipulation is needed to facilitate extraction.« less

  12. Compatibility of buffered uranium carbides with tungsten.

    NASA Technical Reports Server (NTRS)

    Phillips, W. M.

    1971-01-01

    Results of compatibility tests between tungsten and hyperstoichiometric uranium carbide alloys run at 1800 C for 1000 and 2500 hours. These tests compared tungsten-buffered uranium carbide with tungsten-buffered uranium-zirconium carbide. The zirconium carbide addition appeared to widen the homogeneity range of the uranium carbide, making additional carbon available for reaction. Reaction layers could be formed by either of two diffusion paths, one producing UWC2, while the second resulted in the formation of W2C. UWC2 acts as a diffusion barrier for carbon and slows the growth of the reaction layer with time, while carbon diffusion is relatively rapid in W2C, allowing equilibrium to be reached in less than 2500 hours at a temperature of 1800 C.

  13. Atomic layer deposition of insulating nitride interfacial layers for germanium metal oxide semiconductor field effect transistors with high-κ oxide/tungsten nitride gate stacks

    NASA Astrophysics Data System (ADS)

    Kim, Kyoung H.; Gordon, Roy G.; Ritenour, Andrew; Antoniadis, Dimitri A.

    2007-05-01

    Atomic layer deposition (ALD) was used to deposit passivating interfacial nitride layers between Ge and high-κ oxides. High-κ oxides on Ge surfaces passivated by ultrathin (1-2nm) ALD Hf3N4 or AlN layers exhibited well-behaved C-V characteristics with an equivalent oxide thickness as low as 0.8nm, no significant flatband voltage shifts, and midgap density of interface states values of 2×1012cm-1eV-1. Functional n-channel and p-channel Ge field effect transistors with nitride interlayer/high-κ oxide/metal gate stacks are demonstrated.

  14. Emerging methanol-tolerant AlN nanowire oxygen reduction electrocatalyst for alkaline direct methanol fuel cell.

    PubMed

    Lei, M; Wang, J; Li, J R; Wang, Y G; Tang, H L; Wang, W J

    2014-08-11

    Replacing precious and nondurable Pt catalysts with cheap materials is a key issue for commercialization of fuel cells. In the case of oxygen reduction reaction (ORR) catalysts for direct methanol fuel cell (DMFC), the methanol tolerance is also an important concern. Here, we develop AlN nanowires with diameters of about 100-150 nm and the length up to 1 mm through crystal growth method. We find it is electrochemically stable in methanol-contained alkaline electrolyte. This novel material exhibits pronounced electrocatalytic activity with exchange current density of about 6.52 × 10(-8) A/cm(2). The single cell assembled with AlN nanowire cathodic electrode achieves a power density of 18.9 mW cm(-2). After being maintained at 100 mA cm(-2) for 48 h, the AlN nanowire-based single cell keeps 92.1% of the initial performance, which is in comparison with 54.5% for that assembled with Pt/C cathode. This discovery reveals a new type of metal nitride ORR catalyst that can be cheaply produced from crystal growth method.

  15. Mechanically controlling the reversible phase transformation from zinc blende to wurtzite in AlN

    DOE PAGES

    Li, Zhen; Yadav, Satyesh; Chen, Youxing; ...

    2017-04-10

    III–V and other binary octet semiconductors often take two phase forms—wurtzite (wz) and zinc blende (zb) crystal structures—with distinct functional performance at room temperature. Here, we investigate how to control the synthesized phase structure to either wz or zb phase by tuning the interfacial strain by taking AlN as a representative III–V compound. Furthermore, by applying in situ mechanical tests at atomic scale in a transmission electron microscope, we observed the reversible phase transformation from zb to wz, and characterized the transition path—the collective glide of Shockley partials on every two {111} planes of the zb AlN.

  16. Low-Temperature Sintering of AlN Ceramics by Sm2O3-Y2O3-CaO Sintering Additives Formed via Decomposition of Nitrate Solutions

    NASA Astrophysics Data System (ADS)

    Zhan, Jun; Cao, Ye; Zhang, Hao; Guo, Jun; Zhang, Jianhua; Geng, Chunlei; Shi, Changdong; Cui, Song; Tang, Wenming

    2017-01-01

    The Sm, Y and Ca anhydrous nitrates were mixed with the AlN powder in ethanol and then decomposed into the Sm2O3-Y2O3-CaO sintering additives via calcining. Low-temperature sintering of the AlN ceramics was carried out at temperature range from 1675 to 1750 °C. Effects of the composition and adding amount of the sintering additives on the phases, microstructures and properties of the AlN ceramics were investigated. During sintering the AlN ceramics, main secondary phases of CaYAl3O7 and CaSmAl3O7 form. The relative density, bending strength and thermal conductivity of the AlN ceramics increase with the increase in the rare-earth oxides in them. The thermal conductivity of the sintered AlN ceramics is also greatly affected by the distribution of the secondary phases. As sintered at 1750 °C, the AlN ceramics by adding the sintering additives of 2 wt.% Sm2O3, 2 wt.% Y2O3 and 1 wt.% CaO formed via decomposition of their nitrates is fully dense and have the optimal bending strength and thermal conductivity of 402.1 MPa and 153.7 W/(m K), respectively.

  17. Tetradymite layer assisted heteroepitaxial growth and applications

    DOEpatents

    Stoica, Vladimir A.; Endicott, Lynn; Clarke, Roy; Uher, Ctirad

    2017-08-01

    A multilayer stack including a substrate, an active layer, and a tetradymite buffer layer positioned between the substrate and the active layer is disclosed. A method for fabricating a multilayer stack including a substrate, a tetradymite buffer layer and an active layer is also disclosed. Use of such stacks may be in photovoltaics, solar cells, light emitting diodes, and night vision arrays, among other applications.

  18. Modified band alignment effect in ZnO/Cu2O heterojunction solar cells via Cs2O buffer insertion

    NASA Astrophysics Data System (ADS)

    Eom, Kiryung; Lee, Dongyoon; Kim, Seunghwan; Seo, Hyungtak

    2018-02-01

    The effects of a complex buffer layer of cesium oxide (Cs2O) on the photocurrent response in oxide heterojunction solar cells (HSCs) were investigated. A p-n junction oxide HSC was fabricated using p-type copper (I) oxide (Cu2O) and n-type zinc oxide (ZnO); the buffer layer was inserted between the Cu2O and fluorine-doped tin oxide (FTO). Ultraviolet-visible (UV-vis) and x-ray and ultraviolet photoelectron spectroscopy analyses were performed to characterize the electronic band structures of cells, both with and without this buffer layer. In conjunction with the measured band electronic structures, the significantly improved visible-range photocurrent spectra of the buffer-inserted HSC were analyzed in-depth. As a result, the 1 sun power conversion efficiency was increased by about three times by the insertion of buffer layer. The physicochemical origin of the photocurrent enhancement was mainly ascribed to the increased photocarrier density in the buffer layer and modified valence band offset to promote the effective hole transfer at the interface to FTO on the band-alignment model.

  19. Towards III-V solar cells on Si: Improvement in the crystalline quality of Ge-on-Si virtual substrates through low porosity porous silicon buffer layer and annealing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Calabrese, Gabriele; Baricordi, Stefano; Bernardoni, Paolo

    2014-09-26

    A comparison between the crystalline quality of Ge grown on bulk Si and on a low porosity porous Si (pSi) buffer layer using low energy plasma enhanced chemical vapor deposition is reported. Omega/2Theta coupled scans around the Ge and Si (004) diffraction peaks show a reduction of the Ge full-width at half maximum (FWHM) of 22.4% in presence of the pSi buffer layer, indicating it is effective in improving the epilayer crystalline quality. At the same time atomic force microscopy analysis shows an increase in root means square roughness for Ge grown on pSi from 38.5 nm to 48.0 nm,more » as a consequence of the larger surface roughness of pSi compared to bulk Si. The effect of 20 minutes vacuum annealing at 580°C is also investigated. The annealing leads to a FWHM reduction of 23% for Ge grown on Si and of 36.5% for Ge on pSi, resulting in a FWHM of 101 arcsec in the latter case. At the same time, the RMS roughness is reduced of 8.8% and of 46.5% for Ge grown on bulk Si and on pSi, respectively. The biggest improvement in the crystalline quality of Ge grown on pSi with respect to Ge grown on bulk Si observed after annealing is a consequence of the simultaneous reorganization of the Ge epilayer and the buffer layer driven by energy minimization. A low porosity buffer layer can thus be used for the growth of low defect density Ge on Si virtual substrates for the successive integration of III-V multijunction solar cells on Si. The suggested approach is simple and fast –thus allowing for high throughput-, moreover is cost effective and fully compatible with subsequent wafer processing. Finally it does not introduce new chemicals in the solar cell fabrication process and can be scaled to large area silicon wafers.« less

  20. Vertically Oriented Growth of GaN Nanorods on Si Using Graphene as an Atomically Thin Buffer Layer.

    PubMed

    Heilmann, Martin; Munshi, A Mazid; Sarau, George; Göbelt, Manuela; Tessarek, Christian; Fauske, Vidar T; van Helvoort, Antonius T J; Yang, Jianfeng; Latzel, Michael; Hoffmann, Björn; Conibeer, Gavin; Weman, Helge; Christiansen, Silke

    2016-06-08

    The monolithic integration of wurtzite GaN on Si via metal-organic vapor phase epitaxy is strongly hampered by lattice and thermal mismatch as well as meltback etching. This study presents single-layer graphene as an atomically thin buffer layer for c-axis-oriented growth of vertically aligned GaN nanorods mediated by nanometer-sized AlGaN nucleation islands. Nanostructures of similar morphology are demonstrated on graphene-covered Si(111) as well as Si(100). High crystal and optical quality of the nanorods are evidenced through scanning transmission electron microscopy, micro-Raman, and cathodoluminescence measurements supported by finite-difference time-domain simulations. Current-voltage characteristics revealed high vertical conduction of the as-grown GaN nanorods through the Si substrates. These findings are substantial to advance the integration of GaN-based devices on any substrates of choice that sustains the GaN growth temperatures, thereby permitting novel designs of GaN-based heterojunction device concepts.

  1. Quantum chemical study of small AlnBm clusters: Structure and physical properties

    NASA Astrophysics Data System (ADS)

    Loukhovitski, Boris I.; Sharipov, Alexander S.; Starik, Alexander M.

    2017-08-01

    The structure and physical properties, including rotational constants, characteristic vibrational temperatures, collision diameter, dipole moment, static polarizability, the energy gap between the highest occupied molecular orbital (HOMO) and the lowest unoccupied molecular orbital (LUMO), and formation enthalpy of the different isomeric forms of AlnBm clusters with n + m ⩽ 7 are studied using density functional theory. The search of the structure of isomers has been carried employing multistep hierarchical algorithm. Temperature dependencies of thermodynamic functions, such as enthalpy, entropy, and specific heat capacity, have been determined both for the individual isomers and for the ensembles with equilibrium and frozen compositions for the each class of clusters taking into account the anharmonicity of cluster vibrations and the contribution of their excited electronic states. The prospects of the application of small AlnBm clusters as the components of energetic materials are also considered.

  2. Control of Defects in Aluminum Gallium Nitride ((Al)GaN) Films on Grown Aluminum Nitride (AlN) Substrates

    DTIC Science & Technology

    2013-02-01

    Nord, J.; Albe, K.; Erhart, P.; Nordlund, K. Modelling of Compound Semiconductors: Analytical Bond-order Potential for Gallium , Nitrogen and Gallium ...Control of Defects in Aluminum Gallium Nitride ((Al)GaN) Films on Grown Aluminum Nitride (AlN) Substrates by Iskander G. Batyrev, Chi-Chin Wu...Aluminum Gallium Nitride ((Al)GaN) Films on Grown Aluminum Nitride (AlN) Substrates Iskander G. Batyrev and N. Scott Weingarten Weapons and

  3. Optical, Structural and Paramagnetic Properties of Eu-Doped Ternary Sulfides ALnS2 (A = Na, K, Rb; Ln = La, Gd, Lu, Y)

    PubMed Central

    Jarý, Vítězslav; Havlák, Lubomír; Bárta, Jan; Buryi, Maksym; Mihóková, Eva; Rejman, Martin; Laguta, Valentin; Nikl, Martin

    2015-01-01

    Eu-doped ternary sulfides of general formula ALnS2 (A = Na, K, Rb; Ln = La, Gd, Lu, Y) are presented as a novel interesting material family which may find usage as X-ray phosphors or solid state white light emitting diode (LED) lighting. Samples were synthesized in the form of transparent crystalline hexagonal platelets by chemical reaction under the flow of hydrogen sulfide. Their physical properties were investigated by means of X-ray diffraction, time-resolved photoluminescence spectroscopy, electron paramagnetic resonance, and X-ray excited fluorescence. Corresponding characteristics, including absorption, radioluminescence, photoluminescence excitation and emission spectra, and decay kinetics curves, were measured and evaluated in a broad temperature range (8–800 K). Calculations including quantum local crystal field potential and spin-Hamiltonian for a paramagnetic particle in D3d local symmetry and phenomenological model dealing with excited state dynamics were performed to explain the experimentally observed features. Based on the results, an energy diagram of lanthanide energy levels in KLuS2 is proposed. Color model xy-coordinates are used to compare effects of dopants on the resulting spectrum. The application potential of the mentioned compounds in the field of white LED solid state lighting or X-ray phosphors is thoroughly discussed. PMID:28793612

  4. Electron microscopy investigations of purity of AlN interlayer in Al{sub x}Ga{sub 1-x}N/GaN heterostructures grown by plasma assisted molecular beam epitaxy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sridhara Rao, D. V.; Jain, Anubha; Lamba, Sushil

    2013-05-13

    The electron microscopy was used to characterize the AlN interlayer in Al{sub x}Ga{sub 1-x}N/AlN/GaN heterostructures grown by plasma assisted molecular beam epitaxy (PAMBE). We show that the AlN interlayer grown by PAMBE is without gallium and oxygen incorporation and the interfaces are coherent. The AlN interlayer has the ABAB stacking of lattice planes as expected for the wurtzite phase. High purity of AlN interlayer with the ABAB stacking leads to larger conduction band offset along with stronger polarization effects. Our studies show that the origin of lower sheet resistance obtained by PAMBE is the purity of AlN interlayer.

  5. Buffer capacity of biologics--from buffer salts to buffering by antibodies.

    PubMed

    Karow, Anne R; Bahrenburg, Sven; Garidel, Patrick

    2013-01-01

    Controlling pH is essential for a variety of biopharmaceutical process steps. The chemical stability of biologics such as monoclonal antibodies is pH-dependent and slightly acidic conditions are favorable for stability in a number of cases. Since control of pH is widely provided by added buffer salts, the current study summarizes the buffer characteristics of acetate, citrate, histidine, succinate, and phosphate buffers. Experimentally derived values largely coincide with values calculated from a model that had been proposed in 1922 by van Slyke. As high concentrated protein formulations become more and more prevalent for biologics, the self-buffering potential of proteins becomes of relevance. The current study provides information on buffer characteristics for pH ranges down to 4.0 and up to 8.0 and shows that a monoclonal antibody at 50 mg/mL exhibits similar buffer capacity as 6 mM citrate or 14 mM histidine (pH 5.0-6.0). Buffer capacity of antibody solutions scales linearly with protein concentration up to more than 200 mg/mL. At a protein concentration of 220 mg/mL, the buffer capacity resembles the buffer capacity of 30 mM citrate or 50 mM histidine (pH 5.0-6.0). The buffer capacity of monoclonal antibodies is practically identical at the process relevant temperatures 5, 25, and 40°C. Changes in ionic strength of ΔI=0.15, in contrast, can alter the buffer capacity up to 35%. In conclusion, due to efficient self-buffering by antibodies in the pH range of favored chemical stability, conventional buffer excipients could be dispensable for pH stabilization of high concentrated protein solutions. Copyright © 2013 American Institute of Chemical Engineers.

  6. Method of depositing epitaxial layers on a substrate

    DOEpatents

    Goyal, Amit

    2003-12-30

    An epitaxial article and method for forming the same includes a substrate having a textured surface, and an electrochemically deposited substantially single orientation epitaxial layer disposed on and in contact with the textured surface. The epitaxial article can include an electromagnetically active layer and an epitaxial buffer layer. The electromagnetically active layer and epitaxial buffer layer can also be deposited electrochemically.

  7. Three-stage nucleation and growth of Ge self-assembled quantum dots grown on partially relaxed SiGe buffer layers

    NASA Astrophysics Data System (ADS)

    Kim, H. J.; Zhao, Z. M.; Xie, Y. H.

    2003-11-01

    Three-stage nucleation and growth of Ge self-assembled quantum dots (SAQDs) on a relaxed SiGe buffer layer has been studied. Plastic relaxation of the SiGe buffer layer is associated with a network of buried 60° dislocations leading to an undulating strain field. As a result, the surface possesses three different types of sites for the nucleation and growth of Ge SAQDs: over the intersection of two perpendicular buried dislocations, over a single dislocation line, and in the region beyond one diffusion length away from any dislocation. Ge SAQDs are observed to nucleate exclusively over the dislocation intersections first, followed by over single dislocation lines, and finally in the region far away from dislocations. By increasing the Ge coverage at a slow rate, the prenucleation stage at the various sites is observed. It appears that the varying strain field has a significant effect on both the diffusion of Ge adatoms before SAQD nucleation, as well as the shape evolution of the SAQDs after they form. Moreover, two distinctly different self-assembly mechanisms are observed at different sites. There exist denuded zones free of Ge SAQDs adjacent to dislocation lines. The width of the denuded zone can be used to make direct determination of the Ge adatom diffusion lengths. The partially relaxed substrate provides a useful experimental vehicle for the in-depth understanding of the formation mechanism of SAQDs grown epitaxially in the Stranski-Krastanov growth mode.

  8. Ca/Alq3 hybrid cathode buffer layer for the optimization of organic solar cells based on a planar heterojunction

    NASA Astrophysics Data System (ADS)

    El Jouad, Z.; Barkat, L.; Stephant, N.; Cattin, L.; Hamzaoui, N.; Khelil, A.; Ghamnia, M.; Addou, M.; Morsli, M.; Béchu, S.; Cabanetos, C.; Richard-Plouet, M.; Blanchard, P.; Bernède, J. C.

    2016-11-01

    Use of efficient anode cathode buffer layer (CBL) is crucial to improve the efficiency of organic photovoltaic cells. Here we show that using a double CBL, Ca/Alq3, allows improving significantly cell performances. The insertion of Ca layer facilitates electron harvesting and blocks hole collection, leading to improved charge selectivity and reduced leakage current, whereas Alq3 blocks excitons. After optimisation of this Ca/Alq3 CBL using CuPc as electron donor, it is shown that it is also efficient when SubPc is substituted to CuPc in the cells. In that case we show that the morphology of the SubPc layer, and therefore the efficiency of the cells, strongly depends on the deposition rate of the SubPc film. It is necessary to deposit slowly (0.02 nm/s) the SubPc films because at higher deposition rate (0.06 nm/s) the films are porous, which induces leakage currents and deterioration of the cell performances. The SubPc layers whose formations are kinetically driven at low deposition rates are more uniform, whereas those deposited faster exhibit high densities of pinholes.

  9. Conductive layer for biaxially oriented semiconductor film growth

    DOEpatents

    Findikoglu, Alp T.; Matias, Vladimir

    2007-10-30

    A conductive layer for biaxially oriented semiconductor film growth and a thin film semiconductor structure such as, for example, a photodetector, a photovoltaic cell, or a light emitting diode (LED) that includes a crystallographically oriented semiconducting film disposed on the conductive layer. The thin film semiconductor structure includes: a substrate; a first electrode deposited on the substrate; and a semiconducting layer epitaxially deposited on the first electrode. The first electrode includes a template layer deposited on the substrate and a buffer layer epitaxially deposited on the template layer. The template layer includes a first metal nitride that is electrically conductive and has a rock salt crystal structure, and the buffer layer includes a second metal nitride that is electrically conductive. The semiconducting layer is epitaxially deposited on the buffer layer. A method of making such a thin film semiconductor structure is also described.

  10. Growth dynamics of reactive-sputtering-deposited AlN films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Auger, M.A.; Vazquez, L.; Sanchez, O.

    2005-06-15

    We have studied the surface kinetic roughening of AlN films grown on Si(100) substrates by dc reactive sputtering within the framework of the dynamic scaling theory. Films deposited under the same experimental conditions for different growth times were analyzed by atomic force microscopy and x-ray diffraction. The AlN films display a (002) preferred orientation. We have found two growth regimes with a crossover time of 36 min. In the first regime, the growth dynamics is unstable and the films present two types of textured domains, well textured and randomly oriented, respectively. In contrast, in the second regime the films aremore » homogeneous and well textured, leading to a relative stabilization of the surface roughness characterized by a growth exponent {beta}=0.37{+-}0.03. In this regime a superrough scaling behavior is found with the following exponents: (i) Global exponents: roughness exponent {alpha}=1.2{+-}0.2 and {beta}=0.37{+-}0.03 and coarsening exponent 1/z=0.32{+-}0.05; (ii) local exponents: {alpha}{sub loc}=1, {beta}{sub loc}=0.32{+-}0.01. The differences between the growth modes are found to be related to the different main growth mechanisms dominating their growth dynamics: sticking anisotropy and shadowing, respectively.« less

  11. An over 18%-efficiency completely buffer-free Cu(In,Ga)Se2 solar cell

    NASA Astrophysics Data System (ADS)

    Ishizuka, Shogo; Nishinaga, Jiro; Koida, Takashi; Shibata, Hajime

    2018-07-01

    In this letter, an independently certified photovoltaic efficiency of 18.4% demonstrated from a completely buffer-layer-free Cu(In,Ga)Se2 (CIGS) solar cell is reported. A Si-doped CIGS thin film was used as the photoabsorber layer and a conductive B-doped ZnO (BZO) front electrode layer was directly deposited on the CIGS layer. Metastable acceptor activation by heat-light soaking treatment was performed to maximize the efficiency. The results presented here are expected to serve as a benchmark for simplified-structure CIGS devices as well as a reference for discussions on the role of buffer layers used in conventional CIGS solar cells.

  12. Reflectivity of the AL-N coating: results of mechanical and environmental tests

    NASA Astrophysics Data System (ADS)

    Anisimov, Vladimir P.; Anisimova, Irina A.; Kashirin, Victor A.; Moldosanov, Kamil A.; Skrynnikov, Alexander M.

    2002-09-01

    This paper concerns a behavior of the total hemispherical reflectance (THR) of the Al-N coating in the course of mechanical and environmental tests. The Al-N coating has been designed to reduce the stray sunlight background in the satellite-borne optical instruments and charge-particles-analyzing apparatus operating in open space under intensive solar radiation. Usually, this problem arises when a density of instruments installed on the satellite is high and it is difficult to avoid getting to instrument the light reflected by neighboring devices. Resolution of this problem is also important in connection with development of the extra-atmosphere Far UV astronomy. The THR measurement results are presented for 10 wavelengths wihtin a range from 400 to 927 nm, and also at 121.6 nm, the most intensive line of the solar UV spectrum able to result in considerable contribution to the detector noise in space devices. The samples of the Al-N coating were exposed to standard mechanical loads including the vibratory loads, linear overloads, and impacts, to which the space equipment may be subjected when shipping to the space-vehicle launching site and also when lauching. The samples were also exposed to environmental tests simulating the vacuum, humidity, and cyclic temperature conditions, which may influence the space instruments while shipping, storing, launching, in flight, and under operating conditions. The THR measurements of the samples were made following exposure to each test. The THRs of tested samples at the wavelength of 121.6 nm were as low as 1.5-2%.

  13. Dynamics and mitigation of six pesticides in a "Wet" forest buffer zone.

    PubMed

    Passeport, Elodie; Richard, Benjamin; Chaumont, Cédric; Margoum, Christelle; Liger, Lucie; Gril, Jean-Joël; Tournebize, Julien

    2014-04-01

    Pesticide pollution is one of the main current threats on water quality. This paper presents the potential and functioning principles of a "Wet" forest buffer zone for reducing concentrations and loads of glyphosate, isoproturon, metazachlor, azoxystrobin, epoxiconazole, and cyproconazole. A tracer injection experiment was conducted in the field in a forest buffer zone at Bray (France). A fine time-scale sampling enabled to illustrate that interactions between pesticides and forest buffer substrates (soil and organic-rich litter layer), had a retarding effect on molecule transfer. Low concentrations were observed for all pesticides at the forest buffer outlet thus demonstrating the efficiency of "Wet" forest buffer zone for pesticide dissipation. Pesticide masses injected in the forest buffer inlet directly determined concentration peaks observed at the outlet. Rapid and partially reversible adsorption was likely the major process affecting pesticide transfer for short retention times (a few hours to a few days). Remobilization of metazachlor, isoproturon, desmethylisoproturon, and AMPA was observed when non-contaminated water flows passed through the forest buffer. Our data suggest that pesticide sorption properties alone could not explain the complex reaction mechanisms that affected pesticide transfer in the forest buffer. Nevertheless, the thick layer of organic matter litter on the top of the forest soil was a key parameter, which enhanced partially reversible sorption of pesticide, thus retarded their transfer, decreased concentration peaks, and likely increased degradation of the pesticides. Consequently, to limit pesticide pollution transported by surface water, the use of already existing forest areas as buffer zones should be equally considered as the most commonly implemented grass buffer strips.

  14. Solution processed transition metal oxide anode buffer layers for efficiency and stability enhancement of polymer solar cells

    NASA Astrophysics Data System (ADS)

    Ameen, M. Yoosuf; Shamjid, P.; Abhijith, T.; Reddy, V. S.

    2018-01-01

    Polymer solar cells were fabricated with solution-processed transition metal oxides, MoO3 and V2O5 as anode buffer layers (ABLs). The optimized device with V2O5 ABL exhibited considerably higher power conversion efficiency (PCE) compared to the devices based on MoO3 and poly(3,4-ethylenedioxythiophene):poly(styrene sulfonate) (PEDOT:PSS) ABLs. The space charge limited current measurements and impedance spectroscopy results of hole-only devices revealed that V2O5 provided a very low charge transfer resistance and high hole mobility, facilitating efficient hole transfer from the active layer to the ITO anode. More importantly, incorporation of V2O5 as ABL resulted in substantial improvement in device stability compared to MoO3 and PEDOT:PSS based devices. Unencapsulated PEDOT:PSS-based devices stored at a relative humidity of 45% have shown complete failure within 96 h. Whereas, MoO3 and V2O5 based devices stored in similar conditions retained 22% and 80% of their initial PCEs after 96 h. Significantly higher stability of the V2O5-based device is ascribed to the reduction in degradation of the anode/active layer interface, as evident from the electrical measurements.

  15. Design and Optimization of AlN based RF MEMS Switches

    NASA Astrophysics Data System (ADS)

    Hasan Ziko, Mehadi; Koel, Ants

    2018-05-01

    Radio frequency microelectromechanical system (RF MEMS) switch technology might have potential to replace the semiconductor technology in future communication systems as well as communication satellites, wireless and mobile phones. This study is to explore the possibilities of RF MEMS switch design and optimization with aluminium nitride (AlN) thin film as the piezoelectric actuation material. Achieving low actuation voltage and high contact force with optimal geometry using the principle of piezoelectric effect is the main motivation for this research. Analytical and numerical modelling of single beam type RF MEMS switch used to analyse the design parameters and optimize them for the minimum actuation voltage and high contact force. An analytical model using isotropic AlN material properties used to obtain the optimal parameters. The optimized geometry of the device length, width and thickness are 2000 µm, 500 µm and 0.6 µm respectively obtained for the single beam RF MEMS switch. Low actuation voltage and high contact force with optimal geometry are less than 2 Vand 100 µN obtained by analytical analysis. Additionally, the single beam RF MEMS switch are optimized and validated by comparing the analytical and finite element modelling (FEM) analysis.

  16. An array of Eiffel-tower-shape AlN nanotips and its field emission properties

    NASA Astrophysics Data System (ADS)

    Tang, Yongbing; Cong, Hongtao; Chen, Zhigang; Cheng, Huiming

    2005-06-01

    An array of Eiffel-tower-shape AlN nanotips has been synthesized and assembled vertically with Si substrate by a chemical vapor deposition method at 700 °C. The single-crystalline AlN nanotips along [001] direction, including sharp tips with 10-100 nm in diameter and submicron-sized bases, are distributed uniformly with density of 106-107tips/cm2. Field emission (FE) measurements show that its turn on field is 4.7 V/μm, which is comparable to that of carbon nanotubes, and the fluctuation of FE current is as small as 0.74% for 4 h. It is revealed this nanostructure is available to optimize the FE properties and make the array a promising field emitter.

  17. Enhancement of indium incorporation to InGaN MQWs on AlN/GaN periodic multilayers

    NASA Astrophysics Data System (ADS)

    Monavarian, Morteza; Hafiz, Shopan; Das, Saikat; Izyumskaya, Natalia; Özgür, Ümit; Morkoç, Hadis; Avrutin, Vitaliy

    2016-02-01

    The effect of compressive strain in buffer layer on strain relaxation and indium incorporation in InGaN multi-quantum wells (MQWs) is studied for two sets of samples grown side by side on both relaxed GaN layers and strained 10-pairs of AlN/GaN periodic multilayers. The 14-nm AlN layers were utilized in both multilayers, while GaN thickness was 4.5 and 2.5 nm in the first and the second set, respectively. The obtained results for the InGaN active layers on relaxed GaN and AlN/GaN periodic multilayers indicate enhanced indium incorporation for more relaxed InGaN active layers providing a variety of emission colors from purple to green.

  18. Point Defect Identification and Management for Sub-300 nm Light Emitting Diodes and Laser Diodes Grown on Bulk AlN Substrates

    NASA Astrophysics Data System (ADS)

    Bryan, Zachary A.

    defects in the films due to the increase in their formation energies during growth. This method improved the electrical properties of p-type GaN and n-type AlGaN and reduced stress thereby preventing films from cracking. The optical and structural quality of high Al-content AlGaN multiple quantum wells, light emitting diodes (LEDs), and laser diodes (LDs) grown on single crystalline AlN substrates are investigated. The use of bulk AlN substrates enabled the undoubtable distinction between the effect of growth conditions, such as V/III ratio, on the optical quality from the influence of dislocations. At a high V/III ratio and the proper MQW design, a record high IQE of 80% at a carrier density of 1018 cm-3 is achieved at 258 nm. With these structures, true sub-300 nm lasing is realized and distinguished from super luminescence for the first time by the observations of lasing characteristics such as longitudinal cavity modes, 100% polarized emission, and an elliptically shaped far-field pattern. A transverse electric to transverse magnetic polarization crossover at 245 nm is found. Lasing is observed in both asymmetric and symmetric waveguide structures with and without the presence of Si- and Mg-doping in the waveguide layer. The lowest measurable lasing threshold is 50 kW/cm2 and potentially a lower threshold is obtained in a symmetric waveguide structure while the lowest measured lasing wavelength is 237 nm. Gain measurements reveal a net modal gain greater than 100 cm-1 which is the highest reported value for sub-300 nm lasers. Furthermore, a lowest reported FWHM of 0.012 nm is observed indicating the high quality of the laser structure. Finally, electrically injected LED and LD structures are studied showing great potential for the realization of the first sub-300 nm LD.

  19. Strained layer Fabry-Perot device

    DOEpatents

    Brennan, Thomas M.; Fritz, Ian J.; Hammons, Burrell E.

    1994-01-01

    An asymmetric Fabry-Perot reflectance modulator (AFPM) consists of an active region between top and bottom mirrors, the bottom mirror being affixed to a substrate by a buffer layer. The active region comprises a strained-layer region having a bandgap and thickness chosen for resonance at the Fabry-Perot frequency. The mirrors are lattice matched to the active region, and the buffer layer is lattice matched to the mirror at the interface. The device operates at wavelengths of commercially available semiconductor lasers.

  20. Improved hole-injection and power efficiency of organic light-emitting diodes using an ultrathin cerium fluoride buffer layer

    NASA Astrophysics Data System (ADS)

    Lu, Hsin-Wei; Kao, Po-Ching; Chu, Sheng-Yuan

    2016-09-01

    In this study, the efficiency of organic light-emitting diodes (OLEDs) was enhanced by depositing a CeF3 film as an ultra-thin buffer layer between the ITO and NPB hole transport layer, with the structure configuration ITO/CeF3 (1 nm)/NPB (40 nm)/Alq3 (60 nm)/LiF (1 nm)/Al (150 nm). The enhancement mechanism was systematically investigated via several approaches. The work function increased from 4.8 eV (standard ITO electrode) to 5.2 eV (1-nm-thick UV-ozone treated CeF3 film deposited on the ITO electrode). The turn-on voltage decreased from 4.2 V to 4.0 V at 1 mA/cm2, the luminance increased from 7588 cd/m2 to 10820 cd/m2, and the current efficiency increased from 3.2 cd/A to 3.5 cd/A when the 1-nm-thick UV-ozone treated CeF3 film was inserted into the OLEDs.

  1. Doping and compensation in Al-rich AlGaN grown on single crystal AlN and sapphire by MOCVD

    NASA Astrophysics Data System (ADS)

    Bryan, Isaac; Bryan, Zachary; Washiyama, Shun; Reddy, Pramod; Gaddy, Benjamin; Sarkar, Biplab; Breckenridge, M. Hayden; Guo, Qiang; Bobea, Milena; Tweedie, James; Mita, Seiji; Irving, Douglas; Collazo, Ramon; Sitar, Zlatko

    2018-02-01

    In order to understand the influence of dislocations on doping and compensation in Al-rich AlGaN, thin films were grown by metal organic chemical vapor deposition (MOCVD) on different templates on sapphire and low dislocation density single crystalline AlN. AlGaN grown on AlN exhibited the highest conductivity, carrier concentration, and mobility for any doping concentration due to low threading dislocation related compensation and reduced self-compensation. The onset of self-compensation, i.e., the "knee behavior" in conductivity, was found to depend only on the chemical potential of silicon, strongly indicating the cation vacancy complex with Si as the source of self-compensation. However, the magnitude of self-compensation was found to increase with an increase in dislocation density, and consequently, AlGaN grown on AlN substrates demonstrated higher conductivity over the entire doping range.

  2. Redox buffered hydrofluoric acid etchant for the reduction of galvanic attack during release etching of MEMS devices having noble material films

    DOEpatents

    Hankins, Matthew G [Albuquerque, NM

    2009-10-06

    Etchant solutions comprising a redox buffer can be used during the release etch step to reduce damage to the structural layers of a MEMS device that has noble material films. A preferred redox buffer comprises a soluble thiophosphoric acid, ester, or salt that maintains the electrochemical potential of the etchant solution at a level that prevents oxidation of the structural material. Therefore, the redox buffer preferentially oxidizes in place of the structural material. The sacrificial redox buffer thereby protects the exposed structural layers while permitting the dissolution of sacrificial oxide layers during the release etch.

  3. Cd-free buffer layer materials on Cu2ZnSn(SxSe1-x)4: Band alignments with ZnO, ZnS, and In2S3

    NASA Astrophysics Data System (ADS)

    Barkhouse, D. Aaron R.; Haight, Richard; Sakai, Noriyuki; Hiroi, Homare; Sugimoto, Hiroki; Mitzi, David B.

    2012-05-01

    The heterojunctions formed between Cu2ZnSn(SxSe1-x)4 (CZTSSe) and three Cd-free n-type buffers, ZnS, ZnO, and In2S3, were studied using femtosecond ultraviolet photoemission and photovoltage spectroscopy. The electronic properties including the Fermi level location at the interface, band bending in the CZTSSe substrate, and valence and conduction band offsets were determined and correlated with device properties. We also describe a method for determining the band bending in the buffer layer and demonstrate this for the In2S3/CZTSSe system. The chemical bath deposited In2S3 buffer is found to have near optimal conduction band offset (0.15 eV), enabling the demonstration of Cd-free In2S3/CZTSSe solar cells with 7.6% power conversion efficiency.

  4. Suppression of Leakage Current of Metal-Insulator-Semiconductor Ta2O5 Capacitors with Al2O3/SiON Buffer Layer

    NASA Astrophysics Data System (ADS)

    Tonomura, Osamu; Miki, Hiroshi; Takeda, Ken-ichi

    2011-10-01

    An Al2O3/SiO buffer layer was incorporated in a metal-insulator-semiconductor (MIS) Ta2O5 capacitor for dynamic random access memory (DRAM) application. Al2O3 was chosen for the buffer layer owing to its high band offset against silicon and oxidation resistance against increase in effective oxide thickness (EOT). It was clarified that post-deposition annealing in nitrogen at 800 °C for 600 s increased the band offset between Al2O3 and the lower electrode and decreased leakage current by two orders of magnitude at 1 V. Furthermore, we predicted and experimentally confirmed that there was an optimized value of y in (Si3N4)y(SiO2)(1-y), which is 0.58, for minimizing the leakage current and EOT of SiON. To clarify the oxidation resistance and appropriate thickness of Al2O3, a TiN/Ta2O5/Al2O3/SiON/polycrystalline-silicon capacitor was fabricated. It was confirmed that the lower electrode was not oxidized during the crystallization annealing of Ta2O5. By setting the Al2O3 thickness to 3.4 nm, the leakage current is lowered below the required value with an EOT of 3.6 nm.

  5. Solvent effects of a dimethyldicyanoquinonediimine buffer layer as N-type material on the performance of organic photovoltaic cells.

    PubMed

    Yang, Eui Yeol; Oh, Se Young

    2014-08-01

    In the present work, we have fabricated organic photovoltaic cells consisting of ITO/PEDOT:PSS/P3HT:PCBM/DMDCNQI/Al using a dip-coating method with various solvent systems. We have investigated solvent effects (such as solubility, viscosity and vapor pressure) in deposition of a thin DMDCNQI buffer layer on the performance of organic photovoltaic cells. The solvent system which had low viscosity and good solubility properties, made a dense and uniform DMDCNQI ultra thin film, resulting in a high performance device. In particular, a prepared organic photovoltaic cell was fabricated using a cosolvent system (methanol:methylenechloride = 3:1) and showed a maximum power conversion efficiency of 4.53%.

  6. Dual optical marker Raman characterization of strained GaN-channels on AlN using AlN/GaN/AlN quantum wells and {sup 15}N isotopes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Qi, Meng; Li, Guowang; Protasenko, Vladimir

    2015-01-26

    This work shows that the combination of ultrathin highly strained GaN quantum wells embedded in an AlN matrix, with controlled isotopic concentrations of Nitrogen enables a dual marker method for Raman spectroscopy. By combining these techniques, we demonstrate the effectiveness in studying strain in the vertical direction. This technique will enable the precise probing of properties of buried active layers in heterostructures, and can be extended in the future to vertical devices such as those used for optical emitters and for power electronics.

  7. Space electric field concentrated effect for Zr:SiO2 RRAM devices using porous SiO2 buffer layer

    PubMed Central

    2013-01-01

    To improve the operation current lowing of the Zr:SiO2 RRAM devices, a space electric field concentrated effect established by the porous SiO2 buffer layer was investigated and found in this study. The resistive switching properties of the low-resistance state (LRS) and high-resistance state (HRS) in resistive random access memory (RRAM) devices for the single-layer Zr:SiO2 and bilayer Zr:SiO2/porous SiO2 thin films were analyzed and discussed. In addition, the original space charge limited current (SCLC) conduction mechanism in LRS and HRS of the RRAM devices using bilayer Zr:SiO2/porous SiO2 thin films was found. Finally, a space electric field concentrated effect in the bilayer Zr:SiO2/porous SiO2 RRAM devices was also explained and verified by the COMSOL Multiphysics simulation model. PMID:24330524

  8. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer

    DOEpatents

    Chavarkar, Prashant; Smorchkova, Ioulia P.; Keller, Stacia; Mishra, Umesh; Walukiewicz, Wladyslaw; Wu, Yifeng

    2005-02-01

    A Group III nitride based high electron mobility transistors (HEMT) is disclosed that provides improved high frequency performance. One embodiment of the HEMT comprises a GaN buffer layer, with an Al.sub.y Ga.sub.1-y N (y=1 or y 1) layer on the GaN buffer layer. An Al.sub.x Ga.sub.1-x N (0.ltoreq.x.ltoreq.0.5) barrier layer on to the Al.sub.y Ga.sub.1-y N layer, opposite the GaN buffer layer, Al.sub.y Ga.sub.1-y N layer having a higher Al concentration than that of the Al.sub.x Ga.sub.1-x N barrier layer. A preferred Al.sub.y Ga.sub.1-y N layer has y=1 or y.about.1 and a preferred Al.sub.x Ga.sub.1-x N barrier layer has 0.ltoreq.x.ltoreq.0.5. A 2DEG forms at the interface between the GaN buffer layer and the Al.sub.y Ga.sub.1-y N layer. Respective source, drain and gate contacts are formed on the Al.sub.x Ga.sub.1-x N barrier layer. The HEMT can also comprising a substrate adjacent to the buffer layer, opposite the Al.sub.y Ga.sub.1-y N layer and a nucleation layer between the Al.sub.x Ga.sub.1-x N buffer layer and the substrate.

  9. Buffer transport mechanisms in intentionally carbon doped GaN heterojunction field effect transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uren, Michael J.; Cäsar, Markus; Kuball, Martin

    2014-06-30

    Temperature dependent pulsed and ramped substrate bias measurements are used to develop a detailed understanding of the vertical carrier transport in the buffer layers in a carbon doped GaN power heterojunction field effect transistor. Carbon doped GaN and multiple layers of AlGaN alloy are used in these devices to deliver an insulating and strain relieved buffer with high breakdown voltage capability. However, understanding of the detailed physical mechanism for its operation is still lacking. At the lowest electric fields (<10 MV/m), charge redistribution within the C doped layer is shown to occur by hole conduction in the valence band withmore » activation energy 0.86 eV. At higher fields, leakage between the two-dimensional electron gas and the buffer dominates occurring by a Poole-Frenkel mechanism with activation energy ∼0.65 eV, presumably along threading dislocations. At higher fields still, the strain relief buffer starts to conduct by a field dependent process. Balancing the onset of these leakage mechanisms is essential to allow the build-up of positive rather than negative space charge, and thus minimize bulk-related current-collapse in these devices.« less

  10. Ab-initio study of boron incorporation and compositional limits at GaN and AlN (0001) surfaces

    NASA Astrophysics Data System (ADS)

    Lymperakis, L.

    2018-06-01

    Density functional theory calculations are employed to investigate B incorporation at the GaN(0001) and AlN(0001) surfaces. It is found that under typical metal-organic chemical vapor deposition (MOCVD) and metal rich molecular beam epitaxy (MBE) conditions, the maximum B contents at the surfaces are in the order of 3% for GaN and 15% for AlN. Under MBE N-rich growth conditions the calculations reveal a rehybridization enhanced solubility mechanism that dominates at the surface. This mechanism offers a promising route to kinetically stabilize B contents above the bulk solubility limit and as high as 25%.

  11. MOCVD growth and study of thin films of indium nitride

    NASA Astrophysics Data System (ADS)

    Jain, Abhishek

    This thesis is focused on a study of MOCVD growth of InN with the goal of providing new information on the effects of growth conditions and buffer/substrate materials on InN film properties. Initial studies, using both (111) Si and (0001) sapphire substrates, identified an optimum growth temperature window of 540--560°C for the formation of stable InN films. When attempting to grow InN films on sapphire with thicknesses greater than approximately 150 nanometers using an AlN buffer layer, the InN films were observed to delaminate from the buffer/substrate at growth temperature. The combined effect of compressive stress due to high lattice mismatch between InN and AlN (˜14%) and tensile stress due to grain coalescence along with the relatively weak bond strength of InN compared to GaN and AlN, is believed to cause the InN film to crack along the interface and delaminate. To further investigate the effect of the buffer layer on InN growth, studies were carried out using GaN films grown on sapphire as the growth template. Recent MBE results had indicated a significant difference in the thermal stability and growth mode of In-polar and N-polar InN, with improved properties reported for N-polar material grown on N-polar GaN. MOCVD growth of N-polar GaN is very difficult; consequently, all of the results reported in the literature for InN growth on GaN were likely carried out on Ga-polar material resulting in films with a high surface roughness. By utilizing N-polar and Ga-polar GaN films, it was possible to produce N-polar and In-polar InN films by MOCVD, as determined by convergent beam electron diffraction (CBED) analysis. Furthermore, the polarity was found to dramatically alter the surface roughness and growth mode of the InN films with enhanced lateral growth and reduced surface roughness obtained for N-polar InN. A qualitative model was proposed to explain the different growth mechanisms observed for In-polar and N-polar InN. In spite of the improvements in

  12. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography.

    PubMed

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-04

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  13. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    NASA Astrophysics Data System (ADS)

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-11-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer.

  14. High-quality AlN epitaxy on nano-patterned sapphire substrates prepared by nano-imprint lithography

    PubMed Central

    Zhang, Lisheng; Xu, Fujun; Wang, Jiaming; He, Chenguang; Guo, Weiwei; Wang, Mingxing; Sheng, Bowen; Lu, Lin; Qin, Zhixin; Wang, Xinqiang; Shen, Bo

    2016-01-01

    We report epitaxial growth of AlN films with atomically flat surface on nano-patterned sapphire substrates (NPSS) prepared by nano-imprint lithography. The crystalline quality can be greatly improved by using the optimized 1-μm-period NPSS. The X-ray diffraction ω-scan full width at half maximum values for (0002) and (102) reflections are 171 and 205 arcsec, respectively. The optimized NPSS contribute to eliminating almost entirely the threading dislocations (TDs) originating from the AlN/sapphire interface via bending the dislocations by image force from the void sidewalls before coalescence. In addition, reducing the misorientations of the adjacent regions during coalescence adopting the low lateral growth rate is also essential for decreasing TDs in the upper AlN epilayer. PMID:27812006

  15. Properties of unrelaxed InAs{sub 1-X}Sb{sub X} alloys grown on compositionally graded buffers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Belenky, G.; Donetsky, D.; Kipshidze, G.

    Unrelaxed InAs{sub 1-x}Sb{sub x} layers with lattice constants up to 2.1% larger than that of GaSb substrates were grown by molecular beam epitaxy on GaInSb and AlGaInSb compositionally graded buffer layers. The topmost section of the buffers was unrelaxed but strained. The in-plane lattice constant of the top buffer layer was grown to be equal to the lattice constant of unrelaxed and unstrained InAs{sub 1-x}Sb{sub x} with given X. The InAs{sub 0.56}Sb{sub 0.44} layers demonstrate photoluminescence peak at 9.4 {mu}m at 150 K. The minority carrier lifetime measured at 77 K for InAs{sub 0.8}Sb{sub 0.2} was {tau} = 250 ns.

  16. In-plane, commensurate GaN/AlN junctions: single-layer composite structures, multiple quantum wells and quantum dots

    NASA Astrophysics Data System (ADS)

    Durgun, Engin; Onen, Abdullatif; Kecik, Deniz; Ciraci, Salim

    In-plane composite structures constructed of the stripes or core/shells of single-layer GaN and AlN, which are joined commensurately display diversity of electronic properties, that can be tuned by the size of their constituents. In heterostructures, the dimensionality of electrons change from 2D to 1D upon their confinements in wide constituent stripes leading to the type-I band alignment and hence multiple quantum well structure in the direct space. The δ-doping of one wide stripe by other narrow stripe results in local narrowing or widening of the band gap. The direct-indirect transition of the fundamental band gap of composite structures can be attained depending on the odd or even values of formula unit in the armchair edged heterojunction. In a patterned array of GaN/AlN core/shells, the dimensionality of the electronic states are reduced from 2D to 0D forming multiple quantum dots in large GaN-cores, while 2D electrons propagate in multiply connected AlN shell as if they are in a supercrystal. These predictions are obtained from first-principles calculations based on density functional theory on single-layer GaN and AlN compound semiconductors which were synthesized recently. This work was supported by the Scientific and Technological Research Council of Turkey (TUBITAK) under Project No 115F088.

  17. Impact of varying buffer thickness generated strain and threading dislocations on the formation of plasma assisted MBE grown ultra-thin AlGaN/GaN heterostructure on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chowdhury, Subhra, E-mail: subhra1109@gmail.com; Biswas, Dhrubes; Department of E and E C E, Indian Institute of Technology Kharagpur, Kharagpur 721302

    2015-05-15

    Plasma-assisted molecular beam epitaxy (PAMBE) growth of ultra-thin Al{sub 0.2}Ga{sub 0.8}N/GaN heterostructures on Si(111) substrate with three buffer thickness (600 nm/400 nm/200 nm) have been reported. An unique growth process has been developed that supports lower temperature epitaxy of GaN buffer which minimizes thermally generated tensile strain through appropriate nitridation and AlN initiated epitaxy for achieving high quality GaN buffer which supports such ultra-thin heterostructures in the range of 10-15Å. It is followed by investigations of role of buffer thickness on formation of ultra-thin Al{sub 0.2}Ga{sub 0.8}N/GaN heterostructure, in terms of stress-strain and threading dislocation (TD). Structural characterization were performedmore » by High-Resolution X-Ray Diffraction (HRXRD), room-temperature Photoluminescence (RT-PL), High Resolution Transmission Electron Microscopy (HRTEM) and Atomic Force Microscopy (AFM). Analysis revealed increasing biaxial tensile stress of 0.6918 ± 0.04, 1.1084, 1.1814 GPa in heterostructures with decreasing buffer thickness of 600, 400, 200 nm respectively which are summed up with residual tensile strain causing red-shift in RT-PL peak. Also, increasing buffer thickness drastically reduced TD density from the order 10{sup 10} cm{sup −2} to 10{sup 8} cm{sup −2}. Surface morphology through AFM leads to decrease of pits and root mean square value with increasing buffer thickness which are resulted due to reduction of combined effect of strain and TDs.« less

  18. High-Efficiency Polycrystalline CdS/CdTe Solar Cells on Buffered Commercial TCO-Coated Glass

    NASA Astrophysics Data System (ADS)

    Colegrove, E.; Banai, R.; Blissett, C.; Buurma, C.; Ellsworth, J.; Morley, M.; Barnes, S.; Gilmore, C.; Bergeson, J. D.; Dhere, R.; Scott, M.; Gessert, T.; Sivananthan, Siva

    2012-10-01

    Multiple polycrystalline CdS/CdTe solar cells with efficiencies greater than 15% were produced on buffered, commercially available Pilkington TEC Glass at EPIR Technologies, Inc. (EPIR, Bolingbrook, IL) and verified by the National Renewable Energy Laboratory (NREL). n-CdS and p-CdTe were grown by chemical bath deposition (CBD) and close space sublimation, respectively. Samples with sputter-deposited CdS were also investigated. Initial results indicate that this is a viable dry-process alternative to CBD for production-scale processing. Published results for polycrystalline CdS/CdTe solar cells with high efficiencies are typically based on cells using research-grade transparent conducting oxides (TCOs) requiring high-temperature processing inconducive to low-cost manufacturing. EPIR's results for cells on commercial glass were obtained by implementing a high-resistivity SnO2 buffer layer and by optimizing the CdS window layer thickness. The high-resistivity buffer layer prevents the formation of CdTe-TCO junctions, thereby maintaining a high open-circuit voltage and fill factor, whereas using a thin CdS layer reduces absorption losses and improves the short-circuit current density. EPIR's best device demonstrated an NREL-verified efficiency of 15.3%. The mean efficiency of hundreds of cells produced with a buffer layer between December 2010 and June 2011 is 14.4%. Quantum efficiency results are presented to demonstrate EPIR's progress toward NREL's best-published results.

  19. Molecular beam epitaxy growth of PbSe on Si (211) using a ZnTe buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, X. J.; Chang, Y.; Hou, Y. B.

    2011-09-15

    The authors report the results of successful growth of single crystalline PbSe on Si (211) substrates with ZnTe as a buffer layer by molecular beam epitaxy. Single crystalline PbSe with (511) orientation was achieved on ZnTe/Si (211), as evidenced by RHEED patterns indicative of 2 dimensional (2D) growth, x ray diffraction rocking curves with a full width at half maximum as low as 153 arc sec and mobility as large as 1.1x10{sup 4}cm{sup 2}V{sup -1}s{sup -1} at 77 K. Cross hatch patterns were found on the PbSe(511) surface in Nomarski filtered microscope images suggesting the presence of a surface thermalmore » strain relaxation mechanism, which was confirmed by Fourier transformed high resolution transmission electron microscope images.« less

  20. Thickness effect of Gd2Zr2O7 buffer layer on performance of YBa2Cu3O7-δ coated conductors

    NASA Astrophysics Data System (ADS)

    Qiu, Wenbin; Fan, Feng; Lu, Yuming; Liu, Zhiyong; Bai, Chuanyi; Guo, Yanqun; Cai, Chuanbing

    2014-12-01

    Bilayer buffer architecture of Gd2Zr2O7 (GZO)/Y2O3 was prepared on the biaxially textured tape of Ni-5 at% W (NiW) by reactive sputtering deposition technique. The buffer layer of GZO films were deposited with different thicknesses on Y2O3 seeding layer with a given thickness of 20 nm. According to the results of φ-scan, the in-plane FWHMs of GZO films decreased and then reversed with increasing thickness of GZO, which corresponded with the in-plane FWHMs and superconducting properties of YBa2Cu3O7-δ (YBCO) films. Reflection High-Energy Electron Diffraction (RHEED) was carried out to examine the surface texture of GZO films and the deteriorated surface alignment was found for thicker films. The thickness effect of GZO on performance of YBCO is the coupling result of surface texture and blocking effect caused by thickness. With the balance of these two factors, the YBCO/GZO(120 nm)/Y2O3/NiW architecture exhibit relatively high performance with the transition temperature Tc of 92 K, a transition width ΔTc below 1 K, and a critical current density Jc of 0.65 MA/cm2.

  1. Deep-UV sensors based on SAW oscillators using low-temperature-grown AlN films on sapphires.

    PubMed

    Laksana, Chipta; Chen, Meei-Ru; Liang, Yen; Tzou, An-Jyeg; Kao, Hui-Ling; Jeng, Erik; Chen, Jyh; Chen, Hou-Guang; Jian, Sheng-Rui

    2011-08-01

    High-quality epitaxial AlN films were deposited on sapphire substrates at low growth temperature using a helicon sputtering system. SAW filters fabricated on the AlN films exhibited excellent characteristics, with center frequency of 354.2 MHz, which corresponds to a phase velocity of 5667 m/s. An oscillator fabricated using AlN-based SAW devices is presented and applied to deep-UV light detection. A frequency downshift of about 43 KHz was observed when the surface of SAW device was illuminated by a UV source with dominant wavelength of around 200 nm. The results indicate the feasibility of developing remote sensors for deep-UV measurement using AlN-based SAW oscillators.

  2. Influence of metallic surface states on electron affinity of epitaxial AlN films

    NASA Astrophysics Data System (ADS)

    Mishra, Monu; Krishna, Shibin; Aggarwal, Neha; Gupta, Govind

    2017-06-01

    The present article investigates surface metallic states induced alteration in the electron affinity of epitaxial AlN films. AlN films grown by plasma-assisted molecular beam epitaxy system with (30% and 16%) and without metallic aluminium on the surface were probed via photoemission spectroscopic measurements. An in-depth analysis exploring the influence of metallic aluminium and native oxide on the electronic structure of the films is performed. It was observed that the metallic states pinned the Fermi Level (FL) near valence band edge and lead to the reduction of electron affinity (EA). These metallic states initiated charge transfer and induced changes in surface and interface dipoles strength. Therefore, the EA of the films varied between 0.6-1.0 eV due to the variation in contribution of metallic states and native oxide. However, the surface barrier height (SBH) increased (4.2-3.5 eV) adversely due to the availability of donor-like surface states in metallic aluminium rich films.

  3. First principles study of neutral and anionic (medium-size) aluminum nitride clusters: AlnNn, n=7-16.

    PubMed

    Costales, Aurora; Blanco, M A; Francisco, E; Pendas, A Martín; Pandey, Ravindra

    2006-03-09

    We report the results of a theoretical study of AlnNn (n=7-16) clusters that is based on density functional theory. We will focus on the evolution of structural and electronic properties with the cluster size in the stoichiometric AlN clusters considered. The results reveal that the structural and electronic properties tend to evolve toward their respective bulk limits. The rate of evolution is, however, slow due to the hollow globular shape exhibited by the clusters, which introduces large surface effects that dominate the properties studied. We will also discuss the changes induced upon addition of an extra electron to the respective neutral clusters.

  4. Behavior of Photocarriers in the Light-Induced Metastable State in the p-n Heterojunction of a Cu(In,Ga)Se2 Solar Cell with CBD-ZnS Buffer Layer.

    PubMed

    Lee, Woo-Jung; Yu, Hye-Jung; Wi, Jae-Hyung; Cho, Dae-Hyung; Han, Won Seok; Yoo, Jisu; Yi, Yeonjin; Song, Jung-Hoon; Chung, Yong-Duck

    2016-08-31

    We fabricated Cu(In,Ga)Se2 (CIGS) solar cells with a chemical bath deposition (CBD)-ZnS buffer layer grown with varying ammonia concentrations in aqueous solution. The solar cell performance was degraded with increasing ammonia concentration, due to actively dissolved Zn atoms during CBD-ZnS precipitation. These formed interfacial defect states, such as hydroxide species in the CBD-ZnS film, and interstitial and antisite Zn defects at the p-n heterojunction. After light/UV soaking, the CIGS solar cell performance drastically improved, with a rise in fill factor. With the Zn-based buffer layer, the light soaking treatment containing blue photons induced a metastable state and enhanced the CIGS solar cell performance. To interpret this effect, we suggest a band structure model of the p-n heterojunction to explain the flow of photocarriers under white light at the initial state, and then after light/UV soaking. The determining factor is a p+ defect layer, containing an amount of deep acceptor traps, located near the CIGS surface. The p+ defect layer easily captures photoexcited electrons, and then when it becomes quasi-neutral, attracts photoexcited holes. This alters the barrier height and controls the photocurrent at the p-n junction, and fill factor values, determining the solar cell performance.

  5. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al2O3/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Aoki, T.; Fukuhara, N.; Osada, T.; Sazawa, H.; Hata, M.; Inoue, T.

    2014-07-01

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al2O3. This AlN passivation incorporated nitrogen at the Al2O3/GaAs interface, improving the capacitance-voltage (C-V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C-V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (Dit). The Dit was reduced over the entire GaAs band gap. In particular, these devices exhibited Dit around the midgap of less than 4 × 1012 cm-2eV-1, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.

  6. Assessment of polyelectrolyte coating stability under dynamic buffer conditions in CE.

    PubMed

    Swords, Kyleen E; Bartline, Peter B; Roguski, Katherine M; Bashaw, Sarah A; Frederick, Kimberley A

    2011-09-01

    Dynamic buffer conditions are present in many electrophoretically driven separations. Polyelectrolyte multilayer coatings have been employed in CE because of their chemical and physical stability as well as their ease of application. The goal of this study is to measure the effect of dynamic changes in buffer pH on flow using a real-time method for measuring EOF. Polyelectrolyte multilayers (PEMs) were composed of pairs of strong or completely ionized polyelectrolytes including poly(diallyldimethylammonium) chloride and poly(styrene sulfonate) and weak or ionizable polyelectrolytes including poly(allylamine) and poly(methacrylic acid). Polyelectrolyte multilayers of varying thicknesses (3, 4, 7, 8, 15, or 16 layers) were also studied. While the magnitude of the EOF was monitored every 2 s, the buffer pH was exchanged from a relatively basic pH (7.1) to increasingly acidic pHs (6.6, 6.1, 5.5, and 5.1). Strong polyelectrolytes responded minimally to changes in buffer pH (<1%), whereas substantial (>10%) and sometimes irreversible changes were measured with weak polyelectrolytes. Thicker coatings resulted in a similar magnitude of response but were more likely to degrade in response to buffer pH changes. The most stable coatings were formed from thinner layers of strong polyelectrolytes. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  7. Experimental and Modeling Studies on the Microstructures and Properties of Oxidized Aluminum Nitride Ceramic Substrates

    NASA Astrophysics Data System (ADS)

    Cao, Ye; Xu, Haixian; Zhan, Jun; Zhang, Hao; Wei, Xin; Wang, Jianmin; Cui, Song; Tang, Wenming

    2018-05-01

    Oxidation of aluminum nitride (AlN) ceramic substrates doped with 2 wt.% Y2O3 was performed in air at temperatures ranging from 1000 to 1300 °C for various lengths of time. Microstructure, bending strength, and thermal conductivity of the oxidized AlN substrates were studied experimentally and also via mathematical models. The results show that the oxide layer formed on the AlN substrates is composed of α-Al2O3 nanocrystallines and interconnected micropores. Longitudinal and transverse cracks are induced in the oxide layer under tensile and shear stresses, respectively. Intergranular oxidation of the AlN grains close to the oxide layer/AlN interface also occurs, leading to widening and cracking of the AlN grain boundaries. These processes result in the monotonous degradation of bending strength and thermal conductivity of the oxidized AlN substrates. Two mathematic models concerning these properties of the oxidized AlN substrates versus the oxide layer thickness were put forward. They fit well with the experimental results.

  8. Measurement of radon concentration in super-Kamiokande's buffer gas

    NASA Astrophysics Data System (ADS)

    Nakano, Y.; Sekiya, H.; Tasaka, S.; Takeuchi, Y.; Wendell, R. A.; Matsubara, M.; Nakahata, M.

    2017-09-01

    To precisely measure radon concentrations in purified air supplied to the Super-Kamiokande detector as a buffer gas, we have developed a highly sensitive radon detector with an intrinsic background as low as 0 . 33 ± 0 . 07 mBq /m3. In this article, we discuss the construction and calibration of this detector as well as results of its application to the measurement and monitoring of the buffer gas layer above Super-Kamiokande. In March 2013, the chilled activated charcoal system used to remove radon in the input buffer gas was upgraded. After this improvement, a dramatic reduction in the radon concentration of the supply gas down to 0 . 08 ± 0 . 07 mBq /m3. Additionally, the Rn concentration of the in-situ buffer gas has been measured 28 . 8 ± 1 . 7 mBq /m3 using the new radon detector. Based on these measurements we have determined that the dominant source of Rn in the buffer gas arises from contamination from the Super-Kamiokande tank itself.

  9. Microhabitats in the tropics buffer temperature in a globally coherent manner

    PubMed Central

    Scheffers, Brett R.; Evans, Theodore A.; Williams, Stephen E.; Edwards, David P.

    2014-01-01

    Vegetated habitats contain a variety of fine-scale features that can ameliorate temperate extremes. These buffered microhabitats may be used by species to evade extreme weather and novel climates in the future. Yet, the magnitude and extent of this buffering on a global scale remains unknown. Across all tropical continents and using 36 published studies, we assessed temperature buffering from within microhabitats across various habitat strata and structures (e.g. soil, logs, epiphytes and tree holes) and compared them to non-buffered macro-scale ambient temperatures (the thermal control). Microhabitats buffered temperature by 3.9°C and reduced maximum temperatures by 3.5°C. Buffering was most pronounced in tropical lowlands where temperatures were most variable. With the expected increase in extreme weather events, microhabitats should provide species with a local layer of protection that is not captured by traditional climate assessments, which are typically derived from macro-scale temperatures (e.g. satellites). Our data illustrate the need for a next generation of predictive models that account for species' ability to move within microhabitats to exploit favourable buffered microclimates. PMID:25540160

  10. Suitability of surface acoustic wave oscillators fabricated using low temperature-grown AlN films on GaN/sapphire as UV sensors.

    PubMed

    Chen, Tzu Chieh; Lin, Yueh Ting; Lin, Chung Yi; Chen, W C; Chen, Meei Ru; Kao, Hui-Ling; Chyi, J I; Hsu, C H

    2008-02-01

    Epitaxial AlN films were prepared on GaN/sapphire using a helicon sputtering system at the low temperature of 300 degrees C. Surface acoustic wave (SAW) devices fabricated on AlN/GaN/sapphire exhibited superior characteristics compared with those made on GaN/sapphire. An oscillator using an AlN/GaN/sapphirebased SAW device is presented. The oscillation frequency decreased when the device was illuminated by ultraviolet (UV) radiation, and the downshift of the oscillation frequency increased with the illuminating UV power density. The results showed that the AlN/GaN/sapphire-layered structure SAW oscillators are suitable for visible blind UV detection and opened up the feasibility of developing remote UV sensors for different ranges of wavelengths on the III-nitrides.

  11. Understanding Coulomb Scattering Mechanism in Monolayer MoS2 Channel in the Presence of h-BN Buffer Layer.

    PubMed

    Joo, Min-Kyu; Moon, Byoung Hee; Ji, Hyunjin; Han, Gang Hee; Kim, Hyun; Lee, Gwanmu; Lim, Seong Chu; Suh, Dongseok; Lee, Young Hee

    2017-02-08

    As the thickness becomes thinner, the importance of Coulomb scattering in two-dimensional layered materials increases because of the close proximity between channel and interfacial layer and the reduced screening effects. The Coulomb scattering in the channel is usually obscured mainly by the Schottky barrier at the contact in the noise measurements. Here, we report low-temperature (T) noise measurements to understand the Coulomb scattering mechanism in the MoS 2 channel in the presence of h-BN buffer layer on the silicon dioxide (SiO 2 ) insulating layer. One essential measure in the noise analysis is the Coulomb scattering parameter (α SC ) which is different for channel materials and electron excess doping concentrations. This was extracted exclusively from a 4-probe method by eliminating the Schottky contact effect. We found that the presence of h-BN on SiO 2 provides the suppression of α SC twice, the reduction of interfacial traps density by 100 times, and the lowered Schottky barrier noise by 50 times compared to those on SiO 2 at T = 25 K. These improvements enable us to successfully identify the main noise source in the channel, which is the trapping-detrapping process at gate dielectrics rather than the charged impurities localized at the channel, as confirmed by fitting the noise features to the carrier number and correlated mobility fluctuation model. Further, the reduction in contact noise at low temperature in our system is attributed to inhomogeneous distributed Schottky barrier height distribution in the metal-MoS 2 contact region.

  12. Growth Optimization of Metal-polar III-Nitride High-electron-mobility Transistor Structures by Molecular Beam Epitaxy

    NASA Astrophysics Data System (ADS)

    Kaun, Stephen William

    GaN-based high-electron-mobility transistors (HEMTs) will play an important role in the next generation of high-frequency amplifiers and power-switching devices. Since parasitic conduction (leakage) through the GaN buffer layer and (Al,Ga,In)N barrier reduces the efficiency of operation, HEMT performance hinges on the epitaxial quality of these layers. Increasing the sheet charge density and mobility of the two-dimensional electron gas (2DEG) is also essential for reducing the channel resistance and improving output. The growth conditions applied in plasma-assisted molecular beam epitaxy (PAMBE) and ammonia-based molecular beam epitaxy (NH3-MBE) that result in high-quality metal-polar HEMT structures are described. The effects of threading dislocations on the gate leakage and channel conductivity of AlGaN/GaN HEMTs were studied in detail. For this purpose, a series of HEMT structures were grown on GaN templates with threading dislocation densities (TDDs) that spanned three orders of magnitude. There was a clear trend of reduced gate leakage with reduced TDD for HEMTs grown by Ga-rich PAMBE; however, a reduction in TDD also entailed an increase in buffer leakage. By reducing the unintentionally doped (UID) GaN buffer thickness and including an AlGaN back barrier, a HEMT regrown by Ga-rich PAMBE on low-TDD free-standing (FS) GaN (~5 x 107 cm-2 TDD) yielded a three-terminal breakdown voltage greater than 50 V and a power output (power-added efficiency) of 6.7 W/mm (50 %) at 4 GHz with a 40 V drain bias. High TDD was then shown to severely degrade the 2DEG mobility of AlxGa1-xN/GaN (x = 0.24, 0.12, 0.06) and AlGaN/AlN/GaN heterostructures grown by Ga-rich PAMBE. By regrowing on low-TDD FS GaN and including a 2.5 nm AlN interlayer, an Al0.24Ga0.76N/AlN/GaN heterostructure achieved a room temperature (RT) 2DEG sheet resistance of 169 Ω/□. As evidenced by atom probe tomography, the AlN interlayer grown by Ga-rich PAMBE was pure with abrupt interfaces. The pure AlN

  13. Numerical Simulation of Ballistic Impact of Layered Aluminum Nitride Ceramic

    DTIC Science & Technology

    2015-09-01

    tile(s) Aluminum nitride (AlN) 163 a Polymer layers Polyurethane foam 18 b Backing metal Aluminum 6061-T6 (Al) 23 c Projectile Tungsten heavy alloy...larger (a factor of 3.8) than the most dense polyurethane foam of the available constitutive models. Default options for element failure were imposed in...AlN), a polycrystalline ceramic. The total thickness of the tile(s) is 38.1 mm in all cases. A thin polyurethane laminate separates neighboring tiles

  14. AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates with significant improvement in internal quantum efficiency

    NASA Astrophysics Data System (ADS)

    Dong, Peng; Yan, Jianchang; Zhang, Yun; Wang, Junxi; Zeng, Jianping; Geng, Chong; Cong, Peipei; Sun, Lili; Wei, Tongbo; Zhao, Lixia; Yan, Qingfeng; He, Chenguang; Qin, Zhixin; Li, Jinmin

    2014-06-01

    We report high-performance AlGaN-based deep ultraviolet light-emitting diodes grown on nano-patterned sapphire substrates (NPSS) using metal-organic chemical vapor deposition. By nanoscale epitaxial lateral overgrowth on NPSS, 4-μm AlN buffer layer has shown strain relaxation and a coalescence thickness of only 2.5 μm. The full widths at half-maximum of X-ray diffraction (002) and (102) ω-scan rocking curves of AlN on NPSS are only 69.4 and 319.1 arcsec. The threading dislocation density in AlGaN-based multi-quantum wells, which are grown on this AlN/NPSS template with a light-emitting wavelength at 283 nm at room temperature, is reduced by 33% compared with that on flat sapphire substrate indicated by atomic force microscopy measurements, and the internal quantum efficiency increases from 30% to 43% revealed by temperature-dependent photoluminescent measurement.

  15. Comparative study on nitridation and oxidation plasma interface treatment for AlGaN/GaN MIS-HEMTs with AlN gate dielectric

    NASA Astrophysics Data System (ADS)

    Zhu, Jie-Jie; Ma, Xiao-Hua; Hou, Bin; Chen, Li-Xiang; Zhu, Qing; Hao, Yue

    2017-02-01

    This paper demonstrated the comparative study on interface engineering of AlN/AlGaN/GaN metal-insulator-semiconductor high-electron-mobility transistors (MIS-HEMTs) by using plasma interface pre-treatment in various ambient gases. The 15 nm AlN gate dielectric grown by plasma-enhanced atomic layer deposition significantly suppressed the gate leakage current by about two orders of magnitude and increased the peak field-effect mobility by more than 50%. NH3/N2 nitridation plasma treatment (NPT) was used to remove the 3 nm poor-quality interfacial oxide layer and N2O/N2 oxidation plasma treatment (OPT) to improve the quality of interfacial layer, both resulting in improved dielectric/barrier interface quality, positive threshold voltage (V th) shift larger than 0.9 V, and negligible dispersion. In comparison, however, NPT led to further decrease in interface charges by 3.38 × 1012 cm-2 and an extra positive V th shift of 1.3 V. Analysis with fat field-effect transistors showed that NPT resulted in better sub-threshold characteristics and transconductance linearity for MIS-HEMTs compared with OPT. The comparative study suggested that direct removing the poor interfacial oxide layer by nitridation plasma was superior to improving the quality of interfacial layer by oxidation plasma for the interface engineering of GaN-based MIS-HEMTs.

  16. First principles calculations of point defect diffusion in CdS buffer layers: Implications for Cu(In,Ga)(Se,S){sub 2} and Cu{sub 2}ZnSn(Se,S){sub 4}-based thin-film photovoltaics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.; He, X.

    2016-01-14

    We investigate point defects in CdS buffer layers that may arise from intermixing with Cu(In,Ga)Se{sub 2} (CIGSe) or Cu{sub 2}ZnSn(S,Se){sub 4} (CZTSSe) absorber layers in thin-film photovoltaics (PV). Using hybrid functional calculations, we characterize the migration barriers of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities and assess the activation energies necessary for their diffusion into the bulk of the buffer. We find that Cu, In, and Ga are the most mobile defects in CIGS-derived impurities, with diffusion expected to proceed into the buffer via interstitial-hopping and cadmium vacancy-assisted mechanisms at temperatures ∼400 °C. Cu is predicted to stronglymore » favor migration paths within the basal plane of the wurtzite CdS lattice, which may facilitate defect clustering and ultimately the formation of Cu-rich interfacial phases as observed by energy dispersive x-ray spectroscopic elemental maps in real PV devices. Se, Zn, and Sn defects are found to exhibit much larger activation energies and are not expected to diffuse within the CdS bulk at temperatures compatible with typical PV processing temperatures. Lastly, we find that Na interstitials are expected to exhibit slightly lower activation energies than K interstitials despite having a larger migration barrier. Still, we find both alkali species are expected to diffuse via an interstitially mediated mechanism at slightly higher temperatures than enable In, Ga, and Cu diffusion in the bulk. Our results indicate that processing temperatures in excess of ∼400 °C will lead to more interfacial intermixing with CdS buffer layers in CIGSe devices, and less so for CZTSSe absorbers where only Cu is expected to significantly diffuse into the buffer.« less

  17. Thin-film encapsulation of organic electronic devices based on vacuum evaporated lithium fluoride as protective buffer layer

    NASA Astrophysics Data System (ADS)

    Peng, Yingquan; Ding, Sihan; Wen, Zhanwei; Xu, Sunan; Lv, Wenli; Xu, Ziqiang; Yang, Yuhuan; Wang, Ying; Wei, Yi; Tang, Ying

    2017-03-01

    Encapsulation is indispensable for organic thin-film electronic devices to ensure reliable operation and long-term stability. For thin-film encapsulating organic electronic devices, insulating polymers and inorganic metal oxides thin films are widely used. However, spin-coating of insulating polymers directly on organic electronic devices may destroy or introduce unwanted impurities in the underlying organic active layers. And also, sputtering of inorganic metal oxides may damage the underlying organic semiconductors. Here, we demonstrated that by utilizing vacuum evaporated lithium fluoride (LiF) as protective buffer layer, spin-coated insulating polymer polyvinyl alcohol (PVA), and sputtered inorganic material Er2O3, can be successfully applied for thin film encapsulation of copper phthalocyanine (CuPc)-based organic diodes. By encapsulating with LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films, the device lifetime improvements of 10 and 15 times can be achieved. These methods should be applicable for thin-film encapsulation of all kinds of organic electronic devices. Moisture-induced hole trapping, and Al top electrode oxidation are suggest to be the origins of current decay for the LiF/PVA/LiF trilayer and LiF/Er2O3 bilayer films encapsulated devices, respectively.

  18. Improving the performance of perovskite solar cells with glycerol-doped PEDOT:PSS buffer layer

    NASA Astrophysics Data System (ADS)

    Jian-Feng, Li; Chuang, Zhao; Heng, Zhang; Jun-Feng, Tong; Peng, Zhang; Chun-Yan, Yang; Yang-Jun, Xia; Duo-Wang, Fan

    2016-02-01

    In this paper, we investigate the effects of glycerol doping on transmittance, conductivity and surface morphology of poly(3,4-ethylene dioxythiophene):poly(styrene sulfonate)) (PEDOT:PSS) and its influence on the performance of perovskite solar cells. . The conductivity of PEDOT:PSS is improved obviously by doping glycerol. The maximum of the conductivity is 0.89 S/cm when the doping concentration reaches 6 wt%, which increases about 127 times compared with undoped. The perovskite solar cells are fabricated with a configuration of indium tin oxide (ITO)/PEDOT:PSS/CH3NH3PbI3/PC61BM/Al, where PEDOT:PSS and PC61BM are used as hole and electron transport layers, respectively. The results show an improvement of hole charge transport as well as an increase of short-circuit current density and a reduction of series resistance, owing to the higher conductivity of the doped PEDOT:PSS. Consequently, it improves the whole performance of perovskite solar cell. The power conversion efficiency (PCE) of the device is improved from 8.57% to 11.03% under AM 1.5 G (100 mW/cm2 illumination) after the buffer layer has been modified. Project supported by the National Natural Science Foundation of China (Grant Nos. 61264002, 61166002, 91333206, and 51463011), the Natural Science Foundation of Gansu Province, China (Grant No. 1308RJZA159), the New Century Excellent Talents in University of Ministry of Education of China (Grant No. NCET-13-0840), the Research Project of Graduate Teacher of Gansu Province, China (Grant No. 2014A-0042), and the Postdoctoral Science Foundation from Lanzhou Jiaotong University, China.

  19. Development of AlN and TiB2 Composites with Nb2O5, Y2O3 and ZrO2 as Sintering Aids

    PubMed Central

    González, José C.; Rodríguez, Miguel Á.; Figueroa, Ignacio A.; Villafuerte-Castrejón, María-Elena; Díaz, Gerardo C.

    2017-01-01

    The synthesis of AlN and TiB2 by spark plasma sintering (SPS) and the effect of Nb2O5, Y2O3 and ZrO2 additions on the mechanical properties and densification of the produced composites is reported and discussed. After the SPS process, dense AlN and TiB2 composites with Nb2O5, Y2O3 and ZrO2 were successfully prepared. X-ray diffraction analysis showed that in the AlN composites, the addition of Nb2O5 gives rise to Nb4N3 during sintering. The compound Y3Al5O12 (YAG) was observed as precipitate in the sample with Y2O3. X-ray diffraction analysis of the TiB2 composites showed TiB2 as a single phase in these materials. The maximum Vickers and toughness values were 14.19 ± 1.43 GPa and 27.52 ± 1.75 GPa for the AlN and TiB2 composites, respectively. PMID:28772681

  20. High rate buffer layer for IBAD MgO coated conductors

    DOEpatents

    Foltyn, Stephen R [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM; Arendt, Paul N [Los Alamos, NM

    2007-08-21

    Articles are provided including a base substrate having a layer of an oriented material thereon, and, a layer of hafnium oxide upon the layer of an oriented material. The layer of hafnium oxide can further include a secondary oxide such as cerium oxide, yttrium oxide, lanthanum oxide, scandium oxide, calcium oxide and magnesium oxide. Such articles can further include thin films of high temperature superconductive oxides such as YBCO upon the layer of hafnium oxide or layer of hafnium oxide and secondary oxide.

  1. Theoretical and experimental study of highly textured GaAs on silicon using a graphene buffer layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alaskar, Yazeed; Arafin, Shamsul; Lin, Qiyin

    2015-09-01

    A novel heteroepitaxial growth technique, quasi-van der Waals epitaxy, promises the ability to deposit three-dimensional GaAs materials on silicon using two-dimensional graphene as a buffer layer by overcoming the lattice and thermal expansion mismatch. In this study, density functional theory (DFT) simulations were performed to understand the interactions at the GaAs/graphene hetero-interface as well as the growth orientations of GaAs on graphene. To develop a better understanding of the molecular beam epitaxy-grown GaAs films on graphene, samples were characterized by x-ray diffraction (..theta..-2..theta.. scan, ..omega..-scan, grazing incidence XRD and pole figure measurement) and transmission electron microscopy. The realizations of smoothmore » GaAs films with a strong (111) oriented fiber-texture on graphene/silicon using this deposition technique are a milestone towards an eventual demonstration of the epitaxial growth of GaAs on silicon, which is necessary for integrated photonics application.« less

  2. Chemically Deposited CdS Buffer/Kesterite Cu2ZnSnS4 Solar Cells: Relationship between CdS Thickness and Device Performance.

    PubMed

    Hong, Chang Woo; Shin, Seung Wook; Suryawanshi, Mahesh P; Gang, Myeng Gil; Heo, Jaeyeong; Kim, Jin Hyeok

    2017-10-25

    Earth-abundant, copper-zinc-tin-sulfide (CZTS), kesterite, is an attractive absorber material for thin-film solar cells (TFSCs). However, the open-circuit voltage deficit (V oc -deficit) resulting from a high recombination rate at the buffer/absorber interface is one of the major challenges that must be overcome to improve the performance of kesterite-based TFSCs. In this paper, we demonstrate the relationship between device parameters and performances for chemically deposited CdS buffer/CZTS-based heterojunction TFSCs as a function of buffer layer thickness, which could change the CdS/CZTS interface conditions such as conduction band or valence band offsets, to gain deeper insight and understanding about the V oc -deficit behavior from a high recombination rate at the CdS buffer/kesterite interface. Experimental results show that device parameters and performances are strongly dependent on the CdS buffer thickness. We postulate two meaningful consequences: (i) Device parameters were improved up to a CdS buffer thickness of 70 nm, whereas they deteriorated at a thicker CdS buffer layer. The V oc -deficit in the solar cells improved up to a CdS buffer thickness of 92 nm and then deteriorated at a thicker CdS buffer layer. (ii) The minimum values of the device parameters were obtained at 70 nm CdS thickness in the CZTS TFSCs. Finally, the highest conversion efficiency of 8.77% (V oc : 494 mV, J sc : 34.54 mA/cm 2 , and FF: 51%) is obtained by applying a 70 nm thick CdS buffer to the Cu 2 ZnSn(S,Se) 4 absorber layer.

  3. Curvature evolution of 200 mm diameter GaN-on-insulator wafer fabricated through metalorganic chemical vapor deposition and bonding

    NASA Astrophysics Data System (ADS)

    Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.

    2018-05-01

    Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.

  4. Reduction of shunt current in buffer-free IrMn based spin-valve structures

    NASA Astrophysics Data System (ADS)

    Kocaman, B.; Akdoğan, N.

    2018-06-01

    The presence of thick buffer layers in magnetic sensor devices decreases sensor sensitivity due to shunt currents. With this motivation, we produced IrMn-based spin-valve multilayers without using buffer layer. We also studied the effects of post-annealing and IrMn thickness on exchange bias field (HEB) and blocking temperature (TB) of the system. Magnetization measurements indicate that both HEB and TB values are significantly enhanced with post-annealing of IrMn layer. In addition, we report that IrMn thickness of the system strongly influences the magnetization and transport characteristics of the spin-valve structures. We found that the minimum thickness of IrMn layer is 6 nm in order to achieve the lowest shunt current and high blocking temperature (>300 K). We also investigated the training of exchange bias to check the long-term durability of IrMn-based spin-valve structures for device applications.

  5. The influence of AlN interlayers on the microstructural and electrical properties of p-type AlGaN/GaN superlattices grown on GaN/sapphire templates

    NASA Astrophysics Data System (ADS)

    Li, Lei; Liu, Lei; Wang, Lei; Li, Ding; Song, Jie; Liu, Ningyang; Chen, Weihua; Wang, Yuzhou; Yang, Zhijian; Hu, Xiaodong

    2012-09-01

    AlN with different thicknesses were grown as interlayers (ILs) between GaN and p-type Al0.15Ga0.85N/GaN superlattices (SLs) by metal organic vapor phase epitaxy (MOVPE). It was found that the edge-type threading dislocation density (TDD) increased gradually from the minimum of 2.5×109 cm-2 without AlN IL to the maximum of 1×1010 cm-2 at an AlN thickness of 20 nm, while the screw-type TDD remained almost unchanged due to the interface-related TD suppression and regeneration mechanism. We obtained that the edge-type dislocations acted as acceptors in p-type Al x Ga1- x N/GaN SLs, through the comparison of the edge-type TDD and hole concentration with different thicknesses of AlN IL. The Mg activation energy was significantly decreased from 153 to 70 meV with a 10-nm AlN IL, which was attributed to the strain modulation between AlGaN barrier and GaN well. The large activation efficiency, together with the TDs, led to the enhanced hole concentration. The variation trend of Hall mobility was also observed, which originated from the scattering at TDs.

  6. Effect of hydrogen on the device performance and stability characteristics of amorphous InGaZnO thin-film transistors with a SiO2/SiNx/SiO2 buffer

    NASA Astrophysics Data System (ADS)

    Han, Ki-Lim; Ok, Kyung-Chul; Cho, Hyeon-Su; Oh, Saeroonter; Park, Jin-Seong

    2017-08-01

    We investigate the influence of the multi-layered buffer consisting of SiO2/SiNx/SiO2 on amorphous InGaZnO (a-IGZO) thin-film transistors (TFTs). The multi-layered buffer inhibits permeation of water from flexible plastic substrates and prevents degradation of overlying organic layers. The a-IGZO TFTs with a multi-layered buffer suffer less positive bias temperature stress instability compared to the device with a single SiO2 buffer layer after annealing at 250 °C. Hydrogen from the SiNx layer diffuses into the active layer and reduces electron trapping at loosely bound oxygen defects near the SiO2/a-IGZO interface. Quantitative analysis shows that a hydrogen density of 1.85 × 1021 cm-3 is beneficial to reliability. However, the multi-layered buffer device annealed at 350 °C resulted in conductive characteristics due to the excess carrier concentration from the higher hydrogen density of 2.12 × 1021 cm-3.

  7. Interface engineering in epitaxial growth of layered oxides via a conducting layer insertion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yun, Yu; Meng, Dechao; Wang, Jianlin

    2015-07-06

    There is a long-standing challenge in the fabrication of layered oxide epitaxial films due to their thermodynamic phase-instability and the large stacking layer number. Recently, the demand for high-quality thin films is strongly pushed by their promising room-temperature multiferroic properties. Here, we find that by inserting a conducting and lattice matched LaNiO{sub 3} buffer layer, high quality m = 5 Bi{sub 6}FeCoTi{sub 3}O{sub 18} epitaxial films can be fabricated using the laser molecular beam epitaxy, in which the atomic-scale sharp interface between the film and the metallic buffer layer explains the enhanced quality. The magnetic and ferroelectric properties of the high qualitymore » Bi{sub 6}FeCoTi{sub 3}O{sub 18} films are studied. This study demonstrates that insertion of the conducting layer is a powerful method in achieving high quality layered oxide thin films, which opens the door to further understand the underline physics and to develop new devices.« less

  8. Microhabitats in the tropics buffer temperature in a globally coherent manner.

    PubMed

    Scheffers, Brett R; Evans, Theodore A; Williams, Stephen E; Edwards, David P

    2014-12-01

    Vegetated habitats contain a variety of fine-scale features that can ameliorate temperate extremes. These buffered microhabitats may be used by species to evade extreme weather and novel climates in the future. Yet, the magnitude and extent of this buffering on a global scale remains unknown. Across all tropical continents and using 36 published studies, we assessed temperature buffering from within microhabitats across various habitat strata and structures (e.g. soil, logs, epiphytes and tree holes) and compared them to non-buffered macro-scale ambient temperatures (the thermal control). Microhabitats buffered temperature by 3.9 °C and reduced maximum temperatures by 3.5 °C. Buffering was most pronounced in tropical lowlands where temperatures were most variable. With the expected increase in extreme weather events, microhabitats should provide species with a local layer of protection that is not captured by traditional climate assessments, which are typically derived from macro-scale temperatures (e.g. satellites). Our data illustrate the need for a next generation of predictive models that account for species' ability to move within microhabitats to exploit favourable buffered microclimates. © 2014 The Author(s) Published by the Royal Society. All rights reserved.

  9. TRIO: Burst Buffer Based I/O Orchestration

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Teng; Oral, H Sarp; Pritchard, Michael

    The growing computing power on leadership HPC systems is often accompanied by ever-escalating failure rates. Checkpointing is a common defensive mechanism used by scientific applications for failure recovery. However, directly writing the large and bursty checkpointing dataset to parallel filesystem can incur significant I/O contention on storage servers. Such contention in turn degrades the raw bandwidth utilization of storage servers and prolongs the average job I/O time of concurrent applications. Recently burst buffer has been proposed as an intermediate layer to absorb the bursty I/O traffic from compute nodes to storage backend. But an I/O orchestration mechanism is still desiredmore » to efficiently move checkpointing data from bursty buffers to storage backend. In this paper, we propose a burst buffer based I/O orchestration framework, named TRIO, to intercept and reshape the bursty writes for better sequential write traffic to storage severs. Meanwhile, TRIO coordinates the flushing orders among concurrent burst buffers to alleviate the contention on storage server bandwidth. Our experimental results reveal that TRIO can deliver 30.5% higher bandwidth and reduce the average job I/O time by 37% on average for data-intensive applications in various checkpointing scenarios.« less

  10. Defect related electrical and optical properties of AlN bulk crystals grown by physical vapor transport

    NASA Astrophysics Data System (ADS)

    Irmscher, Klaus

    AlN crystallizes thermodynamically stable in the wurtzite structure and possesses a direct band gap of about 6 eV. It is the ideal substrate for the epitaxial growth of Al-rich AlxGa1-xN films that enable deep ultraviolet (UV) emitters. Appropriate AlN bulk crystals can be grown by physical vapor transport (PVT). Besides high structural perfection, such substrate crystals should be highly UV transparent and ideally, electrically conductive. It is well known that point defects like impurities and intrinsic defects may introduce electronic energy levels within the bandgap, which lead to additional optical absorption or electrical compensation. Among the impurities, which may be incorporated into the AlN crystals during PVT growth at well above 2000 ° C, oxygen, carbon, and silicon play the major role. Based on our own experimental data as well as on experimental and theoretical results reported in literature, we discuss energy levels, charge states and possible negative-U behavior of these impurities and of vacancy-type defects. In particular, we develop a model that explains the absorption behavior of the crystals in dependence on the Fermi level that can be controlled by the growth conditions, including intentional doping. Further, we pay attention on spectroscopic investigations giving direct evidence for the chemical nature and atomic arrangement of the involved point defects. As examples local vibrational mode (LVM) spectroscopy of carbon related defects and recent reports of electron paramagnetic resonance (EPR) spectroscopy are discussed.

  11. The impact of electrode materials on 1/f noise in piezoelectric AlN contour mode resonators

    NASA Astrophysics Data System (ADS)

    Kim, Hoe Joon; Jung, Soon In; Segovia-Fernandez, Jeronimo; Piazza, Gianluca

    2018-05-01

    This paper presents a detailed analysis on the impact of electrode materials and dimensions on flicker frequency (1/f) noise in piezoelectric aluminum nitride (AlN) contour mode resonators (CMRs). Flicker frequency noise is a fundamental noise mechanism present in any vibrating mechanical structure, whose sources are not generally well understood. 1 GHz AlN CMRs with three different top electrode materials (Al, Au, and Pt) along with various electrode lengths and widths are fabricated to control the overall damping acting on the device. Specifically, the use of different electrode materials allows control of thermoelastic damping (TED), which is the dominant damping mechanism for high frequency AlN CMRs and largely depends on the thermal properties (i.e. thermal diffusivities and expansion coefficients) of the metal electrode rather than the piezoelectric film. We have measured Q and 1/f noise of 68 resonators and the results show that 1/f noise decreases with increasing Q, with a power law dependence that is about 1/Q4. Interestingly, the noise level also depends on the type of electrode materials. Devices with Pt top electrode demonstrate the best noise performance. Our results help unveiling some of the sources of 1/f noise in these resonators, and indicate that a careful selection of the electrode material and dimensions could reduce 1/f noise not only in AlN-CMRs, but also in various classes of resonators, and thus enable ultra-low noise mechanical resonators for sensing and radio frequency applications.

  12. Design considerations for λ ˜ 3.0- to 3.5-μm-emitting quantum cascade lasers on metamorphic buffer layers

    NASA Astrophysics Data System (ADS)

    Rajeev, Ayushi; Sigler, Chris; Earles, Tom; Flores, Yuri V.; Mawst, Luke J.; Botez, Dan

    2018-01-01

    Quantum cascade lasers (QCLs) that employ metamorphic buffer layers as substrates of variable lattice constant have been designed for emission in the 3.0- to 3.5-μm wavelength range. Theoretical analysis of the active-region (AR) energy band structure, while using an 8-band k•p model, reveals that one can achieve both effective carrier-leakage suppression as well as fast carrier extraction in QCL structures of relatively low strain. Significantly lower indium-content quantum wells (QWs) can be employed for the AR compared to QWs employed for conventional short-wavelength QCL structures grown on InP, which, in turn, is expected to eliminate carrier leakage to indirect-gap valleys (X, L). An analysis of thermo-optical characteristics for the complete device design indicates that high-Al-content AlInAs cladding layers are more effective for both optical confinement and thermal dissipation than InGaP cladding layers. An electroluminescence-spectrum full-width half-maximum linewidth of 54.6 meV is estimated from interface roughness scattering and, by considering both inelastic and elastic scattering, the threshold-current density for 3.39-μm-emitting, 3-mm-long back-facet-coated QCLs is projected to be 1.40 kA/cm2.

  13. Strain Effects in Epitaxial VO2 Thin Films on Columnar Buffer-Layer TiO2/Al2O3 Virtual Substrates.

    PubMed

    Breckenfeld, Eric; Kim, Heungsoo; Burgess, Katherine; Charipar, Nicholas; Cheng, Shu-Fan; Stroud, Rhonda; Piqué, Alberto

    2017-01-18

    Epitaxial VO 2 /TiO 2 thin film heterostructures were grown on (100) (m-cut) Al 2 O 3 substrates via pulsed laser deposition. We have demonstrated the ability to reduce the semiconductor-metal transition (SMT) temperature of VO 2 to ∼44 °C while retaining a 4 order of magnitude SMT using the TiO 2 buffer layer. A combination of electrical transport and X-ray diffraction reciprocal space mapping studies help examine the specific strain states of VO 2 /TiO 2 /Al 2 O 3 heterostructures as a function of TiO 2 film growth temperatures. Atomic force microscopy and transmission electron microscopy analyses show that the columnar microstructure present in TiO 2 buffer films is responsible for the partially strained VO 2 film behavior and subsequently favorable transport characteristics with a lower SMT temperature. Such findings are of crucial importance for both the technological implementation of the VO 2 system, where reduction of its SMT temperature is widely sought, as well as the broader complex oxide community, where greater understanding of the evolution of microstructure, strain, and functional properties is a high priority.

  14. Hierarchical rendering of trees from precomputed multi-layer z-buffers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Max, N.

    1996-02-01

    Chen and Williams show how precomputed z-buffer images from different fixed viewing positions can be reprojected to produce an image for a new viewpoint. Here images are precomputed for twigs and branches at various levels in the hierarchical structure of a tree, and adaptively combined, depending on the position of the new viewpoint. The precomputed images contain multiple z levels to avoid missing pixels in the reconstruction, subpixel masks for anti-aliasing, and colors and normals for shading after reprojection.

  15. Intermixing at the absorber-buffer layer interface in thin-film solar cells: The electronic effects of point defects in Cu(In,Ga)(Se,S) 2 and Cu 2ZnSn(Se,S) 4 devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.

    We investigate point defects in the buffer layers CdS and ZnS that may arise from intermixing with Cu(In,Ga)(S,Se) 2 (CIGS) or Cu 2ZnSn(S,Se) 4 (CZTS) absorber layers in thin-film photovoltaics. Using hybrid functional calculations, we characterize the electrical and optical behavior of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities in the buffer. We find that In and Ga substituted on the cation site act as shallow donors in CdS and tend to enhance the prevailing n-type conductivity at the interface facilitated by Cd incorporation in CIGS, whereas they are deep donors in ZnS and will be lessmore » effective dopants. Substitutional In and Ga can favorably form complexes with cation vacancies (A-centers) which may contribute to the “red kink” effect observed in some CIGS-based devices. For CZTS absorbers, we find that Zn and Sn defects substituting on the buffer cation site are electrically inactive in n-type buffers and will not supplement the donor doping at the interface as in CIGS/CdS or ZnS devices. Sn may also preferentially incorporate on the S site as a deep acceptor in n-type ZnS, which suggests possible concerns with absorber-related interfacial compensation in CZTS devices with ZnS-derived buffers. Cu, Na, and K impurities are found to all have the same qualitative behavior, most favorably acting as compensating acceptors when substituting on the cation site. Lastly, our results suggest one beneficial role of K and Na incorporation in CIGS or CZTS devices is the partial passivation of vacancy-related centers in CdS and ZnS buffers, rendering them less effective interfacial hole traps and recombination centers.« less

  16. Intermixing at the absorber-buffer layer interface in thin-film solar cells: The electronic effects of point defects in Cu(In,Ga)(Se,S) 2 and Cu 2ZnSn(Se,S) 4 devices

    DOE PAGES

    Varley, J. B.; Lordi, V.

    2014-08-08

    We investigate point defects in the buffer layers CdS and ZnS that may arise from intermixing with Cu(In,Ga)(S,Se) 2 (CIGS) or Cu 2ZnSn(S,Se) 4 (CZTS) absorber layers in thin-film photovoltaics. Using hybrid functional calculations, we characterize the electrical and optical behavior of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities in the buffer. We find that In and Ga substituted on the cation site act as shallow donors in CdS and tend to enhance the prevailing n-type conductivity at the interface facilitated by Cd incorporation in CIGS, whereas they are deep donors in ZnS and will be lessmore » effective dopants. Substitutional In and Ga can favorably form complexes with cation vacancies (A-centers) which may contribute to the “red kink” effect observed in some CIGS-based devices. For CZTS absorbers, we find that Zn and Sn defects substituting on the buffer cation site are electrically inactive in n-type buffers and will not supplement the donor doping at the interface as in CIGS/CdS or ZnS devices. Sn may also preferentially incorporate on the S site as a deep acceptor in n-type ZnS, which suggests possible concerns with absorber-related interfacial compensation in CZTS devices with ZnS-derived buffers. Cu, Na, and K impurities are found to all have the same qualitative behavior, most favorably acting as compensating acceptors when substituting on the cation site. Lastly, our results suggest one beneficial role of K and Na incorporation in CIGS or CZTS devices is the partial passivation of vacancy-related centers in CdS and ZnS buffers, rendering them less effective interfacial hole traps and recombination centers.« less

  17. Modification of opto-electronic properties of ZnO by incorporating metallic tin for buffer layer in thin film solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deepu, D. R.; Jubimol, J.; Kartha, C. Sudha

    2015-06-24

    In this report, the effect of incorporation of metallic tin (Sn) on opto-electronic properties of ZnO thin films is presented. ZnO thin films were deposited through ‘automated chemical spray pyrolysis’ (CSP) technique; later different quantities of ‘Sn’ were evaporated on it and subsequently annealed. Vacuum annealing showed a positive effect on crystallinity of films. Creation of sub band gap levels due to ‘Sn’ diffusion was evident from the absorption and PL spectra. The tin incorporated films showed good photo response in visible region. Tin incorporated ZnO thin films seem to satisfy the desirable criteria for buffer layer in thin filmmore » solar cells.« less

  18. Article with buffer layer and method of making the same

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McCamy, James W.; Ma, Zhixun; Kabagambe, Benjamin

    A method of forming a coating layer on a glass substrate in a glass manufacturing process includes: providing a first coating precursor material for a selected coating layer composition to at least one multislot coater to form a first coating region of the selected coating layer; and providing a second coating precursor material for the selected coating layer composition to the multislot coater to form a second coating region of the selected coating layer over the first region. The first coating precursor material is different than the second precursor coating material.

  19. Convergent Geometry Foam Buffered Direct Drive Experiments*

    NASA Astrophysics Data System (ADS)

    Watt, R. G.; Wilson, D. C.; Hollis, R. V.; Gobby, P. L.; Chrien, R. E.; Mason, R. J.; Kopp, R. A.; Willi, O.; Iwase, A.; Barringer, L. H.; Gaillard, R.; Kalantar, D. H.; Lerche, R. A.; MacGowan, B.; Nelson, M.; Phillips, T.; Knauer, J. P.; McKenty, P. W.

    1996-11-01

    A serious concern for directly driven ICF implosions is the asymmetry imparted to the capsule by laser drive non-uniformities, particularly the ``early time imprint'' remaining despite the use of random phase plates and smoothing with spectral dispersion. The use of a foam buffer has been proposed as a means to reduce this imprint. Two types of convergent geometry tests of the technique to correct static nonuniformities have been studied to date; cylindrical implosions at the Trident and Vulcan lasers, and spherical implosions at the NOVA laser, all using 527 nm laser drive. Cylindrical implosions used end on x-ray backlighter imaging of inner surface disruption due an intentional hole in the drive footprint, using 50 mg/cc acyrlate foam with a thin Au preheat layer. Spherical implosions used 50 mg/cc polystyrene foam plus Au to study yield and imploded core symmetry of capsules with and without a foam buffer, in comparison to ``clean 1D'' calculations. For thick enough layers, all cases showed improvement. Details of the experiments and theoretical unpinnings will be shown. *Work performed under US DOE Contract No. W-7405-Eng-36.

  20. Structural evaluation of InAsP/InGaAsP strained-layer superlattices with dislocations as grown by metal-organic molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Nakashima, Kiichi; Sugiura, Hideo

    1997-08-01

    The relaxation process in InAsP/InGaAsP strained-layer superlattices (SLSs) with interfacial misfit dislocations has been investigated systematically by transmission electron microscopy (TEM) and x-ray analyses. The TEM analysis reveals that dislocations locate a little inside the buffer layer near the interface between the buffer and first well layer in the SLS. The x-ray analysis of (400) azimuthal angle dependence indicates the buffer layer has a large macroscopic tilt. Using a curve fitting analysis of various (hkl) x-ray profiles and reciprocal lattice mapping measurements, residual strain was determined quantitatively, i.e., Δa∥ and Δa⊥, in the SLS and buffer layer. These results reveal that the dislocations mainly cause lattice distortion of the buffer layer rather than relaxation of the SLS layer. The most remarkable result is that the change of a∥ is not equal to that of a⊥ in the buffer layer. This phenomenon strongly suggests that microplastic domains are generated in the buffer layer.

  1. Reduced interface recombination in Cu{sub 2}ZnSnS{sub 4} solar cells with atomic layer deposition Zn{sub 1−x}Sn{sub x}O{sub y} buffer layers

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Platzer-Björkman, C.; Frisk, C.; Larsen, J. K.

    2015-12-14

    Cu{sub 2}ZnSnS{sub 4} (CZTS) solar cells typically include a CdS buffer layer in between the CZTS and ZnO front contact. For sulfide CZTS, with a bandgap around 1.5 eV, the band alignment between CZTS and CdS is not ideal (“cliff-like”), which enhances interface recombination. In this work, we show how a Zn{sub 1−x}Sn{sub x}O{sub y} (ZTO) buffer layer can replace CdS, resulting in improved open circuit voltages (V{sub oc}) for CZTS devices. The ZTO is deposited by atomic layer deposition (ALD), with a process previously developed for Cu(In,Ga)Se{sub 2} solar cells. By varying the ALD process temperature, the position of themore » conduction band minimum of the ZTO is varied in relation to that of CZTS. A ZTO process at 95 °C is found to give higher V{sub oc} and efficiency as compared with the CdS reference devices. For a ZTO process at 120 °C, where the conduction band alignment is expected to be the same as for CdS, the V{sub oc} and efficiency is similar to the CdS reference. Further increase in conduction band minimum by lowering the deposition temperature to 80 °C shows blocking of forward current and reduced fill factor, consistent with barrier formation at the junction. Temperature-dependent current voltage analysis gives an activation energy for recombination of 1.36 eV for the best ZTO device compared with 0.98 eV for CdS. We argue that the V{sub oc} of the best ZTO devices is limited by bulk recombination, in agreement with a room temperature photoluminescence peak at around 1.3 eV for both devices, while the CdS device is limited by interface recombination.« less

  2. Nitridation- and Buffer-Layer-Free Growth of [1100]-Oriented GaN Domains on m-Plane Sapphire Substrates by Using Hydride Vapor Phase Epitaxy

    NASA Astrophysics Data System (ADS)

    Seo, Yeonwoo; Lee, Sanghwa; Jue, Miyeon; Yoon, Hansub; Kim, Chinkyo

    2012-12-01

    Over a wide range of growth conditions, GaN domains were grown on bare m-plane sapphire substrates by using hydride vapor phase epitaxy (HVPE), and the relation between these growth conditions and three possible preferred crystallographic orientations ([1100], [1103], [1122]) of GaN domains was investigated. In contrast with the previous reports by other groups, our results revealed that preferentially [1100]-oriented GaN domains were grown without low-temperature nitridation or a buffer layer, and that the growth condition of preferentially [1100]-oriented GaN was insensitive to V/III ratio.

  3. Time-resolved photoluminescence characterization of oxygen-related defect centers in AlN

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genji, Kumihiro; Uchino, Takashi, E-mail: uchino@kobe-u.ac.jp

    2016-07-11

    Time-resolved photoluminescence (PL) spectroscopy has been employed to investigate the emission characteristics of oxygen-related defects in AlN in the temperature region from 77 to 500 K. Two PL components with different decay constants are observed in the near-ultraviolet to visible regions. One is the PL component with decay time of <10 ns and its peak position shifts to longer wavelengths from ∼350 to ∼500 nm with increasing temperature up to 500 K. This PL component is attributed to the radiative relaxation of photoexcited electrons from the band-edge states to the ground state of the oxygen-related emission centers. In the time region from tens tomore » hundreds of nanoseconds, the second PL component emerges in the wavelength region from 300 to 400 nm. The spectral shape and the decay profiles are hardly dependent on temperature. This temperature-independent PL component most likely results from the transfer of photoexcited electrons from the band-edge states to the localized excited state of the oxygen-related emission centers. These results provide a detailed insight into the radiative relaxation processes of the oxygen-related defect centers in AlN immediately after the photoexcitation process.« less

  4. Buffer-free therapeutic antibody preparations provide a viable alternative to conventionally buffered solutions: from protein buffer capacity prediction to bioprocess applications.

    PubMed

    Bahrenburg, Sven; Karow, Anne R; Garidel, Patrick

    2015-04-01

    Protein therapeutics, including monoclonal antibodies (mAbs), have significant buffering capacity, particularly at concentrations>50 mg/mL. This report addresses pH-related issues critical to adoption of self-buffered monoclonal antibody formulations. We evaluated solution conditions with protein concentrations ranging from 50 to 250 mg/mL. Samples were both buffer-free and conventionally buffered with citrate. Samples were non-isotonic or adjusted for isotonicity with NaCl or trehalose. Studies included accelerated temperature stability tests, shaking stability studies, and pH changes in infusion media as protein concentrate is added. We present averaged buffering slopes of capacity that can be applied to any mAb and present a general method for calculating buffering capacity of buffer-free, highly concentrated antibody liquid formulations. In temperature stability tests, neither buffer-free nor conventionally buffered solution conditions showed significant pH changes. Conventionally buffered solutions showed significantly higher opalescence than buffer-free ones. In general, buffer-free solution conditions showed less aggregation than conventionally buffered solutions. Shaking stability tests showed no differences between buffer-free and conventionally buffered solutions. "In-use" preparation experiments showed that pH in infusion bag medium can rapidly approximate that of self-buffered protein concentrate as concentrate is added. In summary, the buffer capacity of proteins can be predicted and buffer-free therapeutic antibody preparations provide a viable alternative to conventionally buffered solutions. Copyright © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Microstructural analysis in the depth direction of a heteroepitaxial AlN thick film grown on a trench-patterned template by nanobeam X-ray diffraction

    NASA Astrophysics Data System (ADS)

    Shida, K.; Takeuchi, S.; Tohei, T.; Miyake, H.; Hiramatsu, K.; Sumitani, K.; Imai, Y.; Kimura, S.; Sakai, A.

    2018-04-01

    This work quantitatively assessed the three-dimensional distribution of crystal lattice distortions in an epitaxial AlN thick film grown on a trench-patterned template, using nanobeam X-ray diffraction. Position-dependent ω-2θ-φ mapping clearly demonstrated local tilting, spacing and twisting of lattice planes as well as fluctuations in these phenomena on a sub-micrometer scale comparable to the pitch of the trench-and-terrace patterning. Analysis of the crystal lattice distortion in the depth direction was performed using a newly developed method in which the X-ray nanobeam diffracted from the sample surface to specific depths can be selectively detected by employing a Pt wire profiler. This technique generated depth-resolved ω-2θ-φ maps confirming that fluctuations in lattice plane tilting and spacing greatly depend on the dislocation distribution and the history of the AlN epitaxial growth on the trench-patterned structure. It was also found that both fluctuations were reduced on approaching the AlN surface and, in particular, were sharply reduced at specific depths in the terrace regions. These sharp reductions are attributed to the formation of sacrificial zones with degraded crystal quality around the trenches and possibly lead to raising the crystal quality near the surface of the AlN film.

  6. Growth and characterization of an InSb infrared photoconductor on Si via an AlSb/GaSb buffer

    NASA Astrophysics Data System (ADS)

    Jia, Bo Wen; Tan, Kian Hua; Loke, Wan Khai; Wicaksono, Satrio; Yoon, Soon Fatt

    2018-05-01

    A 99.6% relaxed InSb layer is grown on a 6° offcut (1 0 0) Si substrate via an AlSb/GaSb buffer using molecular beam epitaxy (MBE). A 200 nm GaSb buffer is first grown on Si and the lattice mismatch between them is accommodated by an interfacial misfit (IMF) array consisting of uniformly distributed 90° misfit dislocations. Si delta doping is introduced during the growth of GaSb to reduce the density of threading dislocation. Subsequently, a 50 nm AlSb buffer is grown followed by a 0.8 μm InSb layer. The InSb layer exhibits a 300 K electron mobility of 22,300 cm2/Vs. An InSb photoconductor on Si is demonstrated with a photoconductive gain from 77 K to 200 K under a 700 °C maintained blackbody.

  7. Improved Optical Transmittance and Crystal Characteristics of ZnS:TbOF Thin Film on Bi4Ti3O12/Indium Tin Oxide/Glass Substrate by Using a SiO2 Buffer Layer

    NASA Astrophysics Data System (ADS)

    Chia, Wei‑Kuo; Yokoyama, Meiso; Yang, Cheng‑Fu; Chiang, Wang‑Ta; Chen, Ying‑Chung

    2006-07-01

    Bi4Ti3O12 thin films are deposited on indium tin oxide (ITO)/glass substrates using RF magnetron sputtering technology and are annealed at 675 °C in a rapid thermal annealing furnace in an oxygen atmosphere. The resulting films have high optical transmittances and good crystalline characteristics. ZnS:TbOF films are then deposited on the Bi4Ti3O12 films, causing the originally highly transparent specimens to blacken and to resemble a glass surface coated with carbon powder. The optical transmittance of the specimen is less than 15% under the visible wavelength range, and neither a crystalline phase nor a distinct ZnS grain structure is evident in X-ray diffractometer (XRD) and scanning electronic microscope (SEM). Secondary ion mass spectrometer (SIMS) analysis reveals the occurrence of interdiffusion between the ZnS and Bi4Ti3O12 layers. This suggests that one or more unknown chemical reactions take place among the elements Bi, S, and O at the interface during the deposition of ZnS:TbOF film on a Bi4Ti3O12/ITO/glass substrate. These reactions cause the visible transmittance of the specimens to deteriorate dramatically. To prevent interdiffusion, a silicon dioxide (SiO2) buffer layer 100 nm thick was grown on the Bi4Ti3O12/ITO/glass substrate using plasma-enhanced chemical vapor deposition (PECVD), then the ZnS:TbOF film was grown on the SiO2 buffer layer. The transmittance of the resulting specimen is enhanced approximately 8-fold in the visible region. XRD patterns reveal the ZnS(111)-oriented phase is dominant. Furthermore, dense, crack-free ZnS:TbOF grains are observed by SEM. The results imply that the SiO2 buffer layer sandwiched between the ZnS:TbOF and Bi4Ti3O2 layers effectively separates the two layers. Therefore, interdiffusion and chemical reactions are prevented at the interface of the two layers, and the crystalline characteristics of the ZnS:TbOF layer and the optical transmittance of the specimen are improved as a result. Finally, the dielectric

  8. Step buffer layer of Al0.25Ga0.75N/Al0.08Ga0.92N on P-InAlN gate normally-off high electron mobility transistors

    NASA Astrophysics Data System (ADS)

    Shrestha, Niraj M.; Li, Yiming; Chang, E. Y.

    2016-07-01

    Normally-off AlGaN/GaN high electron mobility transistors (HEMTs) are indispensable devices for power electronics as they can greatly simplify circuit designs in a cost-effective way. In this work, the electrical characteristics of p-type InAlN gate normally-off AlGaN/GaN HEMTs with a step buffer layer of Al0.25Ga0.75N/Al0.1Ga0.9N is studied numerically. Our device simulation shows that a p-InAlN gate with a step buffer layer allows the transistor to possess normally-off behavior with high drain current and high breakdown voltage simultaneously. The gate modulation by the p-InAlN gate and the induced holes appearing beneath the gate at the GaN/Al0.25Ga0.75N interface is because a hole appearing in the p-InAlN layer can effectively vary the threshold voltage positively. The estimated threshold voltage of the normally-off HEMTs explored is 2.5 V at a drain bias of 25 V, which is 220% higher than the conventional p-AlGaN normally-off AlGaN/GaN gate injection transistor (GIT). Concurrently, the maximum current density of the explored HEMT at a drain bias of 10 V slightly decreases by about 7% (from 240 to 223 mA mm-1). At a drain bias of 15 V, the current density reached 263 mA mm-1. The explored structure is promising owing to tunable positive threshold voltage and the maintenance of similar current density; notably, its breakdown voltage significantly increases by 36% (from 800 V, GIT, to 1086 V). The engineering findings of this study indicate that novel p-InAlN for both the gate and the step buffer layer can feature a high threshold voltage, large current density and high operating voltage for advanced AlGaN/GaN HEMT devices.

  9. 3D printed alendronate-releasing poly(caprolactone) porous scaffolds enhance osteogenic differentiation and bone formation in rat tibial defects.

    PubMed

    Kim, Sung Eun; Yun, Young-Pil; Shim, Kyu-Sik; Kim, Hak-Jun; Park, Kyeongsoon; Song, Hae-Ryong

    2016-09-29

    The aim of this study was to evaluate the in vitro osteogenic effects and in vivo new bone formation of three-dimensional (3D) printed alendronate (Aln)-releasing poly(caprolactone) (PCL) (Aln/PCL) scaffolds in rat tibial defect models. 3D printed Aln/PCL scaffolds were fabricated via layer-by-layer deposition. The fabricated Aln/PCL scaffolds had high porosity and an interconnected pore structure and showed sustained Aln release. In vitro studies showed that MG-63 cells seeded on the Aln/PCL scaffolds displayed increased alkaline phosphatase (ALP) activity and calcium content in a dose-dependent manner when compared with cell cultures in PCL scaffolds. In addition, in vivo animal studies and histologic evaluation showed that Aln/PCL scaffolds implanted in a rat tibial defect model markedly increased new bone formation and mineralized bone tissues in a dose-dependent manner compared to PCL-only scaffolds. Our results show that 3D printed Aln/PCL scaffolds are promising templates for bone tissue engineering applications.

  10. Tuning the Phase and Microstructural Properties of TiO2 Films Through Pulsed Laser Deposition and Exploring Their Role as Buffer Layers for Conductive Films

    NASA Astrophysics Data System (ADS)

    Agarwal, S.; Haseman, M. S.; Leedy, K. D.; Winarski, D. J.; Saadatkia, P.; Doyle, E.; Zhang, L.; Dang, T.; Vasilyev, V. S.; Selim, F. A.

    2018-04-01

    Titanium oxide (TiO2) is a semiconducting oxide of increasing interest due to its chemical and thermal stability and broad applicability. In this study, thin films of TiO2 were deposited by pulsed laser deposition on sapphire and silicon substrates under various growth conditions, and characterized by x-ray diffraction (XRD), atomic force microscopy (AFM), optical absorption spectroscopy and Hall-effect measurements. XRD patterns revealed that a sapphire substrate is more suitable for the formation of the rutile phase in TiO2, while a silicon substrate yields a pure anatase phase, even at high-temperature growth. AFM images showed that the rutile TiO2 films grown at 805°C on a sapphire substrate have a smoother surface than anatase films grown at 620°C. Optical absorption spectra confirmed the band gap energy of 3.08 eV for the rutile phase and 3.29 eV for the anatase phase. All the deposited films exhibited the usual high resistivity of TiO2; however, when employed as a buffer layer, anatase TiO2 deposited on sapphire significantly improves the conductivity of indium gallium zinc oxide thin films. The study illustrates how to control the formation of TiO2 phases and reveals another interesting application for TiO2 as a buffer layer for transparent conducting oxides.

  11. Substrate Structures For Growth Of Highly Oriented And/Or Epitaxial Layers Thereon

    DOEpatents

    Arendt, Paul N.; Foltyn, Stephen R.; Groves, James R.; Jia, Quanxi

    2005-07-26

    A composite substrate structure including a substrate, a layer of a crystalline metal oxide or crystalline metal oxynitride material upon the substrate, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the crystalline metal oxide or crystalline metal oxynitride material layer is provided together with additional layers such as one or more layers of a buffer material upon the oriented cubic oxide material layer. Jc's of 2.3×106 A/cm2 have been demonstrated with projected Ic's of 320 Amperes across a sample 1 cm wide for a superconducting article including a flexible polycrystalline metallic substrate, an inert oxide material layer upon the surface of the flexible polycrystalline metallic substrate, a layer of a crystalline metal oxide or crystalline metal oxynitride material upon the layer of the inert oxide material, a layer of an oriented cubic oxide material having a rock-salt-like structure upon the crystalline metal oxide or crystalline metal oxynitride material layer, a layer of a buffer material upon the oriented cubic oxide material layer, and, a top-layer of a high temperature superconducting material upon the layer of a buffer material.

  12. A conduction model for contacts to Si-doped AlGaN grown on sapphire and single-crystalline AlN

    NASA Astrophysics Data System (ADS)

    Haidet, Brian B.; Bryan, Isaac; Reddy, Pramod; Bryan, Zachary; Collazo, Ramón; Sitar, Zlatko

    2015-06-01

    Ohmic contacts to AlGaN grown on sapphire substrates have been previously demonstrated for various compositions of AlGaN, but contacts to AlGaN grown on native AlN substrates are more difficult to obtain. In this paper, a model is developed that describes current flow through contacts to Si-doped AlGaN. This model treats the current through reverse-biased Schottky barriers as a consequence of two different tunneling-dependent conduction mechanisms in parallel, i.e., Fowler-Nordheim emission and defect-assisted Frenkel-Poole emission. At low bias, the defect-assisted tunneling dominates, but as the potential across the depletion region increases, tunneling begins to occur without the assistance of defects, and the Fowler-Nordheim emission becomes the dominant conduction mechanism. Transfer length method measurements and temperature-dependent current-voltage (I-V) measurements of Ti/Al-based contacts to Si-doped AlGaN grown on sapphire and AlN substrates support this model. Defect-assisted tunneling plays a much larger role in the contacts to AlGaN on sapphire, resulting in nearly linear I-V characteristics. In contrast, contacts to AlGaN on AlN show limited defect-assisted tunneling appear to be only semi-Ohmic.

  13. Radiative Properties of Ceramic Al2O3, AlN and Si3N4—II: Modeling

    NASA Astrophysics Data System (ADS)

    Yang, Peiyan; Cheng, Qiang; Zhang, Zhuomin

    2017-08-01

    In Part I of this study (Cheng et al. in Int J Thermophys 37: 62, 2016), the reflectance and transmittance of dense ceramic plates were measured at wavelengths from 0.4 μm to about 20 μm. The samples of Al2O3 and AlN are semitransparent in the wavelength region from 0.4 μm to about 7 μm, where volume scattering dominates the absorption and scattering behaviors. On the other hand, the Si3N4 plate is opaque in the whole wavelength region. In the mid-infrared region, all samples show phonon vibration bands and surface reflection appears to be strong. The present study focuses on modeling the radiative properties and uses an inverse method to obtain the scattering and absorption coefficients of Al2O3 and AlN in the semitransparent region from the measured directional-hemispherical reflectance and transmittance. The scattering coefficient is also predicted using Mie theory for comparison. The Lorentz oscillator model is applied to fit the reflectance spectra of AlN and Si3N4 from 1.6 μm to 20 μm in order to obtain their optical constants. It is found that the phonon modes for Si3N4 are much stronger in the polycrystalline sample studied here than in amorphous films reported previously.

  14. Use of bicarbonate buffer systems for dissolution characterization of enteric-coated proton pump inhibitor tablets.

    PubMed

    Shibata, Hiroko; Yoshida, Hiroyuki; Izutsu, Ken-Ichi; Goda, Yukihiro

    2016-04-01

    The aim of this study was to assess the effects of buffer systems (bicarbonate or phosphate at different concentrations) on the in vitro dissolution profiles of commercially available enteric-coated tablets. In vitro dissolution tests were conducted using an USP apparatus II on 12 enteric-coated omeprazole and rabeprazole tablets, including innovator and generic formulations in phosphate buffers, bicarbonate buffers and a media modified Hanks (mHanks) buffer. Both omeprazole and rabeprazole tablets showed similar dissolution profiles among products in the compendial phosphate buffer system. However, there were large differences between products in dissolution lag time in mHanks buffer and bicarbonate buffers. All formulations showed longer dissolution lag times at lower concentrations of bicarbonate or phosphate buffers. The dissolution rank order of each formulation differed between mHanks buffer and bicarbonate buffers. A rabeprazole formulation coated with a methacrylic acid copolymer showed the shortest lag time in the high concentration bicarbonate buffer, suggesting varied responses depending on the coating layer and buffer components. Use of multiple dissolution media during in vitro testing, including high concentration bicarbonate buffer, would contribute to the efficient design of enteric-coated drug formulations. © 2016 Royal Pharmaceutical Society, Journal of Pharmacy and Pharmacology.

  15. Waveguide-coupled resonator filters on AlN on silicon

    NASA Technical Reports Server (NTRS)

    Liaw, H. M.; Cameron, T. P.; Hunt, W. D.; Hickernell, F. S.

    1994-01-01

    In the effort to continually reduce the size and cost of wireless communications products the level of integration has improved dramatically in recent years. In order to reduce future generations of wireless systems to single chip form, there is a need for on-chip filtering capabilities. In this paper, the first report of an experimental waveguide-coupled resonator filter for cellular radio applications is presented. Measured results indicate a typical insertion loss of 26 dB at a center frequency of 132 MHz using a 2 um AlN film on (001) less than 110 greater than Si. In addition, a laser probe analysis has been conducted and a theoretical analysis of the first order reflection coefficients is presented.

  16. 12 CFR 324.11 - Capital conservation buffer and countercyclical capital buffer amount.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 12 Banks and Banking 5 2014-01-01 2014-01-01 false Capital conservation buffer and countercyclical capital buffer amount. 324.11 Section 324.11 Banks and Banking FEDERAL DEPOSIT INSURANCE CORPORATION... Requirements and Buffers § 324.11 Capital conservation buffer and countercyclical capital buffer amount. (a...

  17. Ferroelectric and piezoelectric responses of (110) and (001)-oriented epitaxial Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} thin films on all-oxide layers buffered silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vu, Hien Thu; Nguyen, Minh Duc, E-mail: minh.nguyen@itims.edu.vn; Inorganic Materials Science

    2015-12-15

    Graphical abstract: The cross sections show a very dense structure in the (001)-oriented films (c,d), while an open columnar growth structure is observed in the case of the (110)-oriented films (a,b). The (110)-oriented PZT films show a significantly larger longitudinal piezoelectric coefficient (d33{sub ,f}), but smaller transverse piezoelectric coefficient (d31{sub ,f}) than the (001) oriented films. - Highlights: • We fabricate all-oxide, epitaxial piezoelectric PZT thin films on Si. • The orientation of the films can be controlled by changing the buffer layer stack. • The coherence of the in-plane orientation of the grains and grain boundaries affects the ferroelectricmore » properties. • Good cycling stability of the ferroelectric properties of (001)-oriented PZT thin films. The (110)-oriented PZT thin films show a larger d33{sub ,f} but smaller d31{sub ,f} than the (001)-oriented films. - Abstract: Epitaxial ferroelectric Pb(Zr{sub 0.52}Ti{sub 0.48})O{sub 3} (PZT) thin films were fabricated on silicon substrates using pulsed laser deposition. Depending on the buffer layers and perovskite oxide electrodes, epitaxial films with different orientations were grown. (110)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) films were obtained on YSZ-buffered Si substrates, while (001)-oriented PZT/SrRuO{sub 3} (and PZT/LaNiO{sub 3}) were fabricated with an extra CeO{sub 2} buffer layer (CeO{sub 2}/YSZ/Si). There is no effect of the electrode material on the properties of the films. The initial remnant polarizations in the (001)-oriented films are higher than those of (110)-oriented films, but it increases to the value of the (001) films upon cycling. The longitudinal piezoelectric d33{sub ,f} coefficients of the (110) films are larger than those of the (001) films, whereas the transverse piezoelectric d31{sub ,f} coefficients in the (110)-films are less than those in the (001)-oriented films. The difference is ascribed to the lower density (connectivity

  18. Suppression of gate leakage current in in-situ grown AlN/InAlN/AlN/GaN heterostructures based on the control of internal polarization fields

    NASA Astrophysics Data System (ADS)

    Kotani, Junji; Yamada, Atsushi; Ishiguro, Tetsuro; Yamaguchi, Hideshi; Nakamura, Norikazu

    2017-03-01

    This paper investigates the gate leakage characteristics of in-situ AlN capped InAlN/AlN/GaN heterostructures grown by metal-organic vapor phase epitaxy. It was revealed that the leakage characteristics of AlN capped InAlN/AlN/GaN heterostructures are strongly dependent on the growth temperature of the AlN cap. For an AlN capped structure with an AlN growth temperature of 740 °C, the leakage current even increased although there exists a large bandgap material on InAlN/AlN/GaN heterostructures. On the other hand, a large reduction of the gate leakage current by 4-5 orders of magnitudes was achieved with a very low AlN growth temperature of 430 °C. X-ray diffraction analysis of the AlN cap grown at 740 °C indicated that the AlN layer is tensile-strained. In contrast to this result, the amorphous structure was confirmed for the AlN cap grown at 430 °C by transmission electron microscopy. Furthermore, theoretical analysis based on one-dimensional band simulation was carried out, and the large increase in two-dimensional electron gas (2DEG) observed in Hall measurements was well reproduced by taking into account the spontaneous and piezo-electric polarization in the AlN layer grown at 740 °C. For the AlN capped structure grown at 430 °C, it is believed that the reduced polarization field in the AlN cap suppressed the penetration of 2DEG into the InAlN barrier layer, resulting in a small impact on 2DEG mobility and density. We believe that an in-situ grown AlN cap with a very low growth temperature of 430 °C is a promising candidate for high-frequency/high-power GaN-based devices with low gate leakage current.

  19. 12 CFR 217.11 - Capital conservation buffer and countercyclical capital buffer amount.

    Code of Federal Regulations, 2014 CFR

    2014-01-01

    ... 12 Banks and Banking 2 2014-01-01 2014-01-01 false Capital conservation buffer and countercyclical capital buffer amount. 217.11 Section 217.11 Banks and Banking FEDERAL RESERVE SYSTEM BOARD OF GOVERNORS... Requirements and Buffers § 217.11 Capital conservation buffer and countercyclical capital buffer amount. (a...

  20. Structure and magnetic properties of Co2FeSi film deposited on Si/SiO2 substrate with Cr buffer layer

    NASA Astrophysics Data System (ADS)

    Chatterjee, Payel; Basumatary, Himalay; Raja, M. Manivel

    2018-05-01

    Co2FeSi thin films of 25 nm thickness with 50 nm thick Cr buffer layer was deposited on thermally oxidized Si substrates. Structural and magnetic properties of the films were studied as a function of annealing temperature and substrate temperatures. While the coercivity increases with increase in annealing temperature, it is found to decrease with increase in substrate temperature. A minimum coercivity of 18 Oe has been obtained for the film deposited at 550°C substrate temperature. This was attributed to the formation of L12 phase as observed from the GIXRD studies. The films with a good combination of soft magnetic properties and L21 crystal structure are suitable for spintronic applications.

  1. Photovoltaic Performance and Interface Behaviors of Cu(In,Ga)Se2 Solar Cells with a Sputtered-Zn(O,S) Buffer Layer by High-Temperature Annealing.

    PubMed

    Wi, Jae-Hyung; Kim, Tae Gun; Kim, Jeong Won; Lee, Woo-Jung; Cho, Dae-Hyung; Han, Won Seok; Chung, Yong-Duck

    2015-08-12

    We selected a sputtered-Zn(O,S) film as a buffer material and fabricated a Cu(In,Ga)Se2 (CIGS) solar cell for use in monolithic tandem solar cells. A thermally stable buffer layer was required because it should withstand heat treatment during processing of top cell. Postannealing treatment was performed on a CIGS solar cell in vacuum at temperatures from 300-500 °C to examine its thermal stability. Serious device degradation particularly in VOC was observed, which was due to the diffusion of thermally activated constituent elements. The elements In and Ga tend to out-diffuse to the top surface of the CIGS, while Zn diffuses into the interface of Zn(O,S)/CIGS. Such rearrangement of atomic fractions modifies the local energy band gap and band alignment at the interface. The notch-shape induced at the interface after postannealing could function as an electrical trap during electron transport, which would result in the reduction of solar cell efficiency.

  2. Development of Field-Controlled Smart Optic Materials (ScN, AlN) with Rare Earth Dopants

    NASA Technical Reports Server (NTRS)

    Kim, Hyun-Jung; Park, Yeonjoon; King, Glen C.; Choi, Sang H.

    2012-01-01

    The purpose of this investigation is to develop the fundamental materials and fabrication technology for field-controlled spectrally active optics that are essential for industry, NASA, and DOD applications such as: membrane optics, filters for LIDARs, windows for sensors, telescopes, spectroscopes, cameras, flat-panel displays, etc. ScN and AlN thin films were fabricated on c-axis Sapphire (0001) or quartz substrate with the RF and DC magnetron sputtering. The crystal structure of AlN in fcc (rocksalt) and hcp (wurtzite) were controlled. Advanced electrical characterizations were performed, including I-V and Hall Effect Measurement. ScN film has a free carrier density of 5.8 x 10(exp 20)/per cubic centimeter and a conductivity of 1.1 x 10(exp 3) per centimeter. The background ntype conductivity of as-grown ScN has enough free electrons that can readily interact with the photons. The high density of free electrons and relatively low mobility indicate that these films contain a high level of shallow donors as well as deep levels. Also, the UV-Vis spectrum of ScN and AlN thin films with rare earth elements (Er or Ho) were measured at room temperature. Their optical band gaps were estimated to be about 2.33eV and 2.24eV, respectively, which are obviously smaller than that of undoped thin film ScN (2.4eV). The red-shifted absorption onset gives direct evidence for the decrease of band gap (Eg) and the energy broadening of valence band states are attributable to the doping. As the doped elements enter the ScN crystal lattices, the localized band edge states form at the doped sites with a reduction of Eg. Using a variable angle spectroscopic ellipsometer, the decrease in refractive index with applied field is observed with a smaller shift in absorption coefficient.

  3. Nitride passivation reduces interfacial traps in atomic-layer-deposited Al{sub 2}O{sub 3}/GaAs (001) metal-oxide-semiconductor capacitors using atmospheric metal-organic chemical vapor deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Aoki, T., E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, N.; Osada, T.

    2014-07-21

    Using an atmospheric metal-organic chemical vapor deposition system, we passivated GaAs with AlN prior to atomic layer deposition of Al{sub 2}O{sub 3}. This AlN passivation incorporated nitrogen at the Al{sub 2}O{sub 3}/GaAs interface, improving the capacitance-voltage (C–V) characteristics of the resultant metal-oxide-semiconductor capacitors (MOSCAPs). The C–V curves of these devices showed a remarkable reduction in the frequency dispersion of the accumulation capacitance. Using the conductance method at various temperatures, we extracted the interfacial density of states (D{sub it}). The D{sub it} was reduced over the entire GaAs band gap. In particular, these devices exhibited D{sub it} around the midgap ofmore » less than 4 × 10{sup 12} cm{sup −2}eV{sup −1}, showing that AlN passivation effectively reduced interfacial traps in the MOS structure.« less

  4. Growth temperature optimization of GaAs-based In0.83Ga0.17As on InxAl1-xAs buffers

    NASA Astrophysics Data System (ADS)

    Chen, X. Y.; Gu, Y.; Zhang, Y. G.; Ma, Y. J.; Du, B.; Zhang, J.; Ji, W. Y.; Shi, Y. H.; Zhu, Y.

    2018-04-01

    Improved quality of gas source molecular beam epitaxy grown In0.83Ga0.17As layer on GaAs substrate was achieved by adopting a two-step InxAl1-xAs metamorphic buffer at different temperatures. With a high-temperature In0.83Al0.17As template following a low-temperature composition continuously graded InxAl1-xAs (x = 0.05-0.86) buffer, better structural, optical and electrical properties of succeeding In0.83Ga0.17As were confirmed by atomic force microscopy, photoluminescence and Hall-effect measurements. Cross-sectional transmission electron microscopy revealed significant effect of the two-step temperature grown InAlAs buffer layers on the inhibition of threading dislocations due to the deposition of high density nuclei on GaAs substrate at the low growth temperature. The limited reduction for the dark current of GaAs-based In0.83Ga0.17As photodetectors on the two-step temperature grown InxAl1-xAs buffer layers was ascribed to the contribution of impurities caused by the low growth temperature of InAlAs buffers.

  5. Magma transport in sheet intrusions of the Alnö carbonatite complex, central Sweden.

    PubMed

    Andersson, Magnus; Almqvist, Bjarne S G; Burchardt, Steffi; Troll, Valentin R; Malehmir, Alireza; Snowball, Ian; Kübler, Lutz

    2016-06-10

    Magma transport through the Earth's crust occurs dominantly via sheet intrusions, such as dykes and cone-sheets, and is fundamental to crustal evolution, volcanic eruptions and geochemical element cycling. However, reliable methods to reconstruct flow direction in solidified sheet intrusions have proved elusive. Anisotropy of magnetic susceptibility (AMS) in magmatic sheets is often interpreted as primary magma flow, but magnetic fabrics can be modified by post-emplacement processes, making interpretation of AMS data ambiguous. Here we present AMS data from cone-sheets in the Alnö carbonatite complex, central Sweden. We discuss six scenarios of syn- and post-emplacement processes that can modify AMS fabrics and offer a conceptual framework for systematic interpretation of magma movements in sheet intrusions. The AMS fabrics in the Alnö cone-sheets are dominantly oblate with magnetic foliations parallel to sheet orientations. These fabrics may result from primary lateral flow or from sheet closure at the terminal stage of magma transport. As the cone-sheets are discontinuous along their strike direction, sheet closure is the most probable process to explain the observed AMS fabrics. We argue that these fabrics may be common to cone-sheets and an integrated geology, petrology and AMS approach can be used to distinguish them from primary flow fabrics.

  6. Magma transport in sheet intrusions of the Alnö carbonatite complex, central Sweden

    PubMed Central

    Andersson, Magnus; Almqvist, Bjarne S. G.; Burchardt, Steffi; Troll, Valentin R.; Malehmir, Alireza; Snowball, Ian; Kübler, Lutz

    2016-01-01

    Magma transport through the Earth’s crust occurs dominantly via sheet intrusions, such as dykes and cone-sheets, and is fundamental to crustal evolution, volcanic eruptions and geochemical element cycling. However, reliable methods to reconstruct flow direction in solidified sheet intrusions have proved elusive. Anisotropy of magnetic susceptibility (AMS) in magmatic sheets is often interpreted as primary magma flow, but magnetic fabrics can be modified by post-emplacement processes, making interpretation of AMS data ambiguous. Here we present AMS data from cone-sheets in the Alnö carbonatite complex, central Sweden. We discuss six scenarios of syn- and post-emplacement processes that can modify AMS fabrics and offer a conceptual framework for systematic interpretation of magma movements in sheet intrusions. The AMS fabrics in the Alnö cone-sheets are dominantly oblate with magnetic foliations parallel to sheet orientations. These fabrics may result from primary lateral flow or from sheet closure at the terminal stage of magma transport. As the cone-sheets are discontinuous along their strike direction, sheet closure is the most probable process to explain the observed AMS fabrics. We argue that these fabrics may be common to cone-sheets and an integrated geology, petrology and AMS approach can be used to distinguish them from primary flow fabrics. PMID:27282420

  7. Uncovering a new quasi-2D CuO2 plane between the YBa2Cu3O7 and CeO2 buffer layer of coated conductors

    NASA Astrophysics Data System (ADS)

    Li, Zhi-Xin; Cao, Jin-Jin; Gou, Xiao-Fan; Wang, Tian-Ge; Xue, Feng

    2018-01-01

    We report a discovery of the quasi-two-dimensional (quasi-2D) CuO2 plane between the superconductor YBa2Cu3O7 (YBCO) and CeO2 buffer layer (mostly used in the fabrication) of coated conductors through the atomistic computer simulations with the molecular dynamics (MD) and first-principle calculations. For an YBCO coated conductor with multilayer structures, the buffer layers deposited onto a substrate are mainly considered to transfer a strong biaxial texture from the substrate to the YBCO layer. To deeply understand the tuning mechanism of the texture transfer, exploring the complete atomic-level picture of the structure between the YBa2Cu3O7/CeO2 interfaces is firstly required. However, the related observation data have not been available due to some big challenges of experimental techniques. With the MD simulations, having tested the accuracy of the potential functions for the YBa2Cu3O7/CeO2 interface, we constructed a total of 54 possible atom stacking models of the interface and identified its most appropriate and stable structure according to the criterion of the interface adhesion energy and the coherent characterization. To further verify the stability of the identified structure, we performed the first-principle calculations to obtain the adhesion energy and developed the general knowledge of the interface structure. Finally, a coherent interface formed with a new built quasi-2D CuO2 plane that is structurally similar to the CuO2 plane inside bulk YBCO was determined.

  8. Terahertz radiation in In{sub 0.38}Ga{sub 0.62}As grown on a GaAs wafer with a metamorphic buffer layer under femtosecond laser excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ponomarev, D. S., E-mail: ponomarev-dmitr@mail.ru; Khabibullin, R. A.; Yachmenev, A. E.

    The results of time-domain spectroscopy of the terahertz (THz) generation in a structure with an In{sub 0.38}Ga{sub 0.62}As photoconductive layer are presented. This structure grown by molecular-beam epitaxy on a GaAs substrate using a metamorphic buffer layer allows THz generation with a wide frequency spectrum (to 6 THz). This is due to the additional contribution of the photo-Dember effect to THz generation. The measured optical-to-terahertz conversion efficiency in this structure is 10{sup –5} at a rather low optical fluence of ~40 μJ/cm{sup 2}, which is higher than that in low-temperature grown GaAs by almost two orders of magnitude.

  9. Efficiency enhancement in DIBSQ:PC71BM organic photovoltaic cells by using Liq-doped Bphen as a cathode buffer layer

    NASA Astrophysics Data System (ADS)

    Chen, Guo; Si, Changfeng; Zhang, Pengpeng; Guo, Kunping; Pan, Saihu; Zhu, Wenqing; Wei, Bin

    2017-09-01

    We have improved the photovoltaic performance of 2,4-bis[4-(N,Ndiisobutylamino)- 2,6-dihydroxyphenyl] squaraine:[6,6]-phenyl C71-butyric acid methyl ester (DIBSQ:PC71BM) organic photovoltaic (OPV) cells via incorporating Liq-doped Bphen (Bphen-Liq) as a cathode buffer layer (CBL). Based on the Bphen-Liq CBL, a DIBSQ:PC71BM OPV cell possessed an optimal power conversion efficiency of 4.90%, which was 13% and 60% higher than those of the devices with neat Bphen as CBL and without CBL, respectively. The enhancement of the device performance could be attributed to the enhanced electron mobility and improved electrode/active layer contact and thus the improved photocurrent extraction by incorporating the Bphen-Liq CBL. Light-intensity dependent device performance analysis indicates that the incorporating of the Bphen-Liq CBL can remarkably improve the charge transport of the DIBSQ:PC71BM OPV cell and thus decrease the recombination losses of the device, resulting in enhanced device performance. Our finding indicates that the doped Bphen-Liq CBL has great potential for high-performance solution-processed small-molecule OPVs.

  10. Tuning the piezoelectric and mechanical properties of the AlN system via alloying with YN and BN

    NASA Astrophysics Data System (ADS)

    Manna, Sukriti; Brennecka, Geoff L.; Stevanović, Vladan; Ciobanu, Cristian V.

    2017-09-01

    Recent advances in microelectromechanical systems often require multifunctional materials, which are designed so as to optimize more than one property. Using density functional theory calculations for alloyed nitride systems, we illustrate how co-alloying a piezoelectric material (AlN) with different nitrides helps tune both its piezoelectric and mechanical properties simultaneously. Wurtzite AlN-YN alloys display increased piezoelectric response with YN concentration, accompanied by mechanical softening along the crystallographic c direction. Both effects increase the electromechanical coupling coefficients relevant for transducers and actuators. Resonator applications, however, require superior stiffness, thus leading to the need to decouple the increased piezoelectric response from the softened lattice. We show that co-alloying of AlN with YN and BN results in improved elastic properties while retaining some of the piezoelectric enhancements from YN alloying. This finding may lead to new avenues for tuning the design properties of piezoelectrics through composition-property maps.

  11. Wideband acoustic wave resonators composed of hetero acoustic layer structure

    NASA Astrophysics Data System (ADS)

    Kadota, Michio; Tanaka, Shuji

    2018-07-01

    “Hetero acoustic layer (HAL) surface acoustic wave (SAW) device” is a new type of SAW device using a single crystal piezoelectric thin plate supported by a substrate. In this study, a HAL SAW resonator using a LiNbO3 (LN) thin plate and a multi-layer acoustic film was designed by finite element method (FEM) and fabricated. The thickness of LN is 3.6 µm and the pitch of an interdigital transducer (IDT) (λ) is 5.24 µm for a resonance frequency of 600 MHz. The multi-layer acoustic film is composed of 3 layers of SiO2 and AlN for each, i.e., 6 layers in total, alternately deposited on a glass substrate. The HAL SAW resonator achieved a wide bandwidth of 20.3% and a high impedance ratio of 83 dB. Compared with a 0th shear horizontal (SH0) mode plate wave resonator, the performance is better and the thickness of LN is 7 times larger. The HAL SAW without a cavity is advantageous in terms of mechanical stability, thickness controllability and fabrication yield.

  12. Facilitation through Buffer Saturation: Constraints on Endogenous Buffering Properties

    PubMed Central

    Matveev, Victor; Zucker, Robert S.; Sherman, Arthur

    2004-01-01

    Synaptic facilitation (SF) is a ubiquitous form of short-term plasticity, regulating synaptic dynamics on fast timescales. Although SF is known to depend on the presynaptic accumulation of Ca2+, its precise mechanism is still under debate. Recently it has been shown that at certain central synapses SF results at least in part from the progressive saturation of an endogenous Ca2+ buffer (Blatow et al., 2003), as proposed by Klingauf and Neher (1997). Using computer simulations, we study the magnitude of SF that can be achieved by a buffer saturation mechanism (BSM), and explore its dependence on the endogenous buffering properties. We find that a high SF magnitude can be obtained either by a global saturation of a highly mobile buffer in the entire presynaptic terminal, or a local saturation of a completely immobilized buffer. A characteristic feature of BSM in both cases is that SF magnitude depends nonmonotonically on the buffer concentration. In agreement with results of Blatow et al. (2003), we find that SF grows with increasing distance from the Ca2+ channel cluster, and increases with increasing external Ca2+, [Ca2+]ext, for small levels of [Ca2+]ext. We compare our modeling results with the experimental properties of SF at the crayfish neuromuscular junction, and find that the saturation of an endogenous mobile buffer can explain the observed SF magnitude and its supralinear accumulation time course. However, we show that the BSM predicts slowing of the SF decay rate in the presence of exogenous Ca2+ buffers, contrary to experimental observations at the crayfish neuromuscular junction. Further modeling and data are required to resolve this aspect of the BSM. PMID:15111389

  13. Intermixing at the absorber-buffer layer interface in thin-film solar cells: The electronic effects of point defects in Cu(In,Ga)(Se,S){sub 2} and Cu{sub 2}ZnSn(Se,S){sub 4} devices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Varley, J. B.; Lordi, V.

    We investigate point defects in the buffer layers CdS and ZnS that may arise from intermixing with Cu(In,Ga)(S,Se){sub 2} (CIGS) or Cu{sub 2}ZnSn(S,Se){sub 4} (CZTS) absorber layers in thin-film photovoltaics. Using hybrid functional calculations, we characterize the electrical and optical behavior of Cu, In, Ga, Se, Sn, Zn, Na, and K impurities in the buffer. We find that In and Ga substituted on the cation site act as shallow donors in CdS and tend to enhance the prevailing n-type conductivity at the interface facilitated by Cd incorporation in CIGS, whereas they are deep donors in ZnS and will be lessmore » effective dopants. Substitutional In and Ga can favorably form complexes with cation vacancies (A-centers) which may contribute to the “red kink” effect observed in some CIGS-based devices. For CZTS absorbers, we find that Zn and Sn defects substituting on the buffer cation site are electrically inactive in n-type buffers and will not supplement the donor doping at the interface as in CIGS/CdS or ZnS devices. Sn may also preferentially incorporate on the S site as a deep acceptor in n-type ZnS, which suggests possible concerns with absorber-related interfacial compensation in CZTS devices with ZnS-derived buffers. Cu, Na, and K impurities are found to all have the same qualitative behavior, most favorably acting as compensating acceptors when substituting on the cation site. Our results suggest one beneficial role of K and Na incorporation in CIGS or CZTS devices is the partial passivation of vacancy-related centers in CdS and ZnS buffers, rendering them less effective interfacial hole traps and recombination centers.« less

  14. Broadband tunable microwave photonic phase shifter with low RF power variation in a high-Q AlN microring.

    PubMed

    Liu, Xianwen; Sun, Changzheng; Xiong, Bing; Wang, Jian; Wang, Lai; Han, Yanjun; Hao, Zhibiao; Li, Hongtao; Luo, Yi; Yan, Jianchang; Wei, Tong Bo; Zhang, Yun; Wang, Junxi

    2016-08-01

    An all-optically tunable microwave photonic phase shifter is demonstrated based on an epitaxial aluminum nitride (AlN) microring with an intrinsic quality factor of 3.2×106. The microring adopts a pedestal structure, which allows overcoupling with 700 nm gap size and facilitates the fabrication process. A phase shift for broadband signals from 4 to 25 GHz is demonstrated by employing the thermo-optic effect and the separate carrier tuning technique. A phase tuning range of 0°-332° is recorded with a 3 dB radio frequency (RF) power variation and 48 mW optical power consumption. In addition, AlN exhibits intrinsic second-order optical nonlinearity. Thus, our work presents a novel platform with a low propagation loss and the capability of electro-optic modulation for applications in integrated microwave photonics.

  15. High performance planar p-i-n perovskite solar cells with crown-ether functionalized fullerene and LiF as double cathode buffer layers

    NASA Astrophysics Data System (ADS)

    Liu, Xiaodong; Lei, Ming; Zhou, Yi; Song, Bo; Li, Yongfang

    2015-08-01

    Double cathode buffer layers (CBLs) composed of fullerene derivative functionalized with a crown-ether end group in its side chain (denoted as PCBC) and a LiF layer were introduced between the PCBM acceptor layer and the top cathode in planar p-i-n perovskite solar cells (pero-SCs) based on CH3NH3PbI3-XClX. The devices with the PCBC/LiF double CBLs showed significant improvements in power conversion efficiency (PCE) and long-term stability when compared to the device with LiF single CBL. Through optimizing the spin-coating speed of PCBC, a maximum PCE of 15.53% has been achieved, which is approximately 15% higher than that of the device with single LiF CBL. The remarkable improvement in PCE can be attributed to the formation of a better ohmic contact in the CBL between PCBC and LiF/Al electrode arising from the dipole moment of PCBC, leading to the enhanced fill factor and short-circuit current density (Jsc). Besides the PCE, the long-term stability of the devices with PCBC interlayer is also superior to that of the device with LiF single CBL, which is due to the more effective protection for the perovskite/PCBM interface.

  16. Moisture buffer capacity of cement-lime plasters with enhanced thermal storage capacity

    NASA Astrophysics Data System (ADS)

    Fořt, Jan; Pavlíková, Milena; Pavlík, Zbyšek

    2017-07-01

    Indoor air temperature and relative humidity represent important parameters for health and working efficiency of buildings occupants. Beside the moderation of temperature, investigation of hygric properties of building materials with connection to indoor relative humidity variation became recognized as a relevant factor for energy efficient building maintenance. The moisture buffer value introduced in the Nordtest protocol can be used for estimation of moisture buffer capacity of building materials or their multi-layered systems. In this paper, both the ideal and real moisture buffer values are examined on the basis of simulation of diurnal relative humidity fluctuations in plasters with incorporated PCM admixture. Retrieved data points to a complex effect of the tested plasters on possible moderation of buildings interior climate.

  17. Biaxially oriented CdTe films on glass substrate through nanostructured Ge/CaF2 buffer layers

    NASA Astrophysics Data System (ADS)

    Lord, R. J.; Su, P.-Y.; Bhat, I.; Zhang, S. B.; Lu, T.-M.; Wang, G.-C.

    2015-09-01

    Heteroepitaxial CdTe films were grown by metal organic chemical vapor deposition on glass substrates through nanostructured Ge/CaF2 buffer layers which were biaxially oriented. It allows us to explore the structural properties of multilayer biaxial semiconductor films which possess small angle grain boundaries and to test the principle of a solar cell made of such low-cost, low-growth-temperature semiconductor films. Through the x-ray diffraction and x-ray pole figure analysis, the heteroepitaxial relationships of the mutilayered films are determined as [111] in the out-of-plane direction and <1\\bar{1}0>CdTe//<1\\bar{1}0>Ge//{< \\bar{1}10> }{{{CaF}}2} in the in-plane direction. The I-V curves measured from an ITO/CdS/CdTe/Ge/CaF2/glass solar cell test structure shows a power conversion efficiency of ˜η = 1.26%, illustrating the initial success of such an approach. The observed non-ideal efficiency is believed to be due to a low shunt resistance and high series resistance as well as some residual large-angle grain boundary effects, leaving room for significant further improvement.

  18. Schottky barrier detection devices having a 4H-SiC n-type epitaxial layer

    DOEpatents

    Mandal, Krishna C.; Terry, J. Russell

    2016-12-06

    A detection device, along with methods of its manufacture and use, is provided. The detection device can include: a SiC substrate defining a substrate surface cut from planar to about 12.degree.; a buffer epitaxial layer on the substrate surface; a n-type epitaxial layer on the buffer epitaxial layer; and a top contact on the n-type epitaxial layer. The buffer epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.15 cm.sup.-3 to about 5.times.10.sup.18 cm.sup.-3 with nitrogen, boron, aluminum, or a mixture thereof. The n-type epitaxial layer can include a n-type 4H--SiC epitaxial layer doped at a concentration of about 1.times.10.sup.13 cm.sup.-3 to about 5.times.10.sup.15 cm.sup.-3 with nitrogen. The top contact can have a thickness of about 8 nm to about 15 nm.

  19. Enhanced c-axis orientation of aluminum nitride thin films by plasma-based pre-conditioning of sapphire substrates for SAW applications

    NASA Astrophysics Data System (ADS)

    Gillinger, M.; Shaposhnikov, K.; Knobloch, T.; Stöger-Pollach, M.; Artner, W.; Hradil, K.; Schneider, M.; Kaltenbacher, M.; Schmid, U.

    2018-03-01

    Aluminum nitride (AlN) on sapphire has been investigated with two different pretreatments prior to sputter deposition of the AlN layer to improve the orientation and homogeneity of the thin film. An inverse sputter etching of the substrate in argon atmosphere results in an improvement of the uniformity of the alignment of the AlN grains and hence, in enhanced electro-mechanical AlN film properties. This effect is demonstrated in the raw measurements of SAW test devices. Additionally, the impulse response of several devices shows that a poor AlN thin film layer quality leads to a higher signal damping during the transduction of energy in the inter-digital transducers. As a result, the triple-transit signal cannot be detected at the receiver.

  20. Modeling of Lithium Niobate (LiNbO3) and Aluminum Nitride (AlN) Nanowires Using Comsol Multiphysics Software: The Case of Pressure Sensor

    NASA Astrophysics Data System (ADS)

    Ahmad, A. A.; Alsaad, A.; Al-Bataineh, Q. M.; Al-Naafa, M. A.

    2018-02-01

    In this study, Lithium niobate (LiNbO3) and Aluminum nitride (AlN) nanostructures were designed and investigated using the COMSOL Multiphysics software for pressure sensing applications. The Finite Element Method (FEM) was used for solving the differential equations with various parameters such as size, length, force, etc. The variation of the total maximum displacement as a function of applied force for various NWs lengths and the variation of the voltage as a function of applied force were plotted and discussed. AlN nanowires exhibit a better piezoelectric response than LiNbO3 nanowires do.