Sample records for arf immersion lithography

  1. The ArF laser for the next-generation multiple-patterning immersion lithography supporting green operations

    NASA Astrophysics Data System (ADS)

    Ishida, Keisuke; Ohta, Takeshi; Miyamoto, Hirotaka; Kumazaki, Takahito; Tsushima, Hiroaki; Kurosu, Akihiko; Matsunaga, Takashi; Mizoguchi, Hakaru

    2016-03-01

    Multiple patterning ArF immersion lithography has been expected as the promising technology to satisfy tighter leading edge device requirements. One of the most important features of the next generation lasers will be the ability to support green operations while further improving cost of ownership and performance. Especially, the dependence on rare gases, such as Neon and Helium, is becoming a critical issue for high volume manufacturing process. The new ArF excimer laser, GT64A has been developed to cope with the reduction of operational costs, the prevention against rare resource shortage and the improvement of device yield in multiple-patterning lithography. GT64A has advantages in efficiency and stability based on the field-proven injection-lock twin-chamber platform (GigaTwin platform). By the combination of GigaTwin platform and the advanced gas control algorithm, the consumption of rare gases such as Neon is reduced to a half. And newly designed Line Narrowing Module can realize completely Helium free operation. For the device yield improvement, spectral bandwidth stability is important to increase image contrast and contribute to the further reduction of CD variation. The new spectral bandwidth control algorithm and high response actuator has been developed to compensate the offset due to thermal change during the interval such as the period of wafer exchange operation. And REDeeM Cloud™, new monitoring system for managing light source performance and operations, is on-board and provides detailed light source information such as wavelength, energy, E95, etc.

  2. ArF halftone PSM cleaning process optimization for next-generation lithography

    NASA Astrophysics Data System (ADS)

    Son, Yong-Seok; Jeong, Seong-Ho; Kim, Jeong-Bae; Kim, Hong-Seok

    2000-07-01

    ArF lithography which is expected for the next generation optical lithography is adapted for 0.13 micrometers design-rule and beyond. ArF half-tone phase shift mask (HT PSM) will be applied as 1st generation of ArF lithography. Also ArF PSM cleaning demands by means of tighter controls related to phase angle, transmittance and contamination on the masks. Phase angle on ArF HT PSM should be controlled within at least +/- 3 degree and transmittance controlled within at least +/- 3 percent after cleaning process and pelliclization. In the cleaning process of HT PSM, requires not only the remove the particle on mask, but also control to half-tone material for metamorphosis. Contamination defects on the Qz of half tone type PSM is not easy to remove on the photomask surface. New technology and methods of cleaning will be developed in near future, but we try to get out for limit contamination on the mask, without variation of phase angle and transmittance after cleaning process.

  3. Development of new resist materials for 193-nm dry and immersion lithography

    NASA Astrophysics Data System (ADS)

    Sasaki, Takashi; Shirota, Naoko; Takebe, Yoko; Yokokoji, Osamu

    2006-03-01

    We earlier developed new monocyclic fluoropolymers (FUGU) for F II resist materials. But, it is necessary for FUGU to improve of their characteristics, especially the dry-etching resistance, in order to apply for ArF lithography at fine design rules. We have tried to combine FUGUs with Adamntyl methacrylates based conventional ArF resist polymer. In this paper, we have investigated the role of cyclic fluorinated unit, FUGU, in 193 nm resist polymers by analyzing the dissolution behavior. We found that FGEAM showed high sensitivity and good dissolution contrast, compared with acrylate based conventional samples at low PEB temperature (100 °C). And this difference of sensitivity was clearly found when weak acidity PAGs were used. From the dissolution behaviors of FGEAM, FUGU unit can work to improve the resist sensitivity in acrylate based ArF resist polymers. And we also found that FGEAM showed long acid diffusion length on PEB process, compared with Conventional samples. These result show that FUGU unit has a unique characteristics of the sensitivity with 193nm exposure and the acid diffusion behavior. We also investigated a new series of fluorinated copolymers for 193-nm lithography, combination of FUGU monomer and acrylate units which are used in conventional ArF resist. Six ter-polymers of FUGU, combination of FUGU monomers and EAdMA, GBLMA and HAdMA were prepared. We found that FUGU ter-polymers had a good dry etching resistance keeping high transparency at 193nm. And FUGU ter-polymers showed high sensitivity toward 193nm exposure. FUGU ter-polymers also had a high hydrophobic properties compared conventional type ArF resist polymers. So we also expect FUGU ter-polymers to be useful for ArF dry and immersion lithography.

  4. Novel topcoat materials with improved receding angles and dissolution properties for ArF immersion lithography

    NASA Astrophysics Data System (ADS)

    Yun, Sang Geun; Lee, Jin Young; Yang, Young Soo; Shin, Seung Wook; Lee, Sung Jae; Kwon, Hyo Young; Cho, Youn Jin; Choi, Seung Jib; Choi, Sang Jun; Kim, Jong Seob; Chang, Tuwon

    2010-04-01

    A topcoat material plays a significant role in achieving technology nodes below 45 nm via ArF immersion lithography. Switching the exposure medium between the lens and the photoresist (PR) film from gas (air, n=1) to liquid (H2O, n=1.44) may lead to leaching of the polymer, the photoacid generator (PAG), or the solvent. These substances can contaminate the lens or cause bubbles, which can lead to defects during the patterning. Previously reported topcoat materials mainly use hydrophobic fluoro-compounds and carboxylic acids to provide high dissolution rates (DR) to basic developers as well as high receding contact angles (RCA). Recently, the demand for a new top-coat material has risen since current materials cause water-mark defects and decreases in scan speeds, due to insufficient RCA's. However, RCA and DR are in a trade-off relationship as an increase in RCA generally results in a lower DR. To overcome this, a novel polymer with high-fluorine content was synthesized to produce a topcoat material with improved DR (120 nm/s in 2.38 wt% TMAH) and RCA (>70°). In addition, a strategy to control the pattern profile according to needs of customers was found.

  5. Immersion lithography defectivity analysis at DUV inspection wavelength

    NASA Astrophysics Data System (ADS)

    Golan, E.; Meshulach, D.; Raccah, N.; Yeo, J. Ho.; Dassa, O.; Brandl, S.; Schwarz, C.; Pierson, B.; Montgomery, W.

    2007-03-01

    Significant effort has been directed in recent years towards the realization of immersion lithography at 193nm wavelength. Immersion lithography is likely a key enabling technology for the production of critical layers for 45nm and 32nm design rule (DR) devices. In spite of the significant progress in immersion lithography technology, there remain several key technology issues, with a critical issue of immersion lithography process induced defects. The benefits of the optical resolution and depth of focus, made possible by immersion lithography, are well understood. Yet, these benefits cannot come at the expense of increased defect counts and decreased production yield. Understanding the impact of the immersion lithography process parameters on wafer defects formation and defect counts, together with the ability to monitor, control and minimize the defect counts down to acceptable levels is imperative for successful introduction of immersion lithography for production of advanced DR's. In this report, we present experimental results of immersion lithography defectivity analysis focused on topcoat layer thickness parameters and resist bake temperatures. Wafers were exposed on the 1150i-α-immersion scanner and 1200B Scanner (ASML), defect inspection was performed using a DUV inspection tool (UVision TM, Applied Materials). Higher sensitivity was demonstrated at DUV through detection of small defects not detected at the visible wavelength, indicating on the potential high sensitivity benefits of DUV inspection for this layer. The analysis indicates that certain types of defects are associated with different immersion process parameters. This type of analysis at DUV wavelengths would enable the optimization of immersion lithography processes, thus enabling the qualification of immersion processes for volume production.

  6. Reliable high-power injection locked 6kHz 60W laser for ArF immersion lithography

    NASA Astrophysics Data System (ADS)

    Watanabe, Hidenori; Komae, Shigeo; Tanaka, Satoshi; Nohdomi, Ryoichi; Yamazaki, Taku; Nakarai, Hiroaki; Fujimoto, Junichi; Matsunaga, Takashi; Saito, Takashi; Kakizaki, Kouji; Mizoguchi, Hakaru

    2007-03-01

    Reliable high power 193nm ArF light source is desired for the successive growth of ArF-immersion technology for 45nm node generation. In 2006, Gigaphoton released GT60A, high power injection locked 6kHz/60W/0.5pm (E95) laser system, to meet the demands of semiconductor markets. In this paper, we report key technologies for reliable mass production GT laser systems and GT60A high durability performance test results up to 20 billion pulses.

  7. An investigation on defect-generation conditions in immersion lithography

    NASA Astrophysics Data System (ADS)

    Tomita, Tadatoshi; Shimoaoki, Takeshi; Enomoto, Masashi; Kyoda, Hideharu; Kitano, Junichi; Suganaga, Toshifumi

    2006-03-01

    As a powerful candidate for a lithography technique that can accommodate the scaling-down of semiconductors, 193-nm immersion lithography-which realizes a high numerical aperture (NA) and uses deionized water as the medium between the lens and wafer in the exposure system-has been developing at a rapid pace and has reached the stage of practical application. In regards to defects that are a cause for concern in the case of 193-nm immersion lithography, however, many components are still unclear and many problems remain to be solved. It has been pointed out, for example, that in the case of 193-nm immersion lithography, immersion of the resist film in deionized water during exposure causes infiltration of moisture into the resist film, internal components of the resist dissolve into the deionized water, and residual water generated during exposure affects post-processing. Moreover, to prevent this influence of directly immersing the resist in de-ionized water, application of a protective film is regarded as effective. However, even if such a film is applied, it is still highly likely that the above-mentioned defects will still occur. Accordingly, to reduce these defects, it is essential to identify the typical defects occurring in 193-nm immersion lithography and to understand the condition for generation of defects by using some kinds of protective films and resist materials. Furthermore, from now onwards, with further scaling down of semiconductors, it is important to maintain a clear understanding of the relation between defect-generation conditions and critical dimensions (CD). Aiming to extract typical defects occurring in 193-nm immersion lithography, the authors carried out a comparative study with dry exposure lithography, thereby confirming several typical defects associated with immersion lithography. We then investigated the conditions for generation of defects in the case of some kinds of protective films. In addition to that, by investigating the defect

  8. Gap Fill Materials Using Cyclodextrin Derivatives in ArF Lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Shinjo, Tetsuya; Sakaida, Yasushi; Hashimoto, Keisuke

    2007-11-01

    High planarizing gap fill materials based on β-cyclodextrin in ArF photoresist under-layer materials have been developed for fast etching in CF4 gas. Gap fill materials used in the via-first dual damascene process need to have high etch rates to prevent crowning or fencing on top of the trench after etching and a small thickness bias between the dense and blanket areas to minimize issues observed during trench lithography by narrowing the process latitude. Cyclodextrin is a circular oligomer with a nanoscale porous structure that has a high number of oxygen atoms, as calculated using the Ohnishi parameter, providing high etch rates. Additionally, since gap fill materials using cyclodextrin derivatives have low viscosities and molecular weights, they are expected to exhibit excellent flow properties and minimal thermal shrinkage during baking. In this paper, we describe the composition and basic film properties of gap fill materials; planarization in the via-first dual damascene process and etch rates in CF4 gas compared with dextrin with α-glycoside bonds in polysaccharide, poly(2-hydroxypropyl methacrylate) and poly(4-hydroxystyrene). The β-cyclodextrin used in this study was obtained by esterifying the hydroxyl groups of dextrin resulting in improved wettability on via substrates and solubility in photoresist solvents such as propylene glycol monomethyl ether, propylene glycol monomethyl ether acetate and ethyl lactate. Gap fill materials using cyclodextrin derivatives showed good planarization and via filling performance without observing voids in via holes. In addition to superior via filling performance, the etch rate of gap fill materials using β-cyclodextrin derivatives was 2.8-2.9 times higher than that of an ArF photoresist, evaluated under CF4 gas conditions by reactive ion etching. These results were attributed to the combination of both nanoscale porous structures and a high density of oxygen atoms in our gap fill materials using cyclodextrin

  9. Immersion lithography: its history, current status and future prospects

    NASA Astrophysics Data System (ADS)

    Owa, Soichi; Nagasaka, Hiroyuki

    2008-11-01

    Since the 1980's, immersion exposure has been proposed several times. At the end of 1990's, however, these concepts were almost forgotten because other technologies, such as electron beam projection, EUVL, and 157 nm were believed to be more promising than immersion exposures. The current work in immersion lithography started in 2001 with the report of Switkes and Rothschild. Although their first proposal was at 157 nm wavelength, their report in the following year on 193 nm immersion with purified water turned out to be the turning point for the introduction of water-based 193 nm immersion lithography. In February, 2003, positive feasibility study results of 193 nm immersion were presented at the SPIE microlithography conference. Since then, the development of 193 nm immersion exposure tools accelerated. Currently (year 2008), multiple hyper NA (NA>1.0) scanners are generating mass production 45 nm half pitch devices in semiconductor manufacturing factories. As a future extension, high index immersion was studied over the past few years, but material development lagged more than expected, which resulted in the cancellation of high index immersion plans at scanner makers. Instead, double patterning, double dipole exposure, and customized illuminations techniques are expected as techniques to extend immersion for the 32 nm node and beyond.

  10. High refractive index nanocomposite fluids for immersion lithography.

    PubMed

    Bremer, L; Tuinier, R; Jahromi, S

    2009-02-17

    The concept of using dispersions of nanoparticles as high refractive index fluids in immersion lithography is examined both from a theoretical and experimental point of view. In the theoretical part we show that gelation and demixing can be controlled in high solid dispersions, needed to achieve a high (refractive) index, by using short stabilizing brushes. We considered both fluid-fluid demixing by using statistical thermodynamics and percolation, computed using liquid-state approaches. Whenever demixing or percolation takes place, the nanoparticle dispersion is unsuited for immersion lithography. The minimum thickness of the stabilizer layer of a stable suspension is estimated assuming particles plus steric stabilizer to act as hard spheres with van der Waals attraction between the cores. Since the van der Waals attraction can be related to the optical properties of the particles and dispersion medium, it is also possible to estimate the refractive index that can be attained with composite immersion fluids. Using materials that are known to be highly transparent in the bulk at a wavelength of 193 nm, indices above 1.8 can be attained. Other materials with higher indices are expected to be transparent at 193 nm due to a blue shift of the UV absorption and enable much higher indices. In the experiment, we show that it is possible to prepare suspensions with particles of about 4 nm diameter that increase the refractive index of the continuous phase with 0.2 at a wavelength of 193 nm. The refractive index and density of such dispersions are proportional to the volume fraction of the disperse phase, and it is shown that the refractive index of the composite fluid can be predicted very well from the optical properties of the components. Furthermore, successful imaging experiments were performed through a dispersion of silica nanoparticles. These findings lead to the conclusion that immersion lithography using nanoparticle dispersions is indeed possible.

  11. Resist development status for immersion lithography

    NASA Astrophysics Data System (ADS)

    Tsuji, Hiromitsu; Yoshida, Masaaki; Ishizuka, Keita; Hirano, Tomoyuki; Endo, Kotaro; Sato, Mitsuru

    2005-05-01

    Immersion lithography has already demonstrated superior performance for next generation semiconductor manufacturing, while some challenges with contact immersion fluids and resist still remain. There are many interactions to be considered with regards to the solid and liquid interface. Resist elusion in particular requires very careful attention since the impact on the lens and fluid supply system in exposure tool could pose a significant risk at the manufacturing stage. TOK developed a screening procedure to detect resist elution of ion species down to ppb levels during non and post exposure steps. It was found that the PAG cation elution is affected by molecular weight and structure while the PAG anion elution was dependent on the molecular structure and mobility. In this paper, lithographic performance is also discussed with the low elution type resist.

  12. Top coat or no top coat for immersion lithography?

    NASA Astrophysics Data System (ADS)

    Stepanenko, N.; Kim, Hyun-Woo; Kishimura, S.; Van Den Heuvel, D.; Vandenbroeck, N.; Kocsis, M.; Foubert, P.; Maenhoudt, M.; Ercken, M.; Van Roey, F.; Gronheid, R.; Pollentier, I.; Vangoidsenhoven, D.; Delvaux, C.; Baerts, C.; O'Brien, S.; Fyen, W.; Wells, G.

    2006-03-01

    Since the moment immersion lithography appeared in the roadmaps of IC manufacturers, the question whether to use top coats has become one of the important topics for discussions. The top coats used in immersion lithography have proved to serve as good protectors from leaching of the resist components (PAGs, bases) into the water. However their application complicates the process and may lead to two side effects. First, top coats can affect the process window and resist profile depending on the material's refractive index, thickness, acidity, chemical interaction with the resist and the soaking time. Second, the top coat application may increase the total amount of defects on the wafer. Having an immersion resist which could work without the top coat would be a preferable solution. Still, it is quite challenging to make such a resist as direct water/resist interaction may also result in process window changes, CD variations, generation of additional defects. We have performed a systematic evaluation of a large number of immersion resist and top coat combinations, using the ASML XT:1250Di scanner at IMEC. The samples for the experiments were provided by all the leading resist and top coat suppliers. Particular attention was paid to how the resist and top coat materials from different vendors interacted with each other. Among the factors which could influence the total amount of defects or CD variations on the wafer were: the material's dynamic contact angle and its interaction with the scanner stage speed, top coat thickness and intermixing layer formation, water uptake and leaching. We have examined the importance of all mentioned factors, using such analytical techniques as Resist Development Analyser (RDA), Quartz Crystal Microbalance (QCM), Mass Spectroscopy (MS) and scatterometry. We have also evaluated the influence of the pre- and pos- exposure rinse processes on the defectivity. In this paper we will present the data on imaging and defectivity performance of

  13. Modular Polymer Biosensors by Solvent Immersion Imprint Lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Moore, Jayven S.; Xantheas, Sotiris S.; Grate, Jay W.

    2016-01-01

    We recently demonstrated Solvent Immersion Imprint Lithography (SIIL), a rapid benchtop microsystem prototyping technique, including polymer functionalization, imprinting and bonding. Here, we focus on the realization of planar polymer sensors using SIIL through simple solvent immersion without imprinting. We describe SIIL’s impregnation characteristics, including an inherent mechanism that not only achieves practical doping concentrations, but their unexpected 4-fold enhancement compared to the immersion solution. Subsequently, we developed and characterized optical sensors for detecting molecular O2. To this end, a high dynamic range is reported, including its control through the immersion duration, a manifestation of SIIL’s modularity. Overall, SIIL exhibits themore » potential of improving the operating characteristics of polymer sensors, while significantly accelerating their prototyping, as it requires a few seconds of processing and no need for substrates or dedicated instrumentation. These are critical for O2 sensing as probed by way of example here, as well as any polymer permeable reactant.« less

  14. Low leaching and low LWR photoresist development for 193 nm immersion lithography

    NASA Astrophysics Data System (ADS)

    Ando, Nobuo; Lee, Youngjoon; Miyagawa, Takayuki; Edamatsu, Kunishige; Takemoto, Ichiki; Yamamoto, Satoshi; Tsuchida, Yoshinobu; Yamamoto, Keiko; Konishi, Shinji; Nakano, Katsushi; Tomoharu, Fujiwara

    2006-03-01

    With no apparent showstopper in sight, the adoption of ArF immersion technology into device mass production is not a matter of 'if' but a matter of 'when'. As the technology matures at an unprecedented speed, many of initial technical difficulties have been cleared away and the use of a protective layer known as top coat, initially regarded as a must, now becomes optional, for example. Our focus of interest has also sifted to more practical and production related issues such as defect reducing and performance enhancement. Two major types of immersion specific defects, bubbles and a large number of microbridges, were observed and reported elsewhere. The bubble defects seem to decrease by improvement of exposure tool. But the other type defect - probably from residual water spots - is still a problem. We suspect that the acid leaching from resist film causes microbridges. When small water spots were remained on resist surface after exposure, acid catalyst in resist film is leaching into the water spots even though at room temperature. After water from the spot is dried up, acid molecules are condensed at resist film surface. As a result, in the bulk of resist film, acid depletion region is generated underneath the water spot. Acid catalyzed deprotection reaction is not completed at this acid shortage region later in the PEB process resulting in microbridge type defect formation. Similar mechanism was suggested by Kanna et al, they suggested the water evaporation on PEB plate. This hypothesis led us to focus on reducing acid leaching to decrease residual water spot-related defect. This paper reports our leaching measurement results and low leaching photoresist materials satisfying the current leaching requirements outlined by tool makers without topcoat layer. On the other hand, Nakano et al reported that the higher receding contact angle reduced defectivity. The higher receding contact angle is also a key item to increase scan speed. The effort to increase the

  15. Electron beam mask writer EBM-9500 for logic 7nm node generation

    NASA Astrophysics Data System (ADS)

    Matsui, Hideki; Kamikubo, Takashi; Nakahashi, Satoshi; Nomura, Haruyuki; Nakayamada, Noriaki; Suganuma, Mizuna; Kato, Yasuo; Yashima, Jun; Katsap, Victor; Saito, Kenichi; Kobayashi, Ryoei; Miyamoto, Nobuo; Ogasawara, Munehiro

    2016-10-01

    Semiconductor scaling is slowing down because of difficulties of device manufacturing below logic 7nm node generation. Various lithography candidates which include ArF immersion with resolution enhancement technology (like Inversed Lithography technology), Extreme Ultra Violet lithography and Nano Imprint lithography are being developed to address the situation. In such advanced lithography, shot counts of mask patterns are estimated to increase explosively in critical layers, and then it is hoped that multi beam mask writer (MBMW) is released to handle them within realistic write time. However, ArF immersion technology with multiple patterning will continue to be a mainstream lithography solution for most of the layers. Then, the shot counts in less critical layers are estimated to be stable because of the limitation of resolution in ArF immersion technology. Therefore, single beam mask writer (SBMW) can play an important role for mask production still, relative to MBMW. Also the demand of SBMW seems actually strong for the logic 7nm node. To realize this, we have developed a new SBMW, EBM-9500 for mask fabrication in this generation. A newly introduced electron beam source enables higher current density of 1200A/cm2. Heating effect correction function has also been newly introduced to satisfy the requirements for both pattern accuracy and throughput. In this paper, we will report the configuration and performance of EBM-9500.

  16. High performance Si immersion gratings patterned with electron beam lithography

    NASA Astrophysics Data System (ADS)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    Infrared spectrographs employing silicon immersion gratings can be significantly more compact than spectro- graphs using front-surface gratings. The Si gratings can also offer continuous wavelength coverage at high spectral resolution. The grooves in Si gratings are made with semiconductor lithography techniques, to date almost entirely using contact mask photolithography. Planned near-infrared astronomical spectrographs require either finer groove pitches or higher positional accuracy than standard UV contact mask photolithography can reach. A collaboration between the University of Texas at Austin Silicon Diffractive Optics Group and the Jet Propulsion Laboratory Microdevices Laboratory has experimented with direct writing silicon immersion grating grooves with electron beam lithography. The patterning process involves depositing positive e-beam resist on 1 to 30 mm thick, 100 mm diameter monolithic crystalline silicon substrates. We then use the facility JEOL 9300FS e-beam writer at JPL to produce the linear pattern that defines the gratings. There are three key challenges to produce high-performance e-beam written silicon immersion gratings. (1) E- beam field and subfield stitching boundaries cause periodic cross-hatch structures along the grating grooves. The structures manifest themselves as spectral and spatial dimension ghosts in the diffraction limited point spread function (PSF) of the diffraction grating. In this paper, we show that the effects of e-beam field boundaries must be mitigated. We have significantly reduced ghost power with only minor increases in write time by using four or more field sizes of less than 500 μm. (2) The finite e-beam stage drift and run-out error cause large-scale structure in the wavefront error. We deal with this problem by applying a mark detection loop to check for and correct out minuscule stage drifts. We measure the level and direction of stage drift and show that mark detection reduces peak-to-valley wavefront error

  17. Quartz 9-inch size mask blanks for ArF PSM (Phase Shift Mask)

    NASA Astrophysics Data System (ADS)

    Harashima, Noriyuki; Isozaki, Tatsuya; Kawanishi, Arata; Kanai, Shuichiro; Kageyama, Kagehiro; Iso, Hiroyuki; Chishima, Tatsuya

    2017-07-01

    Semiconductor technology nodes are steadily miniaturizing. On the other hand, various efforts have been made to reduce costs, mass production lines have shifted from 200 mmφ of Si wafer to 300 mmφ, and technology development of Si wafer 450 mmφ is also in progress. As a photomask, 6-inch size binary Cr mask has been used for many years, but in recent years, the use of 9-inch binary Cr masks for Proximity Lithography Process in automotive applications, MEMS, packages, etc. has increased, and cost reduction has been taken. Since the miniaturization will progress in the above applications in the future, products corresponding to miniaturization are also desired in 9-inch photomasks. The high grade Cr - binary mask blanks used in proximity exposure process, there is a prospect of being able to use it by ULVAC COATING CORPORATION's tireless research. As further demands for miniaturization, KrF and ArF Lithography Process, which are used for steppers and scanners , there are also a demand for 9-inch size Mask Blanks. In ULVAC COATING CORPORATION, we developed a 9 - inch size KrF PSM mask Blanks prototype in 2016 and proposed a new high grade 9 - inch photomask. This time, we have further investigated and developed 9-inch size ArF PSM Mask Blanks corresponding to ArF Lithography Process, so we report it.

  18. Direct nanopatterning of 100 nm metal oxide periodic structures by Deep-UV immersion lithography.

    PubMed

    Stehlin, Fabrice; Bourgin, Yannick; Spangenberg, Arnaud; Jourlin, Yves; Parriaux, Olivier; Reynaud, Stéphanie; Wieder, Fernand; Soppera, Olivier

    2012-11-15

    Deep-UV lithography using high-efficiency phase mask has been developed to print 100 nm period grating on sol-gel based thin layer. High efficiency phase mask has been designed to produce a high-contrast interferogram (periodic fringes) under water immersion conditions for 244 nm laser. The demonstration has been applied to a new developed immersion-compatible sol-gel layer. A sol-gel photoresist prepared from zirconium alkoxides caped with methacrylic acids was developed to achieve 50 nm resolution in a single step exposure. The nanostructures can be thermally annealed into ZrO(2). Such route considerably simplifies the process for elaborating nanopatterned surfaces of transition metal oxides, and opens new routes for integrating materials of interest for applications in the field of photocatalysis, photovoltaic, optics, photonics or microelectronics.

  19. Influence of the watermark in immersion lithography process

    NASA Astrophysics Data System (ADS)

    Kawamura, Daisuke; Takeishi, Tomoyuki; Sho, Koutarou; Matsunaga, Kentarou; Shibata, Naofumi; Ozawa, Kaoru; Shimura, Satoru; Kyoda, Hideharu; Kawasaki, Tetsu; Ishida, Seiki; Toshima, Takayuki; Oonishi, Yasunobu; Ito, Shinichi

    2005-05-01

    In the liquid immersion lithography, uses of the cover material (C/M) films were discussed to reduce elution of resist components to fluid. With fluctuation of exposure tool or resist process, it is possible to remain of waterdrop on the wafer and watermark (W/M) will be made. The investigation of influence of the W/M on resist patterns, formation process of W/M, and reduction of pattern defect due to W/M will be discussed. Resist patterns within and around the intentionally made W/M were observed in three cases, which were without C/M, TOK TSP-3A and alkali-soluble C/M. In all C/M cases, pattern defect were T-topped shapes. Reduction of pattern defects due to waterdrop was examined. It was found that remained waterdrop made defect. It should be required to remove waterdrop before drying, and/or to remove the defect due to waterdrop. But new dry technique and/or unit will be need for making no W/M. It was examined that the observation of waterdrop through the drying step and simulative reproduction of experiment in order to understand the formation mechanism of W/M. If maximum drying time of waterdrop using immersion exposure tool is estimated 90 seconds, the watermark of which volume and diameter are less than 0.02 uL and 350um will be dried and will make pattern defect. The threshold will be large with wafer speed become faster. From result and speculations in this work, it is considered that it will be difficult to development C/M as single film, which makes no pattern defects due to remained waterdrop.

  20. Via patterning in the 7-nm node using immersion lithography and graphoepitaxy directed self-assembly

    NASA Astrophysics Data System (ADS)

    Doise, Jan; Bekaert, Joost; Chan, Boon Teik; Hori, Masafumi; Gronheid, Roel

    2017-04-01

    Insertion of a graphoepitaxy directed self-assembly process as a via patterning technology into integrated circuit fabrication is seriously considered for the 7-nm node and beyond. At these dimensions, a graphoepitaxy process using a cylindrical block copolymer that enables hole multiplication can alleviate costs by extending 193-nm immersion-based lithography and significantly reducing the number of masks that would be required per layer. To be considered for implementation, it needs to be proved that this approach can achieve the required pattern quality in terms of defects and variability using a representative, aperiodic design. The patterning of a via layer from an actual 7-nm node logic layout is demonstrated using immersion lithography and graphoepitaxy directed self-assembly in a fab-like environment. The performance of the process is characterized in detail on a full 300-mm wafer scale. The local variability in an edge placement error of the obtained patterns (4.0 nm 3σ for singlets) is in line with the recent results in the field and significantly less than of the prepattern (4.9 nm 3σ for singlets). In addition, it is expected that pattern quality can be further improved through an improved mask design and optical proximity correction. No major complications for insertion of the graphoepitaxy directed self-assembly into device manufacturing were observed.

  1. Theoretical study of fabrication of line-and-space patterns with 7 nm quarter-pitch using electron beam lithography with chemically amplified resist process: III. Post exposure baking on quartz substrates

    NASA Astrophysics Data System (ADS)

    Kozawa, Takahiro

    2015-09-01

    Electron beam (EB) lithography is a key technology for the fabrication of photomasks for ArF immersion and extreme ultraviolet (EUV) lithography and molds for nanoimprint lithography. In this study, the temporal change in the chemical gradient of line-and-space patterns with a 7 nm quarter-pitch (7 nm space width and 21 nm line width) was calculated until it became constant, independently of postexposure baking (PEB) time, to clarify the feasibility of single nano patterning on quartz substrates using EB lithography with chemically amplified resist processes. When the quencher diffusion constant is the same as the acid diffusion constant, the maximum chemical gradient of the line-and-space pattern with a 7 nm quarter-pitch did not differ much from that with a 14 nm half-pitch under the condition described above. Also, from the viewpoint of process control, a low quencher diffusion constant is considered to be preferable for the fabrication of line-and-space patterns with a 7 nm quarter-pitch on quartz substrates.

  2. Solvent immersion imprint lithography: A high-performance, semi-automated procedure

    PubMed Central

    Liyu, D. A.; Canul, A. J.; Vasdekis, A. E.

    2017-01-01

    We expand upon our recent, fundamental report on solvent immersion imprint lithography (SIIL) and describe a semi-automated and high-performance procedure for prototyping polymer microfluidics and optofluidics. The SIIL procedure minimizes manual intervention through a cost-effective (∼$200) and easy-to-assemble apparatus. We analyze the procedure's performance specifically for Poly (methyl methacrylate) microsystems and report repeatable polymer imprinting, bonding, and 3D functionalization in less than 5 min, down to 8 μm resolutions and 1:1 aspect ratios. In comparison to commercial approaches, the modified SIIL procedure enables substantial cost reductions, a 100-fold reduction in imprinting force requirements, as well as a more than 10-fold increase in bonding strength. We attribute these advantages to the directed polymer dissolution that strictly localizes at the polymer-solvent interface, as uniquely offered by SIIL. The described procedure opens new desktop prototyping opportunities, particularly for non-expert users performing live-cell imaging, flow-through catalysis, and on-chip gas detection. PMID:28798847

  3. Implementation of assist features in EUV lithography

    NASA Astrophysics Data System (ADS)

    Jiang, Fan; Burkhardt, Martin; Raghunathan, Ananthan; Torres, Andres; Gupta, Rachit; Word, James

    2015-03-01

    The introduction of EUV lithography will happen at a critical feature pitch which corresponds to a k1 factor of roughly 0.45. While this number seems not very aggressive compared to recent ArF lithography nodes, the number is sufficiently low that the introduction of assist features has to be considered. While the small NA makes the k1 factor larger, the depth of focus still needs to be scaled down with wavelength. However the exposure tool's focus control is not greatly improved over the ArF tools, so other solutions to improve the depth of focus, e.g. SRAFs, are needed. On the other hand, sub-resolution assist features (SRAFs) require very small mask dimensions, which make masks more costly to write and inspect. Another disadvantage of SRAFs is the fact that they may cause pattern-dependent best focus shift due to thick mask effects. Those effects can be predicted, but the shift of best focus and the associated tilt of Bossung curves make the process more difficult to control. We investigate the impact of SRAFs on printing in EUV lithography and evaluate advantages and disadvantages. By using image quality parameters such as best focus (BF), and depth of focus (DOF), respectively with and without SRAFs, we will answer the question if we can gain a net benefit for 1D and 2D patterns by adding SRAFs. SRAFs will only be introduced if any net improvement in process variation (PV) outweighs the additional expense of assist patterning on the mask. In this paper, we investigate the difference in printing behavior of symmetric and asymmetric SRAF placement and whether through slit effect needs to be considered in SRAF placement for EUV lithography.

  4. High refractive index Fresnel lens on a fiber fabricated by nanoimprint lithography for immersion applications.

    PubMed

    Koshelev, Alexander; Calafiore, Giuseppe; Piña-Hernandez, Carlos; Allen, Frances I; Dhuey, Scott; Sassolini, Simone; Wong, Edward; Lum, Paul; Munechika, Keiko; Cabrini, Stefano

    2016-08-01

    In this Letter, we present a Fresnel lens fabricated on the end of an optical fiber. The lens is fabricated using nanoimprint lithography of a functional high refractive index material, which is suitable for mass production. The main advantage of the presented Fresnel lens compared to a conventional fiber lens is its high refractive index (n=1.68), which enables efficient light focusing even inside other media, such as water or an adhesive. Measurement of the lens performance in an immersion liquid (n=1.51) shows a near diffraction limited focal spot of 810 nm in diameter at the 1/e2 intensity level for a wavelength of 660 nm. Applications of such fiber lenses include integrated optics, optical trapping, and fiber probes.

  5. A database analysis method identifies an endogenous trans-acting short-interfering RNA that targets the Arabidopsis ARF2, ARF3, and ARF4 genes

    PubMed Central

    Williams, Leor; Carles, Cristel C.; Osmont, Karen S.; Fletcher, Jennifer C.

    2005-01-01

    Two classes of small RNAs, microRNAs and short-interfering RNA (siRNAs), have been extensively studied in plants and animals. In Arabidopsis, the capacity to uncover previously uncharacterized small RNAs by means of conventional strategies seems to be reaching its limits. To discover new plant small RNAs, we developed a protocol to mine an Arabidopsis nonannotated, noncoding EST database. Using this approach, we identified an endogenous small RNA, trans-acting short-interfering RNA–auxin response factor (tasiR-ARF), that shares a 21- and 22-nt region of sequence similarity with members of the ARF gene family. tasiR-ARF has characteristics of both short-interfering RNA and microRNA, recently defined as tasiRNA. Accumulation of trans-acting siRNA depends on DICER-LIKE1 and RNA-DEPENDENT RNA POLYMERASE6 but not RNA-DEPENDENT RNA POLYMERASE2. We demonstrate that tasiR-ARF targets three ARF genes, ARF2, ARF3/ETT, and ARF4, and that both the tasiR-ARF precursor and its target genes are evolutionarily conserved. The identification of tasiRNA-ARF as a low-abundance, previously uncharacterized small RNA species proves our method to be a useful tool to uncover additional small regulatory RNAs. PMID:15980147

  6. Driving imaging and overlay performance to the limits with advanced lithography optimization

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Finders, Jo; van der Laan, Hans; Hinnen, Paul; Kubis, Michael; Beems, Marcel

    2012-03-01

    Immersion lithography is being extended to 22-nm and even below. Next to generic scanner system improvements, application specific solutions are needed to follow the requirements for CD control and overlay. Starting from the performance budgets, this paper discusses how to improve (in volume manufacturing environment) CDU towards 1-nm and overlay towards 3-nm. The improvements are based on deploying the actuator capabilities of the immersion scanner. The latest generation immersion scanners have extended the correction capabilities for overlay and imaging, offering freeform adjustments of lens, illuminator and wafer grid. In order to determine the needed adjustments the recipe generation per user application is based on a combination wafer metrology data and computational lithography methods. For overlay, focus and CD metrology we use an angle resolved optical scatterometer.

  7. Projection Reduction Exposure with Variable Axis Immersion Lenses (PREVAIL)-A High Throughput E-Beam Projection Approach for Next Generation Lithography

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans

    1999-12-01

    Projection reduction exposure with variable axis immersion lenses (PREVAIL) represents the high throughput e-beam projection approach to next generation lithography (NGL), which IBM is pursuing in cooperation with Nikon Corporation as an alliance partner. This paper discusses the challenges and accomplishments of the PREVAIL project. The supreme challenge facing all e-beam lithography approaches has been and still is throughput. Since the throughput of e-beam projection systems is severely limited by the available optical field size, the key to success is the ability to overcome this limitation. The PREVAIL technique overcomes field-limiting off-axis aberrations through the use of variable axis lenses, which electronically shift the optical axis simultaneously with the deflected beam, so that the beam effectively remains on axis. The resist images obtained with the proof-of-concept (POC) system demonstrate that PREVAIL effectively eliminates off-axis aberrations affecting both the resolution and placement accuracy of pixels. As part of the POC system a high emittance gun has been developed to provide uniform illumination of the patterned subfield, and to fill the large numerical aperture projection optics designed to significantly reduce beam blur caused by Coulombinteraction.

  8. Diversification, phylogeny and evolution of auxin response factor (ARF) family: insights gained from analyzing maize ARF genes.

    PubMed

    Wang, Yijun; Deng, Dexiang; Shi, Yating; Miao, Nan; Bian, Yunlong; Yin, Zhitong

    2012-03-01

    Auxin response factors (ARFs), member of the plant-specific B3 DNA binding superfamily, target specifically to auxin response elements (AuxREs) in promoters of primary auxin-responsive genes and heterodimerize with Aux/IAA proteins in auxin signaling transduction cascade. In previous research, we have isolated and characterized maize Aux/IAA genes in whole-genome scale. Here, we report the comprehensive analysis of ARF genes in maize. A total of 36 ARF genes were identified and validated from the B73 maize genome through an iterative strategy. Thirty-six maize ARF genes are distributed in all maize chromosomes except chromosome 7. Maize ARF genes expansion is mainly due to recent segmental duplications. Maize ARF proteins share one B3 DNA binding domain which consists of seven-stranded β sheets and two short α helixes. Twelve maize ARFs with glutamine-rich middle regions could be as activators in modulating expression of auxin-responsive genes. Eleven maize ARF proteins are lack of homo- and heterodimerization domains. Putative cis-elements involved in phytohormones and light signaling responses, biotic and abiotic stress adaption locate in promoters of maize ARF genes. Expression patterns vary greatly between clades and sister pairs of maize ARF genes. The B3 DNA binding and auxin response factor domains of maize ARF proteins are primarily subjected to negative selection during selective sweep. The mixed selective forces drive the diversification and evolution of genomic regions outside of B3 and ARF domains. Additionally, the dicot-specific proliferation of ARF genes was detected. Comparative genomics analysis indicated that maize, sorghum and rice duplicate chromosomal blocks containing ARF homologs are highly syntenic. This study provides insights into the distribution, phylogeny and evolution of ARF gene family.

  9. Carbon dioxide gas purification and analytical measurement for leading edge 193nm lithography

    NASA Astrophysics Data System (ADS)

    Riddle Vogt, Sarah; Landoni, Cristian; Applegarth, Chuck; Browning, Matt; Succi, Marco; Pirola, Simona; Macchi, Giorgio

    2015-03-01

    The use of purified carbon dioxide (CO2) has become a reality for leading edge 193 nm immersion lithography scanners. Traditionally, both dry and immersion 193 nm lithographic processes have constantly purged the optics stack with ultrahigh purity compressed dry air (UHPCDA). CO2 has been utilized for a similar purpose as UHPCDA. Airborne molecular contamniation (AMC) purification technologies and analytical measurement methods have been extensively developed to support the Lithography Tool Manufacturers purity requirements. This paper covers the analytical tests and characterizations carried out to assess impurity removal from 3.0 N CO2 (beverage grade) for its final utilization in 193 nm and EUV scanners.

  10. Defect printability of ArF alternative phase-shift mask: a critical comparison of simulation and experiment

    NASA Astrophysics Data System (ADS)

    Ozawa, Ken; Komizo, Tooru; Ohnuma, Hidetoshi

    2002-07-01

    An alternative phase shift mask (alt-PSM) is a promising device for extending optical lithography to finer design rules. There have been few reports, however, on the mask's ability to identify phase defects. We report here an alt-PSM of a single-trench type with undercut for ArF exposure, with programmed phase defects used to evaluate defect printability by measuring aerial images with a Zeiss MSM193 measuring system. The experimental results are simulated using the TEMPEST program. First, a critical comparison of the simulation and the experiment is conducted. The actual measured topographies of quartz defects are used in the simulation. Moreover, a general simulation study on defect printability using an alt-PSM for ArF exposure is conducted. The defect dimensions, which produce critical CD errors, are determined by simulation that takes into account the full 3-dimensional structure of phase defects as well as a simplified structure. The critical dimensions of an isolated bump defect identified by the alt-PSM of a single-trench type with undercut for ArF exposure are 300 nm in bottom dimension and 74 degrees in height (phase) for the real shape, where the depth of wet-etching is 100 nm and the CD error limit is +/- 5 percent.

  11. LENS (lithography enhancement toward nano scale): a European project to support double exposure and double patterning technology development

    NASA Astrophysics Data System (ADS)

    Cantu, Pietro; Baldi, Livio; Piacentini, Paolo; Sytsma, Joost; Le Gratiet, Bertrand; Gaugiran, Stéphanie; Wong, Patrick; Miyashita, Hiroyuki; Atzei, Luisa R.; Buch, Xavier; Verkleij, Dick; Toublan, Olivier; Perez-Murano, Francesco; Mecerreyes, David

    2010-04-01

    In 2009 a new European initiative on Double Patterning and Double Exposure lithography process development was started in the framework of the ENIAC Joint Undertaking. The project, named LENS (Lithography Enhancement Towards Nano Scale), involves twelve companies from five different European Countries (Italy, Netherlands, France, Belgium Spain; includes: IC makers (Numonyx and STMicroelectronics), a group of equipment and materials companies (ASML, Lam Research srl, JSR, FEI), a mask maker (Dai Nippon Photomask Europe), an EDA company (Mentor Graphics) and four research and development institutes (CEA-Leti, IMEC, Centro Nacional de Microelectrónica, CIDETEC). The LENS project aims to develop and integrate the overall infrastructure required to reach patterning resolutions required by 32nm and 22nm technology nodes through the double patterning and pitch doubling technologies on existing conventional immersion exposure tools, with the purpose to allow the timely development of 32nm and 22nm technology nodes for memories and logic devices, providing a safe alternative to EUV, Higher Refraction Index Fluids Immersion Lithography and maskless lithography, which appear to be still far from maturity. The project will cover the whole lithography supply chain including design, masks, materials, exposure tools, process integration, metrology and its final objective is the demonstration of 22nm node patterning on available 1.35 NA immersion tools on high complexity mask set.

  12. The structural basis of Arf effector specificity: the crystal structure of ARF6 in a complex with JIP4.

    PubMed

    Isabet, Tatiana; Montagnac, Guillaume; Regazzoni, Karine; Raynal, Bertrand; El Khadali, Fatima; England, Patrick; Franco, Michel; Chavrier, Philippe; Houdusse, Anne; Ménétrey, Julie

    2009-09-16

    The JNK-interacting proteins, JIP3 and JIP4, are specific effectors of the small GTP-binding protein ARF6. The interaction of ARF6-GTP with the second leucine zipper (LZII) domains of JIP3/JIP4 regulates the binding of JIPs to kinesin-1 and dynactin. Here, we report the crystal structure of ARF6-GTP bound to the JIP4-LZII at 1.9 A resolution. The complex is a heterotetramer with dyad symmetry arranged in an ARF6-(JIP4)(2)-ARF6 configuration. Comparison of the ARF6-JIP4 interface with the equivalent region of ARF1 shows the structural basis of JIP4's specificity for ARF6. Using site-directed mutagenesis and surface plasmon resonance, we further show that non-conserved residues at the switch region borders are the key structural determinants of JIP4 specificity. A structure-derived model of the association of the ARF6-JIP3/JIP4 complex with membranes shows that the JIP4-LZII coiled-coil should lie along the membrane to prevent steric hindrances, resulting in only one ARF6 molecule bound. Such a heterotrimeric complex gives insights to better understand the ARF6-mediated motor switch regulatory function.

  13. Optimal design of wide-view-angle waveplate used for polarimetric diagnosis of lithography system

    NASA Astrophysics Data System (ADS)

    Gu, Honggang; Jiang, Hao; Zhang, Chuanwei; Chen, Xiuguo; Liu, Shiyuan

    2016-03-01

    The diagnosis and control of the polarization aberrations is one of the main concerns in a hyper numerical aperture (NA) lithography system. Waveplates are basic and indispensable optical components in the polarimetric diagnosis tools for the immersion lithography system. The retardance of a birefringent waveplate is highly sensitive to the incident angle of the light, which makes the conventional waveplate not suitable to be applied in the polarimetric diagnosis for the immersion lithography system with a hyper NA. In this paper, we propose a method for the optimal design of a wideview- angle waveplate by combining two positive waveplates made from magnesium fluoride (MgF2) and two negative waveplates made from sapphire using the simulated annealing algorithm. Theoretical derivations and numerical simulations are performed and the results demonstrate that the maximum variation in the retardance of the optimally designed wide-view-angle waveplate is less than +/- 0.35° for a wide-view-angle range of +/- 20°.

  14. Auxin-dependent compositional change in Mediator in ARF7- and ARF19-mediated transcription.

    PubMed

    Ito, Jun; Fukaki, Hidehiro; Onoda, Makoto; Li, Lin; Li, Chuanyou; Tasaka, Masao; Furutani, Masahiko

    2016-06-07

    Mediator is a multiprotein complex that integrates the signals from transcription factors binding to the promoter and transmits them to achieve gene transcription. The subunits of Mediator complex reside in four modules: the head, middle, tail, and dissociable CDK8 kinase module (CKM). The head, middle, and tail modules form the core Mediator complex, and the association of CKM can modify the function of Mediator in transcription. Here, we show genetic and biochemical evidence that CKM-associated Mediator transmits auxin-dependent transcriptional repression in lateral root (LR) formation. The AUXIN/INDOLE 3-ACETIC ACID 14 (Aux/IAA14) transcriptional repressor inhibits the transcriptional activity of its binding partners AUXIN RESPONSE FACTOR 7 (ARF7) and ARF19 by making a complex with the CKM-associated Mediator. In addition, TOPLESS (TPL), a transcriptional corepressor, forms a bridge between IAA14 and the CKM component MED13 through the physical interaction. ChIP assays show that auxin induces the dissociation of MED13 but not the tail module component MED25 from the ARF7 binding region upstream of its target gene. These findings indicate that auxin-induced degradation of IAA14 changes the module composition of Mediator interacting with ARF7 and ARF19 in the upstream region of their target genes involved in LR formation. We suggest that this regulation leads to a quick switch of signal transmission from ARFs to target gene expression in response to auxin.

  15. The Arf GEF GBF1 and Arf4 synergize with the sensory receptor cargo, rhodopsin, to regulate ciliary membrane trafficking.

    PubMed

    Wang, Jing; Fresquez, Theresa; Kandachar, Vasundhara; Deretic, Dusanka

    2017-12-01

    The small GTPase Arf4 and the Arf GTPase-activating protein (GAP) ASAP1 cooperatively sequester sensory receptor cargo into transport carriers targeted to primary cilia, but the input that drives Arf4 activation in this process remains unknown. Here, we show, by using frog retinas and recombinant human proteins, that during the carrier biogenesis from the photoreceptor Golgi/ trans -Golgi network (TGN) a functional complex is formed between Arf4, the Arf guanine nucleotide exchange factor (GEF) GBF1 and the light-sensing receptor, rhodopsin. Rhodopsin and Arf4 bind the regulatory N-terminal dimerization and cyclophillin-binding (DCB)-homology upstream of Sec7 (HUS) domain of GBF1. The complex is sensitive to Golgicide A (GCA), a selective inhibitor of GBF1 that accordingly blocks rhodopsin delivery to the cilia, without disrupting the photoreceptor Golgi. The emergence of newly synthesized rhodopsin in the endomembrane system is essential for GBF1-Arf4 complex formation in vivo Notably, GBF1 interacts with the Arf GAP ASAP1 in a GCA-resistant manner. Our findings indicate that converging signals on GBF1 from the influx of cargo into the Golgi/TGN and the feedback from Arf4, combined with input from ASAP1, control Arf4 activation during sensory membrane trafficking to primary cilia. © 2017. Published by The Company of Biologists Ltd.

  16. Projection Exposure with Variable Axis Immersion Lenses: A High-Throughput Electron Beam Approach to “Suboptical” Lithography

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans

    1995-12-01

    IBM's high-throughput e-beam stepper approach PRojection Exposure with Variable Axis Immersion Lenses (PREVAIL) is reviewed. The PREVAIL concept combines technology building blocks of our probe-forming EL-3 and EL-4 systems with the exposure efficiency of pattern projection. The technology represents an extension of the shaped-beam approach toward massively parallel pixel projection. As demonstrated, the use of variable-axis lenses can provide large field coverage through reduction of off-axis aberrations which limit the performance of conventional projection systems. Subfield pattern sections containing 107 or more pixels can be electronically selected (mask plane), projected and positioned (wafer plane) at high speed. To generate the entire chip pattern subfields must be stitched together sequentially in a combination of electronic and mechanical positioning of mask and wafer. The PREVAIL technology promises throughput levels competitive with those of optical steppers at superior resolution. The PREVAIL project is being pursued to demonstrate the viability of the technology and to develop an e-beam alternative to “suboptical” lithography.

  17. ARF tumor suppression in the nucleolus.

    PubMed

    Maggi, Leonard B; Winkeler, Crystal L; Miceli, Alexander P; Apicelli, Anthony J; Brady, Suzanne N; Kuchenreuther, Michael J; Weber, Jason D

    2014-06-01

    Since its discovery close to twenty years ago, the ARF tumor suppressor has played a pivotal role in the field of cancer biology. Elucidating ARF's basal physiological function in the cell has been the focal interest of numerous laboratories throughout the world for many years. Our current understanding of ARF is constantly evolving to include novel frameworks for conceptualizing the regulation of this critical tumor suppressor. As a result of this complexity, there is great need to broaden our understanding of the intricacies governing the biology of the ARF tumor suppressor. The ARF tumor suppressor is a key sensor of signals that instruct a cell to grow and proliferate and is appropriately localized in nucleoli to limit these processes. This article is part of a Special Issue entitled: Role of the Nucleolus in Human Disease. Copyright © 2014 Elsevier B.V. All rights reserved.

  18. Dynamics of ARF regulation that control senescence and cancer.

    PubMed

    Ko, Aram; Han, Su Yeon; Song, Jaewhan

    2016-11-01

    ARF is an alternative reading frame product of the INK4a/ARF locus, inactivated in numerous human cancers. ARF is a key regulator of cellular senescence, an irreversible cell growth arrest that suppresses tumor cell growth. It functions by sequestering MDM2 (a p53 E3 ligase) in the nucleolus, thus activating p53. Besides MDM2, ARF has numerous other interacting partners that induce either cellular senescence or apoptosis in a p53-independent manner. This further complicates the dynamics of the ARF network. Expression of ARF is frequently disrupted in human cancers, mainly due to epigenetic and transcriptional regulation. Vigorous studies on various transcription factors that either positively or negatively regulate ARF transcription have been carried out. However, recent focus on posttranslational modifications, particularly ubiquitination, indicates wider dynamic controls of ARF than previously known. In this review, we discuss the role and dynamic regulation of ARF in senescence and cancer. [BMB Reports 2016; 49(11): 598-606].

  19. The small GTPase Arf6 regulates sea urchin morphogenesis

    PubMed Central

    Stepicheva, Nadezda A.; Dumas, Megan; Kobi, Priscilla; Donaldson, Julie G.; Song, Jia L.

    2017-01-01

    The small GTPase Arf6 is a conserved protein that is expressed in all metazoans. Arf6 remodels cytoskeletal actin and mediates membrane protein trafficking between the plasma membrane in its active form and endosomal compartments in its inactive form. While a rich knowledge exists for the cellular functions of Arf6, relatively little is known about its physiological role in development. This study examines the function of Arf6 in mediating cellular morphogenesis in early development. We dissect the function of Arf6 with a loss-of-function morpholino and constitutively active Arf6-Q67L construct. We focus on the two cell types that undergo active directed migration: the primary mesenchyme cells (PMCs) that give rise to the sea urchin skeleton and endodermal cells that form the gut. Our results indicate that Arf6 plays an important role in skeleton formation and PMC migration, in part due to its ability to remodel actin. We also found that embryos injected with Arf6 morpholino have gastrulation defects and embryos injected with constitutively active Arf6 have endodermal cells detached from the gut epithelium with decreased junctional cadherin staining, indicating that Arf6 may mediate the recycling of cadherin. Thus, Arf6 impacts cells that undergo coordinated movement to form embryonic structures in the developing embryo. PMID:28188999

  20. SEM image quality enhancement technology for bright field mask

    NASA Astrophysics Data System (ADS)

    Fukuda, Naoki; Chihara, Yuta; Shida, Soichi; Ito, Keisuke

    2013-09-01

    Bright-field photomasks are used to print small contact holes via ArF immersion multiple patterning lithography. There are some technical difficulties when small floating dots are to be measured by SEM tools because of a false imaging shadow. However, a new scan technology of Multi Vision Metrology SEMTM E3630 presents a solution for this issue. The combination of new scan technology and the other MVM-SEM® functions can provide further extended applications with more accurate measurement results.

  1. A design of energy detector for ArF excimer lasers

    NASA Astrophysics Data System (ADS)

    Feng, Zebin; Han, Xiaoquan; Zhou, Yi; Bai, Lujun

    2017-08-01

    ArF excimer lasers with short wavelength and high photon energy are widely applied in the field of integrated circuit lithography, material processing, laser medicine, and so on. Excimer laser single pulse energy is a very important parameter in the application. In order to detect the single pulse energy on-line, one energy detector based on photodiode was designed. The signal processing circuit connected to the photodiode was designed so that the signal obtained by the photodiode was amplified and the pulse width was broadened. The amplified signal was acquired by a data acquisition card and stored in the computer for subsequent data processing. The peak of the pulse signal is used to characterize the single pulse energy of ArF excimer laser. In every condition of deferent pulse energy value levels, a series of data about laser pulses energy were acquired synchronously using the Ophir energy meter and the energy detector. A data set about the relationship between laser pulse energy and the peak of the pulse signal was acquired. Then, by using the data acquired, a model characterizing the functional relationship between the energy value and the peak value of the pulse was trained based on an algorithm of machine learning, Support Vector Regression (SVR). By using the model, the energy value can be obtained directly from the energy detector designed in this project. The result shows that the relative error between the energy obtained by the energy detector and by the Ophir energy meter is less than 2%.

  2. Immersion and dry lithography monitoring for flash memories (after develop inspection and photo cell monitor) using a darkfield imaging inspector with advanced binning technology

    NASA Astrophysics Data System (ADS)

    Parisi, P.; Mani, A.; Perry-Sullivan, C.; Kopp, J.; Simpson, G.; Renis, M.; Padovani, M.; Severgnini, C.; Piacentini, P.; Piazza, P.; Beccalli, A.

    2009-12-01

    After-develop inspection (ADI) and photo-cell monitoring (PM) are part of a comprehensive lithography process monitoring strategy. Capturing defects of interest (DOI) in the lithography cell rather than at later process steps shortens the cycle time and allows for wafer re-work, reducing overall cost and improving yield. Low contrast DOI and multiple noise sources make litho inspection challenging. Broadband brightfield inspectors provide the highest sensitivity to litho DOI and are traditionally used for ADI and PM. However, a darkfield imaging inspector has shown sufficient sensitivity to litho DOI, providing a high-throughput option for litho defect monitoring. On the darkfield imaging inspector, a very high sensitivity inspection is used in conjunction with advanced defect binning to detect pattern issues and other DOI and minimize nuisance defects. For ADI, this darkfield inspection methodology enables the separation and tracking of 'color variation' defects that correlate directly to CD variations allowing a high-sampling monitor for focus excursions, thereby reducing scanner re-qualification time. For PM, the darkfield imaging inspector provides sensitivity to critical immersion litho defects at a lower cost-of-ownership. This paper describes litho monitoring methodologies developed and implemented for flash devices for 65nm production and 45nm development using the darkfield imaging inspector.

  3. SmARF8, a transcription factor involved in parthenocarpy in eggplant.

    PubMed

    Du, Liming; Bao, Chonglai; Hu, Tianhua; Zhu, Qinmei; Hu, Haijiao; He, Qunyan; Mao, Weihai

    2016-02-01

    Parthenocarpic fruit is a very attractive trait for consumers and especially in eggplants where seeds can lead to browning of the flesh and bitterness. However, the molecular mechanisms underlying parthenocarpy in eggplant still remain unknown. Some auxin response factors have been previously shown in model species, such as Arabidopsis and tomato, to play an important role in such a process. Here, we have identified a natural parthenocarpic mutant and showed that ARF8 from eggplant (SmARF8), is down-regulated in buds compared to wild-type plants. Further characterization of SmARF8 showed that it is a nuclear protein and an active transcriptional regulator. We determined that amino acids 629-773 of SmARF8 act as the transcriptional activation domain, the C terminus of SmARF8 is the protein-binding domain, and that SmARF8 might form homodimers. Expression analysis in eggplant showed that SmARF8 is expressed ubiquitously in all tissues and organs and is responsive to auxin. Eggplant transgenic lines harboring RNA interference of SmARF8 exhibited parthenocarpy in unfertilized flowers, suggesting that SmARF8 negatively regulates fruit initiation. Interestingly, SmARF8-overexpressing Arabidopsis lines also induced parthenocarpy. These results indicate that SmARF8 could affect the dimerization of auxin/indole acetic acid repressors with SmARF8 via domains III and IV and thus induce fruit development. Furthermore, the introduction of SmARF8 full-length cDNA could partially complement the parthenocarpic phenotypes in Arabidopsis arf8-1 and arf8-4 mutants. Collectively, our results demonstrate that SmARF8 may act as a key negative regulator involved in parthenocarpic fruit development of eggplant. These findings give more insights into the conserved mechanisms leading to parthenocarpy in which auxin signaling plays a pivotal role, and provide potential target for eggplant breeding.

  4. Arf Suppresses Hepatic Vascular Neoplasia in a Carcinogen-Exposed Murine Model

    PubMed Central

    Busch, Stephanie E; Gurley, Kay E; Moser, Russell D; Kemp, Christopher J

    2013-01-01

    Hepatic haemangiosarcoma is a deadly malignancy whose aetiology remains poorly understood. Inactivation of the CDKN2A locus, which houses the ARF and p16INK4a tumour suppressor genes, is a common event in haemangiosarcoma patients, but the precise role of ARF in vascular tumourigenesis is unknown. To determine the extent to which ARF suppresses vascular neoplasia, we examined the incidence of hepatic vascular lesions in Arf-deficient mice exposed to the carcinogen urethane (i.p. 1 mg/g). Loss of Arf resulted in elevated morbidity and increased the incidence of both haemangiomas and incipient haemangiosarcomas. Suppression of vascular lesion development by ARF was heavily dependent on both Arf gene-dosage and the genetic strain of the mouse. Trp53-deficient mice also developed hepatic vascular lesions after exposure to urethane, suggesting that ARF signals through a p53-dependent pathway to inhibit the development of hepatic haemangiosarcoma. Our findings provide strong evidence that inactivation of Arf is a causative event in vascular neoplasia and suggest that the ARF pathway may be a novel molecular target for therapeutic intervention in haemangiosarcoma patients. PMID:22430984

  5. Extreme ultraviolet resist materials for sub-7 nm patterning.

    PubMed

    Li, Li; Liu, Xuan; Pal, Shyam; Wang, Shulan; Ober, Christopher K; Giannelis, Emmanuel P

    2017-08-14

    Continuous ongoing development of dense integrated circuits requires significant advancements in nanoscale patterning technology. As a key process in semiconductor high volume manufacturing (HVM), high resolution lithography is crucial in keeping with Moore's law. Currently, lithography technology for the sub-7 nm node and beyond has been actively investigated approaching atomic level patterning. EUV technology is now considered to be a potential alternative to HVM for replacing in some cases ArF immersion technology combined with multi-patterning. Development of innovative resist materials will be required to improve advanced fabrication strategies. In this article, advancements in novel resist materials are reviewed to identify design criteria for establishment of a next generation resist platform. Development strategies and the challenges in next generation resist materials are summarized and discussed.

  6. GLTSCR2 promotes the nucleoplasmic translocation and subsequent degradation of nucleolar ARF.

    PubMed

    Lee, Sun; Cho, Young-Eun; Kim, Sang-Hoon; Kim, Yong-Jun; Park, Jae-Hoon

    2017-03-07

    The alternative reading frame protein (p14ARF/ARF) is a key determinant of cell fate, acting as a potent tumor suppressor through a p53/MDM2-dependent pathway or promoting apoptosis in a p53-independent manner. The ARF protein is mainly expressed in the nucleolus and sequestered by nucleophosmin (NPM), whereas ARF-binding proteins, including p53 and MDM2, predominantly reside in the nucleoplasm. This raises the question of how nucleolar ARF binds nucleoplasmic signaling proteins to suppress tumor growth or inhibit cell cycle progression. GLTSCR2 (also known as PICT-1) is a nucleolar protein involved in both tumor suppression and oncogenesis in concert with p53, NPM, and/or MYC. Here, we show that GLTSCR2 increases nucleoplasmic ARF translocation and its degradation. Specifically, GLTSCR2 bound to ARF, and GLTSCR2-ARF complexes were released to the nucleoplasm, where GLTSCR2 increased the binding affinity of ARF for ULF/TRIP12 (a nucleoplasmic E3-ubiquitin ligase of ARF) and enhanced ARF degradation through the polyubiquitination pathway. Our results demonstrate that nucleolar/nucleoplasmic GLTSCR2 is a strong candidate for promoting the subcellular localization and protein stability of ARF.

  7. CD and defect improvement challenges for immersion processes

    NASA Astrophysics Data System (ADS)

    Ehara, Keisuke; Ema, Tatsuhiko; Yamasaki, Toshinari; Nakagawa, Seiji; Ishitani, Seiji; Morita, Akihiko; Kim, Jeonghun; Kanaoka, Masashi; Yasuda, Shuichi; Asai, Masaya

    2009-03-01

    The intention of this study is to develop an immersion lithography process using advanced track solutions to achieve world class critical dimension (CD) and defectivity performance in a state of the art manufacturing facility. This study looks at three important topics for immersion lithography: defectivity, CD control, and wafer backside contamination. The topic of defectivity is addressed through optimization of coat, develop, and rinse processes as well as implementation of soak steps and bevel cleaning as part of a comprehensive defect solution. Develop and rinse processing techniques are especially important in the effort to achieve a zero defect solution. Improved CD control is achieved using a biased hot plate (BHP) equipped with an electrostatic chuck. This electrostatic chuck BHP (eBHP) is not only able to operate at a very uniform temperature, but it also allows the user to bias the post exposure bake (PEB) temperature profile to compensate for systematic within-wafer (WiW) CD non-uniformities. Optimized CD results, pre and post etch, are presented for production wafers. Wafer backside particles can cause focus spots on an individual wafer or migrate to the exposure tool's wafer stage and cause problems for a multitude of wafers. A basic evaluation of the cleaning efficiency of a backside scrubber unit located on the track was performed as a precursor to a future study examining the impact of wafer backside condition on scanner focus errors as well as defectivity in an immersion scanner.

  8. Light sources for high-volume manufacturing EUV lithography: technology, performance, and power scaling

    NASA Astrophysics Data System (ADS)

    Fomenkov, Igor; Brandt, David; Ershov, Alex; Schafgans, Alexander; Tao, Yezheng; Vaschenko, Georgiy; Rokitski, Slava; Kats, Michael; Vargas, Michael; Purvis, Michael; Rafac, Rob; La Fontaine, Bruno; De Dea, Silvia; LaForge, Andrew; Stewart, Jayson; Chang, Steven; Graham, Matthew; Riggs, Daniel; Taylor, Ted; Abraham, Mathew; Brown, Daniel

    2017-06-01

    Extreme ultraviolet (EUV) lithography is expected to succeed in 193-nm immersion multi-patterning technology for sub-10-nm critical layer patterning. In order to be successful, EUV lithography has to demonstrate that it can satisfy the industry requirements in the following critical areas: power, dose stability, etendue, spectral content, and lifetime. Currently, development of second-generation laser-produced plasma (LPP) light sources for the ASML's NXE:3300B EUV scanner is complete, and first units are installed and operational at chipmaker customers. We describe different aspects and performance characteristics of the sources, dose stability results, power scaling, and availability data for EUV sources and also report new development results.

  9. Neon reduction program on Cymer ArF light sources

    NASA Astrophysics Data System (ADS)

    Kanawade, Dinesh; Roman, Yzzer; Cacouris, Ted; Thornes, Josh; O'Brien, Kevin

    2016-03-01

    In response to significant neon supply constraints, Cymer has responded with a multi-part plan to support its customers. Cymer's primary objective is to ensure that reliable system performance is maintained while minimizing gas consumption. Gas algorithms were optimized to ensure stable performance across all operating conditions. The Cymer neon support plan contains four elements: 1. Gas reduction program to reduce neon by >50% while maintaining existing performance levels and availability; 2. short-term containment solutions for immediate relief. 3. qualification of additional gas suppliers; and 4. long-term recycling/reclaim opportunity. The Cymer neon reduction program has shown excellent results as demonstrated through the comparison on standard gas use versus the new >50% reduced neon performance for ArF immersion light sources. Testing included stressful conditions such as repetition rate, duty cycle and energy target changes. No performance degradation has been observed over typical gas lives.

  10. Ectopic expression of UGT84A2 delayed flowering by indole-3-butyric acid-mediated transcriptional repression of ARF6 and ARF8 genes in Arabidopsis.

    PubMed

    Zhang, Gui-Zhi; Jin, Shang-Hui; Li, Pan; Jiang, Xiao-Yi; Li, Yan-Jie; Hou, Bing-Kai

    2017-12-01

    Ectopic expression of auxin glycosyltransferase UGT84A2 in Arabidopsis can delay flowering through increased indole-3-butyric acid and suppressed transcription of ARF6, ARF8 and flowering-related genes FT, SOC1, AP1 and LFY. Auxins are critical regulators for plant growth and developmental processes. Auxin homeostasis is thus an important issue for plant biology. Here, we identified an indole-3-butyric acid (IBA)-specific glycosyltransferase, UGT84A2, and characterized its role in Arabidopsis flowering development. UGT84A2 could catalyze the glycosylation of IBA, but not indole-3-acetic acid (IAA). UGT84A2 transcription expression was clearly induced by IBA. When ectopically expressing in Arabidopsis, UGT84A2 caused obvious delay in flowering. Correspondingly, the increase of IBA level, the down-regulation of AUXIN RESPONSE FACTOR 6 (ARF6) and ARF8, and the down-regulation of flowering-related genes such as FLOWERING LOCUS T (FT), SUPPRESSOR OF OVEREXPRESSION OF CO1(SOC1), APETALA1 (AP1), and LEAFY(LFY) were observed in transgenic plants. When exogenously applying IBA to wild-type plants, the late flowering phenotype, the down-regulation of ARF6, ARF8 and flowering-related genes recurred. We examined the arf6arf8 double mutants and found that the expression of flowering-related genes was also substantially decreased in these mutants. Together, our results suggest that glycosyltransferase UGT84A2 may be involved in flowering regulation through indole-3-butyric acid-mediated transcriptional repression of ARF6, ARF8 and downstream flowering pathway genes.

  11. Internalization of exogenous ADP-ribosylation factor 6 (Arf6) proteins into cells.

    PubMed

    Afroze, Syeda H; Uddin, M Nasir; Cao, Xiaobo; Asea, Alexzander; Gizachew, Dawit

    2011-08-01

    Endogenous Arf6 is a myristoylated protein mainly involved in endosomal membrane traffic and structural organization at the plasma membrane. It has been shown that Arf6 mediates cancer cell invasion and shedding of plasma membrane microvesicles derived from tumor cells. In this article, we determined that Arf6 proteins both in the GDP and GTPγS bound forms can enter cells when simply added in the cell culture medium without requiring the myristoyl group. The GTPγS bound can enter cells at a faster rate than the GDP-bound Arf6. Despite the role of the endogenous Arf6 in endocytosis and membrane trafficking, the internalization of exogenous Arf6 may involve non-endocytic processes. As protein therapeutics is becoming important in medicine, we examined the effect of the uptake of Arf6 proteins on cellular functions and determined that exogenous Arf6 inhibits proliferation, invasion, and migration of cells. Future studies of the internalization of Arf6 mutants will reveal key residues that play a role in the internalization of Arf6 and its interaction and possible structural conformations bound to the plasma membrane.

  12. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  13. ArF step-and-scan system with 0.75 NA for the 0.10μm node

    NASA Astrophysics Data System (ADS)

    Vleeming, Bert; Heskamp, Barbra; Bakker, Hans; Verstappen, Leon; Finders, Jo; Stoeten, Jan; Boerret, Rainer; Roempp, Oliver

    2001-09-01

    It is widely expected that 193 nm lithography will be the technology of choice for volume production of the 0.10 micrometer device generation. For this purpose the PAS5500/1100TM Step & Scan system, the second generation ArF tool, was developed. It is based on the PAS5500/900TM, the body of which has been adapted to fit the new 0.75 NA StarlithTM projection optics. This high NA enables mass manufacturing of devices following the 0.10 micrometer design rule. The system features a 10 W 2 kHz ArF laser and the AERIALTM II illuminator that can be equipped with a QUASARTM (multipole) option. In order to minimize wafer processing influences on overlay performance ATHENATM off- axis alignment with phase modulator is implemented. The usage of Reticle Blue Alignment will further improve overlay as well as increase the system stability. In this paper the PAS5500/1100TM system layout is discussed and the first imaging and overlay results are presented. Imaging performance is illustrated by SEM pictures of 0.10 micrometer dense lines, 0.15, 0.13 and 0.12 micrometer dense contact holes, 0.10 micrometer DRAM isolation patterns, image plane deviation and system distortion fingerprints. Alignment reproducibility and single machine overlay results demonstrate the overlay capability.

  14. Genomewide identification and expression analysis of the ARF gene family in apple.

    PubMed

    Luo, Xiao-Cui; Sun, Mei-Hong; Xu, Rui-Rui; Shu, Huai-Rui; Wang, Jia-Wei; Zhang, Shi-Zhong

    2014-12-01

    Auxin response factors (ARF) are transcription factors that regulate auxin responses in plants. Although the genomewide analysis of this family has been performed in some species, little is known regarding ARF genes in apple (Malus domestica). In this study, 31 putative apple ARF genes have been identified and located within the apple genome. The phylogenetic analysis revealed that MdARFs could be divided into three subfamilies (groups I, II and III). The predicted MdARFs were distributed across 15 of 17 chromosomes with different densities. In addition, the analysis of exon-intron junctions and of the intron phase inside the predicted coding region of each candidate gene has revealed high levels of conservation within and between phylogenetic groups. Expression profile analyses of MdARF genes were performed in different tissues (root, stem, leaf, flower and fruit), and all the selected genes were expressed in at least one of the tissues that were tested, which indicated that MdARFs are involved in various aspects of physiological and developmental processes of apple. To our knowledge, this report is the first to provide a genomewide analysis of the apple ARF gene family. This study provides valuable information for understanding the classification and putative functions of the ARF signal in apple.

  15. Aspergillus nidulans ArfB Plays a Role in Endocytosis and Polarized Growth ▿ †

    PubMed Central

    Lee, Soo Chan; Schmidtke, Sabrina N.; Dangott, Lawrence J.; Shaw, Brian D.

    2008-01-01

    Filamentous fungi undergo polarized growth throughout most of their life cycles. The Spitzenkörper is an apical organelle composed primarily of vesicles that is unique to filamentous fungi and is likely to act as a vesicle supply center for tip growth. Vesicle assembly and trafficking are therefore important for hyphal growth. ADP ribosylation factors (Arfs), a group of small GTPase proteins, play an important role in nucleating vesicle assembly. Little is known about the role of Arfs in filamentous hyphal growth. We found that Aspergillus nidulans is predicted to encode six Arf family proteins. Analysis of protein sequence alignments suggests that A. nidulans ArfB shares similarity with ARF6 of Homo sapiens and Arf3p of Saccharomyces cerevisiae. An arfB null allele (arfB disrupted by a transposon [arfB::Tn]) was characterized by extended isotropic growth of germinating conidia followed by cell lysis or multiple, random germ tube emergence, consistent with a failure to establish polarity. The mutant germ tubes and hyphae that do form initially meander abnormally off of the axis of polarity and frequently exhibit dichotomous branching at cell apices, consistent with a defect in polarity maintenance. FM4-64 staining of the arfB::Tn strain revealed that another phenotypic characteristic seen for arfB::Tn is a reduction and delay in endocytosis. ArfB is myristoylated at its N terminus. Green fluorescent protein-tagged ArfB (ArfB::GFP) localizes to the plasma membrane and endomembranes and mutation (ArfBG2A::GFP) of the N-terminal myristoylation motif disperses the protein to the cytoplasm rather than to the membranes. These results demonstrate that ArfB functions in endocytosis to play important roles in polarity establishment during isotropic growth and polarity maintenance during hyphal extension. PMID:18539885

  16. Persistent hyperplastic primary vitreous due to somatic mosaic deletion of the arf tumor suppressor.

    PubMed

    Thornton, J Derek; Swanson, Doug J; Mary, Michelle N; Pei, Deqing; Martin, Amy C; Pounds, Stanley; Goldowitz, Dan; Skapek, Stephen X

    2007-02-01

    Mice lacking the Arf tumor-suppressor gene develop eye disease reminiscent of persistent hyperplastic primary vitreous (PHPV). The current work explores mechanisms by which Arf promotes eye development, and its absence causes a PHPV-like disease. Chimeric mice were made by fusing wild-type and Arf(-/-) morulae. In these experiments, wild-type cells are identified by transgenic expression of GFP from a constitutive promoter. PCR-based genotyping and quantitative analyses after immunofluorescence staining of tissue and cultured cells documented the relative contribution of wild-type and Arf(-/-) cells to different tissues in the eye and different types of cells in the vitreous. The contributions of the Arf(-/-) lineage to the tail DNA, cornea, retina, and retina pigment epithelium (RPE) correlated with each other in wild-type<-->Arf(-/-) chimeric mice. Newborn chimeras had primary vitreous hyperplasia, evident as a retrolental mass. The mass was usually present when the proportion of Arf(-/-) cells was relatively high and absent when the Arf(-/-) proportion was low. The Pdgfrbeta- and Sma-expressing cells within the mass arose predominantly from the Arf(-/-) population. Ectopic Arf expression induced smooth muscle proteins in cultured pericyte-like cells, and Arf and Sma expression overlapped in hyaloid vessels. In the mouse model, loss of Arf in only a subset of cells causes a PHPV-like disease. The data indicate that both cell autonomous and non-cell autonomous effects of Arf may contribute to its role in vitreous development.

  17. Arf6 regulates EGF-induced internalization of E-cadherin in breast cancer cells.

    PubMed

    Xu, Rui; Zhang, Yujie; Gu, Luo; Zheng, Jianchao; Cui, Jie; Dong, Jing; Du, Jun

    2015-01-01

    E-cadherin internalization facilitates dissolution of adherens junctions and promotes tumor cell epithelial-mesenchymal transition (EMT) and migration. Our previous results have shown that Arf6 exerts pro-migratory action in breast cancer cells after EGF stimulation. Despite the fact that EGF signaling stimulates EMT of breast cancer cells, the effect of Arf6 on internalization of E-cadherin of breast cancer cells under EGF treatment remains to be determined. Here, we showed that EGF dose-dependently stimulated E-cadherin internalization by MCF-7 cells with the maximal effect at 50 ng/ml. Meanwhile, EGF treatment markedly increased Arf6 activation. Arf6 was involved in complexes of E-cadherin, and more E-cadherin was pulled down with Arf6 when the activity of the latter was increased. Immunoblotting and immunofluorescence assays showed that transfection breast cancer cells with Arf6-T27N or Arf6 siRNA suppressed EGF-induced E-cadherin internalization. Taken together, our study demonstrated that Arf6 activation plays a potential role in EGF-induced E-cadherin internalization, providing new mechanism underlying the effect of Arf6 on promoting breast cancer cell metastasis.

  18. Expression of a dominant allele of human ARF1 inhibits membrane traffic in vivo

    PubMed Central

    1994-01-01

    ADP-ribosylation factor (ARF) proteins and inhibitory peptides derived from ARFs have demonstrated activities in a number of in vitro assays that measure ER-to-Golgi and intra-Golgi transport and endosome fusion. To better understand the roles of ARF proteins in vivo, stable cell lines were obtained from normal rat kidney (NRK) cells transfected with either wild-type or a dominant activating allele ([Q71L]) of the human ARF1 gene under the control of the interferon-inducible mouse Mx1 promoter. Upon addition of interferon, expression of ARF1 proteins increased with a half-time of 7-8 h, as determined by immunoblot analysis. Induction of mutant ARF1, but not wild-type ARF1, led to an inhibition of protein secretion with kinetics similar to that observed for induction of protein expression. Examination of the Golgi apparatus and the ER by indirect immunofluorescence or transmission electron microscopy revealed that expression of low levels of mutant ARF1 protein correlated with a dramatic increase in vesiculation of the Golgi apparatus and expansion of the ER lumen, while expression of substantially higher levels of wild-type ARF1 had no discernible effect. Endocytosis was also inhibited by expression of mutant ARF1, but not by the wild-type protein. Finally, the expression of [Q71L]ARF1, but not wild-type ARF1, antagonized the actions of brefeldin A, as determined by the delayed loss of ARF and beta-COP from Golgi membranes and disruption of the Golgi apparatus. General models for the actions of ARF1 in membrane traffic events are discussed. PMID:8294513

  19. Writing time estimation of EB mask writer EBM-9000 for hp16nm/logic11nm node generation

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Takekoshi, Hidekazu; Ogasawara, Munehiro; Yamada, Hirokazu; Hattori, Kiyoshi

    2014-10-01

    The scaling of semiconductor devices is slowing down because of the difficulty in establishing their functionality at the nano-size level and also because of the limitations in fabrications, mainly the delay of EUV lithography. While multigate devices (FinFET) are currently the main driver for scalability, other types of devices, such as 3D devices, are being realized to relax the scaling of the node. In lithography, double or multiple patterning using ArF immersion scanners is still a realistic solution offered for the hp16nm node fabrication. Other lithography candidates are those called NGL (Next Generation Lithography), such as DSA (Directed-Self-Assembling) or nanoimprint. In such situations, shot count for mask making by electron beam writers will not increase. Except for some layers, it is not increasing as previously predicted. On the other hand, there is another aspect that increases writing time. The exposure dose for mask writing is getting higher to meet tighter specifications of CD uniformity, in other words, reduce LER. To satisfy these requirements, a new electron beam mask writer, EBM-9000, has been developed for hp16nm/logic11nm generation. Electron optical system, which has the immersion lens system, was evolved from EBM-8000 to achieve higher current density of 800A/cm2. In this paper, recent shot count and dose trend are discussed. Also, writing time is estimated for the requirements in EBM-9000.

  20. Genetic Alterations of RDINK4/ARF Enhancer in Human Cancer Cells

    PubMed Central

    Li, Junan; Knobloch, Thomas J.; Poi, Ming J.; Zhang, Zhaoxia; Davis, Andrew T.; Muscarella, Peter; Weghorst, Christopher M.

    2017-01-01

    Recent identification of an enhancer element, RDINK4/ARF (RD), in the prominent INK4/ARF locus provides a novel mechanism to simultaneously regulate the transcription of p15INK4B (p15), p14ARF, and p16INK4A (p16) tumor suppressor genes. While genetic inactivation of p15, p14ARF, and p16 in human tumors has been extensively studied, little is known about genetic alterations of RD and its impact on p15, p14ARF, and p16 in human cancer. The purpose of this study was to investigate the potential existence of genetic alterations of RD in human cancer cells. DNAs extracted from 17 different cancer cell lines and 31 primary pheochromocytoma tumors were analyzed for deletion and mutation of RD using qPCR and direct DNA sequencing. We found that RD was deleted in human cancer cell lines and pheochromocytoma tumors at frequencies of 41.2% (7/17) and 13.0% (4/31), respectively. While some of these RD deletion events occurred along with deletions of the entire INK4/ARF locus, other RD deletion events were independent of genetic alterations in p15, p14ARF, and p16. Furthermore, the status of RD was poorly associated with the expression of p15, p14ARF, and p16 in tested cancer cell lines and tumors. This study demonstrates for the first time that deletion of the RD enhancer is a prevalent event in human cancer cells. Its implication in carcinogenesis remains to be further explored. PMID:23065809

  1. An Arf-GAP promotes endocytosis and hyphal growth of Ashbya gossypii.

    PubMed

    Oscarsson, Therese; Walther, Andrea; Lengeler, Klaus B; Wendland, Jürgen

    2017-12-29

    The ADP-ribosylation factor (ARF) family of GTPases are highly conserved from yeast to human and regulate vesicle budding. Sec7 domain containing proteins stimulate the guanine nucleotide exchange on Arf proteins, while ARF-GTPase activating proteins stimulate the hydrolysis of GTP. Since vesicle trafficking is important for hyphal growth, we studied the Ashbya gossypii homolog of Saccharomyces cerevisiae ARF3 along with its putative GEF and GTPase-activating protein (GAP) encoded by YEL1 and GTS1, respectively. Deletion of YEL1 had no discernible phenotype and deletion of ARF3 had only a minor defect in vacuolar fusion. In contrast, deletion of GTS1 severely impaired hyphal growth, and mutants showed defects in the maintenance of polarity and the localization of cortical actin patches. The uptake of the lipophilic dye FM4-64 was delayed in gts1 hyphae, indicating a defect in endocytosis. Gts1 has several protein domains, of which the Arf-GAP domain is required for complementation of the gts1 mutant phenotype. GFP-tagged GTS1 under control of its endogenous promoter localized to the plasma membrane but was enriched at hyphal tips and septal sites corresponding to a role in polarized vesicle trafficking. Our results indicate that this ARF-GTPase module plays an important role for filamentous hyphal growth. © FEMS 2017. All rights reserved. For permissions, please e-mail: journals.permissions@oup.com.

  2. Chlamydia Hijacks ARF GTPases To Coordinate Microtubule Posttranslational Modifications and Golgi Complex Positioning.

    PubMed

    Wesolowski, Jordan; Weber, Mary M; Nawrotek, Agata; Dooley, Cheryl A; Calderon, Mike; St Croix, Claudette M; Hackstadt, Ted; Cherfils, Jacqueline; Paumet, Fabienne

    2017-05-02

    The intracellular bacterium Chlamydia trachomatis develops in a parasitic compartment called the inclusion. Posttranslationally modified microtubules encase the inclusion, controlling the positioning of Golgi complex fragments around the inclusion. The molecular mechanisms by which Chlamydia coopts the host cytoskeleton and the Golgi complex to sustain its infectious compartment are unknown. Here, using a genetically modified Chlamydia strain, we discovered that both posttranslationally modified microtubules and Golgi complex positioning around the inclusion are controlled by the chlamydial inclusion protein CT813/CTL0184/InaC and host ARF GTPases. CT813 recruits ARF1 and ARF4 to the inclusion membrane, where they induce posttranslationally modified microtubules. Similarly, both ARF isoforms are required for the repositioning of Golgi complex fragments around the inclusion. We demonstrate that CT813 directly recruits ARF GTPases on the inclusion membrane and plays a pivotal role in their activation. Together, these results reveal that Chlamydia uses CT813 to hijack ARF GTPases to couple posttranslationally modified microtubules and Golgi complex repositioning at the inclusion. IMPORTANCE Chlamydia trachomatis is an important cause of morbidity and a significant economic burden in the world. However, how Chlamydia develops its intracellular compartment, the so-called inclusion, is poorly understood. Using genetically engineered Chlamydia mutants, we discovered that the effector protein CT813 recruits and activates host ADP-ribosylation factor 1 (ARF1) and ARF4 to regulate microtubules. In this context, CT813 acts as a molecular platform that induces the posttranslational modification of microtubules around the inclusion. These cages are then used to reposition the Golgi complex during infection and promote the development of the inclusion. This study provides the first evidence that ARF1 and ARF4 play critical roles in controlling posttranslationally modified

  3. Arf6 negatively controls the rapid recycling of the β2 adrenergic receptor.

    PubMed

    Macia, Eric; Partisani, Mariagrazia; Paleotti, Olivia; Luton, Frederic; Franco, Michel

    2012-09-01

    β2-adrenergic receptor (β2AR), a member of the GPCR (G-protein coupled receptor) family, is internalized in a ligand- and β-arrestin-dependent manner into early endosomes, and subsequently recycled back to the plasma membrane. Here, we report that β-arrestin promotes the activation of the small G protein Arf6, which regulates the recycling and degradation of β2AR. We demonstrate in vitro that the C-terminal region of β-arrestin1 interacts directly and simultaneously with Arf6GDP and its specific exchange factor EFA6, to promote Arf6 activation. Similarly, the ligand-mediated activation of β2AR leads to the formation of Arf6GTP in vivo in a β-arrestin-dependent manner. Expression of either EFA6 or an activated Arf6 mutant caused accumulation of β2AR in the degradation pathway. This phenotype could be rescued by the expression of an activated mutant of Rab4, suggesting that Arf6 acts upstream of Rab4. We propose a model in which Arf6 plays an essential role in β2AR desensitization. The ligand-mediated stimulation of β2AR relocates β-arrestin to the plasma membrane, and triggers the activation of Arf6 by EFA6. The activation of Arf6 leads to accumulation of β2AR in the degradation pathway, and negatively controls Rab4-dependent fast recycling to prevent the re-sensitization of β2AR.

  4. The human ARF tumor suppressor senses blastema activity and suppresses epimorphic tissue regeneration

    PubMed Central

    Hesse, Robert G; Kouklis, Gayle K; Ahituv, Nadav; Pomerantz, Jason H

    2015-01-01

    The control of proliferation and differentiation by tumor suppressor genes suggests that evolution of divergent tumor suppressor repertoires could influence species’ regenerative capacity. To directly test that premise, we humanized the zebrafish p53 pathway by introducing regulatory and coding sequences of the human tumor suppressor ARF into the zebrafish genome. ARF was dormant during development, in uninjured adult fins, and during wound healing, but was highly expressed in the blastema during epimorphic fin regeneration after amputation. Regenerative, but not developmental signals resulted in binding of zebrafish E2f to the human ARF promoter and activated conserved ARF-dependent Tp53 functions. The context-dependent activation of ARF did not affect growth and development but inhibited regeneration, an unexpected distinct tumor suppressor response to regenerative versus developmental environments. The antagonistic pleiotropic characteristics of ARF as both tumor and regeneration suppressor imply that inducing epimorphic regeneration clinically would require modulation of ARF –p53 axis activation. DOI: http://dx.doi.org/10.7554/eLife.07702.001 PMID:26575287

  5. Ral-Arf6 crosstalk regulates Ral dependent exocyst trafficking and anchorage independent growth signalling.

    PubMed

    Pawar, Archana; Meier, Jeremy A; Dasgupta, Anwesha; Diwanji, Neha; Deshpande, Neha; Saxena, Kritika; Buwa, Natasha; Inchanalkar, Siddhi; Schwartz, Martin Alexander; Balasubramanian, Nagaraj

    2016-09-01

    Integrin dependent regulation of growth factor signalling confers anchorage dependence that is deregulated in cancers. Downstream of integrins and oncogenic Ras the small GTPase Ral is a vital mediator of adhesion dependent trafficking and signalling. This study identifies a novel regulatory crosstalk between Ral and Arf6 that controls Ral function in cells. In re-adherent mouse fibroblasts (MEFs) integrin dependent activation of RalA drives Arf6 activation. Independent of adhesion constitutively active RalA and RalB could both however activate Arf6. This is further conserved in oncogenic H-Ras containing bladder cancer T24 cells, which express anchorage independent active Ral that supports Arf6 activation. Arf6 mediates active Ral-exocyst dependent delivery of raft microdomains to the plasma membrane that supports anchorage independent growth signalling. Accordingly in T24 cells the RalB-Arf6 crosstalk is seen to preferentially regulate anchorage independent Erk signalling. Active Ral we further find uses a Ral-RalBP1-ARNO-Arf6 pathway to mediate Arf6 activation. This study hence identifies Arf6, through this regulatory crosstalk, to be a key downstream mediator of Ral isoform function along adhesion dependent pathways in normal and cancer cells. Copyright © 2016 The Authors. Published by Elsevier Inc. All rights reserved.

  6. Discrete Determinants in ArfGAP2/3 Conferring Golgi Localization and Regulation by the COPI Coat

    PubMed Central

    Kliouchnikov, Lena; Bigay, Joëlle; Mesmin, Bruno; Parnis, Anna; Rawet, Moran; Goldfeder, Noga; Antonny, Bruno

    2009-01-01

    From yeast to mammals, two types of GTPase-activating proteins, ArfGAP1 and ArfGAP2/3, control guanosine triphosphate (GTP) hydrolysis on the small G protein ADP-ribosylation factor (Arf) 1 at the Golgi apparatus. Although functionally interchangeable, they display little similarity outside the catalytic GTPase-activating protein (GAP) domain, suggesting differential regulation. ArfGAP1 is controlled by membrane curvature through its amphipathic lipid packing sensor motifs, whereas Golgi targeting of ArfGAP2 depends on coatomer, the building block of the COPI coat. Using a reporter fusion approach and in vitro assays, we identified several functional elements in ArfGAP2/3. We show that the Golgi localization of ArfGAP3 depends on both a central basic stretch and a carboxy-amphipathic motif. The basic stretch interacts directly with coatomer, which we found essential for the catalytic activity of ArfGAP3 on Arf1-GTP, whereas the carboxy-amphipathic motif interacts directly with lipid membranes but has minor role in the regulation of ArfGAP3 activity. Our findings indicate that the two types of ArfGAP proteins that reside at the Golgi use a different combination of protein–protein and protein–lipid interactions to promote GTP hydrolysis in Arf1-GTP. PMID:19109418

  7. Ancient Complexity, Opisthokont Plasticity, and Discovery of the 11th Subfamily of Arf GAP Proteins

    PubMed Central

    Schlacht, Alexander; Mowbrey, Kevin; Elias, Marek; Kahn, Richard A.; Dacks, Joel B.

    2013-01-01

    The organelle paralogy hypothesis is one model for the acquisition of non-endosymbiotic organelles, generated from molecular evolutionary analyses of proteins encoding specificity in the membrane traffic system. GTPase Activating Proteins (GAPs) for the ADP-ribosylation factor (Arfs) GTPases are additional regulators of the kinetics and fidelity of membrane traffic. Here we describe molecular evolutionary analyses of Arf GAP protein family. Of the ten subfamilies previously defined in humans, we find that five were likely present in the Last Eukaryotic Common Ancestor (LECA). Of the three more recently derived subfamilies, one was likely present in the ancestor of opisthokonts (animals and fungi) and apusomonads (flagellates classified as the sister lineage to opisthokonts), while two arose in the holozoan lineage. We also propose to have identified a novel ancient subfamily (ArfGAPC2), present in diverse eukaryotes but which is lost frequently, including in the opisthokonts. Surprisingly few ancient domains accompanying the ArfGAP domain were identified, in marked contrast to the extensively decorated human Arf GAPs. Phylogenetic analyses of the subfamilies reveal patterns of single and multiple gene duplications specific to the Holozoa, to some degree mirroring evolution of Arf GAP targets, the Arfs. Conservation, and lack thereof, of various residues in the ArfGAP structure provide contextualization of previously identified functional amino acids and their application to Arf GAP biology in general. Overall, our results yield insights into current Arf GAP biology, reveal complexity in the ancient eukaryotic ancestor, and integrate the Arf GAP family into a proposed mechanism for the evolution of non-endosymbiotic organelles. PMID:23433073

  8. Chlamydia Hijacks ARF GTPases To Coordinate Microtubule Posttranslational Modifications and Golgi Complex Positioning

    PubMed Central

    Wesolowski, Jordan; Weber, Mary M.; Nawrotek, Agata; Dooley, Cheryl A.; Calderon, Mike; St. Croix, Claudette M.; Hackstadt, Ted; Cherfils, Jacqueline

    2017-01-01

    ABSTRACT The intracellular bacterium Chlamydia trachomatis develops in a parasitic compartment called the inclusion. Posttranslationally modified microtubules encase the inclusion, controlling the positioning of Golgi complex fragments around the inclusion. The molecular mechanisms by which Chlamydia coopts the host cytoskeleton and the Golgi complex to sustain its infectious compartment are unknown. Here, using a genetically modified Chlamydia strain, we discovered that both posttranslationally modified microtubules and Golgi complex positioning around the inclusion are controlled by the chlamydial inclusion protein CT813/CTL0184/InaC and host ARF GTPases. CT813 recruits ARF1 and ARF4 to the inclusion membrane, where they induce posttranslationally modified microtubules. Similarly, both ARF isoforms are required for the repositioning of Golgi complex fragments around the inclusion. We demonstrate that CT813 directly recruits ARF GTPases on the inclusion membrane and plays a pivotal role in their activation. Together, these results reveal that Chlamydia uses CT813 to hijack ARF GTPases to couple posttranslationally modified microtubules and Golgi complex repositioning at the inclusion. PMID:28465429

  9. A Structure-Based Mechanism for Arf1-Dependent Recruitment of Coatomer to Membranes

    PubMed Central

    Yu, Xinchao; Breitman, Marianna; Goldberg, Jonathan

    2012-01-01

    Summary Budding of COPI-coated vesicles from Golgi membranes requires an Arf-family G protein and the coatomer complex recruited from cytosol. Arf is also required with coatomer-related clathrin adaptor complexes to bud vesicles from the trans-Golgi network and endosomal compartments. To understand the structural basis for Arf-dependent recruitment of a vesicular coat to the membrane, we determined the structure of Arf1 bound to the γζ-COP subcomplex of coatomer. Structure-guided biochemical analysis reveals that a second Arf1-GTP molecule binds to βδ-COP at a site common to the γ- and β-COP subunits. The Arf1-binding sites on coatomer are spatially related to PtdIns4,5P2-binding sites on the endocytic AP2 complex, providing evidence that the orientation of membrane binding is general for this class of vesicular coat proteins. A bivalent GTP-dependent binding mode has implications for the dynamics of coatomer interaction with the Golgi and for the selection of cargo molecules. PMID:22304919

  10. Research development of thermal aberration in 193nm lithography exposure system

    NASA Astrophysics Data System (ADS)

    Wang, Yueqiang; Liu, Yong

    2014-08-01

    Lithographic exposure is the key process in the manufacture of the integrated circuit, and the performance of exposure system decides the level of microelectronic manufacture technology. Nowadays, the 193nm ArF immersion exposure tool is widely used by the IC manufacturer. With the uniformity of critical dimension (CDU) and overlay become tighter and the requirement for throughput become higher, the thermal aberration caused by lens material and structure absorbing the laser energy cannot be neglected. In this paper, we introduce the efforts and methods that researcher on thermal aberration and its control. Further, these methods were compared to show their own pros and cons. Finally we investigated the challenges of thermal aberration control for state of the art technologies.

  11. A role for POR1, a Rac1-interacting protein, in ARF6-mediated cytoskeletal rearrangements.

    PubMed Central

    D'Souza-Schorey, C; Boshans, R L; McDonough, M; Stahl, P D; Van Aelst, L

    1997-01-01

    The ARF6 GTPase, the least conserved member of the ADP ribosylation factor (ARF) family, associates with the plasma membrane and intracellular endosome vesicles. Mutants of ARF6 defective in GTP binding and hydrolysis have a marked effect on endocytic trafficking and the gross morphology of the peripheral membrane system. Here we report that expression of the GTPase-defective mutant of ARF6, ARF6(Q67L), remodels the actin cytoskeleton by inducing actin polymerization at the cell periphery. This cytoskeletal rearrangement was inhibited by co-expression of ARF6(Q67L) with deletion mutants of POR1, a Rac1-interacting protein involved in membrane ruffling, but not with the dominant-negative mutant of Rac1, Rac1(S17N). A synergistic effect between POR1 and ARF6 for the induction of actin polymerization was detected. Furthermore, we observed that ARF6 interacts directly with POR1 and that this interaction was GTP dependent. These findings indicate that ARF6 and Rac1 function on distinct signaling pathways to mediate cytoskeletal reorganization, and suggest a role for POR1 as an important regulatory element in orchestrating cytoskeletal rearrangements at the cell periphery induced by ARF6 and Rac1. PMID:9312003

  12. Pathogenesis of persistent hyperplastic primary vitreous in mice lacking the arf tumor suppressor gene.

    PubMed

    Martin, Amy C; Thornton, J Derek; Liu, Jiewiu; Wang, XiaoFei; Zuo, Jian; Jablonski, Monica M; Chaum, Edward; Zindy, Frederique; Skapek, Stephen X

    2004-10-01

    Persistent hyperplastic primary vitreous (PHPV) is an idiopathic developmental eye disease associated with failed involution of the hyaloid vasculature. The present work addressed the pathogenesis of PHPV in a mouse model that replicates many aspects of the human disease. Ophthalmoscopic and histologic analyses documented pathologic processes in eyes of mice lacking the Arf gene compared with Ink4a-deficient and wild-type control animals. Immunohistochemical staining, in situ hybridization, and RT-PCR demonstrated the expression of relevant gene products. Arf gene expression was determined by in situ hybridization using wholemounts of wild-type mouse eyes and by immunofluorescence staining for green fluorescent protein (GFP) in Arf(+/GFP) heterozygous knock-in mouse eyes. Abnormalities in Arf(-/-) mice mimicked those found in patients with severe PHPV. The mice had microphthalmia; fibrovascular, retrolental tissue containing retinal pigment epithelial cells and remnants of the hyaloid vascular system; posterior lens capsule destruction with lens degeneration and opacity; and severe retinal dysplasia and detachment. Eyes of mice lacking the overlapping Ink4a gene were normal. Arf was selectively expressed in perivascular cells within the vitreous of the postnatal eye. Cells composing the retrolental mass in Arf(-/-) mice expressed the Arf promoter. The remnant hyaloid vessels expressed Flk-1. Its ligand, vascular endothelial growth factor (Vegf), was expressed in the retrolental tissue and the adjacent dysplastic neuroretina. Arf(-/-) mice have features that accurately mimic severe PHPV. In the HVS, Arf expression in perivascular cells may block their accumulation or repress Vegf expression to promote HVS involution and prevent PHPV.

  13. Molecular analysis of ARF1 expression profiles during development of physic nut (Jatropha curcas L.).

    PubMed

    Qin, Xiaobo; Lin, Fanrong; Lii, Yifan; Gou, Chunbao; Chen, Fang

    2011-03-01

    A cDNA clone designated arf1 was isolated from a physic nut (Jatropha curcas L.) endosperm cDNA library which encodes a small GTP-binding protein and has significant homology to ADP-ribosylation factors (ARF) in plants, animals and microbes. The cDNA contains an open reading frame that encodes a polypeptide of 181 amino acids with a calculated molecular mass of 20.7 kDa. The deduced amino acid sequence showed high homology to known ARFs from other organisms. The products of the arf1 obtained by overexpression in E. coli revealed the specific binding activity toward GTP. The expression of arf1 was observed in flowers, roots, stems and leaves as analyzed by RT-PCR, and its transcriptional level was highest in flowers. In particular, the accumulation of arf1 transcripts was different under various environmental stresses in seedlings. The results suggest that arf1 plays distinct physiological roles in Jatropha curcas cells.

  14. ARF1 and SAR1 GTPases in Endomembrane Trafficking in Plants

    PubMed Central

    Cevher-Keskin, Birsen

    2013-01-01

    Small GTPases largely control membrane traffic, which is essential for the survival of all eukaryotes. Among the small GTP-binding proteins, ARF1 (ADP-ribosylation factor 1) and SAR1 (Secretion-Associated RAS super family 1) are commonly conserved among all eukaryotes with respect to both their functional and sequential characteristics. The ARF1 and SAR1 GTP-binding proteins are involved in the formation and budding of vesicles throughout plant endomembrane systems. ARF1 has been shown to play a critical role in COPI (Coat Protein Complex I)-mediated retrograde trafficking in eukaryotic systems, whereas SAR1 GTPases are involved in intracellular COPII-mediated protein trafficking from the ER to the Golgi apparatus. This review offers a summary of vesicular trafficking with an emphasis on the ARF1 and SAR1 expression patterns at early growth stages and in the de-etiolation process. PMID:24013371

  15. Vesicular transport protein Arf6 modulates cytoskeleton dynamics for polar body extrusion in mouse oocyte meiosis.

    PubMed

    Duan, Xing; Zhang, Hao-Lin; Pan, Meng-Hao; Zhang, Yu; Sun, Shao-Chen

    2018-02-01

    Arf6 (ADP-ribosylation factor 6) is known to play important roles in membrane dynamics through the regulation of actin filament reorganization for multiple cellular processes such as cytokinesis, phagocytosis, cell migration and tumor cell invasion. However, the functions of Arf6 in mammalian oocyte meiosis have not been clarified. In present study we showed that Arf6 expressed in mouse oocytes and was mainly distributed around the spindle during meiosis. Depletion of Arf6 by morpholino microinjection caused oocytes failing to extrude first polar body. Further analysis indicated that Arf6 knock down caused the aberrant actin distribution, which further induced the failure of meiotic spindle movement. And the loss of oocyte polarity also confirmed this. The regulation of Arf6 on actin filaments in mouse oocytes might be due to its effects on the phosphorylation level of cofilin and the expression of Arp2/3 complex. Moreover, we found that the decrease of Arf6 caused the disruption of spindle formation, indicating the multiple roles of Arf6 on cytoskeleton dynamics in meiosis. In summary, our results indicated that Arf6 was involved in mouse oocyte meiosis through its functional roles in actin-mediated spindle movement and spindle organization. Copyright © 2017 Elsevier B.V. All rights reserved.

  16. Increased Arf/p53 activity in stem cells, aging and cancer.

    PubMed

    Carrasco-Garcia, Estefania; Moreno, Manuel; Moreno-Cugnon, Leire; Matheu, Ander

    2017-04-01

    Arf/p53 pathway protects the cells against DNA damage induced by acute stress. This characteristic is the responsible for its tumor suppressor activity. Moreover, it regulates the chronic type of stress associated with aging. This is the basis of its anti-aging activity. Indeed, increased gene dosage of Arf/p53 displays elongated longevity and delayed aging. At a cellular level, it has been recently shown that increased dosage of Arf/p53 delays age-associated stem cell exhaustion and the subsequent decline in tissue homeostasis and regeneration. However, p53 can also promote aging if constitutively activated. In this context, p53 reduces tissue regeneration, which correlates with premature exhaustion of stem cells. We discuss here the current evidence linking the Arf/p53 pathway to the processes of aging and cancer through stem cell regulation. © 2017 The Authors. Aging Cell published by the Anatomical Society and John Wiley & Sons Ltd.

  17. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    of opportunity for EPL had closed with the quick implementation of immersion lithography and the interest of the industry has since shifted back to maskless lithography (ML2). This historical overview of EBDW will highlight opportunities and limitation of the technology with particular focus on technical challenges facing the current ML2 development efforts in Europe and the US. A brief status report and risk assessment of the ML2 approaches will be provided.

  18. Sub-100-nm trackwidth development by e-beam lithography for advanced magnetic recording heads

    NASA Astrophysics Data System (ADS)

    Chang, Jei-Wei; Chen, Chao-Peng

    2006-03-01

    Although semiconductor industry ramps the products with 90 nm much quicker than anticipated [1], magnetic recording head manufacturers still have difficulties in producing sub-100 nm read/write trackwidth. Patterning for high-aspectratio writer requires much higher depth of focus (DOF) than most advanced optical lithography, including immersion technique developed recently [2]. Self-aligning reader with its stabilized bias requires a bi-layer lift-off structure where the underlayer is narrower than the top image layer. As the reader's trackwidth is below 100nm, the underlayer becomes very difficult to control. Among available approaches, e-beam lithography remains the most promising one to overcome the challenge of progressive miniaturization. In this communication, the authors discussed several approaches using ebeam lithography to achieve sub-100 nm read/write trackwidth. Our studies indicated the suspended resist bridge design can not only widen the process window for lift-off process but also makes 65 nm trackwidth feasible to manufacture. Necked dog-bone structure seems to be the best design in this application due to less proximity effects from adjacent structures and minimum blockages for ion beam etching. The trackwidth smaller than 65 nm can be fabricated via the combination of e-beam lithography with auxiliary slimming and/or trimming. However, deposit overspray through undercut becomes dominated in such a small dimension. To minimize the overspray, the effects of underlayer thickness need to be further studied.

  19. High order field-to-field corrections for imaging and overlay to achieve sub 20-nm lithography requirements

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Kubis, Michael; Hinnen, Paul; de Graaf, Roelof; van der Laan, Hans; Padiy, Alexander; Menchtchikov, Boris

    2013-04-01

    Immersion lithography is being extended to the 20-nm and 14-nm node and the lithography performance requirements need to be tightened further to enable this shrink. In this paper we present an integral method to enable high-order fieldto- field corrections for both imaging and overlay, and we show that this method improves the performance with 20% - 50%. The lithography architecture we build for these higher order corrections connects the dynamic scanner actuators with the angle resolved scatterometer via a separate application server. Improvements of CD uniformity are based on enabling the use of freeform intra-field dose actuator and field-to-field control of focus. The feedback control loop uses CD and focus targets placed on the production mask. For the overlay metrology we use small in-die diffraction based overlay targets. Improvements of overlay are based on using the high order intra-field correction actuators on a field-tofield basis. We use this to reduce the machine matching error, extending the heating control and extending the correction capability for process induced errors.

  20. Resolution Improvement and Pattern Generator Development for theMaskless Micro-Ion-Beam Reduction Lithography System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Ximan

    The shrinking of IC devices has followed the Moore's Law for over three decades, which states that the density of transistors on integrated circuits will double about every two years. This great achievement is obtained via continuous advance in lithography technology. With the adoption of complicated resolution enhancement technologies, such as the phase shifting mask (PSM), the optical proximity correction (OPC), optical lithography with wavelength of 193 nm has enabled 45 nm printing by immersion method. However, this achievement comes together with the skyrocketing cost of masks, which makes the production of low volume application-specific IC (ASIC) impractical. In ordermore » to provide an economical lithography approach for low to medium volume advanced IC fabrication, a maskless ion beam lithography method, called Maskless Micro-ion-beam Reduction Lithography (MMRL), has been developed in the Lawrence Berkeley National Laboratory. The development of the prototype MMRL system has been described by Dr. Vinh Van Ngo in his Ph.D. thesis. But the resolution realized on the prototype MMRL system was far from the design expectation. In order to improve the resolution of the MMRL system, the ion optical system has been investigated. By integrating a field-free limiting aperture into the optical column, reducing the electromagnetic interference and cleaning the RF plasma, the resolution has been improved to around 50 nm. Computational analysis indicates that the MMRL system can be operated with an exposure field size of 0.25 mm and a beam half angle of 1.0 mrad on the wafer plane. Ion-ion interactions have been studied with a two-particle physics model. The results are in excellent agreement with those published by the other research groups. The charge-interaction analysis of MMRL shows that the ion-ion interactions must be reduced in order to obtain a throughput higher than 10 wafers per hour on 300-mm wafers. In addition, two different maskless lithography

  1. 20 CFR 228.16 - Adjustments in the age reduction factor (ARF).

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 20 Employees' Benefits 1 2010-04-01 2010-04-01 false Adjustments in the age reduction factor (ARF... RETIREMENT ACT COMPUTATION OF SURVIVOR ANNUITIES The Tier I Annuity Component § 228.16 Adjustments in the age reduction factor (ARF). Upon the attainment of retirement age, the previously-computed age reduction factor...

  2. 20 CFR 228.16 - Adjustments in the age reduction factor (ARF).

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 20 Employees' Benefits 1 2014-04-01 2012-04-01 true Adjustments in the age reduction factor (ARF... RETIREMENT ACT COMPUTATION OF SURVIVOR ANNUITIES The Tier I Annuity Component § 228.16 Adjustments in the age reduction factor (ARF). Upon the attainment of retirement age, the previously-computed age reduction factor...

  3. 20 CFR 228.16 - Adjustments in the age reduction factor (ARF).

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 20 Employees' Benefits 1 2013-04-01 2012-04-01 true Adjustments in the age reduction factor (ARF... RETIREMENT ACT COMPUTATION OF SURVIVOR ANNUITIES The Tier I Annuity Component § 228.16 Adjustments in the age reduction factor (ARF). Upon the attainment of retirement age, the previously-computed age reduction factor...

  4. 20 CFR 228.16 - Adjustments in the age reduction factor (ARF).

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 20 Employees' Benefits 1 2011-04-01 2011-04-01 false Adjustments in the age reduction factor (ARF... RETIREMENT ACT COMPUTATION OF SURVIVOR ANNUITIES The Tier I Annuity Component § 228.16 Adjustments in the age reduction factor (ARF). Upon the attainment of retirement age, the previously-computed age reduction factor...

  5. 20 CFR 228.16 - Adjustments in the age reduction factor (ARF).

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 20 Employees' Benefits 1 2012-04-01 2012-04-01 false Adjustments in the age reduction factor (ARF... RETIREMENT ACT COMPUTATION OF SURVIVOR ANNUITIES The Tier I Annuity Component § 228.16 Adjustments in the age reduction factor (ARF). Upon the attainment of retirement age, the previously-computed age reduction factor...

  6. Genome-Wide Identification, Phylogeny, and Expression Analysis of ARF Genes Involved in Vegetative Organs Development in Switchgrass.

    PubMed

    Wang, Jianli; Wu, Zhenying; Shen, Zhongbao; Bai, Zetao; Zhong, Peng; Ma, Lichao; Pan, Duofeng; Zhang, Ruibo; Li, Daoming; Zhang, Hailing; Fu, Chunxiang; Han, Guiqing; Guo, Changhong

    2018-01-01

    Auxin response factors (ARFs) have been reported to play vital roles during plant growth and development. In order to reveal specific functions related to vegetative organs in grasses, an in-depth study of the ARF gene family was carried out in switchgrass ( Panicum virgatum L.), a warm-season C4 perennial grass that is mostly used as bioenergy and animal feedstock. A total of 47 putative ARF genes ( PvARFs ) were identified in the switchgrass genome (2n = 4x = 36), 42 of which were anchored to the seven pairs of chromosomes and found to be unevenly distributed. Sixteen PvARFs were predicted to be potential targets of small RNAs (microRNA160 and 167). Phylogenetically speaking, PvARFs were divided into seven distinct subgroups based on the phylogeny, exon/intron arrangement, and conserved motif distribution. Moreover, 15 pairs of PvARFs have different temporal-spatial expression profiles in vegetative organs (2nd, 3rd, and 4th internode and leaves), which implies that different PvARFs have specific functions in switchgrass growth and development. In addition, at least 14 pairs of PvARFs respond to naphthylacetic acid (NAA) treatment, which might be helpful for us to study on auxin response in switchgrass. The comprehensive analysis, described here, will facilitate the future functional analysis of ARF genes in grasses.

  7. Massively-parallel FDTD simulations to address mask electromagnetic effects in hyper-NA immersion lithography

    NASA Astrophysics Data System (ADS)

    Tirapu Azpiroz, Jaione; Burr, Geoffrey W.; Rosenbluth, Alan E.; Hibbs, Michael

    2008-03-01

    In the Hyper-NA immersion lithography regime, the electromagnetic response of the reticle is known to deviate in a complicated manner from the idealized Thin-Mask-like behavior. Already, this is driving certain RET choices, such as the use of polarized illumination and the customization of reticle film stacks. Unfortunately, full 3-D electromagnetic mask simulations are computationally intensive. And while OPC-compatible mask electromagnetic field (EMF) models can offer a reasonable tradeoff between speed and accuracy for full-chip OPC applications, full understanding of these complex physical effects demands higher accuracy. Our paper describes recent advances in leveraging High Performance Computing as a critical step towards lithographic modeling of the full manufacturing process. In this paper, highly accurate full 3-D electromagnetic simulation of very large mask layouts are conducted in parallel with reasonable turnaround time, using a Blue- Gene/L supercomputer and a Finite-Difference Time-Domain (FDTD) code developed internally within IBM. A 3-D simulation of a large 2-D layout spanning 5μm×5μm at the wafer plane (and thus (20μm×20μm×0.5μm at the mask) results in a simulation with roughly 12.5GB of memory (grid size of 10nm at the mask, single-precision computation, about 30 bytes/grid point). FDTD is flexible and easily parallelizable to enable full simulations of such large layout in approximately an hour using one BlueGene/L "midplane" containing 512 dual-processor nodes with 256MB of memory per processor. Our scaling studies on BlueGene/L demonstrate that simulations up to 100μm × 100μm at the mask can be computed in a few hours. Finally, we will show that the use of a subcell technique permits accurate simulation of features smaller than the grid discretization, thus improving on the tradeoff between computational complexity and simulation accuracy. We demonstrate the correlation of the real and quadrature components that comprise the

  8. ArfB links protein lipidation and endocytosis to polarized growth of Aspergillus nidulans

    PubMed Central

    Lee, Soo Chan

    2008-01-01

    Aspergillus nidulans undergoes polarized hyphal growth during the majority of its life cycle. Regulatory mechanisms for hyphal polarity have been intensively investigated in a variety of filamentous fungi. Two important cellular processes, which have received recent attention, include protein myristoylation and endocytosis. It is clear that protein myristoylation is essential for polarity establishment because germinating A. nidulans conidia lost polarity in the presence of cerulenin, a lipid metabolism inhibitor and in an N-myristoyl transferase mutant background. Only 41 predicted proteins encoded by A. nidulans posses an N-myristoylation motif, one of which is ADP ribosylation factor B (ArfB). Disruption of ArfB leads to failure of polarity establishment and maintenance during early morphogenesis and in a delay in endocytosis. Therefore, ArfB connects N-myristoylation and endocytosis to polarized growth. Exocytotic vesicle trafficking through the Spitzenkörper may also require Arf proteins in their role in vesicle formation. Taken together, ArfB is one of the important key components for the fungal hyphal growth. PMID:19704790

  9. Genome-wide identification, isolation and expression analysis of auxin response factor (ARF) gene family in sweet orange (Citrus sinensis)

    PubMed Central

    Li, Si-Bei; OuYang, Wei-Zhi; Hou, Xiao-Jin; Xie, Liang-Liang; Hu, Chun-Gen; Zhang, Jin-Zhi

    2015-01-01

    Auxin response factors (ARFs) are an important family of proteins in auxin-mediated response, with key roles in various physiological and biochemical processes. To date, a genome-wide overview of the ARF gene family in citrus was not available. A systematic analysis of this gene family in citrus was begun by carrying out a genome-wide search for the homologs of ARFs. A total of 19 nonredundant ARF genes (CiARF) were found and validated from the sweet orange. A comprehensive overview of the CiARFs was undertaken, including the gene structures, phylogenetic analysis, chromosome locations, conserved motifs of proteins, and cis-elements in promoters of CiARF. Furthermore, expression profiling using real-time PCR revealed many CiARF genes, albeit with different patterns depending on types of tissues and/or developmental stages. Comprehensive expression analysis of these genes was also performed under two hormone treatments using real-time PCR. Indole-3-acetic acid (IAA) and N-1-napthylphthalamic acid (NPA) treatment experiments revealed differential up-regulation and down-regulation, respectively, of the 19 citrus ARF genes in the callus of sweet orange. Our comprehensive analysis of ARF genes further elucidates the roles of CiARF family members during citrus growth and development process. PMID:25870601

  10. Retrograde transport from the yeast Golgi is mediated by two ARF GAP proteins with overlapping function.

    PubMed Central

    Poon, P P; Cassel, D; Spang, A; Rotman, M; Pick, E; Singer, R A; Johnston, G C

    1999-01-01

    ARF proteins, which mediate vesicular transport, have little or no intrinsic GTPase activity. They rely on the actions of GTPase-activating proteins (GAPs) for their function. The in vitro GTPase activity of the Saccharomyces cerevisiae ARF proteins Arf1 and Arf2 is stimulated by the yeast Gcs1 protein, and in vivo genetic interactions between arf and gcs1 mutations implicate Gcs1 in vesicular transport. However, the Gcs1 protein is dispensable, indicating that additional ARF GAP proteins exist. We show that the structurally related protein Glo3, which is also dispensable, also exhibits ARF GAP activity. Genetic and in vitro approaches reveal that Glo3 and Gcs1 have an overlapping essential function at the endoplasmic reticulum (ER)-Golgi stage of vesicular transport. Mutant cells deficient for both ARF GAPs cannot proliferate, undergo a dramatic accumulation of ER and are defective for protein transport between ER and Golgi. The glo3Delta and gcs1Delta single mutations each interact with a sec21 mutation that affects a component of COPI, which mediates vesicular transport within the ER-Golgi shuttle, while increased dosage of the BET1, BOS1 and SEC22 genes encoding members of a v-SNARE family that functions within the ER-Golgi alleviates the effects of a glo3Delta mutation. An in vitro assay indicates that efficient retrieval from the Golgi to the ER requires these two proteins. These findings suggest that Glo3 and Gcs1 ARF GAPs mediate retrograde vesicular transport from the Golgi to the ER. PMID:9927415

  11. Mapper: high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Kuiper, V.; Kampherbeek, B. J.; Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Boers, J.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.

    2009-01-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. A new platform has been designed and built which contains a 300 mm wafer stage, a wafer handler and an electron beam column with 110 parallel electron beams. This manuscript describes the first patterning results with this 300 mm platform.

  12. Coaxial Lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowires that can be realized and studied in the laboratory. This thesis focuses on bridging templated electrochemical synthesis and lithography for expanding current synthetic capabilities with respect to materials generality and the ability to tailor two-dimensional growth in the formation of core-shell structures for the rational design and preparation of nanowires with very complex architectures that cannot be made by any other techniques. Chapter 1 introduces plasmonics, templated electrochemical synthesis, and on-wire lithography concepts and their significances within chemistry and materials science. Chapter 2 details a powerful technique for the deposition of metals and semiconductors with nanometer resolution in segment and gap lengths using on-wire lithography, which serves as a new platform to explore plasmon-exciton interactions in the form of long-range optical nanoscale rulers. Chapter 3 highlights an approach for the electrochemical synthesis of solution dispersible core-shell polymeric and inorganic semiconductor nanowires with metallic leads. A photodetector based on a single core-shell semiconductor nanowire is presented to demonstrate the functionality of the nanowires produced using this approach. Chapter 4 describes a new materials general technique, termed coaxial lithography (COAL), bridging templated electrochemical synthesis and lithography for generating coaxial nanowires in a parallel fashion with sub-10 nanometer resolution in both axial and radial dimensions. Combinations of coaxial nanowires composed of metals, metal oxides, metal chalcogenides, conjugated polymers, and a core/shell semiconductor nanowire with an embedded plasmonic nanoring are presented to

  13. Putative terminator and/or effector functions of Arf GAPs in the trafficking of clathrin-coated vesicles.

    PubMed

    Kon, Shunsuke; Funaki, Tomo; Satake, Masanobu

    2011-05-01

    The role of ArfGAP1 as a terminator or effector in COPi-vesicle formation has been the subject of ongoing discussions. Here, the discussion on the putative terminator/effector functions has been enlarged to include Arf GAP members involved in the formation of clathrin-coated vesicles. ACAP1, whose role has been studied extensively, enhances the recycling of endocytosed proteins to the plasma membrane. Importantly, this positive role appears to be an overall reflection of both the terminator and effector activities attributed to ACAP1. Other Arf GAP subtypes have also been suggested to possess both terminator and effector activities. Interestingly, while most Arf GAP proteins regulate membrane trafficking by acting as facilitators, a few Arf GAP subtypes act as inhibitors.

  14. ADP-ribosylation Factor 6 (ARF6) Bidirectionally Regulates Dendritic Spine Formation Depending on Neuronal Maturation and Activity*

    PubMed Central

    Kim, Yoonju; Lee, Sang-Eun; Park, Joohyun; Kim, Minhyung; Lee, Boyoon; Hwang, Daehee; Chang, Sunghoe

    2015-01-01

    Recent studies have reported conflicting results regarding the role of ARF6 in dendritic spine development, but no clear answer for the controversy has been suggested. We found that ADP-ribosylation factor 6 (ARF6) either positively or negatively regulates dendritic spine formation depending on neuronal maturation and activity. ARF6 activation increased the spine formation in developing neurons, whereas it decreased spine density in mature neurons. Genome-wide microarray analysis revealed that ARF6 activation in each stage leads to opposite patterns of expression of a subset of genes that are involved in neuronal morphology. ARF6-mediated Rac1 activation via the phospholipase D pathway is the coincident factor in both stages, but the antagonistic RhoA pathway becomes involved in the mature stage. Furthermore, blocking neuronal activity in developing neurons using tetrodotoxin or enhancing the activity in mature neurons using picrotoxin or chemical long term potentiation reversed the effect of ARF6 on each stage. Thus, activity-dependent dynamic changes in ARF6-mediated spine structures may play a role in structural plasticity of mature neurons. PMID:25605715

  15. HIV-1 requires Arf6-mediated membrane dynamics to efficiently enter and infect T lymphocytes

    PubMed Central

    García-Expósito, Laura; Barroso-González, Jonathan; Puigdomènech, Isabel; Machado, José-David; Blanco, Julià; Valenzuela-Fernández, Agustín

    2011-01-01

    As the initial barrier to viral entry, the plasma membrane along with the membrane trafficking machinery and cytoskeleton are of fundamental importance in the viral cycle. However, little is known about the contribution of plasma membrane dynamics during early human immunodeficiency virus type 1 (HIV-1) infection. Considering that ADP ribosylation factor 6 (Arf6) regulates cellular invasion via several microorganisms by coordinating membrane trafficking, our aim was to study the function of Arf6-mediated membrane dynamics on HIV-1 entry and infection of T lymphocytes. We observed that an alteration of the Arf6–guanosine 5′-diphosphate/guanosine 5′-triphosphate (GTP/GDP) cycle, by GDP-bound or GTP-bound inactive mutants or by specific Arf6 silencing, inhibited HIV-1 envelope–induced membrane fusion, entry, and infection of T lymphocytes and permissive cells, regardless of viral tropism. Furthermore, cell-to-cell HIV-1 transmission of primary human CD4+ T lymphocytes was inhibited by Arf6 knockdown. Total internal reflection fluorescence microscopy showed that Arf6 mutants provoked the accumulation of phosphatidylinositol-(4,5)-biphosphate–associated structures on the plasma membrane of permissive cells, without affecting CD4-viral attachment but impeding CD4-dependent HIV-1 entry. Arf6 silencing or its mutants did not affect fusion, entry, and infection of vesicular stomatitis virus G–pseudotyped viruses or ligand-induced CXCR4 or CCR5 endocytosis, both clathrin-dependent processes. Therefore we propose that efficient early HIV-1 infection of CD4+ T lymphocytes requires Arf6-coordinated plasma membrane dynamics that promote viral fusion and entry. PMID:21346189

  16. Structural Dynamics Control Allosteric Activation of Cytohesin Family Arf GTPase Exchange Factors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Malaby, Andrew W.; Das, Sanchaita; Chakravarthy, Srinivas

    Membrane dynamic processes including vesicle biogenesis depend on Arf guanosine triphosphatase (GTPase) activation by guanine nucleotide exchange factors (GEFs) containing a catalytic Sec7 domain and a membrane-targeting module such as a pleckstrin homology (PH) domain. The catalytic output of cytohesin family Arf GEFs is controlled by autoinhibitory interactions that impede accessibility of the exchange site in the Sec7 domain. These restraints can be relieved through activator Arf-GTP binding to an allosteric site comprising the PH domain and proximal autoinhibitory elements (Sec7-PH linker and C-terminal helix). Small-angle X-ray scattering and negative-stain electron microscopy were used to investigate the structural organization andmore » conformational dynamics of cytohesin-3 (Grp1) in autoinhibited and active states. The results support a model in which hinge dynamics in the autoinhibited state expose the activator site for Arf-GTP binding, while subsequent C-terminal helix unlatching and repositioning unleash conformational entropy in the Sec7-PH linker to drive exposure of the exchange site.« less

  17. Design, synthesis, and characterization of fluorine-free PAGs for 193-nm lithography

    NASA Astrophysics Data System (ADS)

    Liu, Sen; Glodde, Martin; Varanasi, Pushkara R.

    2010-04-01

    Photoacid generators (PAGs) are a key component in chemically amplified resists used in photolithography. Perfluorooctanesulfonates (PFOS) and other perfluoroalkylsulfonates (PFAS) have been well adopted as PAGs in 193 nm photoresist. Recently, concerns have been raised about their environmental impact due to their chemical persistency, bioaccumulation and toxicity. It is a general interest to find environmentally benign PAGs that are free of fluorine atoms. Here we describe the design, synthesis and characterization of a series of novel fluorine-free onium salts as PAGs for 193 nm photoresists. These PAGs demonstrated desirable physical and lithography properties when compared with PFAS-based PAGs for both dry and immersion exposures.

  18. Arf6 controls platelet spreading and clot retraction via integrin αIIbβ3 trafficking

    PubMed Central

    Huang, Yunjie; Joshi, Smita; Xiang, Binggang; Kanaho, Yasunori; Li, Zhenyu; Bouchard, Beth A.; Moncman, Carole L.

    2016-01-01

    Platelet and megakaryocyte endocytosis is important for loading certain granule cargo (ie, fibrinogen [Fg] and vascular endothelial growth factor); however, the mechanisms of platelet endocytosis and its functional acute effects are understudied. Adenosine 5'-diphosphate–ribosylation factor 6 (Arf6) is a small guanosine triphosphate–binding protein that regulates endocytic trafficking, especially of integrins. To study platelet endocytosis, we generated platelet-specific Arf6 knockout (KO) mice. Arf6 KO platelets had less associated Fg suggesting that Arf6 affects αIIbβ3-mediated Fg uptake and/or storage. Other cargo was unaffected. To measure Fg uptake, mice were injected with biotinylated- or fluorescein isothiocyanate (FITC)–labeled Fg. Platelets from the injected Arf6 KO mice showed lower accumulation of tagged Fg, suggesting an uptake defect. Ex vivo, Arf6 KO platelets were also defective in FITC-Fg uptake and storage. Immunofluorescence analysis showed initial trafficking of FITC-Fg to a Rab4-positive compartment followed by colocalization with Rab11-positive structures, suggesting that platelets contain and use both early and recycling endosomes. Resting and activated αIIbβ3 levels, as measured by flow cytometry, were unchanged; yet, Arf6 KO platelets exhibited enhanced spreading on Fg and faster clot retraction. This was not the result of alterations in αIIbβ3 signaling, because myosin light-chain phosphorylation and Rac1/RhoA activation were unaffected. Consistent with the enhanced clot retraction and spreading, Arf6 KO mice showed no deficits in tail bleeding or FeCl3-induced carotid injury assays. Our studies present the first mouse model for defining the functions of platelet endocytosis and suggest that altered integrin trafficking may affect the efficacy of platelet function. PMID:26738539

  19. Arf6 controls platelet spreading and clot retraction via integrin αIIbβ3 trafficking.

    PubMed

    Huang, Yunjie; Joshi, Smita; Xiang, Binggang; Kanaho, Yasunori; Li, Zhenyu; Bouchard, Beth A; Moncman, Carole L; Whiteheart, Sidney W

    2016-03-17

    Platelet and megakaryocyte endocytosis is important for loading certain granule cargo (ie, fibrinogen [Fg] and vascular endothelial growth factor); however, the mechanisms of platelet endocytosis and its functional acute effects are understudied. Adenosine 5'-diphosphate-ribosylation factor 6 (Arf6) is a small guanosine triphosphate-binding protein that regulates endocytic trafficking, especially of integrins. To study platelet endocytosis, we generated platelet-specific Arf6 knockout (KO) mice. Arf6 KO platelets had less associated Fg suggesting that Arf6 affects αIIbβ3-mediated Fg uptake and/or storage. Other cargo was unaffected. To measure Fg uptake, mice were injected with biotinylated- or fluorescein isothiocyanate (FITC)-labeled Fg. Platelets from the injected Arf6 KO mice showed lower accumulation of tagged Fg, suggesting an uptake defect. Ex vivo, Arf6 KO platelets were also defective in FITC-Fg uptake and storage. Immunofluorescence analysis showed initial trafficking of FITC-Fg to a Rab4-positive compartment followed by colocalization with Rab11-positive structures, suggesting that platelets contain and use both early and recycling endosomes. Resting and activated αIIbβ3 levels, as measured by flow cytometry, were unchanged; yet, Arf6 KO platelets exhibited enhanced spreading on Fg and faster clot retraction. This was not the result of alterations in αIIbβ3 signaling, because myosin light-chain phosphorylation and Rac1/RhoA activation were unaffected. Consistent with the enhanced clot retraction and spreading, Arf6 KO mice showed no deficits in tail bleeding or FeCl3-induced carotid injury assays. Our studies present the first mouse model for defining the functions of platelet endocytosis and suggest that altered integrin trafficking may affect the efficacy of platelet function. © 2016 by The American Society of Hematology.

  20. MAPPER: high-throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Wieland, M. J.; de Boer, G.; ten Berge, G. F.; Jager, R.; van de Peut, T.; Peijster, J. J. M.; Slot, E.; Steenbrink, S. W. H. K.; Teepen, T. F.; van Veen, A. H. V.; Kampherbeek, B. J.

    2009-03-01

    Maskless electron beam lithography, or electron beam direct write, has been around for a long time in the semiconductor industry and was pioneered from the mid-1960s onwards. This technique has been used for mask writing applications as well as device engineering and in some cases chip manufacturing. However because of its relatively low throughput compared to optical lithography, electron beam lithography has never been the mainstream lithography technology. To extend optical lithography double patterning, as a bridging technology, and EUV lithography are currently explored. Irrespective of the technical viability of both approaches, one thing seems clear. They will be expensive [1]. MAPPER Lithography is developing a maskless lithography technology based on massively-parallel electron-beam writing with high speed optical data transport for switching the electron beams. In this way optical columns can be made with a throughput of 10-20 wafers per hour. By clustering several of these columns together high throughputs can be realized in a small footprint. This enables a highly cost-competitive alternative to double patterning and EUV alternatives. In 2007 MAPPER obtained its Proof of Lithography milestone by exposing in its Demonstrator 45 nm half pitch structures with 110 electron beams in parallel, where all the beams where individually switched on and off [2]. In 2008 MAPPER has taken a next step in its development by building several tools. The objective of building these tools is to involve semiconductor companies to be able to verify tool performance in their own environment. To enable this, the tools will have a 300 mm wafer stage in addition to a 110-beam optics column. First exposures at 45 nm half pitch resolution have been performed and analyzed. On the same wafer it is observed that all beams print and based on analysis of 11 beams the CD for the different patterns is within 2.2 nm from target and the CD uniformity for the different patterns is better

  1. Nanobiotechnology: soft lithography.

    PubMed

    Mele, Elisa; Pisignano, Dario

    2009-01-01

    An entirely new scientific and technological area has been born from the combination of nanotechnology and biology: nanobiotechnology. Such a field is primed especially by the strong potential synergy enabled by the integration of technologies, protocols, and investigation methods, since, while biomolecules represent functional nanosystems interesting for nanotechnology, micro- and nano-devices can be very useful instruments for studying biological materials. In particular, the research of new approaches for manipulating matter and fabricating structures with micrometre- and sub-micrometre resolution has determined the development of soft lithography, a new set of non-photolithographic patterning techniques applied to the realization of selective proteins and cells attachment, microfluidic circuits for protein and DNA chips, and 3D scaffolds for tissue engineering. Today, soft lithographies have become an asset of nanobiotechnology. This Chapter examines the biological applications of various soft lithographic techniques, with particular attention to the main general features of soft lithography and of materials commonly employed with these methods. We present approaches particularly suitable for biological materials, such as microcontact printing (muCP) and microfluidic lithography, and some key micro- and nanobiotechnology applications, such as the patterning of protein and DNA microarrays and the realization of microfluidic-based analytical devices.

  2. Genome-wide identification and characterization of auxin response factor (ARF) family genes related to flower and fruit development in papaya (Carica papaya L.).

    PubMed

    Liu, Kaidong; Yuan, Changchun; Li, Haili; Lin, Wanhuang; Yang, Yanjun; Shen, Chenjia; Zheng, Xiaolin

    2015-11-05

    Auxin and auxin signaling are involved in a series of developmental processes in plants. Auxin Response Factors (ARFs) is reported to modulate the expression of target genes by binding to auxin response elements (AuxREs) and influence the transcriptional activation of down-stream target genes. However, how ARF genes function in flower development and fruit ripening of papaya (Carica papaya L.) is largely unknown. In this study, a comprehensive characterization and expression profiling analysis of 11 C. papaya ARF (CpARF) genes was performed using the newly updated papaya reference genome data. We analyzed CpARF expression patterns at different developmental stages. CpARF1, CpARF2, CpARF4, CpARF5, and CpARF10 showed the highest expression at the initial stage of flower development, but decreased during the following developmental stages. CpARF6 expression increased during the developmental process and reached its peak level at the final stage of flower development. The expression of CpARF1 increased significantly during the fruit ripening stages. Many AuxREs were included in the promoters of two ethylene signaling genes (CpETR1 and CpETR2) and three ethylene-synthesis-related genes (CpACS1, CpACS2, and CpACO1), suggesting that CpARFs might be involved in fruit ripening via the regulation of ethylene signaling. Our study provided comprehensive information on ARF family in papaya, including gene structures, chromosome locations, phylogenetic relationships, and expression patterns. The involvement of CpARF gene expression changes in flower and fruit development allowed us to understand the role of ARF-mediated auxin signaling in the maturation of reproductive organs in papaya.

  3. Nanoimprint lithography for nanodevice fabrication

    NASA Astrophysics Data System (ADS)

    Barcelo, Steven; Li, Zhiyong

    2016-09-01

    Nanoimprint lithography (NIL) is a compelling technique for low cost nanoscale device fabrication. The precise and repeatable replication of nanoscale patterns from a single high resolution patterning step makes the NIL technique much more versatile than other expensive techniques such as e-beam or even helium ion beam lithography. Furthermore, the use of mechanical deformation during the NIL process enables grayscale lithography with only a single patterning step, not achievable with any other conventional lithography techniques. These strengths enable the fabrication of unique nanoscale devices by NIL for a variety of applications including optics, plasmonics and even biotechnology. Recent advances in throughput and yield in NIL processes demonstrate the potential of being adopted for mainstream semiconductor device fabrication as well.

  4. HIV-1 Nef hijacks clathrin coats by stabilizing AP-1:Arf1 polygons.

    PubMed

    Shen, Qing-Tao; Ren, Xuefeng; Zhang, Rui; Lee, Il-Hyung; Hurley, James H

    2015-10-23

    The lentiviruses HIV and simian immunodeficiency virus (SIV) subvert intracellular membrane traffic as part of their replication cycle. The lentiviral Nef protein helps viruses evade innate and adaptive immune defenses by hijacking the adaptor protein 1 (AP-1) and AP-2 clathrin adaptors. We found that HIV-1 Nef and the guanosine triphosphatase Arf1 induced trimerization and activation of AP-1. Here we report the cryo-electron microscopy structures of the Nef- and Arf1-bound AP-1 trimer in the active and inactive states. A central nucleus of three Arf1 molecules organizes the trimers. We combined the open trimer with a known dimer structure and thus predicted a hexagonal assembly with inner and outer faces that bind the membranes and clathrin, respectively. Hexagons were directly visualized and the model validated by reconstituting clathrin cage assembly. Arf1 and Nef thus play interconnected roles in allosteric activation, cargo recruitment, and coat assembly, revealing an unexpectedly intricate organization of the inner AP-1 layer of the clathrin coat. Copyright © 2015, American Association for the Advancement of Science.

  5. ARF6 Activated by the LHCG Receptor through the Cytohesin Family of Guanine Nucleotide Exchange Factors Mediates the Receptor Internalization and Signaling*

    PubMed Central

    Kanamarlapudi, Venkateswarlu; Thompson, Aiysha; Kelly, Eamonn; López Bernal, Andrés

    2012-01-01

    The luteinizing hormone chorionic gonadotropin receptor (LHCGR) is a Gs-coupled GPCR that is essential for the maturation and function of the ovary and testis. LHCGR is internalized following its activation, which regulates the biological responsiveness of the receptor. Previous studies indicated that ADP-ribosylation factor (ARF)6 and its GTP-exchange factor (GEF) cytohesin 2 regulate LHCGR internalization in follicular membranes. However, the mechanisms by which ARF6 and cytohesin 2 regulate LHCGR internalization remain incompletely understood. Here we investigated the role of the ARF6 signaling pathway in the internalization of heterologously expressed human LHCGR (HLHCGR) in intact cells using a combination of pharmacological inhibitors, siRNA and the expression of mutant proteins. We found that human CG (HCG)-induced HLHCGR internalization, cAMP accumulation and ARF6 activation were inhibited by Gallein (βγ inhibitor), Wortmannin (PI 3-kinase inhibitor), SecinH3 (cytohesin ARF GEF inhibitor), QS11 (an ARF GAP inhibitor), an ARF6 inhibitory peptide and ARF6 siRNA. However, Dynasore (dynamin inhibitor), the dominant negative mutants of NM23-H1 (dynamin activator) and clathrin, and PBP10 (PtdIns 4,5-P2-binding peptide) inhibited agonist-induced HLHCGR and cAMP accumulation but not ARF6 activation. These results indicate that heterotrimeric G-protein, phosphatidylinositol (PI) 3-kinase (PI3K), cytohesin ARF GEF and ARF GAP function upstream of ARF6 whereas dynamin and clathrin act downstream of ARF6 in the regulation of HCG-induced HLHCGR internalization and signaling. In conclusion, we have identified the components and molecular details of the ARF6 signaling pathway required for agonist-induced HLHCGR internalization. PMID:22523074

  6. Which Way In? The RalF Arf-GEF Orchestrates Rickettsia Host Cell Invasion

    PubMed Central

    Rennoll-Bankert, Kristen E.; Rahman, M. Sayeedur; Gillespie, Joseph J.; Guillotte, Mark L.; Kaur, Simran J.; Lehman, Stephanie S.; Beier-Sexton, Magda; Azad, Abdu F.

    2015-01-01

    Bacterial Sec7-domain-containing proteins (RalF) are known only from species of Legionella and Rickettsia, which have facultative and obligate intracellular lifestyles, respectively. L. pneumophila RalF, a type IV secretion system (T4SS) effector, is a guanine nucleotide exchange factor (GEF) of ADP-ribosylation factors (Arfs), activating and recruiting host Arf1 to the Legionella-containing vacuole. In contrast, previous in vitro studies showed R. prowazekii (Typhus Group) RalF is a functional Arf-GEF that localizes to the host plasma membrane and interacts with the actin cytoskeleton via a unique C-terminal domain. As RalF is differentially encoded across Rickettsia species (e.g., pseudogenized in all Spotted Fever Group species), it may function in lineage-specific biology and pathogenicity. Herein, we demonstrate RalF of R. typhi (Typhus Group) interacts with the Rickettsia T4SS coupling protein (RvhD4) via its proximal C-terminal sequence. RalF is expressed early during infection, with its inactivation via antibody blocking significantly reducing R. typhi host cell invasion. For R. typhi and R. felis (Transitional Group), RalF ectopic expression revealed subcellular localization with the host plasma membrane and actin cytoskeleton. Remarkably, R. bellii (Ancestral Group) RalF showed perinuclear localization reminiscent of ectopically expressed Legionella RalF, for which it shares several structural features. For R. typhi, RalF co-localization with Arf6 and PI(4,5)P2 at entry foci on the host plasma membrane was determined to be critical for invasion. Thus, we propose recruitment of PI(4,5)P2 at entry foci, mediated by RalF activation of Arf6, initiates actin remodeling and ultimately facilitates bacterial invasion. Collectively, our characterization of RalF as an invasin suggests that, despite carrying a similar Arf-GEF unknown from other bacteria, different intracellular lifestyles across Rickettsia and Legionella species have driven divergent roles for Ral

  7. Cancer cell specific cytotoxic gene expression mediated by ARF tumor suppressor promoter constructs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kurayoshi, Kenta; Ozono, Eiko; Iwanaga, Ritsuko

    Highlights: • ARF promoter showed higher responsiveness to deregulated E2F activity than the E2F1 promoter. • ARF promoter showed higher cancer cell-specificity than E2F1 promoter to drive gene expression. • HSV-TK driven by ARF promoter showed higher cancer cell-specific cytotoxicity than that driven by E2F1 promoter. - Abstract: In current cancer treatment protocols, such as radiation and chemotherapy, side effects on normal cells are major obstacles to radical therapy. To avoid these side effects, a cancer cell-specific approach is needed. One way to specifically target cancer cells is to utilize a cancer specific promoter to express a cytotoxic gene (suicidemore » gene therapy) or a viral gene required for viral replication (oncolytic virotherapy). For this purpose, the selected promoter should have minimal activity in normal cells to avoid side effects, and high activity in a wide variety of cancers to obtain optimal therapeutic efficacy. In contrast to the AFP, CEA and PSA promoters, which have high activity only in a limited spectrum of tumors, the E2F1 promoter exhibits high activity in wide variety of cancers. This is based on the mechanism of carcinogenesis. Defects in the RB pathway and activation of the transcription factor E2F, the main target of the RB pathway, are observed in almost all cancers. Consequently, the E2F1 promoter, which is mainly regulated by E2F, has high activity in wide variety of cancers. However, E2F is also activated by growth stimulation in normal growing cells, suggesting that the E2F1 promoter may also be highly active in normal growing cells. In contrast, we found that the tumor suppressor ARF promoter is activated by deregulated E2F activity, induced by forced inactivation of pRB, but does not respond to physiological E2F activity induced by growth stimulation. We also found that the deregulated E2F activity, which activates the ARF promoter, is detected only in cancer cell lines. These observations suggest that ARF

  8. ARF6 directs axon transport and traffic of integrins and regulates axon growth in adult DRG neurons.

    PubMed

    Eva, Richard; Crisp, Sarah; Marland, Jamie R K; Norman, Jim C; Kanamarlapudi, Venkateswarlu; ffrench-Constant, Charles; Fawcett, James W

    2012-07-25

    Integrins are involved in axon growth and regeneration. Manipulation of integrins is a route to promoting axon regeneration and understanding regeneration failure in the CNS. Expression of α9 integrin promotes axon regeneration, so we have investigated α9β1 trafficking and transport in axons and at the growth cone. We have previously found that α9 and β1 integrins traffic via Rab11-positive recycling endosomes in peripheral axons and growth cones. However, transport via Rab11 is slow, while rapid transport occurs in vesicles lacking Rab11. We have further studied α9 and β1 integrin transport and traffic in adult rat dorsal root ganglion axons and PC12 cells. Integrins are in ARF6 vesicles during rapid axonal transport and during trafficking in the growth cone. We report that rapid axonal transport of these integrins and their trafficking at the cell surface is regulated by ARF6. ARF6 inactivation by expression of ACAP1 leads to increased recycling of β1 integrins to the neuronal surface and to increased anterograde axonal transport. ARF6 activation by expression of the neuronal guanine nucleotide exchange factors, ARNO or EFA6, increases retrograde integrin transport in axons and increases integrin internalization. ARF6 inactivation increases integrin-mediated outgrowth, while activation decreases it. The coordinated changes in integrin transport and recycling resulting from ARF6 activation or inactivation are the probable mechanism behind this regulation of axon growth. Our data suggest a novel mechanism of integrin traffic and transport in peripheral axons, regulated by the activation state of ARF6, and suggest that ARF6 might be targeted to enhance integrin-dependent axon regeneration after injury.

  9. Tobacco TTG2 and ARF8 function concomitantly to control flower colouring by regulating anthocyanin synthesis genes.

    PubMed

    Li, P; Chen, X; Sun, F; Dong, H

    2017-07-01

    Recently we elucidated that tobacco TTG2 cooperates with ARF8 to regulate the vegetative growth and seed production. Here we show that TTG2 and ARF8 control flower colouring by regulating expression of ANS and DFR genes, which function in anthocyanin biosynthesis. Genetic modifications that substantially altered expression levels of the TTG2 gene and production quantities of TTG2 protein were correlated with flower development and colouring. Degrees of flower colour were increased by TTG2 overexpression but decreased through TTG2 silencing, in coincidence with high and low concentrations of anthocyanins in flowers. Of five genes involved in the anthocyanin biosynthesis pathway, only ANS and DFR were TTG2-regulated and displayed enhancement and diminution of expression with TTG2 overexpression and silencing, respectively. The floral expression of ANS and DFR also needed a functional ARF8 gene, as ANS and DFR expression were attenuated by ARF8 silencing, which concomitantly diminished the role of TTG2 in anthocyanin production. While ARF8 required TTG2 to be expressed by itself and to regulate ANS and DFR expression, the concurrent presence of normally functional TTG2 and ARF8 was critical for floral production of anthocyanins and also for flower colouration. Our data suggest that TTG2 functions concomitantly with ARF8 to control degrees of flower colour by regulating expression of ANS and DFR, which are involved in the anthocyanin biosynthesis pathway. ARF8 depends on TTG2 to regulate floral expression of ANS and DFR with positive effects on anthocyanin production and flower colour. © 2017 German Botanical Society and The Royal Botanical Society of the Netherlands.

  10. Pathogenesis of Persistent Hyperplastic Primary Vitreous in Mice Lacking the Arf Tumor Suppressor Gene

    PubMed Central

    Martin, Amy C.; Thornton, J. Derek; Liu, Jiewiu; Wang, XiaoFei; Zuo, Jian; Jablonski, Monica M.; Chaum, Edward; Zindy, Frederique; Skapek, Stephen X.

    2006-01-01

    Purpose Persistent hyperplastic primary vitreous (PHPV) is an idiopathic developmental eye disease associated with failed involution of the hyaloid vasculature. The present work addressed the pathogenesis of PHPV in a mouse model that replicates many aspects of the human disease. Methods Ophthalmoscopic and histologic analyses documented pathologic processes in eyes of mice lacking the Arf gene compared with Ink4a-deficient and wild-type control animals. Immunohistochemical staining, in situ hybridization, and RT-PCR demonstrated the expression of relevant gene products. Arf gene expression was determined by in situ hybridization using wholemounts of wild-type mouse eyes and by immunofluorescence staining for green fluores-cent protein (GFP) in Arf+/GFP heterozygous knock-in mouse eyes. Results Abnormalities in Arf−/− mice mimicked those found in patients with severe PHPV. The mice had microphthalmia; fibrovascular, retrolental tissue containing retinal pigment epithelial cells and remnants of the hyaloid vascular system; posterior lens capsule destruction with lens degeneration and opacity; and severe retinal dysplasia and detachment. Eyes of mice lacking the overlapping Ink4a gene were normal. Arf was selectively expressed in perivascular cells within the vitreous of the postnatal eye. Cells composing the retrolental mass in Arf−/− mice expressed the Arf promoter. The remnant hyaloid vessels expressed Flk-1. Its ligand, vascular endothelial growth factor (Vegf), was expressed in the retrolental tissue and the adjacent dysplastic neuroretina. Conclusions Arf−/− mice have features that accurately mimic severe PHPV. In the HVS, Arf expression in perivascular cells may block their accumulation or repress Vegf expression to promote HVS involution and prevent PHPV. PMID:15452040

  11. Over-expression of mango (Mangifera indica L.) MiARF2 inhibits root and hypocotyl growth of Arabidopsis.

    PubMed

    Wu, Bei; Li, Yun-He; Wu, Jian-Yong; Chen, Qi-Zhu; Huang, Xia; Chen, Yun-Feng; Huang, Xue-Lin

    2011-06-01

    An auxin response factor 2 gene, MiARF2, was cloned in our previous study [1] from the cotyledon section of mango (Mangifera indica L. cv. Zihua) during adventitious root formation, which shares an 84% amino acid sequence similarity to Arabidopsis ARF2. This study was to examine the effects of over-expression of the full-length MiARF2 open reading frame on the root and hypocotyl growth in Arabidopsis. Phenotype analysis showed that the T(3) transgenic lines had about 20-30% reduction in the length of hypocotyls and roots of the seedlings in comparison with the wild-type. The transcription levels of ANT and ARGOS genes which play a role in controlling organ size and cell proliferation in the transgenic seedlings also decreased. Therefore, the inhibited root and hypocotyl growth in the transgenic seedlings may be associated with the down-regulated transcription of ANT and ARGOS by the over-expression of MiARF2. This study also suggests that although MiARF2 only has a single DNA-binding domain (DBD), it can function as other ARF-like proteins containing complete DBD, middle region (MR) and carboxy-terminal dimerization domain (CTD).

  12. Role of ARF6 in internalization of metal-binding proteins, metallothionein and transferrin, and cadmium-metallothionein toxicity in kidney proximal tubule cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wolff, Natascha A.; Lee, Wing-Kee; Abouhamed, Marouan

    2008-07-01

    Filtered metal-protein complexes, such as cadmium-metallothionein-1 (CdMT-1) or transferrin (Tf) are apically endocytosed partly via megalin/cubilin by kidney proximal tubule (PT) cells where CdMT-1 internalization causes apoptosis. Small GTPase ARF (ADP-ribosylation factor) proteins regulate endocytosis and vesicular trafficking. We investigated roles of ARF6, which has been shown to be involved in internalization of ligands and endocytic trafficking in PT cells, following MT-1/CdMT-1 and Tf uptake by PT cells. WKPT-0293 Cl.2 cells derived from rat PT S1 segment were transfected with hemagglutinin-tagged wild-type (ARF6-WT) or dominant negative (ARF6-T27N) forms of ARF6. Using immunofluorescence, endogenous ARF6 was associated with the plasma membranemore » (PM) as well as juxtanuclear and co-localized with Rab5a and Rab11 involved in early and recycling endosomal trafficking. Immunofluorescence staining of megalin showed reduced surface labelling in ARF6 dominant negative (ARF6-DN) cells. Intracellular Alexa Fluor 546-conjugated MT-1 uptake was reduced in ARF6-DN cells and CdMT-1 (14.8 {mu}M for 24 h) toxicity was significantly attenuated from 27.3 {+-} 3.9% in ARF6-WT to 11.1 {+-} 4.0% in ARF6-DN cells (n = 6, P < 0.02). Moreover, reduced Alexa Fluor 546-conjugated Tf uptake was observed in ARF-DN cells (75.0 {+-} 4.6% versus 3.9 {+-} 3.9% of ARF6-WT cells, n = 3, P < 0.01) and/or remained near the PM (89.3 {+-} 5. 6% versus 45.2 {+-} 14.3% of ARF6-WT cells, n = 3, P < 0.05). In conclusion, the data support roles for ARF6 in receptor-mediated endocytosis and trafficking of MT-1/Tf to endosomes/lysosomes and CdMT-1 toxicity of PT cells.« less

  13. Improved therapeutic effectiveness by combining recombinant p14(ARF) with antisense complementary DNA of EGFR in laryngeal squamous cell carcinoma.

    PubMed

    Liu, Feng; Du, JinTao; Xian, Junming; Liu, Yafeng; Liu, Shixi; Lin, Yan

    2015-01-01

    The tumor suppressor p14(ARF) and proto-oncogene epidermal growth factor receptor (EGFR) play important roles in the development of laryngeal squamous cell carcinoma (LSCC). This study was aimed to determine whether combining recombinant p14(ARF) with antisense complementary DNA of EGFR could improve the therapeutic effectiveness in LSCC. After human larynx cancer cells (Hep-2) were infected with recombinant adenoviruses (Ad-p14(ARF) and Ad-antisense EGFR) together or alone in vitro, the proliferation and cell cycle distribution of Hep-2 cells were detected by MTT assay and flow cytometer analysis, respectively. Furthermore, the antitumor effects of recombinant adenoviruses together or alone on Hep-2 xenografts were examined in vivo. The levels of p14(ARF) and EGFR expressed in Hep-2 cells and xenografts were determined by western blot assay. Ad-p14(ARF) combining with Ad-antisense EGFR markedly inhibited the Hep-2 proliferation compared with alone (P=0.001, P=0.002 respectively). Combination of Ad-p14(ARF) and Ad-antisense EGFR led to the proportion of Hep-2 cells in G0/G1 phases increased by up to 86.9%. The down-expression of EGFR protein and overexpression of p14(ARF) protein were observed in vitro and in vivo, and this effect was preserved when Ad-p14(ARF) was combined with Ad-antisense EGFR. Besides, Ad-p14(ARF) plus Ad-antisense EGFR significantly (P<0.05) increased the antitumor activity against Hep-2 tumor xenografts comparing with Ad-p14(ARF) or Ad-antisense EGFR alone. Combination Ad-p14(ARF) with Ad-antisense EGFR significantly increased the antitumor responses in LSCC. An effectively potential gene therapy to prevent proliferation of LSCC was provided. Copyright © 2015 Elsevier Inc. All rights reserved.

  14. Interconversion of two GDP-bound conformations and their selection in an Arf-family small G protein.

    PubMed

    Okamura, Hideyasu; Nishikiori, Masaki; Xiang, Hongyu; Ishikawa, Masayuki; Katoh, Etsuko

    2011-07-13

    ADP-ribosylation factor (Arf) and other Arf-family small G proteins participate in many cellular functions via their characteristic GTP/GDP conformational cycles, during which a nucleotide(∗)Mg(2+)-binding site communicates with a remote N-terminal helix. However, the conformational interplay between the nucleotides, the helix, the protein core, and Mg(2+) has not been fully delineated. Herein, we report a study of the dynamics of an Arf-family protein, Arl8, under various conditions by means of NMR relaxation spectroscopy. The data indicated that, when GDP is bound, the protein core, which does not include the N-terminal helix, reversibly transition between an Arf-family GDP form and another conformation that resembles the Arf-family GTP form. Additionally, we found that the N-terminal helix and Mg(2+), respectively, stabilize the aforementioned former and latter conformations in a population-shift manner. Given the dynamics of the conformational changes, we can describe the Arl8 GTP/GDP cycle in terms of an energy diagram. Copyright © 2011 Elsevier Ltd. All rights reserved.

  15. Immersion and dry scanner extensions for sub-10nm production nodes

    NASA Astrophysics Data System (ADS)

    Weichselbaum, Stefan; Bornebroek, Frank; de Kort, Toine; Droste, Richard; de Graaf, Roelof F.; van Ballegoij, Rob; Botter, Herman; McLaren, Matthew G.; de Boeij, Wim P.

    2015-03-01

    Progressing towards the 10nm and 7nm imaging node, pattern-placement and layer-to-layer overlay requirements keep on scaling down and drives system improvements in immersion (ArFi) and dry (ArF/KrF) scanners. A series of module enhancements in the NXT platform have been introduced; among others, the scanner is equipped with exposure stages with better dynamics and thermal control. Grid accuracy improvements with respect to calibration, setup, stability, and layout dependency tighten MMO performance and enable mix and match scanner operation. The same platform improvements also benefit focus control. Improvements in detectability and reproducibility of low contrast alignment marks enhance the alignment solution window for 10nm logic processes and beyond. The system's architecture allows dynamic use of high-order scanner optimization based on advanced actuators of projection lens and scanning stages. This enables a holistic optimization approach for the scanner, the mask, and the patterning process. Productivity scanner design modifications esp. stage speeds and optimization in metrology schemes provide lower layer costs for customers using immersion lithography as well as conventional dry technology. Imaging, overlay, focus, and productivity data is presented, that demonstrates 10nm and 7nm node litho-capability for both (immersion & dry) platforms.

  16. ARF6-dependent regulation of P2Y receptor traffic and function in human platelets.

    PubMed

    Kanamarlapudi, Venkateswarlu; Owens, Sian E; Saha, Keya; Pope, Robert J; Mundell, Stuart J

    2012-01-01

    Adenosine diphosphate (ADP) is a critical regulator of platelet activation, mediating its actions through two G protein-coupled receptors, the P2Y(1) and P2Y(12) purinoceptors. Recently, we demonstrated that P2Y(1) and P2Y(12) purinoceptor activities are rapidly and reversibly modulated in human platelets, revealing that the underlying mechanism requires receptor internalization and subsequent trafficking as an essential part of this process. In this study we investigated the role of the small GTP-binding protein ADP ribosylation factor 6 (ARF6) in the internalization and function of P2Y(1) and P2Y(12) purinoceptors in human platelets. ARF6 has been implicated in the internalization of a number of GPCRs, although its precise molecular mechanism in this process remains unclear. In this study we show that activation of either P2Y(1) or P2Y(12) purinoceptors can stimulate ARF6 activity. Further blockade of ARF6 function either in cell lines or human platelets blocks P2Y purinoceptor internalization. This blockade of receptor internalization attenuates receptor resensitization. Furthermore, we demonstrate that Nm23-H1, a nucleoside diphosphate (NDP) kinase regulated by ARF6 which facilitates dynamin-dependent fission of coated vesicles during endocytosis, is also required for P2Y purinoceptor internalization. These data describe a novel function of ARF6 in the internalization of P2Y purinoceptors and demonstrate the integral importance of this small GTPase upon platelet ADP receptor function.

  17. ArF excimer laser debrides burns without destruction of viable tissue: A pilot study.

    PubMed

    Prasad, Atulya; Sawicka, Katarzyna M; Pablo, Kelly B; Macri, Lauren K; Felsenstein, Jerome; Wynne, James J; Clark, Richard A F

    2018-05-01

    Recent evidence indicates that early removal of eschar by tangential debridement can promote healing. Laser debridement can be used for debridement of areas that prove challenging for debridement using tangential excision. In particular, irradiation with an ArF excimer laser ablates desiccated eschar and is self-terminating, preserving hydrated or viable tissue. Thermal burns were created on the flanks of two outbred, female Yorkshire pigs using aluminum bars heated to 70°C and applied for different lengths of time. Three days after injury, burns were debrided using an ArF excimer laser (193nm). Tissue was harvested immediately after debridement and 7days after debridement (10days after burn). Data from a pilot study demonstrates that ArF excimer laser irradiation removes burn eschar and promotes healing at 10days after burn. ArF excimer laser debridement is self-terminating and preserves underlying and adjacent perfused tissue. Potentially, this modality would be ideal for the complex curvilinear structures of the body. Copyright © 2017 Elsevier Ltd and ISBI. All rights reserved.

  18. Soft Lithography

    NASA Astrophysics Data System (ADS)

    Xia, Younan; Whitesides, George M.

    1998-08-01

    Soft lithography represents a non-photolithographic strategy based on selfassembly and replica molding for carrying out micro- and nanofabrication. It provides a convenient, effective, and low-cost method for the formation and manufacturing of micro- and nanostructures. In soft lithography, an elastomeric stamp with patterned relief structures on its surface is used to generate patterns and structures with feature sizes ranging from 30 nm to 100 mum. Five techniques have been demonstrated: microcontact printing (muCP), replica molding (REM), microtransfer molding (muTM), micromolding in capillaries (MIMIC), and solvent-assisted micromolding (SAMIM). In this chapter we discuss the procedures for these techniques and their applications in micro- and nanofabrication, surface chemistry, materials science, optics, MEMS, and microelectronics.

  19. ARF6, PI3-kinase and host cell actin cytoskeleton in Toxoplasma gondii cell invasion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vieira da Silva, Claudio; Departamento de Microbiologia, Imunologia e Parasitologia, Universidade Federal de Sao Paulo, Rua Botucatu, 862, 6o andar, 04023-062 Sao Paulo, SP; Alves da Silva, Erika

    2009-01-16

    Toxoplasma gondii infects a variety of different cell types in a range of different hosts. Host cell invasion by T. gondii occurs by active penetration of the host cell, a process previously described as independent of host actin polymerization. Also, the parasitophorous vacuole has been shown to resist fusion with endocytic and exocytic pathways of the host cell. ADP-ribosylation factor-6 (ARF6) belongs to the ARF family of small GTP-binding proteins. ARF6 regulates membrane trafficking and actin cytoskeleton rearrangements at the plasma membrane. Here, we have observed that ARF6 is recruited to the parasitophorous vacuole of tachyzoites of T. gondii RHmore » strain and it also plays an important role in the parasite cell invasion with activation of PI3-kinase and recruitment of PIP{sub 2} and PIP{sub 3} to the parasitophorous vacuole of invading parasites. Moreover, it was verified that maintenance of host cell actin cytoskeleton integrity is important to parasite invasion.« less

  20. Holographic lithography for biomedical applications

    NASA Astrophysics Data System (ADS)

    Stankevicius, E.; Balciunas, E.; Malinauskas, M.; Raciukaitis, G.; Baltriukiene, D.; Bukelskiene, V.

    2012-06-01

    Fabrication of scaffolds for cell growth with appropriate mechanical characteristics is top-most important for successful creation of tissue. Due to ability of fast fabrication of periodic structures with a different period, the holographic lithography technique is a suitable tool for scaffolds fabrication. The scaffolds fabricated by holographic lithography can be used in various biomedical investigations such as the cellular adhesion, proliferation and viability. These investigations allow selection of the suitable material and geometry of scaffolds which can be used in creation of tissue. Scaffolds fabricated from di-acrylated poly(ethylene glycol) (PEG-DA-258) over a large area by holographic lithography technique are presented in this paper. The PEG-DA scaffolds fabricated by holographic lithography showed good cytocompatibility for rabbit myogenic stem cells. It was observed that adult rabbit muscle-derived myogenic stem cells grew onto PEG-DA scaffolds. They were attached to the pillars and formed cell-cell interactions. It demonstrates that the fabricated structures have potential to be an interconnection channel network for cell-to-cell interactions, flow transport of nutrients and metabolic waste as well as vascular capillary ingrowth. These results are encouraging for further development of holographic lithography by improving its efficiency for microstructuring three-dimensional scaffolds out of biodegradable hydrogels

  1. Identification of ARF and AUX/IAA gene families in Rafflesia cantleyi

    NASA Astrophysics Data System (ADS)

    Elias, Nur Atiqah Mohd; Goh, Hoe-Han; Isa, Nurulhikma Md; Wan, Kiew-Lian

    2016-11-01

    Rafflesia is a unique plant that produces the largest flowers in the world. It has a short blooming period of 6 to 7 days. Due to its rarity and limited accessibility, little is known about the growth and developmental process in the Rafflesia plant. In all plant species, auxin is the key hormone that is involved in growth and development. The auxin signal transduction involves members of the ARF transcription factor and AUX/IAA regulator families, which activate or inhibit the regulation of auxin response genes, thereby control the developmental process in plants. To gain a better understanding of molecular regulations in the Rafflesia plant development during flowering, members of the ARF and AUX/IAA gene families were identified from the transcriptome data of flower blooming stages in Rafflesia cantleyi. Based on Rafflesia unique transcripts (UTs) against the Arabidopsis TAIR database using BLASTX search, a total of nine UTs were identified as ARF transcription factors, while another seven UTs were identified as AUX/IAA regulators. These genes were found to be expressed in all three R. cantleyi flower stages i.e. days 1 (F1), 3 (F2), and 5 (F3). Gene expression analysis identified three genes that are differentially expressed in stage F1 vs. F2 i.e. IAA4 is upregulated while IAA8 and ARF3 are downregulated. These genes may be involved in the activation and/or inhibition of the auxin signal transduction pathway. Further analysis of these genes may unravel their function in the phenotypic development of the Rafflesia plant.

  2. The Arf6 GTPase-activating proteins ARAP2 and ACAP1 define distinct endosomal compartments that regulate integrin α5β1 traffic.

    PubMed

    Chen, Pei-Wen; Luo, Ruibai; Jian, Xiaoying; Randazzo, Paul A

    2014-10-31

    Arf6 and the Arf6 GTPase-activating protein (GAP) ACAP1 are established regulators of integrin traffic important to cell adhesion and migration. However, the function of Arf6 with ACAP1 cannot explain the range of Arf6 effects on integrin-based structures. We propose that Arf6 has different functions determined, in part, by the associated Arf GAP. We tested this idea by comparing the Arf6 GAPs ARAP2 and ACAP1. We found that ARAP2 and ACAP1 had opposing effects on apparent integrin β1 internalization. ARAP2 knockdown slowed, whereas ACAP1 knockdown accelerated, integrin β1 internalization. Integrin β1 association with adaptor protein containing a pleckstrin homology (PH) domain, phosphotyrosine-binding (PTB) domain, and leucine zipper motif (APPL)-positive endosomes and EEA1-positive endosomes was affected by ARAP2 knockdown and depended on ARAP2 GAP activity. ARAP2 formed a complex with APPL1 and colocalized with Arf6 and APPL in a compartment distinct from the Arf6/ACAP1 tubular recycling endosome. In addition, although ACAP1 and ARAP2 each colocalized with Arf6, they did not colocalize with each other and had opposing effects on focal adhesions (FAs). ARAP2 overexpression promoted large FAs, but ACAP1 overexpression reduced FAs. Taken together, the data support a model in which Arf6 has at least two sites of opposing action defined by distinct Arf6 GAPs. © 2014 by The American Society for Biochemistry and Molecular Biology, Inc.

  3. ELMOD1 Stimulates ARF6-GTP Hydrolysis to Stabilize Apical Structures in Developing Vestibular Hair Cells.

    PubMed

    Krey, Jocelyn F; Dumont, Rachel A; Wilmarth, Philip A; David, Larry L; Johnson, Kenneth R; Barr-Gillespie, Peter G

    2018-01-24

    Sensory hair cells require control of physical properties of their apical plasma membranes for normal development and function. Members of the ADP-ribosylation factor (ARF) small GTPase family regulate membrane trafficking and cytoskeletal assembly in many cells. We identified ELMO domain-containing protein 1 (ELMOD1), a guanine nucleoside triphosphatase activating protein (GAP) for ARF6, as the most highly enriched ARF regulator in hair cells. To characterize ELMOD1 control of trafficking, we analyzed mice of both sexes from a strain lacking functional ELMOD1 [roundabout ( rda )]. In rda/rda mice, cuticular plates of utricle hair cells initially formed normally, then degenerated after postnatal day 5; large numbers of vesicles invaded the compromised cuticular plate. Hair bundles initially developed normally, but the cell's apical membrane lifted away from the cuticular plate, and stereocilia elongated and fused. Membrane trafficking in type I hair cells, measured by FM1-43 dye labeling, was altered in rda/rda mice. Consistent with the proposed GAP role for ELMOD1, the ARF6 GTP/GDP ratio was significantly elevated in rda/rda utricles compared with controls, and the level of ARF6-GTP was correlated with the severity of the rda/rda phenotype. These results suggest that conversion of ARF6 to its GDP-bound form is necessary for final stabilization of the hair bundle. SIGNIFICANCE STATEMENT Assembly of the mechanically sensitive hair bundle of sensory hair cells requires growth and reorganization of apical actin and membrane structures. Hair bundles and apical membranes in mice with mutations in the Elmod1 gene degenerate after formation, suggesting that the ELMOD1 protein stabilizes these structures. We show that ELMOD1 is a GTPase-activating protein in hair cells for the small GTP-binding protein ARF6, known to participate in actin assembly and membrane trafficking. We propose that conversion of ARF6 into the GDP-bound form in the apical domain of hair cells is

  4. p14(ARF) nuclear overexpression in aggressive B-cell lymphomas is a sensor of malfunction of the common tumor suppressor pathways.

    PubMed

    Sánchez-Aguilera, Abel; Sánchez-Beato, Margarita; García, Juan F; Prieto, Ignacio; Pollan, Marina; Piris, Miguel A

    2002-02-15

    p14(ARF), the alternative product from the human INK4a/ARF locus, antagonizes Hdm2 and mediates p53 activation in response to oncogenic stimuli. An immunohistochemical study of p14(ARF) expression in 74 samples of aggressive B-cell lymphomas was performed, demonstrating an array of different abnormalities. A distinct nucleolar expression pattern was detected in nontumoral tissue and a subset of lymphomas (50/74). In contrast, a group of cases (8/74) showed absence of p14(ARF) expression, dependent either on promoter hypermethylation or gene loss. Additionally, 16 out of 74 cases displayed an abnormal nuclear p14(ARF) overexpression not confined to the nucleoli, as confirmed by confocal microscopy, and that was associated with high levels of p53 and Hdm2. A genetic study of these cases failed to show any alteration in the p14(ARF) gene, but revealed the presence of p53 mutations in over 50% of these cases. An increased growth fraction and a more aggressive clinical course, with a shortened survival time, also characterized the group of tumors with p14(ARF) nuclear overexpression. Moreover, this p14(ARF) expression pattern was more frequent in tumors displaying accumulated alterations in the p53, p16(INK4a), and p27(KIP1) tumor supressors. These observations, together with the consideration of the central role of p14(ARF) in cell cycle control, suggest that p14(ARF) abnormal nuclear overexpression is a sensor of malfunction of the major cell cycle regulatory pathways, and consequently a marker of a high tumor aggressivity.

  5. Comparison of Australian Recommended Food Score (ARFS) and Plasma Carotenoid Concentrations: A Validation Study in Adults

    PubMed Central

    Ashton, Lee; Williams, Rebecca; Rollo, Megan; Pezdirc, Kristine; Collins, Clare

    2017-01-01

    Diet quality indices can predict nutritional adequacy of usual intake, but validity should be determined. The aim was to assess the validity of total and sub-scale score within the Australian Recommended Food Score (ARFS), in relation to fasting plasma carotenoid concentrations. Diet quality and fasting plasma carotenoid concentrations were assessed in 99 overweight and obese adults (49.5% female, aged 44.6 ± 9.9 years) at baseline and after three months (198 paired observations). Associations were assessed using Spearman’s correlation coefficients and regression analysis, and agreement using weighted kappa (Kw). Small, significantly positive correlations were found between total ARFS and plasma concentrations of total carotenoids (r = 0.17, p < 0.05), β-cryptoxanthin (r = 0.18, p < 0.05), β-carotene (r = 0.20, p < 0.01), and α-carotene (r = 0.19, p < 0.01). Significant agreement between ARFS categories and plasma carotenoid concentrations was found for total carotenoids (Kw 0.12, p = 0.02), β-carotene (Kw 0.14, p < 0.01), and α-carotene (Kw 0.13, p < 0.01). In fully-adjusted regression models the only signification association with ARFS total score was for α-carotene (β = 0.19, p < 0.01), while ARFS meat and fruit sub-scales demonstrated significant relationships with α-carotene, β-carotene, and total carotenoids (p < 0.05). The weak associations highlight the issues with self-reporting dietary intakes in overweight and obese populations. Further research is required to evaluate the use of the ARFS in more diverse populations. PMID:28817083

  6. The Opposing Roles of Nucleophosmin and the ARF Tumor Suppressor in Breast Cancer

    DTIC Science & Technology

    2005-04-01

    3. Bertwistle, D ., M. Sugimoto, and C . J. Sherr. 2004. Physical and functional interactions of the Arf tumor suppressor protein with nucleophosmin...Kindbeiter, J. C . Sanchez, A. Greco, D . Hochstrasser, and J. J. Diaz. 2002. Functional proteomic analysis of human nucleolus. Mol Biol Cell 13:4100-9...21. Sherr, C . J., and J. D . Weber. 2000. The ARF/p53 pathway. Curr Opin Genet Dev 10:94-9. 22. Spector, D . L., R. L. Ochs, and H. Busch. 1984

  7. PML IV/ARF interaction enhances p53 SUMO-1 conjugation, activation, and senescence

    PubMed Central

    Ivanschitz, Lisa; Takahashi, Yuki; Jollivet, Florence; Ayrault, Olivier; Le Bras, Morgane; de Thé, Hugues

    2015-01-01

    Promyelocytic leukemia protein (PML) nuclear bodies (NBs) recruit multiple partners, including p53 and many of its regulators. NBs are believed to facilitate several posttranslational modifications and are key regulators of senescence. PML, the organizer of NBs, is expressed as a number of splice variants that all efficiently recruit p53 partners. However, overexpression of only one of them, PML IV, triggers p53-driven senescence. Here, we show that PML IV specifically binds ARF, a key p53 regulator. Similar to ARF, PML IV enhances global SUMO-1 conjugation, particularly that of p53, resulting in p53 stabilization and activation. ARF interacts with and stabilizes the NB-associated UBC9 SUMO-conjugating enzyme, possibly explaining PML IV-enhanced SUMOylation. These results unexpectedly link two key tumor suppressors, highlighting their convergence for global control of SUMO conjugation, p53 activation, and senescence induction. PMID:26578773

  8. PML IV/ARF interaction enhances p53 SUMO-1 conjugation, activation, and senescence.

    PubMed

    Ivanschitz, Lisa; Takahashi, Yuki; Jollivet, Florence; Ayrault, Olivier; Le Bras, Morgane; de Thé, Hugues

    2015-11-17

    Promyelocytic leukemia protein (PML) nuclear bodies (NBs) recruit multiple partners, including p53 and many of its regulators. NBs are believed to facilitate several posttranslational modifications and are key regulators of senescence. PML, the organizer of NBs, is expressed as a number of splice variants that all efficiently recruit p53 partners. However, overexpression of only one of them, PML IV, triggers p53-driven senescence. Here, we show that PML IV specifically binds ARF, a key p53 regulator. Similar to ARF, PML IV enhances global SUMO-1 conjugation, particularly that of p53, resulting in p53 stabilization and activation. ARF interacts with and stabilizes the NB-associated UBC9 SUMO-conjugating enzyme, possibly explaining PML IV-enhanced SUMOylation. These results unexpectedly link two key tumor suppressors, highlighting their convergence for global control of SUMO conjugation, p53 activation, and senescence induction.

  9. Sec71 functions as a GEF for the small GTPase Arf1 to govern dendrite pruning of Drosophila sensory neurons.

    PubMed

    Wang, Yan; Zhang, Heng; Shi, Meng; Liou, Yih-Cherng; Lu, Lei; Yu, Fengwei

    2017-05-15

    Pruning, whereby neurons eliminate their excess neurites, is central for the maturation of the nervous system. In Drosophila , sensory neurons, ddaCs, selectively prune their larval dendrites without affecting their axons during metamorphosis. However, it is unknown whether the secretory pathway plays a role in dendrite pruning. Here, we show that the small GTPase Arf1, an important regulator of the secretory pathway, is specifically required for dendrite pruning of ddaC/D/E sensory neurons but dispensable for apoptosis of ddaF neurons. Analyses of the GTP- and GDP-locked forms of Arf1 indicate that the cycling of Arf1 between GDP-bound and GTP-bound forms is essential for dendrite pruning. We further identified Sec71 as a guanine nucleotide exchange factor for Arf1 that preferentially interacts with its GDP-bound form. Like Arf1, Sec71 is also important for dendrite pruning, but not for apoptosis, of sensory neurons. Arf1 and Sec71 are interdependent for their localizations on Golgi. Finally, we show that the Sec71/Arf1-mediated trafficking process is a prerequisite for Rab5-dependent endocytosis to facilitate endocytosis and degradation of the cell-adhesion molecule Neuroglian (Nrg). © 2017. Published by The Company of Biologists Ltd.

  10. Extension of optical lithography by mask-litho integration with computational lithography

    NASA Astrophysics Data System (ADS)

    Takigawa, T.; Gronlund, K.; Wiley, J.

    2010-05-01

    Wafer lithography process windows can be enlarged by using source mask co-optimization (SMO). Recently, SMO including freeform wafer scanner illumination sources has been developed. Freeform sources are generated by a programmable illumination system using a micro-mirror array or by custom Diffractive Optical Elements (DOE). The combination of freeform sources and complex masks generated by SMO show increased wafer lithography process window and reduced MEEF. Full-chip mask optimization using source optimized by SMO can generate complex masks with small variable feature size sub-resolution assist features (SRAF). These complex masks create challenges for accurate mask pattern writing and low false-defect inspection. The accuracy of the small variable-sized mask SRAF patterns is degraded by short range mask process proximity effects. To address the accuracy needed for these complex masks, we developed a highly accurate mask process correction (MPC) capability. It is also difficult to achieve low false-defect inspections of complex masks with conventional mask defect inspection systems. A printability check system, Mask Lithography Manufacturability Check (M-LMC), is developed and integrated with 199-nm high NA inspection system, NPI. M-LMC successfully identifies printable defects from all of the masses of raw defect images collected during the inspection of a complex mask. Long range mask CD uniformity errors are compensated by scanner dose control. A mask CD uniformity error map obtained by mask metrology system is used as input data to the scanner. Using this method, wafer CD uniformity is improved. As reviewed above, mask-litho integration technology with computational lithography is becoming increasingly important.

  11. High-numerical aperture extreme ultraviolet scanner for 8-nm lithography and beyond

    NASA Astrophysics Data System (ADS)

    Schoot, Jan van; Setten, Eelco van; Rispens, Gijsbert; Troost, Kars Z.; Kneer, Bernhard; Migura, Sascha; Neumann, Jens Timo; Kaiser, Winfried

    2017-10-01

    Current extreme ultraviolet (EUV) projection lithography systems exploit a projection lens with a numerical aperture (NA) of 0.33. It is expected that these will be used in mass production in the 2018/2019 timeframe. By then, the most difficult layers at the 7-nm logic and the mid-10-nm DRAM nodes will be exposed. These systems are a more economical alternative to multiple-exposure by 193 argon fluoride immersion scanners. To enable cost-effective shrink by EUV lithography down to 8-nm half pitch, a considerably larger NA is needed. As a result of the increased NA, the incidence angles of the light rays at the mask increase significantly. Consequently, the shadowing and the variation of the multilayer reflectivity deteriorate the aerial image contrast to unacceptably low values at the current 4× magnification. The only solution to reduce the angular range at the mask is to increase the magnification. Simulations show that the magnification has to be doubled to 8× to overcome the shadowing effects. Assuming that the mask infrastructure will not change the mask form factor, this would inevitably lead to a field size that is a quarter of the field size of the current 0.33-NA step and scan systems and reduce the throughput (TPT) of the high-NA scanner to a value below 100 wafers per hour unless additional measures are taken. This paper presents an anamorphic step and scan system capable of printing fields that are half the field size of the current full field. The anamorphic system has the potential to achieve a TPT in excess of 150 wafers per hour by increasing the transmission of the optics, as well as increasing the acceleration of the wafer stage and mask stage. This makes it an economically viable lithography solution.

  12. Physical Limitations in Lithography for Microelectronics.

    ERIC Educational Resources Information Center

    Flavin, P. G.

    1981-01-01

    Describes techniques being used in the production of microelectronics kits which have replaced traditional optical lithography, including contact and optical projection printing, and X-ray and electron beam lithography. Also includes limitations of each technique described. (SK)

  13. Modeling of projection electron lithography

    NASA Astrophysics Data System (ADS)

    Mack, Chris A.

    2000-07-01

    Projection Electron Lithography (PEL) has recently become a leading candidate for the next generation of lithography systems after the successful demonstration of SCAPEL by Lucent Technologies and PREVAIL by IBM. These systems use a scattering membrane mask followed by a lens with limited angular acceptance range to form an image of the mask when illuminated by high energy electrons. This paper presents an initial modeling system for such types of projection electron lithography systems. Monte Carlo modeling of electron scattering within the mask structure creates an effective mask 'diffraction' pattern, to borrow the standard optical terminology. A cutoff of this scattered pattern by the imaging 'lens' provides an electron energy distribution striking the wafer. This distribution is then convolved with a 'point spread function,' the results of a Monte Carlo scattering calculation of a point beam of electrons striking the resist coated substrate and including the effects of beam blur. Resist exposure and development models from standard electron beam lithography simulation are used to simulate the final three-dimensional resist profile.

  14. Trends in imprint lithography for biological applications.

    PubMed

    Truskett, Van N; Watts, Michael P C

    2006-07-01

    Imprint lithography is emerging as an alternative nano-patterning technology to traditional photolithography that permits the fabrication of 2D and 3D structures with <100 nm resolution, patterning and modification of functional materials other than photoresist and is low cost, with operational ease for use in developing bio-devices. Techniques for imprint lithography, categorized as either 'molding and embossing' or 'transfer printing', will be discussed in the context of microarrays for genomics, proteomics and tissue engineering. Specifically, fabrication by nanoimprint lithography (NIL), UV-NIL, step and flash imprint lithography (S-FIL), micromolding by elastomeric stamps and micro- and nano-contact printing will be reviewed.

  15. MUC1-ARF-A Novel MUC1 Protein That Resides in the Nucleus and Is Expressed by Alternate Reading Frame Translation of MUC1 mRNA.

    PubMed

    Chalick, Michael; Jacobi, Oded; Pichinuk, Edward; Garbar, Christian; Bensussan, Armand; Meeker, Alan; Ziv, Ravit; Zehavi, Tania; Smorodinsky, Nechama I; Hilkens, John; Hanisch, Franz-Georg; Rubinstein, Daniel B; Wreschner, Daniel H

    2016-01-01

    Translation of mRNA in alternate reading frames (ARF) is a naturally occurring process heretofore underappreciated as a generator of protein diversity. The MUC1 gene encodes MUC1-TM, a signal-transducing trans-membrane protein highly expressed in human malignancies. Here we show that an AUG codon downstream to the MUC1-TM initiation codon initiates an alternate reading frame thereby generating a novel protein, MUC1-ARF. MUC1-ARF, like its MUC1-TM 'parent' protein, contains a tandem repeat (VNTR) domain. However, the amino acid sequence of the MUC1-ARF tandem repeat as well as N- and C- sequences flanking it differ entirely from those of MUC1-TM. In vitro protein synthesis assays and extensive immunohistochemical as well as western blot analyses with MUC1-ARF specific monoclonal antibodies confirmed MUC1-ARF expression. Rather than being expressed at the cell membrane like MUC1-TM, immunostaining showed that MUC1-ARF protein localizes mainly in the nucleus: Immunohistochemical analyses of MUC1-expressing tissues demonstrated MUC1-ARF expression in the nuclei of secretory luminal epithelial cells. MUC1-ARF expression varies in different malignancies. While the malignant epithelial cells of pancreatic cancer show limited expression, in breast cancer tissue MUC1-ARF demonstrates strong nuclear expression. Proinflammatory cytokines upregulate expression of MUC1-ARF protein and co-immunoprecipitation analyses demonstrate association of MUC1-ARF with SH3 domain-containing proteins. Mass spectrometry performed on proteins coprecipitating with MUC1-ARF demonstrated Glucose-6-phosphate 1-dehydrogenase (G6PD) and Dynamin 2 (DNM2). These studies not only reveal that the MUC1 gene generates a previously unidentified MUC1-ARF protein, they also show that just like its 'parent' MUC1-TM protein, MUC1-ARF is apparently linked to signaling and malignancy, yet a definitive link to these processes and the roles it plays awaits a precise identification of its molecular functions

  16. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, N.M.; Markle, D.A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies. 7 figs.

  17. Maskless, reticle-free, lithography

    DOEpatents

    Ceglio, Natale M.; Markle, David A.

    1997-11-25

    A lithography system in which the mask or reticle, which usually carries the pattern to be printed onto a substrate, is replaced by a programmable array of binary (i.e. on/off) light valves or switches which can be programmed to replicate a portion of the pattern each time an illuminating light source is flashed. The pattern of light produced by the programmable array is imaged onto a lithographic substrate which is mounted on a scanning stage as is common in optical lithography. The stage motion and the pattern of light displayed by the programmable array are precisely synchronized with the flashing illumination system so that each flash accurately positions the image of the pattern on the substrate. This is achieved by advancing the pattern held in the programmable array by an amount which corresponds to the travel of the substrate stage each time the light source flashes. In this manner the image is built up of multiple flashes and an isolated defect in the array will only have a small effect on the printed pattern. The method includes projection lithographies using radiation other than optical or ultraviolet light. The programmable array of binary switches would be used to control extreme ultraviolet (EUV), x-ray, or electron, illumination systems, obviating the need for stable, defect free masks for projection EUV, x-ray, or electron, lithographies.

  18. Ion beam lithography system

    DOEpatents

    Leung, Ka-Ngo

    2005-08-02

    A maskless plasma-formed ion beam lithography tool provides for patterning of sub-50 nm features on large area flat or curved substrate surfaces. The system is very compact and does not require an accelerator column and electrostatic beam scanning components. The patterns are formed by switching beamlets on or off from a two electrode blanking system with the substrate being scanned mechanically in one dimension. This arrangement can provide a maskless nano-beam lithography tool for economic and high throughput processing.

  19. Optical force stamping lithography

    PubMed Central

    Nedev, Spas; Urban, Alexander S.; Lutich, Andrey A.; Feldmann, Jochen

    2013-01-01

    Here we introduce a new paradigm of far-field optical lithography, optical force stamping lithography. The approach employs optical forces exerted by a spatially modulated light field on colloidal nanoparticles to rapidly stamp large arbitrary patterns comprised of single nanoparticles onto a substrate with a single-nanoparticle positioning accuracy well beyond the diffraction limit. Because the process is all-optical, the stamping pattern can be changed almost instantly and there is no constraint on the type of nanoparticle or substrates used. PMID:21992538

  20. Nanoparticle photoresist studies for EUV lithography

    NASA Astrophysics Data System (ADS)

    Kasahara, Kazuki; Xu, Hong; Kosma, Vasiliki; Odent, Jeremy; Giannelis, Emmanuel P.; Ober, Christopher K.

    2017-03-01

    EUV (extreme ultraviolet) lithography is one of the most promising candidates for next generation lithography. The main challenge for EUV resists is to simultaneously satisfy resolution, LWR (line-width roughness) and sensitivity requirements according to the ITRS roadmap. Though polymer type CAR (chemically amplified resist) is the currently standard photoresist, entirely new resist platforms are required due to the performance targets of smaller process nodes. In this paper, recent progress in nanoparticle photoresists which Cornell University has intensely studied is discussed. Lithography performance, especially scum elimination, improvement studies with the dissolution rate acceleration concept and new metal core applications are described.

  1. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  2. Machineries regulating the activity of the small GTPase Arf6 in cancer cells are potential targets for developing innovative anti-cancer drugs.

    PubMed

    Yamauchi, Yohei; Miura, Yuki; Kanaho, Yasunori

    2017-01-01

    The Small GTPase ADP-ribosylation factor 6 (Arf6) functions as the molecular switch in cellular signaling pathways by cycling between GDP-bound inactive and GTP-bound active form, which is precisely regulated by two regulators, guanine nucleotide exchange factors (GEFs) and GTPase-activating proteins (GAPs). Numerous studies have shown that these machineries play critical roles in tumor angiogenesis/growth and cancer cell invasion/metastasis through regulating the cycling of Arf6. Here, we summarize accumulating knowledge for involvement of Arf6 GEFs/GAPs and small molecule inhibitors of Arf6 signaling/cycling in cancer progression, and discuss possible strategies for developing innovative anti-cancer drugs targeting Arf6 signaling/cycling. Copyright © 2016 Elsevier Ltd. All rights reserved.

  3. Genome-Wide Characterization and Expression Profiling of the AUXIN RESPONSE FACTOR (ARF) Gene Family in Eucalyptus grandis

    PubMed Central

    Yu, Hong; Soler, Marçal; Mila, Isabelle; San Clemente, Hélène; Savelli, Bruno; Dunand, Christophe; Paiva, Jorge A. P.; Myburg, Alexander A.; Bouzayen, Mondher; Grima-Pettenati, Jacqueline; Cassan-Wang, Hua

    2014-01-01

    Auxin is a central hormone involved in a wide range of developmental processes including the specification of vascular stem cells. Auxin Response Factors (ARF) are important actors of the auxin signalling pathway, regulating the transcription of auxin-responsive genes through direct binding to their promoters. The recent availability of the Eucalyptus grandis genome sequence allowed us to examine the characteristics and evolutionary history of this gene family in a woody plant of high economic importance. With 17 members, the E. grandis ARF gene family is slightly contracted, as compared to those of most angiosperms studied hitherto, lacking traces of duplication events. In silico analysis of alternative transcripts and gene truncation suggested that these two mechanisms were preeminent in shaping the functional diversity of the ARF family in Eucalyptus. Comparative phylogenetic analyses with genomes of other taxonomic lineages revealed the presence of a new ARF clade found preferentially in woody and/or perennial plants. High-throughput expression profiling among different organs and tissues and in response to environmental cues highlighted genes expressed in vascular cambium and/or developing xylem, responding dynamically to various environmental stimuli. Finally, this study allowed identification of three ARF candidates potentially involved in the auxin-regulated transcriptional program underlying wood formation. PMID:25269088

  4. Characteristics and Efficacy of a Sterile Hyphomycete (ARF18), a New Biocontrol Agent for Heterodera glycines and Other Nematodes

    PubMed Central

    Kim, D. G.; Riggs, R. D.

    1991-01-01

    A filamentous, nonsporulating fungus, designated Arkansas Fungus 18 (ARF18), was isolated from 9 of 95 populations of Heterodera glycines, the soybean cyst nematode, in Arkansas. In petri dishes, ARF18 parasitized 89% of H. glycines eggs in cysts. The fungus also infected eggs of Meloidogyne incognita and eggs in cysts of Cactodera betulae, H. graminophila, H. lespedezae, H. leuceilyma, H. schachtii, and H. trifolii. In pot tests, reproduction of SCN was 70% less in untreated field soil that was naturally infested by ARF18 than in autoclaved field soil. Although ARF18 grew well at 25 C on cornmeal agar over a wide pH range, it did not sporulate on 28 media and thus could not be identified to genus or species. PMID:19283127

  5. Characteristics and Efficacy of a Sterile Hyphomycete (ARF18), a New Biocontrol Agent for Heterodera glycines and Other Nematodes.

    PubMed

    Kim, D G; Riggs, R D

    1991-07-01

    A filamentous, nonsporulating fungus, designated Arkansas Fungus 18 (ARF18), was isolated from 9 of 95 populations of Heterodera glycines, the soybean cyst nematode, in Arkansas. In petri dishes, ARF18 parasitized 89% of H. glycines eggs in cysts. The fungus also infected eggs of Meloidogyne incognita and eggs in cysts of Cactodera betulae, H. graminophila, H. lespedezae, H. leuceilyma, H. schachtii, and H. trifolii. In pot tests, reproduction of SCN was 70% less in untreated field soil that was naturally infested by ARF18 than in autoclaved field soil. Although ARF18 grew well at 25 C on cornmeal agar over a wide pH range, it did not sporulate on 28 media and thus could not be identified to genus or species.

  6. A BAR domain in the N terminus of the Arf GAP ASAP1 affects membrane structure and trafficking of epidermal growth factor receptor.

    PubMed

    Nie, Zhongzhen; Hirsch, Dianne S; Luo, Ruibai; Jian, Xiaoying; Stauffer, Stacey; Cremesti, Aida; Andrade, Josefa; Lebowitz, Jacob; Marino, Michael; Ahvazi, Bijan; Hinshaw, Jenny E; Randazzo, Paul A

    2006-01-24

    Arf GAPs are multidomain proteins that function in membrane traffic by inactivating the GTP binding protein Arf1. Numerous Arf GAPs contain a BAR domain, a protein structural element that contributes to membrane traffic by either inducing or sensing membrane curvature. We have examined the role of a putative BAR domain in the function of the Arf GAP ASAP1. ASAP1's N terminus, containing the putative BAR domain together with a PH domain, dimerized to form an extended structure that bound to large unilamellar vesicles containing acidic phospholipids, properties that define a BAR domain. A recombinant protein containing the BAR domain of ASAP1, together with the PH and Arf GAP domains, efficiently bent the surface of large unilamellar vesicles, resulting in the formation of tubular structures. This activity was regulated by Arf1*GTP binding to the Arf GAP domain. In vivo, the tubular structures induced by ASAP1 mutants contained epidermal growth factor receptor (EGFR) and Rab11, and ASAP1 colocalized in tubular structures with EGFR during recycling of receptor. Expression of ASAP1 accelerated EGFR trafficking and slowed cell spreading. An ASAP1 mutant lacking the BAR domain had no effect. The N-terminal BAR domain of ASAP1 mediates membrane bending and is necessary for ASAP1 function. The Arf dependence of the bending activity is consistent with ASAP1 functioning as an Arf effector.

  7. The Opposing Roles of Nucleophosmin and the ARF Tumor Suppressor in Breast Cancer

    DTIC Science & Technology

    2007-04-01

    P ., R. K. Busch, B. C. Valdez, and H. Busch. 1996 . C23 interacts with B23, a putative nucleolar...beneficial anti-cancer activity of peptides in vivo. Injection of a peptide from the von Hippel -Lindau (VHL) tumor suppressor inhibited the growth and... 240 WT Arf -/- 1 2 3 4 5 0 C y to s o lic 3 H -M e th y l M e th io n in e c p m ( x 1 0 3 ) A 28S 18S WT chase (min): Arf -/- 120 240 120 240 N

  8. Data sharing system for lithography APC

    NASA Astrophysics Data System (ADS)

    Kawamura, Eiichi; Teranishi, Yoshiharu; Shimabara, Masanori

    2007-03-01

    We have developed a simple and cost-effective data sharing system between fabs for lithography advanced process control (APC). Lithography APC requires process flow, inter-layer information, history information, mask information and so on. So, inter-APC data sharing system has become necessary when lots are to be processed in multiple fabs (usually two fabs). The development cost and maintenance cost also have to be taken into account. The system handles minimum information necessary to make trend prediction for the lots. Three types of data have to be shared for precise trend prediction. First one is device information of the lots, e.g., process flow of the device and inter-layer information. Second one is mask information from mask suppliers, e.g., pattern characteristics and pattern widths. Last one is history data of the lots. Device information is electronic file and easy to handle. The electronic file is common between APCs and uploaded into the database. As for mask information sharing, mask information described in common format is obtained via Wide Area Network (WAN) from mask-vender will be stored in the mask-information data server. This information is periodically transferred to one specific lithography-APC server and compiled into the database. This lithography-APC server periodically delivers the mask-information to every other lithography-APC server. Process-history data sharing system mainly consists of function of delivering process-history data. In shipping production lots to another fab, the product-related process-history data is delivered by the lithography-APC server from the shipping site. We have confirmed the function and effectiveness of data sharing systems.

  9. Lossless compression techniques for maskless lithography data

    NASA Astrophysics Data System (ADS)

    Dai, Vito; Zakhor, Avideh

    2002-07-01

    Future lithography systems must produce more dense chips with smaller feature sizes, while maintaining the throughput of one wafer per sixty seconds per layer achieved by today's optical lithography systems. To achieve this throughput with a direct-write maskless lithography system, using 25 nm pixels for 50 nm feature sizes, requires data rates of about 10 Tb/s. In a previous paper, we presented an architecture which achieves this data rate contingent on consistent 25 to 1 compression of lithography data, and on implementation of a decoder-writer chip with a real-time decompressor fabricated on the same chip as the massively parallel array of lithography writers. In this paper, we examine the compression efficiency of a spectrum of techniques suitable for lithography data, including two industry standards JBIG and JPEG-LS, a wavelet based technique SPIHT, general file compression techniques ZIP and BZIP2, our own 2D-LZ technique, and a simple list-of-rectangles representation RECT. Layouts rasterized both to black-and-white pixels, and to 32 level gray pixels are considered. Based on compression efficiency, JBIG, ZIP, 2D-LZ, and BZIP2 are found to be strong candidates for application to maskless lithography data, in many cases far exceeding the required compression ratio of 25. To demonstrate the feasibility of implementing the decoder-writer chip, we consider the design of a hardware decoder based on ZIP, the simplest of the four candidate techniques. The basic algorithm behind ZIP compression is Lempel-Ziv 1977 (LZ77), and the design parameters of LZ77 decompression are optimized to minimize circuit usage while maintaining compression efficiency.

  10. ADP-ribosylation factor arf6p may function as a molecular switch of new end take off in fission yeast

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fujita, Atsushi

    2008-02-01

    Small GTPases act as molecular switches in a wide variety of cellular processes. In fission yeast Schizosaccharomyces pombe, the directions of cell growth change from a monopolar manner to a bipolar manner, which is known as 'New End Take Off' (NETO). Here I report the identification of a gene, arf6{sup +}, encoding an ADP-ribosylation factor small GTPase, that may be essential for NETO. arf6{delta} cells completely fail to undergo NETO. arf6p localizes at both cell ends and presumptive septa in a cell-cycle dependent manner. And its polarized localization is not dependent on microtubules, actin cytoskeletons and some NETO factors (bud6p,more » for3p, tea1p, tea3p, and tea4p). Notably, overexpression of a fast GDP/GTP-cycling mutant of arf6p can advance the timing of NETO. These findings suggest that arf6p functions as a molecular switch for the activation of NETO in fission yeast.« less

  11. Arf6 guanine-nucleotide exchange factor, cytohesin-2, interacts with actinin-1 to regulate neurite extension.

    PubMed

    Torii, Tomohiro; Miyamoto, Yuki; Nakamura, Kazuaki; Maeda, Masahiro; Yamauchi, Junji; Tanoue, Akito

    2012-09-01

    Proper regulation of morphological changes in neuronal cells is essential for their differentiation. Complex signaling mechanisms mediate a variety of morphological changes such as formation of neurites. It is well established that a number of small GTPases control neurite behavior before the connection with the target tissue. However, their regulatory mechanisms remain to be fully understood. Here, we show that the Arf6 guanine-nucleotide exchange factor (GEF), cytohesin-2 (CYTH2), interacts with the cytoskeletal protein actinin-1 (ACTN1) and regulates neurite extension in N1E-115 cells used as the model. Knockdown of ACTN1, as well as that of CYTH2, in cells inhibits cellular Arf6 activity and neurite extension. The C-terminal polybasic region of CYTH2 participates in interacting directly with the EFh2 domain of ACTN1. Expression of CYTH2 mutant deficient of the EFh2 domain in cells also inhibits Arf6 activation and neurite extension. Furthermore, FRET analysis detects that the respective interactive region peptides, tagged with cell-permeable short peptides, greatly decrease Arf6 activation at growth cones in a time-dependent manner. Collectively, the signaling through CYTH2 and ACTN1 properly regulates neurite extension in N1E-115 cells, demonstrating the unexpected interaction of CYTH2 and ACTN1 in the regulation of cellular Arf6 activity involved in neurite extension. Copyright © 2012 Elsevier Inc. All rights reserved.

  12. Lithography alternatives meet design style reality: How do they "line" up?

    NASA Astrophysics Data System (ADS)

    Smayling, Michael C.

    2016-03-01

    Optical lithography resolution scaling has stalled, giving innovative alternatives a window of opportunity. One important factor that impacts these lithographic approaches is the transition in design style from 2D to 1D for advanced CMOS logic. Just as the transition from 3D circuits to 2D fabrication 50 years ago created an opportunity for a new breed of electronics companies, the transition today presents exciting and challenging time for lithographers. Today, we are looking at a range of non-optical lithography processes. Those considered here can be broadly categorized: self-aligned lithography, self-assembled lithography, deposition lithography, nano-imprint lithography, pixelated e-beam lithography, shot-based e-beam lithography .Do any of these alternatives benefit from or take advantage of 1D layout? Yes, for example SAPD + CL (Self Aligned Pitch Division combined with Complementary Lithography). This is a widely adopted process for CMOS nodes at 22nm and below. Can there be additional design / process co-optimization? In spite of the simple-looking nature of 1D layout, the placement of "cut" in the lines and "holes" for interlayer connections can be tuned for a given process capability. Examples of such optimization have been presented at this conference, typically showing a reduction of at least one in the number of cut or hole patterns needed.[1,2] Can any of the alternatives complement each other or optical lithography? Yes.[3] For example, DSA (Directed Self Assembly) combines optical lithography with self-assembly. CEBL (Complementary e-Beam Lithography) combines optical lithography with SAPD for lines with shot-based e-beam lithography for cuts and holes. Does one (shrinking) size fit all? No, that's why we have many alternatives. For example NIL (Nano-imprint Lithography) has been introduced for NAND Flash patterning where the (trending lower) defectivity is acceptable for the product. Deposition lithography has been introduced in 3D NAND Flash to

  13. [Arf6, RalA and BIRC5 protein expression in non small cell lung cancer].

    PubMed

    Knizhnik, A V; Kovaleva, O B; Laktionov, K K; Mochal'nikova, V V; Komel'kov, A V; Chevkina, E M; Zborovskaia, I B

    2011-01-01

    Evaluation of tumor markers expression pattern which determines individual progression parameters is one of the major topics in molecular oncopathology research. This work presents research on expression analysis of several Ras-Ral associated signal transduction pathway proteins (Arf6, RalA and BIRC5) in accordance with clinical criteria in non small cell lung cancer patients. Using Western-blot analysis and RT-PCR Arf6, RalA and BIRC5 expression has been analyzed in parallel in 53 non small cell lung cancer samples of different origin. Arf6 protein expression was elevated in 55% non small cell lung cancer tumor samples in comparison with normal tissue. In the group of squamous cell lung cancer Arf6 expression elevation was observed more often. RalA protein expression was decreased in comparison to normal tissue samples in 64% of non small cell lung cancer regardless to morphological structure. Correlation between RalA protein expression decrease and absence of regional metastases was revealed for squamous cell lung cancer. BIRC5 protein expression in tumor samples versus corresponding normal tissue was 1.3 times more often elevated in the squamous cell lung cancer group (in 76% tumor samples). At the same time elevation of BIRC5 expression was fixed only in 63% of adenocarcinoma tumor samples. A statistically significant decrease (p = 0.0158) of RalA protein expression and increase (p = 0.0498) of Arf6 protein expression in comparison with normal tissue was found for T1-2N0M0 and T1-2N1-2M0 groups of squamous cell lung cancer correspondingly.

  14. PRL-3 promotes breast cancer progression by downregulating p14ARF-mediated p53 expression.

    PubMed

    Xie, Hua; Wang, Hao

    2018-03-01

    Prior studies have demonstrated that phosphatase of regenerating liver-3 (PRL-3) serves avital function in cell proliferation and metastasis in breast cancer. However, the molecular mechanisms underlying the function of PRL-3 in breast cancer remain unknown. PRL-3 expression was analyzed in 24 pairs of breast cancer and normal tissues using the reverse transcription-quantitative polymerase chain reaction assay. The results of the present study identified that the expression of PLR-3 in breast cancer tissues was increased 4.2-fold, compared with normal tissues. Notably, overexpression of PRL-3 significantly promoted the proliferation of cancer cells and inhibited endogenous p53 expression by downregulating the expression level of p14 alternate reading frame (p14 ARF ). In addition, decreased expression levels of PRL-3 resulted in decreased breast cancer cell proliferation and increased expression level of p14 ARF . These results suggested that PRL-3 enhances cell proliferation by downregulating p14 ARF expression, which results in decreased levels ofp53. The results of the present study demonstrated that PRL-3 promotes tumor proliferation by affecting the p14 ARF -p53 axis, and that it may serve as a prognostic marker for patients with breast cancer.

  15. Endocytosis of hERG Is Clathrin-Independent and Involves Arf6

    PubMed Central

    Abuarab, Nada; Smith, Andrew J.; Hardy, Matthew E. L.; Elliott, David J. S.; Sivaprasadarao, Asipu

    2013-01-01

    The hERG potassium channel is critical for repolarisation of the cardiac action potential. Reduced expression of hERG at the plasma membrane, whether caused by hereditary mutations or drugs, results in long QT syndrome and increases the risk of ventricular arrhythmias. Thus, it is of fundamental importance to understand how the density of this channel at the plasma membrane is regulated. We used antibodies to an extracellular native or engineered epitope, in conjunction with immunofluorescence and ELISA, to investigate the mechanism of hERG endocytosis in recombinant cells and validated the findings in rat neonatal cardiac myocytes. The data reveal that this channel undergoes rapid internalisation, which is inhibited by neither dynasore, an inhibitor of dynamin, nor a dominant negative construct of Rab5a, into endosomes that are largely devoid of the transferrin receptor. These results support a clathrin-independent mechanism of endocytosis and exclude involvement of dynamin-dependent caveolin and RhoA mechanisms. In agreement, internalised hERG displayed marked overlap with glycosylphosphatidylinositol-anchored GFP, a clathrin-independent cargo. Endocytosis was significantly affected by cholesterol extraction with methyl-β-cyclodextrin and inhibition of Arf6 function with dominant negative Arf6-T27N-eGFP. Taken together, we conclude that hERG undergoes clathrin-independent endocytosis via a mechanism involving Arf6. PMID:24392021

  16. Advanced scanning probe lithography.

    PubMed

    Garcia, Ricardo; Knoll, Armin W; Riedo, Elisa

    2014-08-01

    The nanoscale control afforded by scanning probe microscopes has prompted the development of a wide variety of scanning-probe-based patterning methods. Some of these methods have demonstrated a high degree of robustness and patterning capabilities that are unmatched by other lithographic techniques. However, the limited throughput of scanning probe lithography has prevented its exploitation in technological applications. Here, we review the fundamentals of scanning probe lithography and its use in materials science and nanotechnology. We focus on robust methods, such as those based on thermal effects, chemical reactions and voltage-induced processes, that demonstrate a potential for applications.

  17. Manipulation of heat-diffusion channel in laser thermal lithography.

    PubMed

    Wei, Jingsong; Wang, Yang; Wu, Yiqun

    2014-12-29

    Laser thermal lithography is a good alternative method for forming small pattern feature size by taking advantage of the structural-change threshold effect of thermal lithography materials. In this work, the heat-diffusion channels of laser thermal lithography are first analyzed, and then we propose to manipulate the heat-diffusion channels by inserting thermal conduction layers in between channels. Heat-flow direction can be changed from the in-plane to the out-of-plane of the thermal lithography layer, which causes the size of the structural-change threshold region to become much smaller than the focused laser spot itself; thus, nanoscale marks can be obtained. Samples designated as "glass substrate/thermal conduction layer/thermal lithography layer (100 nm)/thermal conduction layer" are designed and prepared. Chalcogenide phase-change materials are used as thermal lithography layer, and Si is used as thermal conduction layer to manipulate heat-diffusion channels. Laser thermal lithography experiments are conducted on a home-made high-speed rotation direct laser writing setup with 488 nm laser wavelength and 0.90 numerical aperture of converging lens. The writing marks with 50-60 nm size are successfully obtained. The mark size is only about 1/13 of the focused laser spot, which is far smaller than that of the light diffraction limit spot of the direct laser writing setup. This work is useful for nanoscale fabrication and lithography by exploiting the far-field focusing light system.

  18. Force-controlled inorganic crystallization lithography.

    PubMed

    Cheng, Chao-Min; LeDuc, Philip R

    2006-09-20

    Lithography plays a key role in integrated circuits, optics, information technology, biomedical applications, catalysis, and separation technologies. However, inorganic lithography techniques remain of limited utility for applications outside of the typical foci of integrated circuit manufacturing. In this communication, we have developed a novel stamping method that applies pressure on the upper surface of the stamp to regulate the dewetting process of the inorganic buffer and the evaporation rate of the solvent in this buffer between the substrate and the surface of the stamp. We focused on generating inorganic microstructures with specific locations and also on enabling the ability to pattern gradients during the crystallization of the inorganic salts. This approach utilized a combination of lithography with bottom-up growth and assembly of inorganic crystals. This work has potential applications in a variety of fields, including studying inorganic material patterning and small-scale fabrication technology.

  19. p14ARF Post-Transcriptional Regulation of Nuclear Cyclin D1 in MCF-7 Breast Cancer Cells: Discrimination between a Good and Bad Prognosis?

    PubMed Central

    McGowan, Eileen M.; Tran, Nham; Alling, Nikki; Yagoub, Daniel; Sedger, Lisa M.; Martiniello-Wilks, Rosetta

    2012-01-01

    As part of a cell’s inherent protection against carcinogenesis, p14ARF is upregulated in response to hyperproliferative signalling to induce cell cycle arrest. This property makes p14ARF a leading candidate for cancer therapy. This study explores the consequences of reactivating p14ARF in breast cancer and the potential of targeting p14ARF in breast cancer treatment. Our results show that activation of the p14ARF-p53-p21-Rb pathway in the estrogen sensitive MCF-7 breast cancer cells induces many hallmarks of senescence including a large flat cell morphology, multinucleation, senescence-associated-β-gal staining, and rapid G1 and G2/M phase cell cycle arrest. P14ARF also induces the expression of the proto-oncogene cyclin D1, which is most often associated with a transition from G1-S phase and is highly expressed in breast cancers with poor clinical prognosis. In this study, siRNA knockdown of cyclin D1, p21 and p53 show p21 plays a pivotal role in the maintenance of high cyclin D1 expression, cell cycle and growth arrest post-p14ARF induction. High p53 and p14ARF expression and low p21/cyclin D1 did not cause cell-cycle arrest. Knockdown of cyclin D1 stops proliferation but does not reverse senescence-associated cell growth. Furthermore, cyclin D1 accumulation in the nucleus post-p14ARF activation correlated with a rapid loss of nucleolar Ki-67 protein and inhibition of DNA synthesis. Latent effects of the p14ARF-induced cellular processes resulting from high nuclear cyclin D1 accumulation included a redistribution of Ki-67 into the nucleoli, aberrant nuclear growth (multinucleation), and cell proliferation. Lastly, downregulation of cyclin D1 through inhibition of ER abrogated latent recurrence. The mediation of these latent effects by continuous expression of p14ARF further suggests a novel mechanism whereby dysregulation of cyclin D1 could have a double-edged effect. Our results suggest that p14ARF induced-senescence is related to late-onset breast cancer in

  20. Successful demonstration of a comprehensive lithography defect monitoring strategy

    NASA Astrophysics Data System (ADS)

    Peterson, Ingrid B.; Breaux, Louis H.; Cross, Andrew; von den Hoff, Michael

    2003-07-01

    This paper describes the validation of the methodology, the model and the impact of an optimized Lithography Defect Monitoring Strategy at two different semiconductor manufacturing factories. The lithography defect inspection optimization was implemented for the Gate Module at both factories running 0.13-0.15μm technologies on 200mm wafers, one running microprocessor and the other memory devices. As minimum dimensions and process windows decrease in the lithography area, new technologies and technological advances with resists and resist systems are being implemented to meet the demands. Along with these new technological advances in the lithography area comes potentially unforeseen defect issues. The latest lithography processes involve new resists in extremely thin, uniform films, exposing the films under conditions of highly optimized focus and illumination, and finally removing the resist completely and cleanly. The lithography cell is defined as the cluster of process equipment that accomplishes the coating process (surface prep, resist spin, edge-bead removal and soft bake), the alignment and exposure, and the developing process (post-exposure bake, develop, rinse) of the resist. Often the resist spinning process involves multiple materials such as BARC (bottom ARC) and / or TARC (top ARC) materials in addition to the resist itself. The introduction of these new materials with the multiple materials interfaces and the tightness of the process windows leads to an increased variety of defect mechanisms in the lithography area. Defect management in the lithography area has become critical to successful product introduction and yield ramp. The semiconductor process itself contributes the largest number and variety of defects, and a significant portion of the total defects originate within the lithography cell. From a defect management perspective, the lithography cell has some unique characteristics. First, defects in the lithography process module have the

  1. SOR Lithography in West Germany

    NASA Astrophysics Data System (ADS)

    Heuberger, Anton

    1989-08-01

    The 64 Mbit DRAM will represent the first generation of integrated circuits which cannot be produced reasonably by means of optical lithography techniques. X-ray lithography using synchrotron radiation seems to be the most promising method in overcoming the problems in the sub-0.5 micron range. The first year of production of the 64 Mbit DRAM will be 1995 or 1996. This means that X-ray lithography has to show its applicability in an industrial environment by 1992 and has to prove that the specifications of a 64 Mbit DRAM technology can actually be achieved. Part of this task is a demonstration of production suitable equipment such as the X-ray stepper, including an appropriate X-ray source and measurement and inspection tools. The most important bottlenecks on the way toward reaching these goals are linked to the 1 x scale mask technology, especially the pattern definition accuracy and zero level of printing defects down to the order of magnitude of 50 nm. Specifically, fast defect detection methods on the basis of high resolution e-beam techniques and repair methods have to be developed. The other problems of X-ray lithography, such as high quality single layer X-ray resists, X-ray sources and stepper including alignment are either well on the way or are already solved.

  2. Alterations in RDINK4/ARF-mediated en bloc regulation of the INK4-ARF locus in human squamous cell carcinoma of the head and neck

    PubMed Central

    Poi, Ming J.; Knobloch, Thomas J.; Sears, Marta T.; Warner, Blake M.; Uhrig, Lana K.; Weghorst, Christopher M.; Li, Junan

    2014-01-01

    The presence of RDINK4/ARF (RD) enhancer in the INK4-ARF locus provides a novel mechanism to simultaneously increase the transcription of p15INK4b (p15), p14ARF (p14), and p16INK4a (p16). While such up-regulation can be repressed through interactions between RD and oncoproteins CDC6 and BMI1, little is known about the involvement of RD in cancer. In this study we investigated RD deletions in 30 squamous cell carcinoma of the head and neck (SCCHN) and the patient-matched High At-Risk Mucosa specimens (HARM, “phenotypically normal” tissues neighboring SCCHN foci but beyond the surgical resection margin). RD was deleted (homozygously/heterozygously) in SCCHN and HARM at the incidence of 36.7% (11/30) and 13.3% (4/30), respectively. In comparison, no RD deletion was detected in 26 oral buccal brush biopsy specimens from healthy donors. Both p16 and p14 were lowly expressed in SCCHN and HARM, and their mRNA expression levels were positively associated with each other (p<0.01). Moreover, BMI1 was highly expressed in both SCCHN and HARM, and BMI1 over-expression was associated with p16 down-regulation in SCCHN (p<0.05). These results indicate that RD deletion and BMI1 overexpression frequently occur in the early stage of oral carcinogenesis and BMI1 overexpression may down-regulate the transcription of p16 and p14 through interfering with RD. PMID:24302590

  3. Polarization manipulation in single refractive prism based holography lithography

    NASA Astrophysics Data System (ADS)

    Xiong, Wenjie; Xu, Yi; Xiao, Yujian; Lv, Xiaoxu; Wu, Lijun

    2015-01-01

    We propose theoretically and demonstrate experimentally a simple but effective strategy for polarization manipulation in single refractive prism based holographic lithography. By tuning the polarization of a single laser beam, we can obtain the pill shape interference pattern with a high-contrast where a complex optical setup and multiple polarizers are needed in the conventional holography lithography. Fabrication of pill shape two-dimensional polymer photonic crystals using one beam and one shoot holography lithography is shown as an example to support our theoretical results. This integrated polarization manipulation technique can release the crucial stability restrictions imposed on the multiple beams holography lithography.

  4. Isolation of cucumber CsARF cDNAs and expression of the corresponding mRNAs during gravity-regulated morphogenesis of cucumber seedlings

    NASA Astrophysics Data System (ADS)

    Saito, Y.; Yamasaki, S.; Fujii, N.; Hagen, G.; Guilfoyle, T.; Takahashi, H.

    Cucumber seedlings grown in a horizontal position develop a protuberance called peg on the lower side of the transition zone between the hypocotyl and the root. We have suggested that peg formation on the upper side of the gravistimulated transition zone is suppressed because cucumber seedlings grown in a vertical position or microgravity symmetrically develop two pegs on the transition zone. Plant hormone, auxin, is considered to play a crucial role in the gravity-regulated formation of peg. We have shown that the mRNAs of auxin-inducible genes (CsIAAs) isolated from cucumber accumulate more abundantly in the lower side of the transition zone than in the upper side when peg formation initiates. To reveal the mechanism of transcriptional regulation by auxin for peg formation, we isolated five cDNAs of Auxin Response Factors (ARFs) from cucumber and compared their mRNA accumulation with those of CsIAA1 and CsIAA2. The tissue specificity of mRNA accumulation of CsARF2 was similar to those of CsIAA1 and CsIAA2. The structural character of CsARF2 predicts it is transcriptional activator. These results suggest that CsARF2 may be involved in activation of the transcription of auxin-inducible genes including CsIAA1 for peg formation. Because mRNA accumulation of five CsARFs, including CsARF2, were affected by neither gravity nor auxin, transcriptional activity of CsARF2 may be regulated at post-transcriptional level to induce asymmetric mRNA accumulation of auxin-inducible genes in the transition zone.

  5. The Opposing Roles of Nucleophosmin and the ARF Tumor Suppressor in Breast Cancer

    DTIC Science & Technology

    2006-04-01

    born to be weak. Trends Cell Biol. 15:121–124. 26. Li, Y. P ., R. K. Busch, B. C. Valdez, and H. Busch. 1996 . C23 interacts with B23, a putative...peptides in vivo. Injection of a peptide from the von Hippel -Lindau (VHL) tumor suppressor inhibited the growth and invasiveness of renal tumor...NPM IB: NPM IB: p19ARF 1° 2° 3° 4° 5° s up er na ta nt 2 5 % i n p u t 28S 18S WT Arf -/- 120 240 120 240chase (min) N C N CN C N C

  6. Precision process calibration and CD predictions for low-k1 lithography

    NASA Astrophysics Data System (ADS)

    Chen, Ting; Park, Sangbong; Berger, Gabriel; Coskun, Tamer H.; de Vocht, Joep; Chen, Fung; Yu, Linda; Hsu, Stephen; van den Broeke, Doug; Socha, Robert; Park, Jungchul; Gronlund, Keith; Davis, Todd; Plachecki, Vince; Harris, Tom; Hansen, Steve; Lambson, Chuck

    2005-06-01

    Leading resist calibration for sub-0.3 k1 lithography demands accuracy <2nm for CD through pitch. An accurately calibrated resist process is the prerequisite for establishing production-worthy manufacturing under extreme low k1. From an integrated imaging point of view, the following key components must be simultaneously considered during the calibration - high numerical aperture (NA>0.8) imaging characteristics, customized illuminations (measured vs. modeled pupil profiles), resolution enhancement technology (RET) mask with OPC, reticle metrology, and resist thin film substrate. For imaging at NA approaching unity, polarized illumination can impact significantly the contrast formation in the resist film stack, and therefore it is an important factor to consider in the CD-based resist calibration. For aggressive DRAM memory core designs at k1<0.3, pattern-specific illumination optimization has proven to be critical for achieving the required imaging performance. Various optimization techniques from source profile optimization with fixed mask design to the combined source and mask optimization have been considered for customer designs and available imaging capabilities. For successful low-k1 process development, verification of the optimization results can only be made with a sufficiently tunable resist model that can predicate the wafer printing accurately under various optimized process settings. We have developed, for resist patterning under aggressive low-k1 conditions, a novel 3D diffusion model equipped with double-Gaussian convolution in each dimension. Resist calibration with the new diffusion model has demonstrated a fitness and CD predication accuracy that rival or outperform the traditional 3D physical resist models. In this work, we describe our empirical approach to achieving the nm-scale precision for advanced lithography process calibrations, using either measured 1D CD through-pitch or 2D memory core patterns. We show that for ArF imaging, the

  7. 9Å structure of the COPI coat reveals that the Arf1 GTPase occupies two contrasting molecular environments

    PubMed Central

    Dodonova, Svetlana O; Aderhold, Patrick; Kopp, Juergen; Ganeva, Iva; Röhling, Simone; Hagen, Wim J H; Sinning, Irmgard; Wieland, Felix; Briggs, John A G

    2017-01-01

    COPI coated vesicles mediate trafficking within the Golgi apparatus and between the Golgi and the endoplasmic reticulum. Assembly of a COPI coated vesicle is initiated by the small GTPase Arf1 that recruits the coatomer complex to the membrane, triggering polymerization and budding. The vesicle uncoats before fusion with a target membrane. Coat components are structurally conserved between COPI and clathrin/adaptor proteins. Using cryo-electron tomography and subtomogram averaging, we determined the structure of the COPI coat assembled on membranes in vitro at 9 Å resolution. We also obtained a 2.57 Å resolution crystal structure of βδ-COP. By combining these structures we built a molecular model of the coat. We additionally determined the coat structure in the presence of ArfGAP proteins that regulate coat dissociation. We found that Arf1 occupies contrasting molecular environments within the coat, leading us to hypothesize that some Arf1 molecules may regulate vesicle assembly while others regulate coat disassembly. DOI: http://dx.doi.org/10.7554/eLife.26691.001 PMID:28621666

  8. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  9. Monolithic microfabricated valves and pumps by multilayer soft lithography.

    PubMed

    Unger, M A; Chou, H P; Thorsen, T; Scherer, A; Quake, S R

    2000-04-07

    Soft lithography is an alternative to silicon-based micromachining that uses replica molding of nontraditional elastomeric materials to fabricate stamps and microfluidic channels. We describe here an extension to the soft lithography paradigm, multilayer soft lithography, with which devices consisting of multiple layers may be fabricated from soft materials. We used this technique to build active microfluidic systems containing on-off valves, switching valves, and pumps entirely out of elastomer. The softness of these materials allows the device areas to be reduced by more than two orders of magnitude compared with silicon-based devices. The other advantages of soft lithography, such as rapid prototyping, ease of fabrication, and biocompatibility, are retained.

  10. Ultra-compact 32 × 32 strictly-non-blocking Si-wire optical switch with fan-out LGA interposer.

    PubMed

    Tanizawa, Ken; Suzuki, Keijiro; Toyama, Munehiro; Ohtsuka, Minoru; Yokoyama, Nobuyuki; Matsumaro, Kazuyuki; Seki, Miyoshi; Koshino, Keiji; Sugaya, Toshio; Suda, Satoshi; Cong, Guangwei; Kimura, Toshio; Ikeda, Kazuhiro; Namiki, Shu; Kawashima, Hitoshi

    2015-06-29

    We demonstrate a 32 × 32 path-independent-insertion-loss optical path switch that integrates 1024 thermooptic Mach-Zehnder switches and 961 intersections on a small, 11 × 25 mm2 die. The switch is fabricated on a 300-mm-diameter silicon-on-insulator wafer by a complementary metal-oxide semiconductor-compatible process with advanced ArF immersion lithography. For reliable electrical packaging, the switch chip is flip-chip bonded to a ceramic interposer that arranges the electrodes in a 0.5-mm pitch land grid array. The on-chip loss is measured to be 15.8 ± 1.0 dB, and successful switching is demonstrated for digital-coherent 43-Gb/s QPSK signals. The total crosstalk of the switch is estimated to be less than -20 dB at the center wavelength of 1545 nm. The bandwidth narrowing caused by dimensional errors that arise during fabrication is discussed.

  11. C/EBPbeta represses p53 to promote cell survival downstream of DNA damage independent of oncogenic Ras and p19(Arf).

    PubMed

    Ewing, S J; Zhu, S; Zhu, F; House, J S; Smart, R C

    2008-11-01

    CCAAT/enhancer-binding protein-beta (C/EBPbeta) is a mediator of cell survival and tumorigenesis. When C/EBPbeta(-/-) mice are treated with carcinogens that produce oncogenic Ras mutations in keratinocytes, they respond with abnormally elevated keratinocyte apoptosis and a block in skin tumorigenesis. Although this aberrant carcinogen-induced apoptosis results from abnormal upregulation of p53, it is not known whether upregulated p53 results from oncogenic Ras and its ability to induce p19(Arf) and/or activate DNA-damage response pathways or from direct carcinogen-induced DNA damage. We report that p19(Arf) is dramatically elevated in C/EBPbeta(-/-) epidermis and that C/EBPbeta represses a p19(Arf) promoter reporter. To determine whether p19(Arf) is responsible for the proapoptotic phenotype in C/EBPbeta(-/-) mice, C/EBPbeta(-/-);p19(Arf-/-) mice were generated. C/EBPbeta(-/-);p19(Arf-/-) mice responded to carcinogen treatment with increased p53 and apoptosis, indicating p19(Arf) is not essential. To ascertain whether oncogenic Ras activation induces aberrant p53 and apoptosis in C/EBPbeta(-/-) epidermis, we generated K14-ER:Ras;C/EBPbeta(-/-) mice. Oncogenic Ras activation induced by 4-hydroxytamoxifen did not produce increased p53 or apoptosis. Finally, when C/EBPbeta(-/-) mice were treated with differing types of DNA-damaging agents, including alkylating chemotherapeutic agents, they displayed aberrant levels of p53 and apoptosis. These results indicate that C/EBPbeta represses p53 to promote cell survival downstream of DNA damage and suggest that inhibition of C/EBPbeta may be a target for cancer cotherapy to increase the efficacy of alkylating chemotherapeutic agents.

  12. Role of the ARF Tumor Suppressor in Prostate Cancer

    DTIC Science & Technology

    2005-10-01

    found that ARF expression is absence from highly proliferative prostate adenocarcinomas and this correlates with the increased expression of the p53...prostate is unknown. The preliminary data for my orginal proposal indicated that prostate adenocarcinomas typically maintain wild type p53 (97%), but...independent mechanisms to regulate prostate cell proliferation. Table 1. Protein Expression in Prostate Adenocarcinomas Human prostate tissue samples

  13. IGFBP2 is a candidate biomarker for Ink4a-Arf status and a therapeutic target for high-grade gliomas.

    PubMed

    Moore, Lynette M; Holmes, Kristen M; Smith, Sarah M; Wu, Ying; Tchougounova, Elena; Uhrbom, Lene; Sawaya, Raymond; Bruner, Janet M; Fuller, Gregory N; Zhang, Wei

    2009-09-29

    The levels of insulin-like growth factor-binding protein 2 (IGFBP2) are elevated during progression of many human cancers. By using a glial-specific transgenic mouse system (RCAS/Ntv-a), we reported previously that IGFBP2 is an oncogenic factor for glioma progression in combination with platelet-derived growth factor-beta (PDGFB). Because the INK4a-ARF locus is often deleted in high-grade gliomas (anaplastic oligodendroglioma and glioblastoma), we investigated the effect of the Ink4a-Arf-null background on IGFBP2-mediated progression of PDGFB-initiated oligodendroglioma. We demonstrate here that homozygous deletion of Ink4a-Arf bypasses the requirement of exogenously introduced IGFBP2 for glioma progression. Instead, absence of Ink4a-Arf resulted in elevated endogenous tumor cell IGFBP2. An inverse relationship between p16(INK4a) and IGFBP2 expression was also observed in human glioma tissue samples and in 90 different cancer cell lines by using Western blotting and reverse-phase protein lysate arrays. When endogenous IGFBP2 expression was attenuated by an RCAS vector expressing antisense IGFBP2 in our mouse model, a decreased incidence of anaplastic oligodendroglioma as well as prolonged survival was observed. Thus, p16(INK4a) is a negative regulator of the IGFBP2 oncogene. Loss of Ink4a-Arf results in increased IGFBP2, which contributes to glioma progression, thereby implicating IGFBP2 as a marker and potential therapeutic target for Ink4a-Arf-deleted gliomas.

  14. IGFBP2 is a candidate biomarker for Ink4a-Arf status and a therapeutic target for high-grade gliomas

    PubMed Central

    Moore, Lynette M.; Holmes, Kristen M.; Smith, Sarah M.; Wu, Ying; Tchougounova, Elena; Uhrbom, Lene; Sawaya, Raymond; Bruner, Janet M.; Fuller, Gregory N.; Zhang, Wei

    2009-01-01

    The levels of insulin-like growth factor-binding protein 2 (IGFBP2) are elevated during progression of many human cancers. By using a glial-specific transgenic mouse system (RCAS/Ntv-a), we reported previously that IGFBP2 is an oncogenic factor for glioma progression in combination with platelet-derived growth factor-β (PDGFB). Because the INK4a-ARF locus is often deleted in high-grade gliomas (anaplastic oligodendroglioma and glioblastoma), we investigated the effect of the Ink4a-Arf-null background on IGFBP2-mediated progression of PDGFB-initiated oligodendroglioma. We demonstrate here that homozygous deletion of Ink4a-Arf bypasses the requirement of exogenously introduced IGFBP2 for glioma progression. Instead, absence of Ink4a-Arf resulted in elevated endogenous tumor cell IGFBP2. An inverse relationship between p16INK4a and IGFBP2 expression was also observed in human glioma tissue samples and in 90 different cancer cell lines by using Western blotting and reverse-phase protein lysate arrays. When endogenous IGFBP2 expression was attenuated by an RCAS vector expressing antisense IGFBP2 in our mouse model, a decreased incidence of anaplastic oligodendroglioma as well as prolonged survival was observed. Thus, p16INK4a is a negative regulator of the IGFBP2 oncogene. Loss of Ink4a-Arf results in increased IGFBP2, which contributes to glioma progression, thereby implicating IGFBP2 as a marker and potential therapeutic target for Ink4a-Arf-deleted gliomas. PMID:19805356

  15. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation

    DTIC Science & Technology

    2008-05-19

    Data Compression for Maskless Lithography Systems: Architecture, Algorithms and Implementation Vito Dai Electrical Engineering and Computer Sciences...servers or to redistribute to lists, requires prior specific permission. Data Compression for Maskless Lithography Systems: Architecture, Algorithms and...for Maskless Lithography Systems: Architecture, Algorithms and Implementation Copyright 2008 by Vito Dai 1 Abstract Data Compression for Maskless

  16. The capability of lithography simulation based on MVM-SEM® system

    NASA Astrophysics Data System (ADS)

    Yoshikawa, Shingo; Fujii, Nobuaki; Kanno, Koichi; Imai, Hidemichi; Hayano, Katsuya; Miyashita, Hiroyuki; Shida, Soichi; Murakawa, Tsutomu; Kuribara, Masayuki; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hara, Daisuke; Pang, Linyong

    2015-10-01

    The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.

  17. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  18. Pokemon enhances proliferation, cell cycle progression and anti-apoptosis activity of colorectal cancer independently of p14ARF-MDM2-p53 pathway.

    PubMed

    Zhao, Yi; Yao, Yun-hong; Li, Li; An, Wei-fang; Chen, Hong-zen; Sun, Li-ping; Kang, Hai-xian; Wang, Sen; Hu, Xin-rong

    2014-12-01

    Pokemon has been showed to directly suppress p14(ARF) expression and also to overexpress in multiple cancers. However, p14(ARF)-MDM2-p53 pathway is usually aberrant in colorectal cancer (CRC). The aim is to confirm whether Pokemon plays a role in CRC and explore whether Pokemon works through p14(ARF)-MDM2-p53 pathway in CRC. Immunohistochemistry for Pokemon, p14(ARF) and Mtp53 protein was applied to 45 colorectal epitheliums (CREs), 42 colorectal adenomas (CRAs) and 66 CRCs. Pokemon was knocked down with RNAi technique in CRC cell line Lovo to detect mRNA expression of p14(ARF) with qRT-PCR, cell proliferation with CCK8 assay, and cell cycle and apoptosis with flowcytometry analysis. The protein expression rates were significantly higher in CRC (75.8%) than in CRE (22.2 %) or CRA (38.1%) for Pokemon and higher in CRC (53.0%) than in CRE (0) or CRA (4.8%) for Mtp53, but not significantly different in CRC (86.4 %) versus CRE (93.3%) or CRA (90.5 %) for p14(ARF). Higher expression rate of Pokemon was associated with lymph node metastasis and higher Duke's stage. After knockdown of Pokemon in Lovo cells, the mRNA level of p14(ARF) was not significantly changed, the cell proliferation ability was decreased by 20.6%, cell cycle was arrested by 55.7% in G0/G1 phase, and apoptosis rate was increased by 19.0%. Pokemon enhanced the oncogenesis of CRC by promoting proliferation, cell cycle progression and anti-apoptosis activity of CRC cells independently of p14(ARF)-MDM2-p53 pathway. This finding provided a novel idea for understanding and further studying the molecular mechanism of Pokemon on carcinogenesis of CRC.

  19. The involvement of InMIR167 in the regulation of expression of its target gene InARF8, and their participation in the vegetative and generative development of Ipomoea nil plants.

    PubMed

    Glazińska, Paulina; Wojciechowski, Waldemar; Wilmowicz, Emilia; Zienkiewicz, Agnieszka; Frankowski, Kamil; Kopcewicz, Jan

    2014-02-15

    The plant hormone auxin plays a critical role in regulating plant growth and development. Recent advances have been made that having improved our understanding of auxin response pathways, primarily by characterizing the genes encoding auxin response factors (ARFs) in Arabidopsis. In addition, the expression of some ARFs is regulated by microRNAs (miRNAs). In Arabidopsis thaliana, ARF6 and ARF8 are targeted by miR167, whereas ARF10, ARF16 and ARF17 are targeted by miR160. Nevertheless, little is known about any possible interactions between miRNAs and the auxin signaling pathway during plant development. In this study, we isolated the miR167 target gene InARF8 cDNA from the cotyledons of the short day plant (SDP) Ipomoea nil (named also Pharbitis nil). Additionally, the In-miR167 precursor was identified from the I. nil EST database and analyses of InARF8 mRNA, In-pre-miR167 and mature miR167 accumulation in the plant's vegetative and generative organs were performed. The identified cDNA of InARF8 contains a miR167 complementary sequence and shows significant similarity to ARF8 cDNAs of other plant species. The predicted amino acid sequence of InARF8 includes all of the characteristic domains for ARF family transcription factors (B3 DNA-binding domain, AUX/IAA-CTD and a glutamine-rich region). Quantitative RT-PCR reactions and in situ hybridization indicated that InARF8 was expressed primarily in the shoot apices, leaf primordia and hypocotyls of I. nil seedlings, as well as in flower pistils and petals. The InARF8 transcript level increased consistently during the entire period of pistil development, whereas in the stamens, the greatest transcriptional activity occurred only during the intensive elongation phase. Additionally, an expression analysis of both the precursor In-pre-miR167 molecules identified and mature miRNA was performed. We observed that, in most of the organs examined, the InARF8 expression pattern was opposite to that of MIR167, indicating that

  20. Vectorial mask optimization methods for robust optical lithography

    NASA Astrophysics Data System (ADS)

    Ma, Xu; Li, Yanqiu; Guo, Xuejia; Dong, Lisong; Arce, Gonzalo R.

    2012-10-01

    Continuous shrinkage of critical dimension in an integrated circuit impels the development of resolution enhancement techniques for low k1 lithography. Recently, several pixelated optical proximity correction (OPC) and phase-shifting mask (PSM) approaches were developed under scalar imaging models to account for the process variations. However, the lithography systems with larger-NA (NA>0.6) are predominant for current technology nodes, rendering the scalar models inadequate to describe the vector nature of the electromagnetic field that propagates through the optical lithography system. In addition, OPC and PSM algorithms based on scalar models can compensate for wavefront aberrations, but are incapable of mitigating polarization aberrations in practical lithography systems, which can only be dealt with under the vector model. To this end, we focus on developing robust pixelated gradient-based OPC and PSM optimization algorithms aimed at canceling defocus, dose variation, wavefront and polarization aberrations under a vector model. First, an integrative and analytic vector imaging model is applied to formulate the optimization problem, where the effects of process variations are explicitly incorporated in the optimization framework. A steepest descent algorithm is then used to iteratively optimize the mask patterns. Simulations show that the proposed algorithms can effectively improve the process windows of the optical lithography systems.

  1. The Arf-GDP-regulated recruitment of GBF1 to Golgi membranes requires domains HDS1 and HDS2 and a Golgi-localized protein receptor.

    PubMed

    Quilty, Douglas; Chan, Calvin J; Yurkiw, Katherine; Bain, Alexandra; Babolmorad, Ghazal; Melançon, Paul

    2018-04-19

    We previously proposed a novel mechanism by which the enzyme Golgi-specific Brefeldin A resistance factor 1 (GBF1) is recruited to the membranes of the cis -Golgi, based on in vivo experiments. Here, we extended our in vivo analysis on the production of regulatory Arf-GDP and observed that ArfGAP2 and ArfGAP3 do not play a role in GBF1 recruitment. We confirm that Arf-GDP localization is critical, as a TGN-localized Arf-GDP mutant protein fails to promote GBF1 recruitment. We also reported the establishment of an in vitro GBF1 recruitment assay that supports the regulation of GBF1 recruitment by Arf-GDP. This in vitro assay yielded further evidence for the requirement of a Golgi-localized protein because heat denaturation or protease treatment of Golgi membranes abrogated GBF1 recruitment. Finally, combined in vivo and in vitro measurements indicated that the recruitment to Golgi membranes via a putative receptor requires only the HDS1 and HDS2 domains in the C-terminal half of GBF1. © 2018. Published by The Company of Biologists Ltd.

  2. Design and Lithographic Characteristics of Alicyclic Fluoropolymer for ArF Chemically Amplified Resists

    NASA Astrophysics Data System (ADS)

    Maeda, Katsumi; Nakano, Kaichiro; Shirai, Masamitsu

    2006-12-01

    We designed a novel alicyclic fluoropolymer, poly[3-hydroxy-4-(hexafluoro-2-hydroxyisopropyl)tricyclodecene], as an ArF (193 nm) chemically amplified resist. This fluoropolymer has a hexafluoroisopropanol group as an alkaline soluble unit and a hydroxyl group for improving adhesion. This polymer also exhibited a high transparency of 93%/150 nm at 193 nm, high thermal stability (355 °C), and a good adhesion to a Si substrate compared with a poly(norbornene) with a hexafluoroisopropanol group. The etching rate of our developed fluoropolymer for CF4 gas was 1.29 times that of the KrF resist. Moreover, a chemically amplified positive resist comprising an ethoxymethyl-protected polymer and a photoacid generator achieved a 110 nm line-and-space pattern with an ArF exposure.

  3. Three-dimensional aspects of the shrinking phenomenon of ArF resist

    NASA Astrophysics Data System (ADS)

    Laufer, Ido; Eytan, Giora E.; Dror, Ophir

    2002-07-01

    Previous studies of the interaction of electron beams with different types of ArF resists have shown the undesired phenomenon of the resist shrinkage. The lateral component of this shrinkage has been detected and quantified easily by SEM CD measurements. However, the vertical extent of this phenomenon has to date remained unknown. In this work we present measurements of the changes in height and sidewall angles of an ArF line by using a new e-beam tilting ability of the Vera SEM 3D. The 3D measurement results show that the height of the line shrinks in similar proportions to the top and bottom CDs, with a difference in the magnitude. Due to higher penetration depth of the e-beam on the top of the line than on the sidewall, the vertical shrinkage reaches steady state more rapidly than the lateral shrinkage. We also found a slight reduction in sidewall angle, which is less than one degree even under high e-beam exposure.

  4. PREVAIL: IBM's e-beam technology for next generation lithography

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2000-07-01

    PREVAIL - Projection Reduction Exposure with Variable Axis Immersion Lenses represents the high throughput e-beam projection approach to NGL which IBM is pursuing in cooperation with Nikon Corporation as alliance partner. This paper discusses the challenges and accomplishments of the PREVAIL project. The supreme challenge facing all e-beam lithography approaches has been and still is throughput. Since the throughput of e-beam projection systems is severely limited by the available optical field size, the key to success is the ability to overcome this limitation. The PREVAIL technique overcomes field-limiting off-axis aberrations through the use of variable axis lenses, which electronically shift the optical axis simultaneously with the deflected beam so that the beam effectively remains on axis. The resist images obtained with the Proof-of-Concept (POC) system demonstrate that PREVAIL effectively eliminates off- axis aberrations affecting both resolution and placement accuracy of pixels. As part of the POC system a high emittance gun has been developed to provide uniform illumination of the patterned subfield and to fill the large numerical aperture projection optics designed to significantly reduce beam blur caused by Coulomb interaction.

  5. Progress in coherent lithography using table-top extreme ultraviolet lasers

    NASA Astrophysics Data System (ADS)

    Li, Wei

    Nanotechnology has drawn a wide variety of attention as interesting phenomena occurs when the dimension of the structures is in the nanometer scale. The particular characteristics of nanoscale structures had enabled new applications in different fields in science and technology. Our capability to fabricate these nanostructures routinely for sure will impact the advancement of nanoscience. Apart from the high volume manufacturing in semiconductor industry, a small-scale but reliable nanofabrication tool can dramatically help the research in the field of nanotechnology. This dissertation describes alternative extreme ultraviolet (EUV) lithography techniques which combine table-top EUV laser and various cost-effective imaging strategies. For each technique, numerical simulations, system design, experiment result and its analysis will be presented. In chapter II, a brief review of the main characteristics of table-top EUV lasers will be addressed concentrating on its high power and large coherence radius that enable the lithography application described herein. The development of a Talbot EUV lithography system which is capable of printing 50nm half pitch nanopatterns will be illustrated in chapter III. A detailed discussion of its resolution limit will be presented followed by the development of X-Y-Z positioning stage, the fabrication protocol for diffractive EUV mask, and the pattern transfer using self- developed ion beam etching, and the dose control unit. In addition, this dissertation demonstrated the capability to fabricate functional periodic nanostructures using Talbot EUV lithography. After that, resolution enhancement techniques like multiple exposure, displacement Talbot EUV lithography, fractional Talbot EUV lithography, and Talbot lithography using 18.9nm amplified spontaneous emission laser will be demonstrated. Chapter IV will describe a hybrid EUV lithography which combines the Talbot imaging and interference lithography rendering a high resolution

  6. ArF scanner performance improvement by using track integrated CD optimization

    NASA Astrophysics Data System (ADS)

    Huang, Jacky; Yu, Shinn-Sheng; Ke, Chih-Ming; Wu, Timothy; Wang, Yu-Hsi; Gau, Tsai-Sheng; Wang, Dennis; Li, Allen; Yang, Wenge; Kaoru, Araki

    2006-03-01

    In advanced semiconductor processing, shrinking CD is one of the main objectives when moving to the next generation technology. Improving CD uniformity (CDU) with shrinking CD is one of the biggest challenges. From ArF lithography CD error budget analysis, PEB (post exposure bake) contributes more than 40% CD variations. It turns out that hot plate performance such as CD matching and within-plate temperature control play key roles in litho cell wafer per hour (WPH). Traditionally wired or wireless thermal sensor wafers were used to match and optimize hot plates. However, sensor-to-sensor matching and sensor data quality vs. sensor lifetime or sensor thermal history are still unknown. These concerns make sensor wafers more suitable for coarse mean-temperature adjustment. For precise temperature adjustment, especially within-hot-plate temperature uniformity, using CD instead of sensor wafer temperature is a better and more straightforward metrology to calibrate hot plates. In this study, we evaluated TEL clean track integrated optical CD metrology (IM) combined with TEL CD Optimizer (CDO) software to improve 193-nm resist within-wafer and wafer-to-wafer CD uniformity. Within-wafer CD uniformity is mainly affected by the temperature non-uniformity on the PEB hot plate. Based on CD and PEB sensitivity of photo resists, a physical model has been established to control the CD uniformity through fine-tuning PEB temperature settings. CD data collected by track integrated CD metrology was fed into this model, and the adjustment of PEB setting was calculated and executed through track internal APC system. This auto measurement, auto feed forward, auto calibration and auto adjustment system can reduce the engineer key-in error and improve the hot plate calibration cycle time. And this PEB auto calibration system can easily bring hot-plate-to-hot-plate CD matching to within 0.5nm and within-wafer CDU (3σ) to less than 1.5nm.

  7. X-ray lithography source

    DOEpatents

    Piestrup, M.A.; Boyers, D.G.; Pincus, C.

    1991-12-31

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits is disclosed. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and eliminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an excellent moderate-priced X-ray source for lithography. 26 figures.

  8. X-ray lithography source

    DOEpatents

    Piestrup, Melvin A.; Boyers, David G.; Pincus, Cary

    1991-01-01

    A high-intensity, inexpensive X-ray source for X-ray lithography for the production of integrated circuits. Foil stacks are bombarded with a high-energy electron beam of 25 to 250 MeV to produce a flux of soft X-rays of 500 eV to 3 keV. Methods of increasing the total X-ray power and making the cross section of the X-ray beam uniform are described. Methods of obtaining the desired X-ray-beam field size, optimum frequency spectrum and elminating the neutron flux are all described. A method of obtaining a plurality of station operation is also described which makes the process more efficient and economical. The satisfying of these issues makes transition radiation an exellent moderate-priced X-ray source for lithography.

  9. The Area Resource File: ARF. A Manpower Planning and Research Tool.

    ERIC Educational Resources Information Center

    Applied Management Sciences, Inc., Silver Spring, MD.

    This publication describes the Area Resource File (ARF), a computer-based, county-specific health information system with broad analytical capabilities which utilizes manpower and manpower-related data that are available on a compatible basis for all counties in the United States, and which was developed to summarize statistics from many disparate…

  10. Intelligent control system based on ARM for lithography tool

    NASA Astrophysics Data System (ADS)

    Chen, Changlong; Tang, Xiaoping; Hu, Song; Wang, Nan

    2014-08-01

    The control system of traditional lithography tool is based on PC and MCU. The PC handles the complex algorithm, human-computer interaction, and communicates with MCU via serial port; The MCU controls motors and electromagnetic valves, etc. This mode has shortcomings like big volume, high power consumption, and wasting of PC resource. In this paper, an embedded intelligent control system of lithography tool, based on ARM, is provided. The control system used S5PV210 as processor, completing the functions of PC in traditional lithography tool, and provided a good human-computer interaction by using LCD and capacitive touch screen. Using Android4.0.3 as operating system, the equipment provided a cool and easy UI which made the control more user-friendly, and implemented remote control and debug, pushing video information of product by network programming. As a result, it's convenient for equipment vendor to provide technical support for users. Finally, compared with traditional lithography tool, this design reduced the PC part, making the hardware resources efficiently used and reducing the cost and volume. Introducing embedded OS and the concepts in "The Internet of things" into the design of lithography tool can be a development trend.

  11. The Introduction and Early Use of Lithography in the United States.

    ERIC Educational Resources Information Center

    Barnhill, Georgia B.

    This paper discusses the use of lithography in the United States in the early 1800s. Highlights include: the development of lithography in Germany between 1796 and 1798; early expectations for lithography; competition against the existing technology for the production of images--relief prints and copper-plate engravings; examples of 18th-century…

  12. Moore's law, lithography, and how optics drive the semiconductor industry

    NASA Astrophysics Data System (ADS)

    Hutcheson, G. Dan

    2018-03-01

    When the subject of Moore's Law arises, the important role that lithography plays and how advances in optics have made it all possible is seldom brought up in the world outside of lithography itself. When lithography is mentioned up in the value chain, it's often a critique of how advances are coming too slow and getting far too expensive. Yet advances in lithography are at the core of how Moore's Law is viable. This presentation lays out how technology and the economics of optics in manufacturing interleave to drive the immense value that semiconductors have brought to the world by making it smarter. Continuing these advances will be critical as electronics make the move from smart to cognitive.

  13. Human Krüppel-related 3 (HKR3) Is a Novel Transcription Activator of Alternate Reading Frame (ARF) Gene*

    PubMed Central

    Yoon, Jae-Hyeon; Choi, Won-Il; Jeon, Bu-Nam; Koh, Dong-In; Kim, Min-Kyeong; Kim, Myung-Hwa; Kim, Jungho; Hur, Sujin Susanne; Kim, Kyung-Sup; Hur, Man-Wook

    2014-01-01

    HKR3 (Human Krüppel-related 3) is a novel POK (POZ-domain Krüppel-like zinc-finger) family transcription factor. Recently, some of the POK (POZ-domain Krüppel-like zinc finger) family proteins have been shown to play roles in cell cycle arrest, apoptosis, cell proliferation, and oncogenesis. We investigated whether HKR3, an inhibitor of cell proliferation and an uncharacterized POK family protein, could regulate the cell cycle by controlling expression of genes within the p53 pathway (ARF-MDM2-TP53-p21WAF/CDKN1A). HKR3 potently activated the transcription of the tumor suppressor gene ARF by acting on the proximal promoter region (bp, −149∼+53), which contains Sp1 and FBI-1 binding elements (FREs). HKR3 interacted with the co-activator p300 to activate ARF transcription, which increased the acetylation of histones H3 and H4 within the proximal promoter. Oligonucleotide pull-down assays and ChIP assays revealed that HKR3 interferes with the binding of the proto-oncogenic transcription repressor FBI-1 to proximal FREs, thus derepressing ARF transcription. PMID:24382891

  14. Effect of myristoylated N-terminus of Arf1 on the bending rigidity of phospholipid membranes

    NASA Astrophysics Data System (ADS)

    Burrola Gabilondo, Beatriz; Zhou, Hernan; Randazzo, Paul A.; Losert, Wolfgang

    2010-03-01

    The protein Arf1 is part of the COPI vesicle transport process from the Golgi to the ER. It binds to membranes via a myristoylated N-terminus and it has been shown to tubulate Large Unilamellar Vesicles. The effect of the N-terminus of Arf1 on physical properties of membranes has not been studied, with the exception of curvature. We previously found that the myristoylated N-terminus increases the packing of the lipid molecules, but has no effect on the lateral mobility. We tested the hypothesis that myristoylated peptides affect the bending rigidity of phospholipid Giant Unilamellar Vesicles (GUV). We use optical tweezers to pull tethers from GUV and measure the force of pulling the tether, as well as the retraction speed of the tether once it is released. We also used flicker spectroscopy to estimate the values of the mechanical properties of GUV. We will present results of the force and tether retraction measurements, as well as mechanical properties estimates from flicker, for GUV in the presence of varying concentrations of myristoylated and non-myristoylated N-terminus of Arf1, and compare these with measurements for GUV in the absence of peptide.

  15. The Arf GAP CNT-2 regulates the apoptotic fate in C. elegans asymmetric neuroblast divisions.

    PubMed

    Singhvi, Aakanksha; Teuliere, Jerome; Talavera, Karla; Cordes, Shaun; Ou, Guangshuo; Vale, Ronald D; Prasad, Brinda C; Clark, Scott G; Garriga, Gian

    2011-06-07

    During development, all cells make the decision to live or die. Although the molecular mechanisms that execute the apoptotic program are well defined, less is known about how cells decide whether to live or die. In C. elegans, this decision is linked to how cells divide asymmetrically [1, 2]. Several classes of molecules are known to regulate asymmetric cell divisions in metazoans, yet these molecules do not appear to control C. elegans divisions that produce apoptotic cells [3]. We identified CNT-2, an Arf GTPase-activating protein (GAP) of the AGAP family, as a novel regulator of this type of neuroblast division. Loss of CNT-2 alters daughter cell size and causes the apoptotic cell to adopt the fate of its sister cell, resulting in extra neurons. CNT-2's Arf GAP activity is essential for its function in these divisions. The N terminus of CNT-2, which contains a GTPase-like domain that defines the AGAP class of Arf GAPs, negatively regulates CNT-2's function. We provide evidence that CNT-2 regulates receptor-mediated endocytosis and consider the implications of its role in asymmetric cell divisions. Copyright © 2011 Elsevier Ltd. All rights reserved.

  16. Recent developments of x-ray lithography in Canada

    NASA Astrophysics Data System (ADS)

    Chaker, Mohamed; Boily, Stephane; Ginovker, A.; Jean, Alain; Kieffer, Jean-Claude; Mercier, P. P.; Pepin, Henri; Leung, Pak; Currie, John F.; Lafontaine, Hugues

    1991-08-01

    An overview of current activities in Canada is reported, including x-ray lithography studies based on laser plasma sources and x-ray mask development. In particular, the application of laser plasma sources for x-ray lithography is discussed, taking into account the industrial requirement and the present state of laser technology. The authors describe the development of silicon carbide membranes for x-ray lithography application. SiC films were prepared using either a 100 kHz plasma-enhanced chemical vapor deposition (PECVD) system or a laser ablation technique. These membranes have a relatively large diameter (> 1 in.) and a high optical transparency (> 50%). Experimental studies on stresses in tungsten films deposited with triode sputtering are reported.

  17. Native Language Immersion.

    ERIC Educational Resources Information Center

    Reyhner, Jon

    This paper describes the benefits of indigenous mother tongue immersion programs, examining the Total Physical Response approach to immersion for beginning learners and focusing on the development of Maori and Hawaiian mother tongue language immersion programs. The paper discusses the importance of immersing students in a language-risk…

  18. Lithography for enabling advances in integrated circuits and devices.

    PubMed

    Garner, C Michael

    2012-08-28

    Because the transistor was fabricated in volume, lithography has enabled the increase in density of devices and integrated circuits. With the invention of the integrated circuit, lithography enabled the integration of higher densities of field-effect transistors through evolutionary applications of optical lithography. In 1994, the semiconductor industry determined that continuing the increase in density transistors was increasingly difficult and required coordinated development of lithography and process capabilities. It established the US National Technology Roadmap for Semiconductors and this was expanded in 1999 to the International Technology Roadmap for Semiconductors to align multiple industries to provide the complex capabilities to continue increasing the density of integrated circuits to nanometre scales. Since the 1960s, lithography has become increasingly complex with the evolution from contact printers, to steppers, pattern reduction technology at i-line, 248 nm and 193 nm wavelengths, which required dramatic improvements of mask-making technology, photolithography printing and alignment capabilities and photoresist capabilities. At the same time, pattern transfer has evolved from wet etching of features, to plasma etch and more complex etching capabilities to fabricate features that are currently 32 nm in high-volume production. To continue increasing the density of devices and interconnects, new pattern transfer technologies will be needed with options for the future including extreme ultraviolet lithography, imprint technology and directed self-assembly. While complementary metal oxide semiconductors will continue to be extended for many years, these advanced pattern transfer technologies may enable development of novel memory and logic technologies based on different physical phenomena in the future to enhance and extend information processing.

  19. Tailoring surface properties of ArF resists thin films with functionally graded materials (FGM)

    NASA Astrophysics Data System (ADS)

    Takemoto, Ichiki; Ando, Nobuo; Edamatsu, Kunishige; Fuji, Yusuke; Kuwana, Koji; Hashimoto, Kazuhiko; Funase, Junji; Yokoyama, Hiroyuki

    2007-03-01

    Our recent research effort has been focused on new top coating-free 193nm immersion resists with regard to leaching of the resist components and lithographic performance. We have examined methacrylate-based resins that control the surface properties of ArF resists thin films by surface segregation behavior. For a better understanding of the surface properties of thin films, we prepared the six resins (Resin 1-6) that have three types fluorine containing monomers, a new monomer (Monomer A), Monomer B and Monomer C, respectively. We blended the base polymer (Resin 0) with Resin (1-6), respectively. We evaluated contact angles, surface properties and lithographic performances of the polymer blend resists. The static and receding contact angles of the resist that contains Resin (1-6) are greater than that of the base polymer (Resin 0) resist. The chemical composition of the surface of blend polymers was investigated with X-ray photoelectron spectroscopy (XPS). It was shown that there was significant segregation of the fluorine containing resins to the surface of the blend films. We analyzed Quantitative Structure-Property Relationships (QSPR) between the surface properties and the chemical composition of the surface of polymer blend resists. The addition of 10 wt% of the polymer (Resin 1-6) to the base polymer (Resin 0) did not influence the lithographic performance. Consequently, the surface properties of resist thin films can be tailored by the appropriate choice of fluorine containing polymer blends.

  20. Compact synchrotron radiation depth lithography facility

    NASA Astrophysics Data System (ADS)

    Knüppel, O.; Kadereit, D.; Neff, B.; Hormes, J.

    1992-01-01

    X-ray depth lithography allows the fabrication of plastic microstructures with heights of up to 1 mm but with the smallest possible lateral dimensions of about 1 μm. A resist is irradiated with ``white'' synchrotron radiation through a mask that is partially covered with x-ray absorbing microstructures. The plastic microstructure is then obtained by a subsequent chemical development of the irradiated resist. In order to irradiate a reasonably large resist area, the mask and the resist have to be ``scanned'' across the vertically thin beam of the synchrotron radiation. A flexible, nonexpensive and compact scanner apparatus has been built for x-ray depth lithography at the beamline BN1 at ELSA (the 3.5 GeV Electron Stretcher and Accelerator at the Physikalisches Institut of Bonn University). Measurements with an electronic water level showed that the apparatus limits the scanner-induced structure precision to not more than 0.02 μm. The whole apparatus is installed in a vacuum chamber thus allowing lithography under different process gases and pressures.

  1. Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography

    DTIC Science & Technology

    2004-05-07

    The basic idea is to use fiducial grids, fabricated using interference lithography (or a derivative thereof) to determine the placement of features...sensed, and corrections are fed back to the beam-control electronics to cancel errors in the beam’s position. The virtue of interference lithography ...Sub-100nm, Maskless Deep-UV Zone-Plate Array Lithography Project Period: March 1, 2001 – February 28, 2004 F i n a l R e p o r t Army Research

  2. The ARF, AUX/IAA and GH3 gene families in citrus: genome-wide identification and expression analysis during fruitlet drop from abscission zone A.

    PubMed

    Xie, Rangjin; Pang, Shaoping; Ma, Yanyan; Deng, Lie; He, Shaolan; Yi, Shilai; Lv, Qiang; Zheng, Yongqiang

    2015-12-01

    Completion of the whole genome sequencing of citrus enabled us to perform genome-wide identification and functional analysis of the gene families involved in agronomic traits and morphological diversity of citrus. In this study, 22 CitARF, 11 CitGH3 and 26 CitAUX/IAA genes were identified in citrus, respectively. Phylogenetic analysis revealed that all the genes of each gene family could be subdivided into three groups and showed strong evolutionary conservation. The GH3 and AUX/IAA gene families shrank and ARF gene family was highly conserved in the citrus genome after speciation from Arabidopsis thaliana. Tissue-specific expression profiles revealed that 54 genes were expressed in at least one tissue while just 5 genes including CitARF07, CitARF20, CitGH3.04, CitAUX/IAA25 and CitAUX/IAA26 with very low expression level in all tissues tested, suggesting that the CitARF, CitGH3 and CitAUX/IAA gene families played important roles in the development of citrus organs. In addition, our data found that the expression of 2 CitARF, 4 CitGH3 and 4 AUX/IAA genes was affected by IAA treatment, and 7 genes including, CitGH3.04, CitGH3.07, CitAUX/IAA03, CitAUX/IAA04, CitAUX/IAA18, CitAUX/IAA19 and CitAUX/IAA23 were related to fruitlet abscission. This study provides a foundation for future studies on elucidating the precise role of citrus ARF, GH3 and AUX/IAA genes in early steps of auxin signal transduction and open up a new opportunity to uncover the molecular mechanism underlying citrus fruitlet abscission.

  3. M&A For Lithography Of Sparse Arrays Of Sub-Micrometer Features

    DOEpatents

    Brueck, Steven R.J.; Chen, Xiaolan; Zaidi, Saleem; Devine, Daniel J.

    1998-06-02

    Methods and apparatuses are disclosed for the exposure of sparse hole and/or mesa arrays with line:space ratios of 1:3 or greater and sub-micrometer hole and/or mesa diameters in a layer of photosensitive material atop a layered material. Methods disclosed include: double exposure interferometric lithography pairs in which only those areas near the overlapping maxima of each single-period exposure pair receive a clearing exposure dose; double interferometric lithography exposure pairs with additional processing steps to transfer the array from a first single-period interferometric lithography exposure pair into an intermediate mask layer and a second single-period interferometric lithography exposure to further select a subset of the first array of holes; a double exposure of a single period interferometric lithography exposure pair to define a dense array of sub-micrometer holes and an optical lithography exposure in which only those holes near maxima of both exposures receive a clearing exposure dose; combination of a single-period interferometric exposure pair, processing to transfer resulting dense array of sub-micrometer holes into an intermediate etch mask, and an optical lithography exposure to select a subset of initial array to form a sparse array; combination of an optical exposure, transfer of exposure pattern into an intermediate mask layer, and a single-period interferometric lithography exposure pair; three-beam interferometric exposure pairs to form sparse arrays of sub-micrometer holes; five- and four-beam interferometric exposures to form a sparse array of sub-micrometer holes in a single exposure. Apparatuses disclosed include arrangements for the three-beam, five-beam and four-beam interferometric exposures.

  4. 65-nm full-chip implementation using double dipole lithography

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Chen, J. Fung; Cororan, Noel; Knose, William T.; Van Den Broeke, Douglas J.; Laidig, Thomas L.; Wampler, Kurt E.; Shi, Xuelong; Hsu, Michael; Eurlings, Mark; Finders, Jo; Chiou, Tsann-Bim; Socha, Robert J.; Conley, Will; Hsieh, Yen W.; Tuan, Steve; Hsieh, Frank

    2003-06-01

    Double Dipole Lithography (DDL) has been demonstrated to be capable of patterning complex 2D patterns. Due to inherently high aerial imaging contrast, especially for dense features, we have found that it has a very good potential to meet manufacturing requirements for the 65nm node using ArF binary chrome masks. For patterning in the k1<0.35 regime without resorting to hard phase-shift masks (PSMs), DDL is one unique Resolution Enhancement Technique (RET) which can achieve an acceptable process window. To utilize DDL for printing actual IC devices, the original design data must be decomposed into "vertical (V)" and "horizontal (H)" masks for the respective X- and Y-dipole exposures. An improved two-pass, model-based, DDL mask data processing methodology has been established. It is capable of simultaneously converting complex logic and memory mask patterns into DDL compatible mask layout. To maximize the overlapped process window area, we have previously shown that the pattern-shielding algorithm must be intelligently applied together with both Scattering Bars (SBs) and model-based OPC (MOPC). Due to double exposures, stray light must be well-controlled to ensure uniform printing across the entire chip. One solution to minimize stray light is to apply large patches of solid chrome in open areas to reduce the background transmission during exposure. Unfortunately, this is not feasible for a typical clear-field poly gate masks to be patterned by a positive resist process. In this work, we report a production-worthy DDL mask pattern decomposition scheme for full-chip application. A new generation of DDL technology reticle set has been developed to verify the printing performance. Shielding is a critical part of the DDL. An innovative shielding scheme has been developed to protect the critical features and minimize the impact of stray light during double exposure.

  5. Study on photochemical analysis system (VLES) for EUV lithography

    NASA Astrophysics Data System (ADS)

    Sekiguchi, A.; Kono, Y.; Kadoi, M.; Minami, Y.; Kozawa, T.; Tagawa, S.; Gustafson, D.; Blackborow, P.

    2007-03-01

    A system for photo-chemical analysis of EUV lithography processes has been developed. This system has consists of 3 units: (1) an exposure that uses the Z-Pinch (Energetiq Tech.) EUV Light source (DPP) to carry out a flood exposure, (2) a measurement system RDA (Litho Tech Japan) for the development rate of photo-resists, and (3) a simulation unit that utilizes PROLITH (KLA-Tencor) to calculate the resist profiles and process latitude using the measured development rate data. With this system, preliminary evaluation of the performance of EUV lithography can be performed without any lithography tool (Stepper and Scanner system) that is capable of imaging and alignment. Profiles for 32 nm line and space pattern are simulated for the EUV resist (Posi-2 resist by TOK) by using VLES that hat has sensitivity at the 13.5nm wavelength. The simulation successfully predicts the resist behavior. Thus it is confirmed that the system enables efficient evaluation of the performance of EUV lithography processes.

  6. Protein assay structured on paper by using lithography

    NASA Astrophysics Data System (ADS)

    Wilhelm, E.; Nargang, T. M.; Al Bitar, W.; Waterkotte, B.; Rapp, B. E.

    2015-03-01

    There are two main challenges in producing a robust, paper-based analytical device. The first one is to create a hydrophobic barrier which unlike the commonly used wax barriers does not break if the paper is bent. The second one is the creation of the (bio-)specific sensing layer. For this proteins have to be immobilized without diminishing their activity. We solve both problems using light-based fabrication methods that enable fast, efficient manufacturing of paper-based analytical devices. The first technique relies on silanization by which we create a flexible hydrophobic barrier made of dimethoxydimethylsilane. The second technique demonstrated within this paper uses photobleaching to immobilize proteins by means of maskless projection lithography. Both techniques have been tested on a classical lithography setup using printed toner masks and on a lithography system for maskless lithography. Using these setups we could demonstrate that the proposed manufacturing techniques can be carried out at low costs. The resolution of the paper-based analytical devices obtained with static masks was lower due to the lower mask resolution. Better results were obtained using advanced lithography equipment. By doing so we demonstrated, that our technique enables fabrication of effective hydrophobic boundary layers with a thickness of only 342 μm. Furthermore we showed that flourescine-5-biotin can be immobilized on the non-structured paper and be employed for the detection of streptavidinalkaline phosphatase. By carrying out this assay on a paper-based analytical device which had been structured using the silanization technique we proofed biological compatibility of the suggested patterning technique.

  7. The Human ARF Cell Cycle Regulatory Gene Promoter Is a CpG Island Which Can Be Silenced by DNA Methylation and Down-Regulated by Wild-Type p53

    PubMed Central

    Robertson, Keith D.; Jones, Peter A.

    1998-01-01

    The INK4a/ARF locus encodes two proteins involved in tumor suppression in a manner virtually unique in mammalian cells. Distinct first exons, driven from separate promoters, splice onto a common exon 2 and 3 but utilize different reading frames to produce two completely distinct proteins, both of which play roles in cell cycle control. INK4a, a critical element of the retinoblastoma gene pathway, binds to and inhibits the activities of CDK4 and CDK6, while ARF, a critical element of the p53 pathway, increases the level of functional p53 via interaction with MDM2. Here we clone and characterize the promoter of the human ARF gene and show that it is a CpG island characteristic of a housekeeping gene which contains numerous Sp1 sites. Both ARF and INK4a are coordinately expressed in cells except when their promoter regions become de novo methylated. In one of these situations, ARF transcription could be reactivated by treatment with the DNA methylation inhibitor 5-aza-2′-deoxycytidine, and the reactivation kinetics of ARF and INK4a were found to differ slightly in a cell line in which both genes were silenced by methylation. The ARF promoter was also found to be highly responsive to E2F1 expression, in keeping with previous results at the RNA level. Lastly, transcription from the ARF promoter was down-regulated by wild-type p53 expression, and the magnitude of the effect correlated with the status of the endogenous p53 gene. This finding points to the existence of an autoregulatory feedback loop between p53, MDM2, and ARF, aimed at keeping p53 levels in check. PMID:9774662

  8. Fabricating Blazed Diffraction Gratings by X-Ray Lithography

    NASA Technical Reports Server (NTRS)

    Mouroulis, Pantazis; Hartley, Frank; Wilson, Daniel

    2004-01-01

    Gray-scale x-ray lithography is undergoing development as a technique for fabricating blazed diffraction gratings. As such, gray-scale x-ray lithography now complements such other grating-fabrication techniques as mechanical ruling, holography, ion etching, laser ablation, laser writing, and electron-beam lithography. Each of these techniques offers advantages and disadvantages for implementing specific grating designs; no single one of these techniques can satisfy the design requirements for all applications. Gray-scale x-ray lithography is expected to be advantageous for making gratings on steeper substrates than those that can be made by electron-beam lithography. This technique is not limited to sawtooth groove profiles and flat substrates: various groove profiles can be generated on arbitrarily shaped (including highly curved) substrates with the same ease as sawtooth profiles can be generated on flat substrates. Moreover, the gratings fabricated by this technique can be made free of ghosts (spurious diffraction components attributable to small spurious periodicities in the locations of grooves). The first step in gray-scale x-ray lithography is to conformally coat a substrate with a suitable photoresist. An x-ray mask (see Figure 1) is generated, placed between the substrate and a source of collimated x-rays, and scanned over the substrate so as to create a spatial modulation in the exposure of the photoresist. Development of the exposed photoresist results in a surface corrugation that corresponds to the spatial modulation and that defines the grating surface. The grating pattern is generated by scanning an appropriately shaped x-ray area mask along the substrate. The mask example of Figure 1 would generate a blazed grating profile when scanned in the perpendicular direction at constant speed, assuming the photoresist responds linearly to incident radiation. If the resist response is nonlinear, then the mask shape can be modified to account for the

  9. Arf6 Guanine Nucleotide Exchange Factor Cytohesin-2 Binds to CCDC120 and Is Transported Along Neurites to Mediate Neurite Growth*

    PubMed Central

    Torii, Tomohiro; Miyamoto, Yuki; Tago, Kenji; Sango, Kazunori; Nakamura, Kazuaki; Sanbe, Atsushi; Tanoue, Akito; Yamauchi, Junji

    2014-01-01

    The mechanism of neurite growth is complicated, involving continuous cytoskeletal rearrangement and vesicular trafficking. Cytohesin-2 is a guanine nucleotide exchange factor for Arf6, an Arf family molecular switch protein, controlling cell morphological changes such as neuritogenesis. Here, we show that cytohesin-2 binds to a protein with a previously unknown function, CCDC120, which contains three coiled-coil domains, and is transported along neurites in differentiating N1E-115 cells. Transfection of the small interfering RNA (siRNA) specific for CCDC120 into cells inhibits neurite growth and Arf6 activation. When neurites start to extend, vesicles containing CCDC120 and cytohesin-2 are transported in an anterograde manner rather than a retrograde one. As neurites continue extension, anterograde vesicle transport decreases. CCDC120 knockdown inhibits cytohesin-2 localization into vesicles containing CCDC120 and diffuses cytohesin-2 in cytoplasmic regions, illustrating that CCDC120 determines cytohesin-2 localization in growing neurites. Reintroduction of the wild type CCDC120 construct into cells transfected with CCDC120 siRNA reverses blunted neurite growth and Arf6 activity, whereas the cytohesin-2-binding CC1 region-deficient CCDC120 construct does not. Thus, cytohesin-2 is transported along neurites by vesicles containing CCDC120, and it mediates neurite growth. These results suggest a mechanism by which guanine nucleotide exchange factor for Arf6 is transported to mediate neurite growth. PMID:25326380

  10. Immersive Learning Technologies

    DTIC Science & Technology

    2009-08-20

    Immersive Learning Technologies Mr. Peter Smith Lead, ADL Immersive Learning Team 08/20/2009 Report Documentation Page Form ApprovedOMB No. 0704...to 00-00-2009 4. TITLE AND SUBTITLE Immersive Learning Technologies 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR...unclassified c. THIS PAGE unclassified Standard Form 298 (Rev. 8-98) Prescribed by ANSI Std Z39-18 Why Immersive Learning Technologies

  11. Key roles of Arf small G proteins and biosynthetic trafficking for animal development.

    PubMed

    Rodrigues, Francisco F; Harris, Tony J C

    2017-04-14

    Although biosynthetic trafficking can function constitutively, it also functions specifically for certain developmental processes. These processes require either a large increase to biosynthesis or the biosynthesis and targeted trafficking of specific players. We review the conserved molecular mechanisms that direct biosynthetic trafficking, and discuss how their genetic disruption affects animal development. Specifically, we consider Arf small G proteins, such as Arf1 and Sar1, and their coat effectors, COPI and COPII, and how these proteins promote biosynthetic trafficking for cleavage of the Drosophila embryo, the growth of neuronal dendrites and synapses, extracellular matrix secretion for bone development, lumen development in epithelial tubes, notochord and neural tube development, and ciliogenesis. Specific need for the biosynthetic trafficking system is also evident from conserved CrebA/Creb3-like transcription factors increasing the expression of secretory machinery during several of these developmental processes. Moreover, dysfunctional trafficking leads to a range of developmental syndromes.

  12. The THO Complex Non-Cell-Autonomously Represses Female Germline Specification through the TAS3-ARF3 Module.

    PubMed

    Su, Zhenxia; Zhao, Lihua; Zhao, Yuanyuan; Li, Shaofang; Won, SoYoun; Cai, Hanyang; Wang, Lulu; Li, Zhenfang; Chen, Piaojuan; Qin, Yuan; Chen, Xuemei

    2017-06-05

    In most sexually reproducing plants, a single somatic, sub-epidermal cell in an ovule is selected to differentiate into a megaspore mother cell, which is committed to giving rise to the female germline. However, it remains unclear how intercellular signaling among somatic cells results in only one cell in the sub-epidermal layer differentiating into the megaspore mother cell. Here we uncovered a role of the THO complex in restricting the megaspore mother cell fate to a single cell. Mutations in TEX1, HPR1, and THO6, components of the THO/TREX complex, led to the formation of multiple megaspore mother cells, which were able to initiate gametogenesis. We demonstrated that TEX1 repressed the megaspore mother cell fate by promoting the biogenesis of TAS3-derived trans-acting small interfering RNA (ta-siRNA), which represses ARF3 expression. The TEX1 protein was present in epidermal cells, but not in the germline, and, through TAS3-derived ta-siRNA, restricted ARF3 expression to the medio domain of ovule primordia. Expansion of ARF3 expression into lateral epidermal cells in a TAS3 ta-siRNA-insensitive mutant led to the formation of supernumerary megaspore mother cells, suggesting that TEX1- and TAS3-mediated restriction of ARF3 expression limits excessive megaspore mother cell formation non-cell-autonomously. Our findings reveal the role of a small-RNA pathway in the regulation of female germline specification in Arabidopsis. Copyright © 2017 Elsevier Ltd. All rights reserved.

  13. 150-nm generation lithography equipment

    NASA Astrophysics Data System (ADS)

    Deguchi, Nobuyoshi; Uzawa, Shigeyuki

    1999-07-01

    Lithography by step-and-scan exposure is expected to be the mainstream for semiconductor manufacturing below 180 nm resolution patterns. We have developed a scanner for 150 nm features on either 200 mm or 300 mm wafers. For this system, the synchronous stage system has been redesigned which makes it possible to improve imaging performance and overlay accuracy. A new 300 mm wafer stage enhances productivity while weighting almost the same as the stage for 200 mm wafers. The mainbody mechanical frame incorporates reactive force receiver system to counter the inertial energy and vibrational issues associated with high speed wafer and reticle stage scanning. This report outlines the total system design, new technologies and performance data of the Cannon FPA-5000ES2 step-and-scan exposure tool developed for the 150 nm generation lithography.

  14. ASC-J9(®) suppresses castration resistant prostate cancer progression via degrading the enzalutamide-induced androgen receptor mutant AR-F876L.

    PubMed

    Wang, Ronghao; Lin, Wanying; Lin, Changyi; Li, Lei; Sun, Yin; Chang, Chawnshang

    2016-08-28

    Androgen deprivation therapy (ADT) with the newly developed powerful anti-androgen enzalutamide (Enz, also known as MDV3100) has promising therapeutic effects to suppress castration resistant prostate cancer (CRPC) and extending patients' lives an extra 4.8 months. However, most Enz therapy eventually fails with the development of Enz resistance. The detailed mechanisms how CRPC develops Enz resistance remain unclear and may involve multiple mechanisms. Among them, the induction of the androgen receptor (AR) mutant AR-F876L in some CRPC patients may represent one driving force that confers Enz resistance. Here, we demonstrate that the AR degradation enhancer, ASC-J9(®), not only degrades wild-type AR, but also has the ability to target AR-F876L. The consequence of suppressing AR-F876L may then abrogate AR-F876L mediated CRPC cell proliferation and metastasis. Thus, developing ASC-J9(®) as a new therapeutic approach may represent a novel therapy to better suppress CRPC that has already developed Enz resistance. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  15. The p16INK4alpha/p19ARF gene mutations are infrequent and are mutually exclusive to p53 mutations in Indian oral squamous cell carcinomas.

    PubMed

    Kannan, K; Munirajan, A K; Krishnamurthy, J; Bhuvarahamurthy, V; Mohanprasad, B K; Panishankar, K H; Tsuchida, N; Shanmugam, G

    2000-03-01

    Eighty-seven untreated primary oral squamous cell carcinomas (SCCs) associated with betel quid and tobacco chewing from Indian patients were analysed for the presence of mutations in the commonly shared exon 2 of p16INK4alpha/p19ARF genes. Polymerase chain reaction-single strand conformation polymorphism (PCR-SSCP) and sequencing analysis were used to detect mutations. SSCP analysis indicated that only 9% (8/87) of the tumours had mutation in p16INK4alpha/p19ARF genes. Seventy-two tumours studied here were previously analysed for p53 mutations and 21% (15/72) of them were found to have mutations in p53 gene. Only one tumour was found to have mutation at both p53 and p16INK4alpha/p19ARF genes. Thus, the mutation rates observed were 21% for p53, 9% for p16INK4alpha/p19ARF, and 1% for both. Sequencing analysis revealed two types of mutations; i) G to C (GCAG to CCAG) transversion type mutation at intron 1-exon 2 splice junction and ii) another C to T transition type mutation resulting in CGA to TGA changing arginine to a termination codon at p16INK4alpha gene codon 80 and the same mutation will alter codon 94 of p19ARF gene from CCG to CTG (proline to leucine). These results suggest that p16INK4alpha/p19ARF mutations are less frequent than p53 mutations in Indian oral SCCs. The p53 and p16INK4alpha/p19ARF mutational events are independent and are mutually exclusive suggesting that mutational inactivation of either p53 or p16INK4alpha/p19ARF may alleviate the need for the inactivation of the other gene.

  16. Lithography with MeV Energy Ions for Biomedical Applications: Accelerator Considerations

    NASA Astrophysics Data System (ADS)

    Sangyuenyongpipat, S.; Whitlow, H. J.; Nakagawa, S. T.; Yoshida, E.

    2009-03-01

    MeV ion beam lithographies are very powerful techniques for 3D direct writing in positive or negtive photoresist materials. Nanometer-scale rough structures, or clear areas with straight vertical sidewalls as thin as a few 10's of nm in a resist of a few nm to 100 μm thickness can be made. These capabilities are particularly useful for lithography in cellular- and sub-cellular level biomedical research and technology applications. It can be used for tailor making special structures such as optical waveguides, biosensors, DNA sorters, spotting plates, systems for DNA, protein and cell separation, special cell-growth substrates and microfluidic lab-on-a-chip devices. Furthermore MeV ion beam lithography can be used for rapid prototyping, and also making master stamps and moulds for mass production by hot embossing and nanoimprint lithography. The accelerator requirements for three different high energy ion beam lithography techniques are overviewed. We consider the special requirements placed on the accelerator and how this is achieved for a commercial proton beam writing tool.

  17. Graphic Arts/Offset Lithography.

    ERIC Educational Resources Information Center

    Hoisington, James; Metcalf, Joseph

    This revised curriculum for graphic arts is designed to provide secondary and postsecondary students with entry-level skills and an understanding of current printing technology. It contains lesson plans based on entry-level competencies for offset lithography as identified by educators and industry representatives. The guide is divided into 15…

  18. Hard-tip, soft-spring lithography.

    PubMed

    Shim, Wooyoung; Braunschweig, Adam B; Liao, Xing; Chai, Jinan; Lim, Jong Kuk; Zheng, Gengfeng; Mirkin, Chad A

    2011-01-27

    Nanofabrication strategies are becoming increasingly expensive and equipment-intensive, and consequently less accessible to researchers. As an alternative, scanning probe lithography has become a popular means of preparing nanoscale structures, in part owing to its relatively low cost and high resolution, and a registration accuracy that exceeds most existing technologies. However, increasing the throughput of cantilever-based scanning probe systems while maintaining their resolution and registration advantages has from the outset been a significant challenge. Even with impressive recent advances in cantilever array design, such arrays tend to be highly specialized for a given application, expensive, and often difficult to implement. It is therefore difficult to imagine commercially viable production methods based on scanning probe systems that rely on conventional cantilevers. Here we describe a low-cost and scalable cantilever-free tip-based nanopatterning method that uses an array of hard silicon tips mounted onto an elastomeric backing. This method-which we term hard-tip, soft-spring lithography-overcomes the throughput problems of cantilever-based scanning probe systems and the resolution limits imposed by the use of elastomeric stamps and tips: it is capable of delivering materials or energy to a surface to create arbitrary patterns of features with sub-50-nm resolution over centimetre-scale areas. We argue that hard-tip, soft-spring lithography is a versatile nanolithography strategy that should be widely adopted by academic and industrial researchers for rapid prototyping applications.

  19. System design considerations for a production-grade, ESR-based x-ray lithography beamline

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Melore, Dan; Cerrina, Franco; Cole, Richard K.

    1991-08-01

    As electron storage ring (ESR) based x-ray lithography technology moves closer to becoming an industrial reality, more and more attention has been devoted to studying problem areas related to its application in the production environment. A principle component is the x-ray lithography beamline (XLBL) and its associated design requirements. XLBL, an x-ray radiation transport system, is one of the three major subunits in the ESR-based x-ray lithography system (XLS) and has a pivotal role in defining performance characteristics of the entire XLS. Its major functions are to transport the synchrotron orbital radiation (SOR) to the lithography target area with defined efficiency and to modify SOR into the spectral distribution defined by the lithography process window. These functions must be performed reliably in order to satisfy the required high production rate and ensure 0.25 micron resolution lithography conditions. In this paper the authors attempt to answer some specific questions that arise during the formulation of an XLBL system design. Three principle issues that are essential to formulating a design are (1) Radiation transport efficiency, (2) X-ray optical configurations in the beamline, (3) Beamline system configurations. Some practical solutions to thee problem areas are presented, and the effects of these parameters on lithography production rate are examined.

  20. ILT for double exposure lithography with conventional and novel materials

    NASA Astrophysics Data System (ADS)

    Poonawala, Amyn; Borodovsky, Yan; Milanfar, Peyman

    2007-03-01

    Multiple paths exists to provide lithography solutions pursuant to Moore's Law for next 3-5 generations of technology, yet each of those paths inevitably leads to solutions eventually requiring patterning at k I < 0.30 and below. In this article, we explore double exposure single development lithography for k I >= 0.25 (using conventional resist) and k1 < 0.25 (using new out-of-sight out-of-mind materials). For the case of k I >= 0.25, we propose a novel double exposure inverse lithography technique (ILT) to split the pattern. Our algorithm is based on our earlier proposed single exposure ILT framework, and works by decomposing the aerial image (instead of the target pattern) into two parts. It also resolves the phase conflicts automatically as part of the decomposition, and the combined aerial image obtained using the estimated masks has a superior contrast. For the case of k I < 0.25, we focus on analyzing the use of various dual patterning techniques enabled by the use of hypothetic materials with properties that allow for the violation of the linear superposition of intensities from the two exposures. We investigate the possible use of two materials: contrast enhancement layer (CEL) and two-photon absorption resists. We propose a mathematical model for CEL, define its characteristic properties, and derive fundamental bounds on the improvement in image log-slope. Simulation results demonstrate that double exposure single development lithography using CEL enables printing 80nm gratings using dry lithography. We also combine ILT, CEL, and DEL to synthesize 2-D patterns with k I = 0.185. Finally, we discuss the viability of two-photon absorption resists for double exposure lithography.

  1. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  2. Understanding Immersivity: Image Generation and Transformation Processes in 3D Immersive Environments

    PubMed Central

    Kozhevnikov, Maria; Dhond, Rupali P.

    2012-01-01

    Most research on three-dimensional (3D) visual-spatial processing has been conducted using traditional non-immersive 2D displays. Here we investigated how individuals generate and transform mental images within 3D immersive (3DI) virtual environments, in which the viewers perceive themselves as being surrounded by a 3D world. In Experiment 1, we compared participants’ performance on the Shepard and Metzler (1971) mental rotation (MR) task across the following three types of visual presentation environments; traditional 2D non-immersive (2DNI), 3D non-immersive (3DNI – anaglyphic glasses), and 3DI (head mounted display with position and head orientation tracking). In Experiment 2, we examined how the use of different backgrounds affected MR processes within the 3DI environment. In Experiment 3, we compared electroencephalogram data recorded while participants were mentally rotating visual-spatial images presented in 3DI vs. 2DNI environments. Overall, the findings of the three experiments suggest that visual-spatial processing is different in immersive and non-immersive environments, and that immersive environments may require different image encoding and transformation strategies than the two other non-immersive environments. Specifically, in a non-immersive environment, participants may utilize a scene-based frame of reference and allocentric encoding whereas immersive environments may encourage the use of a viewer-centered frame of reference and egocentric encoding. These findings also suggest that MR performed in laboratory conditions using a traditional 2D computer screen may not reflect spatial processing as it would occur in the real world. PMID:22908003

  3. Learning Relative Motion Concepts in Immersive and Non-Immersive Virtual Environments

    ERIC Educational Resources Information Center

    Kozhevnikov, Michael; Gurlitt, Johannes; Kozhevnikov, Maria

    2013-01-01

    The focus of the current study is to understand which unique features of an immersive virtual reality environment have the potential to improve learning relative motion concepts. Thirty-seven undergraduate students learned relative motion concepts using computer simulation either in immersive virtual environment (IVE) or non-immersive desktop…

  4. Lithographic process window optimization for mask aligner proximity lithography

    NASA Astrophysics Data System (ADS)

    Voelkel, Reinhard; Vogler, Uwe; Bramati, Arianna; Erdmann, Andreas; Ünal, Nezih; Hofmann, Ulrich; Hennemeyer, Marc; Zoberbier, Ralph; Nguyen, David; Brugger, Juergen

    2014-03-01

    We introduce a complete methodology for process window optimization in proximity mask aligner lithography. The commercially available lithography simulation software LAB from GenISys GmbH was used for simulation of light propagation and 3D resist development. The methodology was tested for the practical example of lines and spaces, 5 micron half-pitch, printed in a 1 micron thick layer of AZ® 1512HS1 positive photoresist on a silicon wafer. A SUSS MicroTec MA8 mask aligner, equipped with MO Exposure Optics® was used in simulation and experiment. MO Exposure Optics® is the latest generation of illumination systems for mask aligners. MO Exposure Optics® provides telecentric illumination and excellent light uniformity over the full mask field. MO Exposure Optics® allows the lithography engineer to freely shape the angular spectrum of the illumination light (customized illumination), which is a mandatory requirement for process window optimization. Three different illumination settings have been tested for 0 to 100 micron proximity gap. The results obtained prove, that the introduced process window methodology is a major step forward to obtain more robust processes in mask aligner lithography. The most remarkable outcome of the presented study is that a smaller exposure gap does not automatically lead to better print results in proximity lithography - what the "good instinct" of a lithographer would expect. With more than 5'000 mask aligners installed in research and industry worldwide, the proposed process window methodology might have significant impact on yield improvement and cost saving in industry.

  5. Micro-fabrication method of graphite mesa microdevices based on optical lithography technology

    NASA Astrophysics Data System (ADS)

    Zhang, Cheng; Wen, Donghui; Zhu, Huamin; Zhang, Xiaorui; Yang, Xing; Shi, Yunsheng; Zheng, Tianxiang

    2017-12-01

    Graphite mesa microdevices have incommensurate contact nanometer interfaces, superlubricity, high-speed self-retraction, and other characteristics, which have potential applications in high-performance oscillators and micro-scale switches, memory devices, and gyroscopes. However, the current method of fabricating graphite mesa microdevices is mainly based on high-cost, low efficiency electron beam lithography technology. In this paper, the processing technologies of graphite mesa microdevices with various shapes and sizes were investigated by a low-cost micro-fabrication method, which was mainly based on optical lithography technology. The characterization results showed that the optical lithography technology could realize a large-area of patterning on the graphite surface, and the graphite mesa microdevices, which have a regular shape, neat arrangement, and high verticality could be fabricated in large batches through optical lithography technology. The experiments and analyses showed that the graphite mesa microdevices fabricated through optical lithography technology basically have the same self-retracting characteristics as those fabricated through electron beam lithography technology, and the maximum size of the graphite mesa microdevices with self-retracting phenomenon can reach 10 µm  ×  10 µm. Therefore, the proposed method of this paper can realize the high-efficiency and low-cost processing of graphite mesa microdevices, which is significant for batch fabrication and application of graphite mesa microdevices.

  6. Patterning control strategies for minimum edge placement error in logic devices

    NASA Astrophysics Data System (ADS)

    Mulkens, Jan; Hanna, Michael; Slachter, Bram; Tel, Wim; Kubis, Michael; Maslow, Mark; Spence, Chris; Timoshkov, Vadim

    2017-03-01

    In this paper we discuss the edge placement error (EPE) for multi-patterning semiconductor manufacturing. In a multi-patterning scheme the creation of the final pattern is the result of a sequence of lithography and etching steps, and consequently the contour of the final pattern contains error sources of the different process steps. We describe the fidelity of the final pattern in terms of EPE, which is defined as the relative displacement of the edges of two features from their intended target position. We discuss our holistic patterning optimization approach to understand and minimize the EPE of the final pattern. As an experimental test vehicle we use the 7-nm logic device patterning process flow as developed by IMEC. This patterning process is based on Self-Aligned-Quadruple-Patterning (SAQP) using ArF lithography, combined with line cut exposures using EUV lithography. The computational metrology method to determine EPE is explained. It will be shown that ArF to EUV overlay, CDU from the individual process steps, and local CD and placement of the individual pattern features, are the important contributors. Based on the error budget, we developed an optimization strategy for each individual step and for the final pattern. Solutions include overlay and CD metrology based on angle resolved scatterometry, scanner actuator control to enable high order overlay corrections and computational lithography optimization to minimize imaging induced pattern placement errors of devices and metrology targets.

  7. Full-chip level MEEF analysis using model based lithography verification

    NASA Astrophysics Data System (ADS)

    Kim, Juhwan; Wang, Lantian; Zhang, Daniel; Tang, Zongwu

    2005-11-01

    MEEF (Mask Error Enhancement Factor) has become a critical factor in CD uniformity control since optical lithography process moved to sub-resolution era. A lot of studies have been done by quantifying the impact of the mask CD (Critical Dimension) errors on the wafer CD errors1-2. However, the benefits from those studies were restricted only to small pattern areas of the full-chip data due to long simulation time. As fast turn around time can be achieved for the complicated verifications on very large data by linearly scalable distributed processing technology, model-based lithography verification becomes feasible for various types of applications such as post mask synthesis data sign off for mask tape out in production and lithography process development with full-chip data3,4,5. In this study, we introduced two useful methodologies for the full-chip level verification of mask error impact on wafer lithography patterning process. One methodology is to check MEEF distribution in addition to CD distribution through process window, which can be used for RET/OPC optimization at R&D stage. The other is to check mask error sensitivity on potential pinch and bridge hotspots through lithography process variation, where the outputs can be passed on to Mask CD metrology to add CD measurements on those hotspot locations. Two different OPC data were compared using the two methodologies in this study.

  8. Somatotropinomas, but not nonfunctioning pituitary adenomas, maintain a functional apoptotic RET/Pit1/ARF/p53 pathway that is blocked by excess GDNF.

    PubMed

    Diaz-Rodriguez, Esther; Garcia-Rendueles, Angela R; Ibáñez-Costa, Alejandro; Gutierrez-Pascual, Ester; Garcia-Lavandeira, Montserrat; Leal, Alfonso; Japon, Miguel A; Soto, Alfonso; Venegas, Eva; Tinahones, Francisco J; Garcia-Arnes, Juan A; Benito, Pedro; Angeles Galvez, Maria; Jimenez-Reina, Luis; Bernabeu, Ignacio; Dieguez, Carlos; Luque, Raul M; Castaño, Justo P; Alvarez, Clara V

    2014-11-01

    Acromegaly is caused by somatotroph cell adenomas (somatotropinomas [ACROs]), which secrete GH. Human and rodent somatotroph cells express the RET receptor. In rodents, when normal somatotrophs are deprived of the RET ligand, GDNF (Glial Cell Derived Neurotrophic Factor), RET is processed intracellularly to induce overexpression of Pit1 [Transcription factor (gene : POUF1) essential for transcription of Pituitary hormones GH, PRL and TSHb], which in turn leads to p19Arf/p53-dependent apoptosis. Our purpose was to ascertain whether human ACROs maintain the RET/Pit1/p14ARF/p53/apoptosis pathway, relative to nonfunctioning pituitary adenomas (NFPAs). Apoptosis in the absence and presence of GDNF was studied in primary cultures of 8 ACROs and 3 NFPAs. Parallel protein extracts were analyzed for expression of RET, Pit1, p19Arf, p53, and phospho-Akt. When GDNF deprived, ACRO cells, but not NFPAs, presented marked level of apoptosis that was prevented in the presence of GDNF. Apoptosis was accompanied by RET processing, Pit1 accumulation, and p14ARF and p53 induction. GDNF prevented all these effects via activation of phospho-AKT. Overexpression of human Pit1 (hPit1) directly induced p19Arf/p53 and apoptosis in a pituitary cell line. Using in silico studies, 2 CCAAT/enhancer binding protein alpha (cEBPα) consensus-binding sites were found to be 100% conserved in mouse, rat, and hPit1 promoters. Deletion of 1 cEBPα site prevented the RET-induced increase in hPit1 promoter expression. TaqMan qRT-PCR (real time RT-PCR) for RET, Pit1, Arf, TP53, GDNF, steroidogenic factor 1, and GH was performed in RNA from whole ACRO and NFPA tumors. ACRO but not NFPA adenomas express RET and Pit1. GDNF expression in the tumors was positively correlated with RET and negatively correlated with p53. In conclusion, ACROs maintain an active RET/Pit1/p14Arf/p53/apoptosis pathway that is inhibited by GDNF. Disruption of GDNF's survival function might constitute a new therapeutic route in

  9. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  10. Mask-induced aberration in EUV lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Yumi; Sato, Takashi; Inanami, Ryoichi; Nakasugi, Tetsuro; Higashiki, Tatsuhiko

    2009-04-01

    We estimated aberrations using Zernike sensitivity analysis. We found the difference of the tolerated aberration with line direction for illumination. The tolerated aberration of perpendicular line for illumination is much smaller than that of parallel line. We consider this difference to be attributable to the mask 3D effect. We call it mask-induced aberration. In the case of the perpendicular line for illumination, there was a difference in CD between right line and left line without aberration. In this report, we discuss the possibility of pattern formation in NA 0.25 generation EUV lithography tool. In perpendicular pattern for EUV light, the dominant part of aberration is mask-induced aberration. In EUV lithography, pattern correction based on the mask topography effect will be more important.

  11. Fabrication and characterization of a deep ultraviolet wire grid polarizer with a chromium-oxide subwavelength grating.

    PubMed

    Asano, Kosuke; Yokoyama, Satoshi; Kemmochi, Atsushi; Yatagai, Toyohiko

    2014-05-01

    A wire grid polarizer comprised of chromium oxide is designed for a micro-lithography system using an ArF excimer laser. Optical properties for some material candidates are calculated using a rigorous coupled-wave analysis. The chromium oxide wire grid polarizer with a 90 nm period is fabricated by a double-patterning technique using KrF lithography and dry etching. The extinction ratio of the grating is greater than 20 dB (100:1) at a wavelength of 193 nm. Differences between the calculated and experimental results are discussed.

  12. The yeast Arf-GAP Glo3p is required for the endocytic recycling of cell surface proteins.

    PubMed

    Kawada, Daiki; Kobayashi, Hiromu; Tomita, Tsuyoshi; Nakata, Eisuke; Nagano, Makoto; Siekhaus, Daria Elisabeth; Toshima, Junko Y; Toshima, Jiro

    2015-01-01

    Small GTP-binding proteins of the Ras superfamily play diverse roles in intracellular trafficking. Among them, the Rab, Arf, and Rho families function in successive steps of vesicle transport, in forming vesicles from donor membranes, directing vesicle trafficking toward target membranes and docking vesicles onto target membranes. These proteins act as molecular switches that are controlled by a cycle of GTP binding and hydrolysis regulated by guanine nucleotide exchange factors (GEFs) and GTPase-activating proteins (GAPs). In this study we explored the role of GAPs in the regulation of the endocytic pathway using fluorescently labeled yeast mating pheromone α-factor. Among 25 non-essential GAP mutants, we found that deletion of the GLO3 gene, encoding Arf-GAP protein, caused defective internalization of fluorescently labeled α-factor. Quantitative analysis revealed that glo3Δ cells show defective α-factor binding to the cell surface. Interestingly, Ste2p, the α-factor receptor, was mis-localized from the plasma membrane to the vacuole in glo3Δ cells. Domain deletion mutants of Glo3p revealed that a GAP-independent function, as well as the GAP activity, of Glo3p is important for both α-factor binding and Ste2p localization at the cell surface. Additionally, we found that deletion of the GLO3 gene affects the size and number of Arf1p-residing Golgi compartments and causes a defect in transport from the TGN to the plasma membrane. Furthermore, we demonstrated that glo3Δ cells were defective in the late endosome-to-TGN transport pathway, but not in the early endosome-to-TGN transport pathway. These findings suggest novel roles for Arf-GAP Glo3p in endocytic recycling of cell surface proteins. Copyright © 2014 Elsevier B.V. All rights reserved.

  13. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Lipowicz, Hubert-Seweryn; Ivanov, Tzvetan; Lenk, Steve; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Atanasov, Ivaylo; Krivoshapkina, Yana; Hofer, Manuel; Holz, Mathias; Rangelow, Ivo W.

    2015-03-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many novel nanoelectronic, NEMS, optical and bio-nanotechnology-based devices. Based on the thermally actuated, piezoresistive cantilever technology we have developed a first prototype of a scanning probe lithography (SPL) platform able to image, inspect, align and pattern features down to single digit nano regime. The direct, mask-less patterning of molecular resists using active scanning probes represents a promising path circumventing the problems in today's radiation-based lithography. Here, we present examples of practical applications of the previously published electric field based, current-controlled scanning probe lithography on molecular glass resist calixarene by using the developed tabletop SPL system. We demonstrate the application of a step-and-repeat scanning probe lithography scheme including optical as well as AFM based alignment and navigation. In addition, sequential read-write cycle patterning combining positive and negative tone lithography is shown. We are presenting patterning over larger areas (80 x 80 μm) and feature the practical applicability of the lithographic processes.

  14. An AGEF-1/Arf GTPase/AP-1 Ensemble Antagonizes LET-23 EGFR Basolateral Localization and Signaling during C. elegans Vulva Induction

    PubMed Central

    Skorobogata, Olga; Escobar-Restrepo, Juan M.; Rocheleau, Christian E.

    2014-01-01

    LET-23 Epidermal Growth Factor Receptor (EGFR) signaling specifies the vulval cell fates during C. elegans larval development. LET-23 EGFR localization on the basolateral membrane of the vulval precursor cells (VPCs) is required to engage the LIN-3 EGF-like inductive signal. The LIN-2 Cask/LIN-7 Veli/LIN-10 Mint (LIN-2/7/10) complex binds LET-23 EGFR, is required for its basolateral membrane localization, and therefore, vulva induction. Besides the LIN-2/7/10 complex, the trafficking pathways that regulate LET-23 EGFR localization have not been defined. Here we identify vh4, a hypomorphic allele of agef-1, as a strong suppressor of the lin-2 mutant Vulvaless (Vul) phenotype. AGEF-1 is homologous to the mammalian BIG1 and BIG2 Arf GTPase guanine nucleotide exchange factors (GEFs), which regulate secretory traffic between the Trans-Golgi network, endosomes and the plasma membrane via activation of Arf GTPases and recruitment of the AP-1 clathrin adaptor complex. Consistent with a role in trafficking we show that AGEF-1 is required for protein secretion and that AGEF-1 and the AP-1 complex regulate endosome size in coelomocytes. The AP-1 complex has previously been implicated in negative regulation of LET-23 EGFR, however the mechanism was not known. Our genetic data indicate that AGEF-1 is a strong negative regulator of LET-23 EGFR signaling that functions in the VPCs at the level of the receptor. In line with AGEF-1 being an Arf GEF, we identify the ARF-1.2 and ARF-3 GTPases as also negatively regulating signaling. We find that the agef-1(vh4) mutation results in increased LET-23 EGFR on the basolateral membrane in both wild-type and lin-2 mutant animals. Furthermore, unc-101(RNAi), a component of the AP-1 complex, increased LET-23 EGFR on the basolateral membrane in lin-2 and agef-1(vh4); lin-2 mutant animals. Thus, an AGEF-1/Arf GTPase/AP-1 ensemble functions opposite the LIN-2/7/10 complex to antagonize LET-23 EGFR basolateral membrane localization and signaling

  15. An AGEF-1/Arf GTPase/AP-1 ensemble antagonizes LET-23 EGFR basolateral localization and signaling during C. elegans vulva induction.

    PubMed

    Skorobogata, Olga; Escobar-Restrepo, Juan M; Rocheleau, Christian E

    2014-10-01

    LET-23 Epidermal Growth Factor Receptor (EGFR) signaling specifies the vulval cell fates during C. elegans larval development. LET-23 EGFR localization on the basolateral membrane of the vulval precursor cells (VPCs) is required to engage the LIN-3 EGF-like inductive signal. The LIN-2 Cask/LIN-7 Veli/LIN-10 Mint (LIN-2/7/10) complex binds LET-23 EGFR, is required for its basolateral membrane localization, and therefore, vulva induction. Besides the LIN-2/7/10 complex, the trafficking pathways that regulate LET-23 EGFR localization have not been defined. Here we identify vh4, a hypomorphic allele of agef-1, as a strong suppressor of the lin-2 mutant Vulvaless (Vul) phenotype. AGEF-1 is homologous to the mammalian BIG1 and BIG2 Arf GTPase guanine nucleotide exchange factors (GEFs), which regulate secretory traffic between the Trans-Golgi network, endosomes and the plasma membrane via activation of Arf GTPases and recruitment of the AP-1 clathrin adaptor complex. Consistent with a role in trafficking we show that AGEF-1 is required for protein secretion and that AGEF-1 and the AP-1 complex regulate endosome size in coelomocytes. The AP-1 complex has previously been implicated in negative regulation of LET-23 EGFR, however the mechanism was not known. Our genetic data indicate that AGEF-1 is a strong negative regulator of LET-23 EGFR signaling that functions in the VPCs at the level of the receptor. In line with AGEF-1 being an Arf GEF, we identify the ARF-1.2 and ARF-3 GTPases as also negatively regulating signaling. We find that the agef-1(vh4) mutation results in increased LET-23 EGFR on the basolateral membrane in both wild-type and lin-2 mutant animals. Furthermore, unc-101(RNAi), a component of the AP-1 complex, increased LET-23 EGFR on the basolateral membrane in lin-2 and agef-1(vh4); lin-2 mutant animals. Thus, an AGEF-1/Arf GTPase/AP-1 ensemble functions opposite the LIN-2/7/10 complex to antagonize LET-23 EGFR basolateral membrane localization and signaling.

  16. The partial coherence modulation transfer function in testing lithography lens

    NASA Astrophysics Data System (ADS)

    Huang, Jiun-Woei

    2018-03-01

    Due to the lithography demanding high performance in projection of semiconductor mask to wafer, the lens has to be almost free in spherical and coma aberration, thus, in situ optical testing for diagnosis of lens performance has to be established to verify the performance and to provide the suggesting for further improvement of the lens, before the lens has been build and integrated with light source. The measurement of modulation transfer function of critical dimension (CD) is main performance parameter to evaluate the line width of semiconductor platform fabricating ability for the smallest line width of producing tiny integrated circuits. Although the modulation transfer function (MTF) has been popularly used to evaluation the optical system, but in lithography, the contrast of each line-pair is in one dimension or two dimensions, analytically, while the lens stand along in the test bench integrated with the light source coherent or near coherent for the small dimension near the optical diffraction limit, the MTF is not only contributed by the lens, also by illumination of platform. In the study, the partial coherence modulation transfer function (PCMTF) for testing a lithography lens is suggested by measuring MTF in the high spatial frequency of in situ lithography lens, blended with the illumination of partial and in coherent light source. PCMTF can be one of measurement to evaluate the imperfect lens of lithography lens for further improvement in lens performance.

  17. Poliovirus Replication Requires the N-terminus but not the Catalytic Sec7 Domain of ArfGEF GBF1

    PubMed Central

    Belov, George A.; Kovtunovych, Gennadiy; Jackson, Catherine L.; Ehrenfeld, Ellie

    2010-01-01

    Viruses are intracellular parasites whose reproduction relies on factors provided by the host. The cellular protein GBF1 is critical for poliovirus replication. Here we show that the contribution of GBF1 to virus replication is different from its known activities in uninfected cells. Normally GBF1 activates the Arf GTPases necessary for formation of COPI transport vesicles. GBF1 function is modulated by p115 and Rab1b. However, in polio-infected cells, p115 is degraded and neither p115 nor Rab1b knock-down affects virus replication. Poliovirus infection is very sensitive to BFA, an inhibitor of Arf activation by GBF1. BFA targets the catalytic Sec7 domain of GBF1. Nevertheless the BFA block of polio replication is rescued by expression of only the N-terminal region of GBF1 lacking the Sec7 domain. Replication of BFA-resistant poliovirus in the presence of BFA is uncoupled from Arf activation but is dependent on GBF1. Thus the function(s) of this protein essential for viral replication can be separated from those required for cellular metabolism. PMID:20497182

  18. Temperature monitoring by infrared radiation measurements during ArF excimer laser ablation with cornea

    NASA Astrophysics Data System (ADS)

    Ishihara, Miya; Arai, Tsunenori; Sato, Shunichi; Nakano, Hironori; Obara, Minoru; Kikuchi, Makoto

    1999-06-01

    We measured infrared thermal radiation from porcine cornea during various fluences ArF excimer laser ablations with 1 microsecond(s) rise time. To obtain absolute temperature by means of Stefan-Boltzman law of radiation, we carried out a collection efficiency and detective sensitivity by a pre-experiment using panel heater. We measured the time course of the thermal radiation intensity with various laser fluences. We studied the relation between the peak cornea temperature during the ablation and irradiation fluences. We found the ablation situations, i.e., sub-ablation threshold, normal thermal ablation, and over-heated ablation, may be judged by both of the measured temperature transient waveforms and peak temperature. The boundary fluences corresponding to normal thermal ablation were 90 and 160 mJ/cm2. Our fast remote temperature monitoring during cornea ablation might be useful to control ablation quality/quantity of the cornea ArF laser ablation, that is PRK.

  19. C/EBPβ represses p53 to promote cell survival downstream of DNA damage independent of oncogenic Ras and p19Arf

    PubMed Central

    Ewing, SJ; Zhu, S; Zhu, F; House, JS; Smart, RC

    2013-01-01

    CCAAT/enhancer-binding protein-β (C/EBPβ) is a mediator of cell survival and tumorigenesis. When C/EBPβ−/− mice are treated with carcinogens that produce oncogenic Ras mutations in keratinocytes, they respond with abnormally elevated keratinocyte apoptosis and a block in skin tumorigenesis. Although this aberrant carcinogen-induced apoptosis results from abnormal upregulation of p53, it is not known whether upregulated p53 results from oncogenic Ras and its ability to induce p19Arf and/or activate DNA-damage response pathways or from direct carcinogen-induced DNA damage. We report that p19Arf is dramatically elevated in C/EBPβ−/− epidermis and that C/EBPβ represses a p19Arf promoter reporter. To determine whether p19Arf is responsible for the proapoptotic phenotype in C/EBPβ−/− mice, C/EBPβ−/−;p19Arf−/− mice were generated. C/EBPβ−/−;p19Arf−/− mice responded to carcinogen treatment with increased p53 and apoptosis, indicating p19Arf is not essential. To ascertain whether oncogenic Ras activation induces aberrant p53 and apoptosis in C/EBPβ−/− epidermis, we generated K14-ER:Ras; C/EBPβ−/− mice. Oncogenic Ras activation induced by 4-hydroxytamoxifen did not produce increased p53 or apoptosis. Finally, when C/EBPβ−/− mice were treated with differing types of DNA-damaging agents, including alkylating chemotherapeutic agents, they displayed aberrant levels of p53 and apoptosis. These results indicate that C/EBPβ represses p53 to promote cell survival downstream of DNA damage and suggest that inhibition of C/EBPβ may be a target for cancer cotherapy to increase the efficacy of alkylating chemotherapeutic agents. PMID:18636078

  20. Mask technology for EUV lithography

    NASA Astrophysics Data System (ADS)

    Bujak, M.; Burkhart, Scott C.; Cerjan, Charles J.; Kearney, Patrick A.; Moore, Craig E.; Prisbrey, Shon T.; Sweeney, Donald W.; Tong, William M.; Vernon, Stephen P.; Walton, Christopher C.; Warrick, Abbie L.; Weber, Frank J.; Wedowski, Marco; Wilhelmsen, Karl C.; Bokor, Jeffrey; Jeong, Sungho; Cardinale, Gregory F.; Ray-Chaudhuri, Avijit K.; Stivers, Alan R.; Tejnil, Edita; Yan, Pei-yang; Hector, Scott D.; Nguyen, Khanh B.

    1999-04-01

    Extreme UV Lithography (EUVL) is one of the leading candidates for the next generation lithography, which will decrease critical feature size to below 100 nm within 5 years. EUVL uses 10-14 nm light as envisioned by the EUV Limited Liability Company, a consortium formed by Intel and supported by Motorola and AMD to perform R and D work at three national laboratories. Much work has already taken place, with the first prototypical cameras operational at 13.4 nm using low energy laser plasma EUV light sources to investigate issues including the source, camera, electro- mechanical and system issues, photoresists, and of course the masks. EUV lithograph masks are fundamentally different than conventional photolithographic masks as they are reflective instead of transmissive. EUV light at 13.4 nm is rapidly absorbed by most materials, thus all light transmission within the EUVL system from source to silicon wafer, including EUV reflected from the mask, is performed by multilayer mirrors in vacuum.

  1. Combination photo and electron beam lithography with polymethyl methacrylate (PMMA) resist.

    PubMed

    Carbaugh, Daniel J; Pandya, Sneha G; Wright, Jason T; Kaya, Savas; Rahman, Faiz

    2017-11-10

    We describe techniques for performing photolithography and electron beam lithography in succession on the same resist-covered substrate. Larger openings are defined in the resist film through photolithography whereas smaller openings are defined through conventional electron beam lithography. The two processes are carried out one after the other and without an intermediate wet development step. At the conclusion of the two exposures, the resist film is developed once to reveal both large and small openings. Interestingly, these techniques are applicable to both positive and negative tone lithographies with both optical and electron beam exposure. Polymethyl methacrylate, by itself or mixed with a photocatalytic cross-linking agent, is used for this purpose. We demonstrate that such resists are sensitive to both ultraviolet and electron beam irradiation. All four possible combinations, consisting of optical and electron beam lithographies, carried out in positive and negative tone modes have been described. Demonstration grating structures have been shown and process conditions have been described for all four cases.

  2. EB and EUV lithography using inedible cellulose-based biomass resist material

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2016-03-01

    The validity of our approach of inedible cellulose-based resist material derived from woody biomass has been confirmed experimentally for the use of pure water in organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques of eco-conscious electron beam (EB) and extreme-ultraviolet (EUV) lithography. The water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB and EUV lithography was developed for environmental affair, safety, easiness of handling, and health of the working people. The inedible cellulose-based biomass resist material was developed by replacing the hydroxyl groups in the beta-linked disaccharides with EB and EUV sensitive groups. The 50-100 nm line and space width, and little footing profiles of cellulose-based biomass resist material on hardmask and layer were resolved at the doses of 10-30 μC/cm2. The eco-conscious lithography techniques was referred to as green EB and EUV lithography using inedible cellulose-based biomass resist material.

  3. Controlling bridging and pinching with pixel-based mask for inverse lithography

    NASA Astrophysics Data System (ADS)

    Kobelkov, Sergey; Tritchkov, Alexander; Han, JiWan

    2016-03-01

    Inverse Lithography Technology (ILT) has become a viable computational lithography candidate in recent years as it can produce mask output that results in process latitude and CD control in the fab that is hard to match with conventional OPC/SRAF insertion approaches. An approach to solving the inverse lithography problem as a nonlinear, constrained minimization problem over a domain mask pixels was suggested in the paper by Y. Granik "Fast pixel-based mask optimization for inverse lithography" in 2006. The present paper extends this method to satisfy bridging and pinching constraints imposed on print contours. Namely, there are suggested objective functions expressing penalty for constraints violations, and their minimization with gradient descent methods is considered. This approach has been tested with an ILT-based Local Printability Enhancement (LPTM) tool in an automated flow to eliminate hotspots that can be present on the full chip after conventional SRAF placement/OPC and has been applied in 14nm, 10nm node production, single and multiple-patterning flows.

  4. Valproic acid-inducible Arl4D and cytohesin-2/ARNO, acting through the downstream Arf6, regulate neurite outgrowth in N1E-115 cells.

    PubMed

    Yamauchi, Junji; Miyamoto, Yuki; Torii, Tomohiro; Mizutani, Reiko; Nakamura, Kazuaki; Sanbe, Atsushi; Koide, Hiroshi; Kusakawa, Shinji; Tanoue, Akito

    2009-07-15

    The mood-stabilizing agent valproic acid (VPA) potently promotes neuronal differentiation. As yet, however, little is known about the underlying molecular mechanism. Here, we show that VPA upregulates cytohesin-2 and mediates neurite outgrowth in N1E-115 neuroblastoma cells. Cytohesin-2 is the guanine-nucleotide exchange factor (GEF) for small GTPases of the Arf family; it regulates many aspects of cellular functions including morphological changes. Treatment with the specific cytohesin family inhibitor SecinH3 or knockdown of cytohesin-2 with its siRNA results in blunted induction of neurite outgrowth in N1E-115 cells. The outgrowth is specifically inhibited by siRNA knockdown of Arf6, but not by that of Arf1. Furthermore, VPA upregulates Arl4D, an Arf-like small GTPase that has recently been identified as the regulator that binds to cytohesin-2. Arl4D knockdown displays an inhibitory effect on neurite outgrowth resulting from VPA, while expression of constitutively active Arl4D induces outgrowth. We also demonstrate that the addition of cell-permeable peptide, coupling the cytohesin-2-binding region of Arl4D into cells, reduces the effect of VPA. Thus, Arl4D is a previously unknown regulator of neurite formation through cytohesin-2 and Arf6, providing another example that the functional interaction of two different small GTPases controls an important cellular function.

  5. Ice-Water Immersion and Cold-Water Immersion Provide Similar Cooling Rates in Runners With Exercise-Induced Hyperthermia

    PubMed Central

    Clements, Julie M.; Casa, Douglas J.; Knight, J. Chad; McClung, Joseph M.; Blake, Alan S.; Meenen, Paula M.; Gilmer, Allison M.; Caldwell, Kellie A.

    2002-01-01

    Objective: To assess whether ice-water immersion or cold-water immersion is the more effective treatment for rapidly cooling hyperthermic runners. Design and Setting: 17 heat-acclimated highly trained distance runners (age = 28 ± 2 years, height = 180 ± 2 cm, weight = 68.5 ± 2.1 kg, body fat = 11.2 ± 1.3%, training volume = 89 ± 10 km/wk) completed a hilly trail run (approximately 19 km and 86 minutes) in the heat (wet-bulb globe temperature = 27 ± 1°C) at an individually selected “comfortable” pace on 3 occasions 1 week apart. The random, crossover design included (1) distance run, then 12 minutes of ice-water immersion (5.15 ± 0.20°C), (2) distance run, then 12 minutes of cold-water immersion (14.03 ± 0.28°C), or (3) distance run, then 12 minutes of mock immersion (no water, air temperature = 28.88 ± 0.76°C). Measurements: Each subject was immersed from the shoulders to the hip joints for 12 minutes in a tub. Three minutes elapsed between the distance run and the start of immersion. Rectal temperature was recorded at the start of immersion, at each minute of immersion, and 3, 6, 10, and 15 minutes postimmersion. No rehydration occurred during any trial. Results: Length of distance run, time to complete distance run, rectal temperature, and percentage of dehydration after distance run were similar (P > .05) among all trials, as was the wet-bulb globe temperature. No differences (P > .05) for cooling rates were found when comparing ice-water immersion, cold-water immersion, and mock immersion at the start of immersion to 4 minutes, 4 to 8 minutes, and the start of immersion to 8 minutes. Ice-water immersion and cold-water immersion cooling rates were similar (P > .05) to each other and greater (P < .05) than mock immersion at 8 to 12 minutes, the start of immersion to 10 minutes, and the start of immersion to every other time point thereafter. Rectal temperatures were similar (P > .05) between ice-water immersion and cold-water immersion at the

  6. EFFECTS OF LASER RADIATION ON MATTER. LASER PLASMA Annealing of induced absorption in quartz glasses by ArF laser radiation

    NASA Astrophysics Data System (ADS)

    Sergeev, P. B.; Sergeev, A. P.

    2010-11-01

    Annealing of individual bands of electron-beam-induced absorption (IA) in the region of 150 — 400 nm in KS-4V, KU-1, and Corning 7980 (ArF Grade) quartz glasses by ArF laser radiation is studied. It is shown that the phototransformation of the IA spectra occurs mainly due to a significant decrease in the amplitudes of bands at λ = 183.5, 213, and 260 nm. The role played by interstitial oxygen, hydrogen, and chlorine in the formation and relaxation of glass defects is considered.

  7. Interference lithography for optical devices and coatings

    NASA Astrophysics Data System (ADS)

    Juhl, Abigail Therese

    Interference lithography can create large-area, defect-free nanostructures with unique optical properties. In this thesis, interference lithography will be utilized to create photonic crystals for functional devices or coatings. For instance, typical lithographic processing techniques were used to create 1, 2 and 3 dimensional photonic crystals in SU8 photoresist. These structures were in-filled with birefringent liquid crystal to make active devices, and the orientation of the liquid crystal directors within the SU8 matrix was studied. Most of this thesis will be focused on utilizing polymerization induced phase separation as a single-step method for fabrication by interference lithography. For example, layered polymer/nanoparticle composites have been created through the one-step two-beam interference lithographic exposure of a dispersion of 25 and 50 nm silica particles within a photopolymerizable mixture at a wavelength of 532 nm. In the areas of constructive interference, the monomer begins to polymerize via a free-radical process and concurrently the nanoparticles move into the regions of destructive interference. The holographic exposure of the particles within the monomer resin offers a single-step method to anisotropically structure the nanoconstituents within a composite. A one-step holographic exposure was also used to fabricate self-healing coatings that use water from the environment to catalyze polymerization. Polymerization induced phase separation was used to sequester an isocyanate monomer within an acrylate matrix. Due to the periodic modulation of the index of refraction between the monomer and polymer, the coating can reflect a desired wavelength, allowing for tunable coloration. When the coating is scratched, polymerization of the liquid isocyanate is catalyzed by moisture in air; if the indices of the two polymers are matched, the coatings turn transparent after healing. Interference lithography offers a method of creating multifunctional self

  8. Rayleigh rejection filters for 193-nm ArF laser Raman spectroscopy

    NASA Technical Reports Server (NTRS)

    Mckenzie, Robert L.

    1993-01-01

    Selected organic absorbers and their solvents are evaluated as spectral filters for the rejection of 193-nm Rayleigh light associated with the use of an ArF excimer laser for Raman spectroscopy. A simply constructed filter cell filled with 0.5 percent acetone in water and an optical path of 7 mm is shown effectively to eliminate stray Rayleigh light underlying the Raman spectrum from air while transmitting 60 percent of the Raman light scattered by O2.

  9. Highly Stable Nanolattice Structures using Nonlinear Laser Lithography

    NASA Astrophysics Data System (ADS)

    Yavuz, Ozgun; Tokel, Onur; Ergecen, Emre; Pavlov, Ihor; Makey, Ghaith; Ilday, Fatih Omer

    Periodic nanopatterning is crucial for multiple technologies, including photovoltaics and display technologies. Conventional optical lithography techniques require complex masks, while e-beam and ion-beam lithography require expensive equipment. With the Nonlinear Laser Lithography (NLL) technique, we had recently shown that various surfaces can be covered with extremely periodic nanopatterns with ultrafast lasers through a single-step, maskless and inexpensive method. Here, we expand NLL nanopatterns to flexible materials, and also present a fully predictive model for the formation of NLL nanostructures as confirmed with experiments. In NLL, a nonlocal positive feedback mechanism (dipole scattering) competes with a rate limiting negative feedback mechanism. Here, we show that judicious use of the laser polarisation can constrain the lattice symmetry, while the nonlinearities regulate periodicity. We experimentally demonstrate that in addition to one dimensional periodic stripes, two dimensional lattices can be produced on surfaces. In particular, hexagonal and square lattices were produced, which are highly desired for display technologies. Notably, with this approach, we can tile flexible substrates, which can find applications in next generation display technologies.

  10. Miniature low voltage beam systems producable by combined lithographies

    NASA Astrophysics Data System (ADS)

    Koops, Hans W. P.; Munro, Eric; Rouse, John; Kretz, Johannes; Rudolph, Michael; Weber, Markus; Dahm, Gerold

    The project of a miniaturized vacuum microelectronic 100 GHz switch is described. It implies the development of a field emission electron gun as well as the investigation of miniaturized lenses and deflectors. Electrostatic elements are designed and developed for this application. Connector pads and wiring pattern are created by conventional electron beam lithography and a lift-off or etching process. Wire and other 3-dimensional structures are grown using electron beam induced deposition. This additive lithography allows to form electrodes and resistors of a preset conductivity. The scanning electron microscope features positioning the structures with nm precision. An unconventional lithography system is used that is capable of controlling the pixel dwell time within a shape with different time functions. With this special function 3-dimensional structures can be generated like free standing square shaped electrodes. The switch is built by computer controlled additive lithography avoiding assembly from parts. Lenses of micrometer dimensions were investigated with numerical electron optics programs computing the 3-dimensional potential and field distribution. From the extracted axial field distribution the electron optic characteristic parameters, like focal length, chromatic and spherical aberration, were calculated for various lens excitations. The analysis reveals that miniaturized optics for low energy electrons, as low as 30 eV, are diffraction limited. For a lens with 2 μm focal length, a chromatic aberration disc of 1 nm contributes to 12 nm diffraction disc. The spherical aberration blurs the probe by 0.02 nm, assuming an aperture of 0.01 rad. Employing hydrogen ions at 100 V, a probe diameter of 0.3 nm generated by chromatic aberration is possible. Miniaturized electron optical probe forming systems and imaging systems can be constructed with those lenses. Its application as lithography systems with massive parallel beams can be forseen.

  11. Somatic INK4a-ARF locus mutations: a significant mechanism of gene inactivation in squamous cell carcinomas of the head and neck.

    PubMed

    Poi, M J; Yen, T; Li, J; Song, H; Lang, J C; Schuller, D E; Pearl, D K; Casto, B C; Tsai, M D; Weghorst, C M

    2001-01-01

    The INK4a-ARF locus is located on human chromosome 9p21 and is known to encode two functionally distinct tumor-suppressor genes. The p16(INK4a) (p16) tumor-suppressor gene product is a negative regulator of cyclin-dependent kinases 4 and 6, which in turn positively regulate progression of mammalian cells through the cell cycle. The p14(ARF) tumor-suppressor gene product specifically interacts with human double minute 2, leading to the subsequent stabilization of p53 and G(1) arrest. Previous investigations analyzing the p16 gene in squamous cell carcinomas of the head and neck (SCCHNs) have suggested the predominate inactivating events to be homozygous gene deletions and hypermethylation of the p16 promoter. Somatic mutational inactivation of p16 has been reported to be low (0-10%, with a combined incidence of 25 of 279, or 9%) and to play only a minor role in the development of SCCHN. The present study examined whether this particular mechanism of INK4a/ARF inactivation, specifically somatic mutation, has been underestimated in SCCHN by determining the mutational status of the p16 and p14(ARF) genes in 100 primary SCCHNs with the use of polymerase chain reaction technology and a highly sensitive, nonradioactive modification of single-stranded conformational polymorphism (SSCP) analysis termed "cold" SSCP. Exons 1alpha, 1beta, and 2 of INK4a/ARF were amplified using intron-based primers or a combination of intron- and exon-based primers. A total of 27 SCCHNs (27%) exhibited sequence alterations in this locus, 22 (22%) of which were somatic sequence alterations and five (5%) of which were a single polymorphism in codon 148. Of the 22 somatic alterations, 20 (91%) directly or indirectly involved exon 2, and two (9%) were located within exon 1alpha. No mutations were found in exon 1beta. All 22 somatic mutations would be expected to yield altered p16 proteins, but only 15 of them should affect p14(ARF) proteins. Specific somatic alterations included microdeletions or

  12. Cryogenic immersion microscope

    DOEpatents

    Le Gros, Mark; Larabell, Carolyn A.

    2010-12-14

    A cryogenic immersion microscope whose objective lens is at least partially in contact with a liquid reservoir of a cryogenic liquid, in which reservoir a sample of interest is immersed is disclosed. When the cryogenic liquid has an index of refraction that reduces refraction at interfaces between the lens and the sample, overall resolution and image quality are improved. A combination of an immersion microscope and x-ray microscope, suitable for imaging at cryogenic temperatures is also disclosed.

  13. Association of genetic polymorphisms in GADD45A, MDM2, and p14{sup ARF} with the risk of chronic benzene poisoning in a Chinese occupational population

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun Pin; Zhang Zhongbin; Wan Junxiang

    2009-10-01

    Benzene reactive metabolites can lead to DNA damage and trigger the p53-dependent defense responses to maintain genomic stability. We hypothesized that the p53-dependent genes may play a role in the development of chronic benzene poisoning (CBP). In a case-control study of 303 patients with benzene poisoning and 295 workers occupationally exposed to benzene in south China, we investigated associations between the risk of CBP and polymorphisms in three p53-dependent genes. Potential interactions of these polymorphisms with lifestyle factors were also explored. We found p14{sup ARF} rs3731245 polymorphism was associated with risk of CBP (P = 0.014). Compared with those carryingmore » the GG genotype, individuals carrying p14{sup ARF} rs3731245 GA+AA genotypes had a reduced risk of CBP ([adjusted odds ratio (OR{sub adj}) = 0.57, 95%CI = 0.36-0.89]. Further analysis showed p14{sup ARF} TGA/TAG diplotype was associated with an increased risk of CBP (P = 0.0006), whereas p14{sup ARF} TGG/TAA diplotype was associated with a decreased risk of CBP (P = 0.0000001). In addition, we found individuals carrying both MDM2 Del1518 WW genotype and p14{sup ARF} rs3731245 GA+AA genotypes had a lower risk of CBP (OR{sub adj} = 0.25; 95%CI = 0.10-0.62; P = 0.003). Although these results require confirmation and extension, our findings suggest that genetic polymorphisms in p14{sup ARF} may have an impact on the risk of CBP in the study population.« less

  14. Fabrication of Three-Dimensional Imprint Lithography Templates by Colloidal Dispersions

    DTIC Science & Technology

    2011-03-06

    Dispersions A. Marcia Almanza-Workman, Taussig P. Carl, Albert H. Jeans, Robert L. Cobene HP Laboratories HPL-2011-32 Flexible displays, Self aligned...imprint lithography, stamps, fluorothermoplastics, latex Self -aligned imprint lithography (SAIL) enables patterning and alignment of submicron-sized...features on flexible substrates in the roll-to roll (R2R) environment. Soft molds made of elastomers have been used as stamps to pattern three

  15. Exclusion of Integrins from CNS Axons Is Regulated by Arf6 Activation and the AIS

    PubMed Central

    Franssen, Elske H. P.; Zhao, Rong-Rong; Koseki, Hiroaki; Kanamarlapudi, Venkateswarlu; Hoogenraad, Casper C.

    2015-01-01

    Integrins are adhesion and survival molecules involved in axon growth during CNS development, as well as axon regeneration after injury in the peripheral nervous system (PNS). Adult CNS axons do not regenerate after injury, partly due to a low intrinsic growth capacity. We have previously studied the role of integrins in axon growth in PNS axons; in the present study, we investigate whether integrin mechanisms involved in PNS regeneration may be altered or lacking from mature CNS axons by studying maturing CNS neurons in vitro. In rat cortical neurons, we find that integrins are present in axons during initial growth but later become restricted to the somato-dendritic domain. We investigated how this occurs and whether it can be altered to enhance axonal growth potential. We find a developmental change in integrin trafficking; transport becomes predominantly retrograde throughout axons, but not dendrites, as neurons mature. The directionality of transport is controlled through the activation state of ARF6, with developmental upregulation of the ARF6 GEF ARNO enhancing retrograde transport. Lowering ARF6 activity in mature neurons restores anterograde integrin flow, allows transport into axons, and increases axon growth. In addition, we found that the axon initial segment is partly responsible for exclusion of integrins and removal of this structure allows integrins into axons. Changing posttranslational modifications of tubulin with taxol also allows integrins into the proximal axon. The experiments suggest that the developmental loss of regenerative ability in CNS axons is due to exclusion of growth-related molecules due to changes in trafficking. PMID:26019348

  16. Mdm2 overexpression and p14(ARF) inactivation are two mutually exclusive events in primary human lung tumors.

    PubMed

    Eymin, Béatrice; Gazzeri, Sylvie; Brambilla, Christian; Brambilla, Elisabeth

    2002-04-18

    Pathways involving p53 and pRb tumor suppressor genes are frequently deregulated during lung carcinogenesis. Through its location at the interface of these pathways, Mdm2 can modulate the function of both p53 and pRb genes. We have examined here the pattern of expression of Mdm2 in a series of 192 human lung carcinomas of all histological types using both immunohistochemical and Western blot analyses and four distinct antibodies mapping different epitopes onto the Mdm2 protein. Using Immunohistochemistry (IHC), Mdm2 was overexpressed as compared to normal lung in 31% (60 out of 192) of all tumors analysed, whatever their histological types. Western blotting was performed on 28 out of the 192 tumoral samples. Overexpression of p85/90, p74/76 and p57 Mdm2 isoforms was detected in 18% (5 out of 28), 25% (7 out of 28) and 39% (11 out of 28) of the cases respectively. Overall, overexpression of at least one isoform was observed in 14 out of 28 (50%) lung tumors and concomittant overexpression of at least two isoforms in 7 out of 28 (25%) cases. A good concordance (82%) was observed between immunohistochemical and Western blot data. Interestingly, a highly significant inverse relationship was detected between p14(ARF) loss and Mdm2 overexpression either in NSCLC (P=0.0089) or in NE lung tumors (P<0.0001). Furthermore, a Mdm2/p14(ARF) >1 ratio was correlated with a high grade phenotype among NE tumors overexpressing Mdm2 (P=0.0021). Taken together, these data strongly suggest that p14(ARF)and Mdm2 act on common pathway(s) to regulate p53 and/or pRb-dependent or independent functions and that the Mdm2 : p14(ARF) ratio might act as a rheostat in modulating the activity of both proteins.

  17. Novel contact hole reticle design for enhanced lithography process window in IC manufacturing

    NASA Astrophysics Data System (ADS)

    Chang, Chung-Hsing

    2005-01-01

    For 90nm node generation, 65nm, and beyond, dark field mask types such as contact-hole, via, and trench patterns that all are very challenging to print with satisfactory process windows for day-to-day lithography manufacturing. Resolution enhancement technology (RET) masks together with ArF high numerical aperture (NA) scanners have been recognized as the inevitable choice of method for 65nm node manufacturing. Among RET mask types, the alternating phase shifting mask (AltPSM) is one of the well-known strong enhancement techniques. However AltPSM can have a very strong optical proximity effect that comes with the use of small on-axis illumination sigma setting. For very dense contact features, it may be possible for AltPSM to overcome the phase conflict by limiting the mask design rules. But it is not feasible to resolve the inherent phase conflict for the semi-dense, semi-isolated and isolated contact areas. Hence the adoption of this strong enhancement technique for dark filed mask types in today"s IC manufacturing has been very limited. In this paper, we present a novel yet a very powerful design method to achieve contact and via masks printing for 90nm, 65nm, and beyond. We name our new mask design as: Novel Improved Contact-hole pattern Exposure PSM (NICE PSM) with off-axis illumination, such as QUASAR. This RET masks design can enhance the process window of isolated, semi-isolated contact hole and via hole patterns. The main concepts of NICE PSM with QUASAR off-axis illumination are analogous to the Super-FLEX pupil filter technology.

  18. Novel contact hole reticle design for enhanced lithography process window in IC manufacturing

    NASA Astrophysics Data System (ADS)

    Chang, Chung-Hsing

    2004-10-01

    For 90nm node generation, 65nm, and beyond, dark field mask types such as contact-hole, via, and trench patterns that all are very challenging to print with satisfactory process windows for day-to-day lithography manufacturing. Resolution enhancement technology (RET) masks together with ArF high numerical aperture (NA) scanners have been recognized as the inevitable choice of method for 65nm node manufacturing. Among RET mask types, the alternating phase shifting mask (AltPSM) is one of the well-known strong enhancement techniques. However, AltPSM can have a very strong optical proximity effect that comes with the use of small on-axis illumination sigma setting. For very dense contact features, it may be possible for AltPSM to overcome the phase conflict by limiting the mask design rules. But it is not feasible to resolve the inherent phase conflict for the semi-dense, semi-isolated and isolated contact areas. Hence the adoption of this strong enhancement technique for dark filed mask types in today"s IC manufacturing has been very limited. In this paper, we report a novel yet a very powerful design method to achieve contact and via masks printing for 90nm, 65nm, and beyond. We name our new mask design as: Novel Improved Contact-hole pattern Exposure PSM (NICE PSM) with off-axis illumination, such as QUASAR. This RET masks design can enhance the process window of isolated, semi-isolated contact hole and via hole patterns. The main concepts of NICE PSM with QUASAR off-axis illumination are analogous to the Super-FLEX pupil filter technology.

  19. Challenges of anamorphic high-NA lithography and mask making

    NASA Astrophysics Data System (ADS)

    Hsu, Stephen D.; Liu, Jingjing

    2017-06-01

    Chip makers are actively working on the adoption of 0.33 numerical aperture (NA) EUV scanners for the 7-nm and 5-nm nodes (B. Turko, S. L. Carson, A. Lio, T. Liang, M. Phillips, et al., in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 977602 (2016) doi: 10.1117/12.2225014; A. Lio, in `Proc. SPIE9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97760V (2016) doi: 10.1117/12.2225017). In the meantime, leading foundries and integrated device manufacturers are starting to investigate patterning options beyond the 5-nm node (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022). To minimize the cost and process complexity of multiple patterning beyond the 5-nm node, EUV high-NA single-exposure patterning is a preferred method over EUV double patterning (O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, et al., in `Proc. SPIE. 9422, Extreme Ultraviolet (EUV) Lithography VI', vol. 94220I (2015) doi: 10.1117/12.2085022; J. van Schoot, K. van Ingen Schenau, G. Bottiglieri, K. Troost, J. Zimmerman, et al., `Proc. SPIE. 9776, Extreme Ultraviolet (EUV) Lithography VII', vol. 97761I (2016) doi: 10.1117/12.2220150). The EUV high-NA scanner equipped with a projection lens of 0.55 NA is designed to support resolutions below 10 nm. The high-NA system is beneficial for enhancing resolution, minimizing mask proximity correction bias, improving normalized image log slope (NILS), and controlling CD uniformity (CDU). However, increasing NA from 0.33 to 0.55 reduces the depth of focus (DOF) significantly. Therefore, the source mask optimization (SMO) with sub-resolution assist features (SRAFs) are needed to increase DOF to meet the demanding full chip process control requirements (S. Hsu, R. Howell, J. Jia, H.-Y. Liu, K. Gronlund, et al., EUV `Proc. SPIE9048, Extreme Ultraviolet (EUV) Lithography VI', (2015) doi: 10

  20. SCARFACE encodes an ARF-GAP that is required for normal auxin efflux and vein patterning in Arabidopsis.

    PubMed

    Sieburth, Leslie E; Muday, Gloria K; King, Edward J; Benton, Geoff; Kim, Sun; Metcalf, Kasee E; Meyers, Lindsay; Seamen, Emylie; Van Norman, Jaimie M

    2006-06-01

    To identify molecular mechanisms controlling vein patterns, we analyzed scarface (sfc) mutants. sfc cotyledon and leaf veins are largely fragmented, unlike the interconnected networks in wild-type plants. SFC encodes an ADP ribosylation factor GTPase activating protein (ARF-GAP), a class with well-established roles in vesicle trafficking regulation. Quadruple mutants of SCF and three homologs (ARF-GAP DOMAIN1, 2, and 4) showed a modestly enhanced vascular phenotype. Genetic interactions between sfc and pinoid and between sfc and gnom suggest a possible function for SFC in trafficking of auxin efflux regulators. Genetic analyses also revealed interaction with cotyledon vascular pattern2, suggesting that lipid-based signals may underlie some SFC ARF-GAP functions. To assess possible roles for SFC in auxin transport, we analyzed sfc roots, which showed exaggerated responses to exogenous auxin and higher auxin transport capacity. To determine whether PIN1 intracellular trafficking was affected, we analyzed PIN1:green fluorescent protein (GFP) dynamics using confocal microscopy in sfc roots. We found normal PIN1:GFP localization at the apical membrane of root cells, but treatment with brefeldin A resulted in PIN1 accumulating in smaller and more numerous compartments than in the wild type. These data suggest that SFC is required for normal intracellular transport of PIN1 from the plasma membrane to the endosome.

  1. Bmi1 represses Ink4a/Arf and Hox genes to regulate stem cells in the rodent incisor

    PubMed Central

    Biehs, Brian; Hu, Jimmy Kuang-Hsien; Strauli, Nicolas B.; Sangiorgi, Eugenio; Jung, Heekyung; Heber, Ralf-Peter; Ho, Sunita; Goodwin, Alice F.; Dasen, Jeremy S.; Capecchi, Mario R.; Klein, Ophir D.

    2013-01-01

    The polycomb group gene Bmi1 is required for maintenance of adult stem cells in many organs1, 2. Inactivation of Bmi1 leads to impaired stem cell self-renewal due to deregulated gene expression. One critical target of BMI1 is Ink4a/Arf, which encodes the cell cycle inhibitors p16ink4a and p19Arf3. However, deletion of Ink4a/Arf only partially rescues Bmi1 null phenotypes4, indicating that other important targets of BMI1 exist. Here, using the continuously-growing mouse incisor as a model system, we report that Bmi1 is expressed by incisor stem cells and that deletion of Bmi1 resulted in fewer stem cells, perturbed gene expression, and defective enamel production. Transcriptional profiling revealed that Hox expression is normally repressed by BMI1 in the adult, and functional assays demonstrated that BMI1-mediated repression of Hox genes preserves the undifferentiated state of stem cells. As Hox gene upregulation has also been reported in other systems when Bmi1 is inactivated1, 2, 5–7, our findings point to a general mechanism whereby BMI1-mediated repression of Hox genes is required for the maintenance of adult stem cells and for prevention of inappropriate differentiation. PMID:23728424

  2. Poliovirus replication requires the N-terminus but not the catalytic Sec7 domain of ArfGEF GBF1.

    PubMed

    Belov, George A; Kovtunovych, Gennadiy; Jackson, Catherine L; Ehrenfeld, Ellie

    2010-10-01

    Viruses are intracellular parasites whose reproduction relies on factors provided by the host. The cellular protein GBF1 is critical for poliovirus replication. Here we show that the contribution of GBF1 to virus replication is different from its known activities in uninfected cells. Normally GBF1 activates the ADP-ribosylation factor (Arf) GTPases necessary for formation of COPI transport vesicles. GBF1 function is modulated by p115 and Rab1b. However, in polio-infected cells, p115 is degraded and neither p115 nor Rab1b knock-down affects virus replication. Poliovirus infection is very sensitive to brefeldin A (BFA), an inhibitor of Arf activation by GBF1. BFA targets the catalytic Sec7 domain of GBF1. Nevertheless the BFA block of polio replication is rescued by expression of only the N-terminal region of GBF1 lacking the Sec7 domain. Replication of BFA-resistant poliovirus in the presence of BFA is uncoupled from Arf activation but is dependent on GBF1. Thus the function(s) of this protein essential for viral replication can be separated from those required for cellular metabolism. © Published 2010. This article is a US Government work and is in the public domain in the USA.

  3. OML: optical maskless lithography for economic design prototyping and small-volume production

    NASA Astrophysics Data System (ADS)

    Sandstrom, Tor; Bleeker, Arno; Hintersteiner, Jason; Troost, Kars; Freyer, Jorge; van der Mast, Karel

    2004-05-01

    The business case for Maskless Lithography is more compelling than ever before, due to more critical processes, rising mask costs and shorter product cycles. The economics of Maskless Lithography gives a crossover volume from Maskless to mask-based lithography at surprisingly many wafers per mask for surprisingly few wafers per hour throughput. Also, small-volume production will in many cases be more economical with Maskless Lithography, even when compared to "shuttle" schemes, reticles with multiple layers, etc. The full benefit of Maskless Lithography is only achievable by duplicating processes that are compatible with volume production processes on conventional scanners. This can be accomplished by the integration of pattern generators based on spatial light modulator technology with state-of-the-art optical scanner systems. This paper reports on the system design of an Optical Maskless Scanner in development by ASML and Micronic: small-field optics with high demagnification, variable NA and illumination schemes, spatial light modulators with millions of MEMS mirrors on CMOS drivers, a data path with a sustained data flow of more than 250 GPixels per second, stitching of sub-fields to scanner fields, and rasterization and writing strategies for throughput and good image fidelity. Predicted lithographic performance based on image simulations is also shown.

  4. Plastic masters-rigid templates for soft lithography.

    PubMed

    Desai, Salil P; Freeman, Dennis M; Voldman, Joel

    2009-06-07

    We demonstrate a simple process for the fabrication of rigid plastic master molds for soft lithography directly from (poly)dimethysiloxane devices. Plastics masters (PMs) provide a cost-effective alternative to silicon-based masters and can be easily replicated without the need for cleanroom facilities. We have successfully demonstrated the use of plastics micromolding to generate both single and dual-layer plastic structures, and have characterized the fidelity of the molding process. Using the PM fabrication technique, world-to-chip connections can be integrated directly into the master enabling devices with robust, well-aligned fluidic ports directly after molding. PMs provide an easy technique for the fabrication of microfluidic devices and a simple route for the scaling-up of fabrication of robust masters for soft lithography.

  5. Ablation and cone formation mechanism on CR-39 by ArF laser irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shakeri Jooybari, B., E-mail: baninshakery@gmail.com, E-mail: hafarideh@aut.ac.ir; Nuclear Science and Technology Research Institute NSRT, Tehran; Afarideh, H., E-mail: baninshakery@gmail.com, E-mail: hafarideh@aut.ac.ir

    In this work, chemical properties, surface modification, and micro structures formation on ablated polyallyl di-glycol carbonate (CR-39) polymer by ArF laser irradiation (λ = 193 nm) at various fluences and pulse number were investigated. CR-39 samples have been irradiated with an ArF laser (193 nm) at a repetition rate of 1 Hz. Threshold fluence of ablation and effective absorption coefficient of CR-39 were determined. Conical microstructures (Taylor cone) formed on laser-ablated CR-39 exhibit: smooth, Taylor cone shape walls and sharp tips together with interference and well defined fringe-structure with a period of 230 nm, around cone base. Mechanism of cone formation and cone evolution of CR-39more » ablated surface were investigated by change of fluences (at a given pulse number) and pulse number (at a given fluence). Cone height, cone base, and region of interface were increased in micrometer steps by increasing the total fluence. Depression on the base of the cone and the circular fringe were simulated. FTIR spectra were measured and energy dispersive x-ray analysis of irradiated and un-irradiated samples was performed.« less

  6. Line edge roughness (LER) mitigation studies specific to interference-like lithography

    NASA Astrophysics Data System (ADS)

    Baylav, Burak; Estroff, Andrew; Xie, Peng; Smith, Bruce W.

    2013-04-01

    Line edge roughness (LER) is a common problem to most lithography approaches and is seen as the main resolution limiter for advanced technology nodes1. There are several contributors to LER such as chemical/optical shot noise, random nature of acid diffusion, development process, and concentration of acid generator/base quencher. Since interference-like lithography (IL) is used to define one directional gridded patterns, some LER mitigation approaches specific to IL-like imaging can be explored. Two methods investigated in this work for this goal are (i) translational image averaging along the line direction and (ii) pupil plane filtering. Experiments regarding the former were performed on both interferometric and projection lithography systems. Projection lithography experiments showed a small amount of reduction in low/mid frequency LER value for image averaged cases at pitch of 150 nm (193 nm illumination, 0.93 NA) with less change for smaller pitches. Aerial image smearing did not significantly increase LER since it was directional. Simulation showed less than 1% reduction in NILS (compared to a static, smooth mask equivalent) with ideal alignment. In addition, description of pupil plane filtering on the transfer of mask roughness is given. When astigmatism-like aberrations were introduced in the pupil, transfer of mask roughness is decreased at best focus. It is important to exclude main diffraction orders from the filtering to prevent contrast and NILS loss. These ideas can be valuable as projection lithography approaches to conditions similar to IL (e.g. strong RET methods).

  7. Water immersion in preeclampsia.

    PubMed

    Elvan-Taşpinar, Ayten; Franx, Arie; Delprat, Constance C; Bruinse, Hein W; Koomans, Hein A

    2006-12-01

    Preeclampsia is associated with profound vasoconstriction in most organ systems and reduced plasma volume. Because water immersion produces a marked central redistribution of blood volume and suppresses the renin-angiotensin system response and sympathetic activity, we hypothesized that water immersion might be useful in the treatment of preeclampsia. The effects of thermoneutral water immersion for 3 hours on central and peripheral hemodynamics were evaluated in 7 preeclamptic patients, 7 normal pregnant control patients, and 7 nonpregnant women. Finger plethysmography was used to determine hemodynamic measurements (cardiac output and total peripheral resistance), and forearm blood flow was measured by strain gauge plethysmography. Postischemic hyperemia was used to determine endothelium-dependent vasodilation. Analysis was by analysis of variance for repeated measurements. During water immersion cardiac output increased while diastolic blood pressure and heart rate decreased, although systolic blood pressure remained unchanged in each group. Forearm blood flow increased significantly in the normal pregnant and preeclamptic subjects. Total peripheral resistance decreased in all groups, but values in preeclamptic patients remained above those of normotensive pregnant women. Water immersion had no effect on endothelium-dependent vasodilation in the preeclamptic group, and most hemodynamic changes that were observed reversed to baseline within 2 hours of completion of the procedure. Although water immersion results in hemodynamic alterations in a manner that is theoretically therapeutic for women with preeclampsia, the effect was limited and short-lived. In addition water immersion had no effect on endothelium-dependent vasodilation in women with preeclampsia. The therapeutic potential for water immersion in preeclampsia appears to be limited.

  8. 16 nm-resolution lithography using ultra-small-gap bowtie apertures

    NASA Astrophysics Data System (ADS)

    Chen, Yang; Qin, Jin; Chen, Jianfeng; Zhang, Liang; Ma, Chengfu; Chu, Jiaru; Xu, Xianfan; Wang, Liang

    2017-02-01

    Photolithography has long been a critical technology for nanoscale manufacturing, especially in the semiconductor industry. However, the diffractive nature of light has limited the continuous advance of optical lithography resolution. To overcome this obstacle, near-field scanning optical lithography (NSOL) is an alternative low-cost technique, whose resolution is determined by the near-field localization that can be achieved. Here, we apply the newly-developed backside milling method to fabricate bowtie apertures with a sub-15 nm gap, which can substantially improve the resolution of NSOL. A highly confined electric near field is produced by localized surface plasmon excitation and nanofocusing of the closely-tapered gap. We show contact lithography results with a record 16 nm resolution (FWHM). This photolithography scheme promises potential applications in data storage, high-speed computation, energy harvesting, and other nanotechnology areas.

  9. Imprint lithography: lab curiosity or the real NGL

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Dauksher, William J.; Mancini, David P.; Nordquist, Kevin J.; Bailey, Todd C.; Johnson, Stephen C.; Stacey, Nicholas A.; Ekerdt, John G.; Willson, C. Grant; Sreenivasan, S. V.; Schumaker, Norman E.

    2003-06-01

    The escalating cost for Next Generation Lithography (NGL) tools is driven in part by the need for complex sources and optics. The cost for a single NGL tool could exceed $50M in the next few years, a prohibitive number for many companies. As a result, several researchers are looking at low cost alternative methods for printing sub-100 nm features. In the mid-1990s, several resarech groups started investigating different methods for imprinting small features. Many of these methods, although very effective at printing small features across an entire wafer, are limited in their ability to do precise overlay. In 1999, Willson and Sreenivasan discovered that imprinting could be done at low pressures and at room temperatures by using low viscosity UV curable monomers. The technology is typically referred to as Step and Flash Imprint Lithography. The use of a quartz template enabled the photocuring process to occur and also opened up the potential for optical alignment of teh wafer and template. This paper traces the development of nanoimprint lithography and addresses the issues that must be solved if this type of technology is to be applied to high-density silicon integrated circuitry.

  10. ESH assessment of advanced lithography materials and processes

    NASA Astrophysics Data System (ADS)

    Worth, Walter F.; Mallela, Ram

    2004-05-01

    The ESH Technology group at International SEMATECH is conducting environment, safety, and health (ESH) assessments in collaboration with the lithography technologists evaluating the performance of an increasing number of new materials and technologies being considered for advanced lithography such as 157nm photresist and extreme ultraviolet (EUV). By performing data searches for 75 critical data types, emissions characterizations, and industrial hygiene (IH) monitoring during the use of the resist candidates, it has been shown that the best performing resist formulations, so far, appear to be free of potential ESH concerns. The ESH assessment of the EUV lithography tool that is being developed for SEMATECH has identified several features of the tool that are of ESH concern: high energy consumption, poor energy conversion efficiency, tool complexity, potential ergonomic and safety interlock issues, use of high powered laser(s), generation of ionizing radiation (soft X-rays), need for adequate shielding, and characterization of the debris formed by the extreme temperature of the plasma. By bringing these ESH challenges to the attention of the technologists and tool designers, it is hoped that the processes and tools can be made more ESH friendly.

  11. VUV lithography

    DOEpatents

    George, Edward V.; Oster, Yale; Mundinger, David C.

    1990-01-01

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1700-1300A using xenon, krypton or argon; shorter wavelengths of 850-650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask.

  12. But Do They Speak French? A Comparison of French Immersion Programs in Immersion Only and English/Immersion Settings. Research Report 79-01.

    ERIC Educational Resources Information Center

    Parkin, Michael

    Students' use of French in unsupervised classroom situations and outside the classroom was investigated in immersion center schools (all students are involved in French immersion programs) and dual track schools (French immersion programs co-exist with regular English language programs). A total of 414 students in grades 3 and 4 were observed…

  13. Le Point sur L'immersion au Canada (The Argument for...Immersion in Canada).

    ERIC Educational Resources Information Center

    Rebuffot, Jacques

    A discussion of French immersion education in Canada begins with a general examination of language immersion, including the historical context and social climate from which the immersion approach has grown in Canada, its beginnings in Quebec and spread throughout Canada, and the status of the approach in the United States, a number of European…

  14. Aberration correction for charged particle lithography

    NASA Astrophysics Data System (ADS)

    Munro, Eric; Zhu, Xieqing; Rouse, John A.; Liu, Haoning

    2001-12-01

    At present, the throughput of projection-type charge particle lithography systems, such as PREVAIL and SCALPEL, is limited primarily by the combined effects of field curvature in the projection lenses and Coulomb interaction in the particle beam. These are fundamental physical limitations, inherent in charged particle optics, so there seems little scope for significantly improving the design of such systems, using conventional rotationally symmetric electron lenses. This paper explores the possibility of overcoming the field aberrations of round electron lense, by using a novel aberration corrector, proposed by Professor H. Rose of University of Darmstadt, called a hexapole planator. In this scheme, a set of round lenses is first used to simultaneously correct distortion and coma. The hexapole planator is then used to correct the field curvature and astigmatism, and to create a negative spherical aberration. The size of the transfer lenses around the planator can then be adjusted to zero the residual spherical aberration. In a way, an electron optical projection system is obtained that is free of all primary geometrical aberrations. In this paper, the feasibility of this concept has been studied with a computer simulation. The simulations verify that this scheme can indeed work, for both electrostatic and magnetic projection systems. Two design studies have been carried out. The first is for an electrostatic system that could be used for ion beam lithography, and the second is for a magnetic projection system for electron beam lithography. In both cases, designs have been achieved in which all primary third-order geometrical aberrations are totally eliminated.

  15. Collateral damage-free debridement using 193nm ArF laser

    NASA Astrophysics Data System (ADS)

    Wynne, James J.; Felsenstein, Jerome M.; Trzcinski, Robert; Zupanski-Nielsen, Donna; Connors, Daniel P.

    2011-03-01

    Burn eschar and other necrotic areas of the skin and soft tissue are anhydrous compared to the underlying viable tissue. A 193 nm ArF excimer laser, emitting electromagnetic radiation at 6.4 eV at fluence exceeding the ablation threshold, will debride such necrotic areas. Because such radiation is strongly absorbed by aqueous chloride ions through the nonthermal process of electron photodetachment, debridement will cease when hydrated (with chloride ions) viable tissue is exposed, avoiding collateral damage to this tissue. Such tissue will be sterile and ready for further treatment, such as a wound dressing and/or a skin graft.

  16. An evaluation of hand immersion for rewarming individuals cooled by immersion in cold water.

    PubMed

    Cahill, C J; Balmi, P J; Tipton, M J

    1995-05-01

    The hypothesis that hypothermic individuals can be actively rewarmed in the field by immersion of the extremities in hot water was investigated. Three techniques for rewarming subjects with lowered deep body temperatures were compared: a) whole body immersion to the neck in water at 40 degrees C; b) immersion of two hands plus forearms only in water at 42 degrees C; and c) passive rewarming. The suggestion that the fall in deep body temperature resulting from immersion to the neck in water at 15 degrees C could be arrested by immersing both arms in water at 42 degrees C was also investigated. Results indicated that immersion to the neck in hot water was clearly the most effective rewarming technique. No significant difference (p > 0.05) was observed in the deep body temperature response during passive rewarming or during immersion of both hands and forearms in water at 42 degrees C. In the later condition some increase in peripheral blood flow to the hands may have occurred and resulted in a heat input of approximately 12 W, but any benefit from this was negated by an associated significant decrease (p > 0.05) in intrinsic heat production. Immersing the arms in hot water during immersion to the neck in cold water appeared to accelerate rather than decelerate the rate of fall of deep body temperature. We concluded that hand rewarming, although theoretically attractive, is ineffective in practice and could be detrimental in some circumstances, by suppressing intrinsic heat production or precipitating rewarming collapse.

  17. Simulation of the effect of incline incident angle in DMD Maskless Lithography

    NASA Astrophysics Data System (ADS)

    Liang, L. W.; Zhou, J. Y.; Xiang, L. L.; Wang, B.; Wen, K. H.; Lei, L.

    2017-06-01

    The aim of this study is to provide a simulation method for investigation of the intensity fluctuation caused by the inclined incident angle in DMD (digital micromirror device) maskless lithography. The simulation consists of eight main processes involving the simplification of the DMD aperture function and light propagation utilizing the non-parallel angular spectrum method. These processes provide a possibility of co-simulation in the spatial frequency domain, which combines the microlens array and DMD in the maskless lithography system. The simulation provided the spot shape and illumination distribution. These two parameters are crucial in determining the exposure dose in the existing maskless lithography system.

  18. High-etch-rate bottom-antireflective coating and gap-fill materials using dextrin derivatives in via first dual-Damascene lithography process

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Sakaida, Yasushi; Shinjo, Tetsuya; Hashimoto, Keisuke; Nakajima, Yasuyuki

    2008-03-01

    The present paper describes a novel class of bottom antireflective coating (BARC) and gap fill materials using dextrin derivatives. The general trend of interconnect fabrication for such a high performance LSI is to apply cupper (Cu)/ low-dielectric-constant (low-k) interconnect to reduce RC delay. A via-first dual damascene process is one of the most promising processes to fabricate Cu/ low-k interconnect due to its wide miss-alignment margin. The sacrificial materials containing dextrin derivatives under resist for lithography were developed in via-first dual damascene process. The dextrin derivatives in this study was obtained by the esterification of the hydroxyl groups of dextrin resulting in improved solubility in the resist solvents such as propylene glycol monomethylether, propylene glycol monomethylether acetate, and ethyl lactate due to avoid the issue of defects that were caused by incompatability. The etch rate of our developed BARC and gap fill materials using dextrin derivatives was more than two times faster than one of the ArF resists evaluated in a CF4 gas condition using reactive ion etching. The improved etch performance was also verified by comparison with poly(hydroxystyrene), acrylate-type materials and latest low-k materials as a reference. In addition to superior etch performance, these materials showed good resist profiles and via filling performance without voids in via holes.

  19. A mask manufacturer's perspective on maskless lithography

    NASA Astrophysics Data System (ADS)

    Buck, Peter; Biechler, Charles; Kalk, Franklin

    2005-11-01

    Maskless Lithography (ML2) is again being considered for use in mainstream CMOS IC manufacturing. Sessions at technical conferences are being devoted to ML2. A multitude of new companies have been formed in the last several years to apply new concepts to breaking the throughput barrier that has in the past prevented ML2 from achieving the cost and cycle time performance necessary to become economically viable, except in rare cases. Has Maskless Lithography's (we used to call it "Direct Write Lithography") time really come? If so, what is the expected impact on the mask manufacturer and does it matter? The lithography tools used today in mask manufacturing are similar in concept to ML2 except for scale, both in throughput and feature size. These mask tools produce highly accurate lithographic images directly from electronic pattern files, perform multi-layer overlay, and mix-n-match across multiple tools, tool types and sites. Mask manufacturers are already accustomed to the ultimate low volume - one substrate per design layer. In order to achieve the economically required throughput, proposed ML2 systems eliminate or greatly reduce some of the functions that are the source of the mask writer's accuracy. Can these ML2 systems meet the demanding lithographic requirements without these functions? ML2 may eliminate the reticle but many of the processes and procedures performed today by the mask manufacturer are still required. Examples include the increasingly complex mask data preparation step and the verification performed to ensure that the pattern on the reticle is accurately representing the design intent. The error sources that are fixed on a reticle are variable with time on an ML2 system. It has been proposed that if ML2 is successful it will become uneconomical to be in the mask business - that ML2, by taking the high profit masks will take all profitability out of mask manufacturing and thereby endanger the entire semiconductor industry. Others suggest that a

  20. Loss of p19Arf in a Rag1−/− B-cell precursor population initiates acute B-lymphoblastic leukemia

    PubMed Central

    Hauer, Julia; Mullighan, Charles; Morillon, Estelle; Wang, Gary; Bruneau, Julie; Brousse, Nicole; Lelorc'h, Marc; Romana, Serge; Boudil, Amine; Tiedau, Daniela; Kracker, Sven; Bushmann, Frederic D.; Borkhardt, Arndt; Fischer, Alain; Hacein-Bey-Abina, Salima

    2011-01-01

    In human B-acute lymphoblastic leukemia (B-ALL), RAG1-induced genomic alterations are important for disease progression. However, given that biallelic loss of the RAG1 locus is observed in a subset of cases, RAG1's role in the development of B-ALL remains unclear. We chose a p19Arf−/−Rag1−/− mouse model to confirm the previously published results concerning the contribution of CDKN2A (p19ARF /INK4a) and RAG1 copy number alterations in precursor B cells to the initiation and/or progression to B-acute lymphoblastic leukemia (B-ALL). In this murine model, we identified a new, Rag1-independent leukemia-initiating mechanism originating from a Sca1+CD19+ precursor cell population and showed that Notch1 expression accelerates the cells' self-renewal capacity in vitro. In human RAG1-deficient BM, a similar CD34+CD19+ population expressed p19ARF. These findings suggest that combined loss of p19Arf and Rag1 results in B-cell precursor leukemia in mice and may contribute to the progression of precursor B-ALL in humans. PMID:21622646

  1. Inverse Tomo-Lithography for Making Microscopic 3D Parts

    NASA Technical Reports Server (NTRS)

    White, Victor; Wiberg, Dean

    2003-01-01

    According to a proposal, basic x-ray lithography would be extended to incorporate a technique, called inverse tomography, that would enable the fabrication of microscopic three-dimensional (3D) objects. The proposed inverse tomo-lithographic process would make it possible to produce complex shaped, submillimeter-sized parts that would be difficult or impossible to make in any other way. Examples of such shapes or parts include tapered helices, paraboloids with axes of different lengths, and even Archimedean screws that could serve as rotors in microturbines. The proposed inverse tomo-lithographic process would be based partly on a prior microfabrication process known by the German acronym LIGA (lithographie, galvanoformung, abformung, which means lithography, electroforming, molding). In LIGA, one generates a precise, high-aspect ratio pattern by exposing a thick, x-ray-sensitive resist material to an x-ray beam through a mask that contains the pattern. One can electrodeposit metal into the developed resist pattern to form a precise metal part, then dissolve the resist to free the metal. Aspect ratios of 100:1 and patterns into resist thicknesses of several millimeters are possible.

  2. Advanced coatings for next generation lithography

    NASA Astrophysics Data System (ADS)

    Naujok, P.; Yulin, S.; Kaiser, N.; Tünnermann, A.

    2015-03-01

    Beyond EUV lithography at 6.X nm wavelength has a potential to extend EUVL beyond the 11 nm node. To implement B-based mirrors and to enable their industrial application in lithography tools, a reflectivity level of > 70% has to be reached in near future. The authors will prove that transition from conventional La/B4C to promising LaN/B4C multilayer coatings leads to enhanced optical properties. Currently a near normal-incidence reflectivity of 58.1% @ 6.65 nm is achieved by LaN/B4C multilayer mirrors. The introduction of ultrathin diffusion barriers into the multilayer design to reach the targeted reflectivity of 70% was also tested. The optimization of multilayer design and deposition process for interface-engineered La/C/B4C multilayer mirrors resulted in peak reflectivity of 56.8% at the wavelength of 6.66 nm. In addition, the thermal stability of several selected multilayers was investigated and will be discussed.

  3. Rapid fabrication of microfluidic chips based on the simplest LED lithography

    NASA Astrophysics Data System (ADS)

    Li, Yue; Wu, Ping; Luo, Zhaofeng; Ren, Yuxuan; Liao, Meixiang; Feng, Lili; Li, Yuting; He, Liqun

    2015-05-01

    Microfluidic chips are generally fabricated by a soft lithography method employing commercial lithography equipment. These heavy machines require a critical room environment and high lamp power, and the cost remains too high for most normal laboratories. Here we present a novel microfluidics fabrication method utilizing a portable ultraviolet (UV) LED as an alternative UV source for photolithography. With this approach, we can repeat several common microchannels as do these conventional commercial exposure machines, and both the verticality of the channel sidewall and lithography resolution are proved to be acceptable. Further microfluidics applications such as mixing, blood typing and microdroplet generation are implemented to validate the practicability of the chips. This simple but innovative method decreases the cost and requirement of chip fabrication dramatically and may be more popular with ordinary laboratories.

  4. MAGIC: a European program to push the insertion of maskless lithography

    NASA Astrophysics Data System (ADS)

    Pain, L.; Icard, B.; Tedesco, S.; Kampherbeek, B.; Gross, G.; Klein, C.; Loeschner, H.; Platzgummer, E.; Morgan, R.; Manakli, S.; Kretz, J.; Holhe, C.; Choi, K.-H.; Thrum, F.; Kassel, E.; Pilz, W.; Keil, K.; Butschke, J.; Irmscher, M.; Letzkus, F.; Hudek, P.; Paraskevopoulos, A.; Ramm, P.; Weber, J.

    2008-03-01

    With the willingness of the semiconductor industry to push manufacturing costs down, the mask less lithography solution represents a promising option to deal with the cost and complexity concerns about the optical lithography solution. Though a real interest, the development of multi beam tools still remains in laboratory environment. In the frame of the seventh European Framework Program (FP7), a new project, MAGIC, started January 1st 2008 with the objective to strengthen the development of the mask less technology. The aim of the program is to develop multi beam systems from MAPPER and IMS nanofabrication technologies and the associated infrastructure for the future tool usage. This paper draws the present status of multi beam lithography and details the content and the objectives of the MAGIC project.

  5. VUV lithography

    DOEpatents

    George, E.V.; Oster, Y.; Mundinger, D.C.

    1990-12-25

    Deep UV projection lithography can be performed using an e-beam pumped solid excimer UV source, a mask, and a UV reduction camera. The UV source produces deep UV radiation in the range 1,700--1,300A using xenon, krypton or argon; shorter wavelengths of 850--650A can be obtained using neon or helium. A thin solid layer of the gas is formed on a cryogenically cooled plate and bombarded with an e-beam to cause fluorescence. The UV reduction camera utilizes multilayer mirrors having high reflectivity at the UV wavelength and images the mask onto a resist coated substrate at a preselected demagnification. The mask can be formed integrally with the source as an emitting mask. 6 figs.

  6. Quantum lithography beyond the diffraction limit via Rabi-oscillations

    NASA Astrophysics Data System (ADS)

    Liao, Zeyang; Al-Amri, Mohammad; Zubairy, M. Suhail

    2011-03-01

    We propose a quantum optical method to do the sub-wavelength lithography. Our method is similar to the traditional lithography but adding a critical step before dissociating the chemical bound of the photoresist. The subwavelength pattern is achieved by inducing the multi-Rabi-oscillation between the two atomic levels. The proposed method does not require multiphoton absorption and the entanglement of photons. This method is expected to be realizable using current technology. This work is supported by a grant from the Qatar National Research Fund (QNRF) under the NPRP project and a grant from the King Abdulaziz City for Science and Technology (KACST).

  7. Effect of wafer geometry on lithography chucking processes

    NASA Astrophysics Data System (ADS)

    Turner, Kevin T.; Sinha, Jaydeep K.

    2015-03-01

    Wafer flatness during exposure in lithography tools is critical and is becoming more important as feature sizes in devices shrink. While chucks are used to support and flatten the wafer during exposure, it is essential that wafer geometry be controlled as well. Thickness variations of the wafer and high-frequency wafer shape components can lead to poor flatness of the chucked wafer and ultimately patterning problems, such as defocus errors. The objective of this work is to understand how process-induced wafer geometry, resulting from deposited films with non-uniform stress, can lead to high-frequency wafer shape variations that prevent complete chucking in lithography scanners. In this paper, we discuss both the acceptable limits of wafer shape that permit complete chucking to be achieved, and how non-uniform residual stresses in films, either due to patterning or process non-uniformity, can induce high spatial frequency wafer shape components that prevent chucking. This paper describes mechanics models that relate non-uniform film stress to wafer shape and presents results for two example cases. The models and results can be used as a basis for establishing control strategies for managing process-induced wafer geometry in order to avoid wafer flatness-induced errors in lithography processes.

  8. Effects of Some Pesticides on the Growth of ARF18 and Its Pathogenicity to Heterodera glycines

    PubMed Central

    Kim, D. G.; Riggs, R. D.

    1998-01-01

    The effects of 22 pesticides on the mycelial growth and pathogenicity of the biocontrol fungus ARFI8 to Heterodera glycines were tested in vitro. The chemicals were added to agar at 10, 100, and 1,000 ppm a.i.; a block of agar containing the fungus was added to each test concentration; and fungal growth was measured. Subsequently, a block of the fungus on the pesticide-containing agar was used to determine the ability of the fungus to parasitize eggs of H. glycines. Aldicarb, bentazone, and chlorothalonil had little or no effect on fungal growth, whereas benomyl and thiophanate methyl completely inhibited growth of the fungus at 10 ppm. The relative insensitivity of ARF18 to certain pesticides would permit selected use of those pesticides with ARF18 in an integrated control program if the effects on the fungus in the field are similar to results from petri dish studies. PMID:19274211

  9. EUV lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Oyama, Tomoko G.; Ichikawa, Takumi; Sekiguchi, Atsushi; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2013-03-01

    A water-developable resist material which had specific desired properties such as high sensitivity of 5.0 μC/cm2, thermal stability of 160 °C, suitable calculated linear absorption coefficients of 13.5 nm, and acceptable CF4 etch selectivity was proposed using EB lithography for EUV lithography. A water developable resist material derived from biomass is expected for non-petroleum resources, environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. 100 nm line and 400 nm space patterning images with exposure dose of 5.0 μC/cm2 were provided by specific process conditions of EB lithography. The developed trehalose derivatives with hydroxyl groups and EB sensitive groups in the water-developable resist material derived from biomass were applicable to future development of high-sensitive and resolution negative type of water-developable resist material as a novel chemical design.

  10. Fabrication of cobalt magnetic nanostructures using atomic force microscope lithography.

    PubMed

    Chu, Haena; Yun, Seonghun; Lee, Haiwon

    2013-12-01

    Cobalt nanopatterns are promising assemblies for patterned magnetic storage applications. The fabrication of cobalt magnetic nanostructures on n-tridecylamine x hydrochloride (TDA x HCl) self-assembled monolayer (SAM) modified silicon surfaces using direct writing atomic force microscope (AFM) lithography for localized electrochemical reduction of cobalt ions was demonstrated. The ions were reduced to form metal nanowires along the direction of the electricfield between the AFM tip and the substrate. In this lithography process, TDA x HCI SAMs play an important role in the lithography process for improving the resolution of cobalt nanopatterns by preventing nonspecific reduction of cobalt ions on the unwritten background. Cobalt nanowires and nanodots with width of 225 +/- 26 nm and diameter of 208 +/- 28 nm were successfully fabricated. Platinium-coated polydimethylsiloxane (PDMS) stamp was used fabricating bulk cobalt structures which can be detected by energy dispersive X-ray spectroscopy for element analysis and the physical and magnetic properties of these cobalt nanopatterns were characterized using AFM and magnetic force microscope.

  11. Gibberellin application at pre-bloom in grapevines down-regulates the expressions of VvIAA9 and VvARF7, negative regulators of fruit set initiation, during parthenocarpic fruit development.

    PubMed

    Jung, Chan Jin; Hur, Youn Young; Yu, Hee-Ju; Noh, Jung-Ho; Park, Kyo-Sun; Lee, Hee Jae

    2014-01-01

    Fruit set is initiated only after fertilization and is tightly regulated primarily by gibberellins (GAs) and auxins. The application of either of these hormones induces parthenocarpy, fruit set without fertilization, but the molecular mechanism underlying this induction is poorly understood. In the present study, we have shown that the parthenocarpic fruits induced by GA application at pre-bloom result from the interaction of GA with auxin signaling. The transcriptional levels of the putative negative regulators of fruit set initiation, including Vitis auxin/indole-3-acetic acid transcription factor 9 (VvIAA9), Vitis auxin response factor 7 (VvARF7), and VvARF8 were monitored during inflorescence development in seeded diploid 'Tamnara' grapevines with or without GA application. Without GA application, VvIAA9, VvARF7, and VvARF8 were expressed at a relatively high level before full bloom, but decreased thereafter following pollination. After GA application at 14 days before full bloom (DBF); however, the expression levels of VvIAA9 and VvARF7 declined at 5 DBF prior to pollination. The effects of GA application on auxin levels or auxin signaling were also analyzed by monitoring the expression patterns of auxin biosynthesis genes and auxin-responsive genes with or without GA application. Transcription levels of the auxin biosynthesis genes Vitis anthranilate synthase β subunit (VvASB1-like), Vitis YUCCA2 (VvYUC2), and VvYUC6 were not significantly changed by GA application. However, the expressions of Vitis Gretchen Hagen3.2 (VvGH3.2) and VvGH3.3, auxin-responsive genes, were up-regulated from 2 DBF to full bloom with GA application. Furthermore, the Vitis GA signaling gene, VvDELLA was up-regulated by GA application during 12 DBF to 7 DBF, prior to down-regulation of VvIAA9 and VvARF7. These results suggest that VvIAA9 and VvARF7 are negative regulators of fruit set initiation in grapevines, and GA signaling is integrated with auxin signaling via VvDELLA during

  12. Compensation for Lithography Induced Process Variations during Physical Design

    NASA Astrophysics Data System (ADS)

    Chin, Eric Yiow-Bing

    This dissertation addresses the challenge of designing robust integrated circuits in the deep sub micron regime in the presence of lithography process variability. By extending and combining existing process and circuit analysis techniques, flexible software frameworks are developed to provide detailed studies of circuit performance in the presence of lithography variations such as focus and exposure. Applications of these software frameworks to select circuits demonstrate the electrical impact of these variations and provide insight into variability aware compact models that capture the process dependent circuit behavior. These variability aware timing models abstract lithography variability from the process level to the circuit level and are used to estimate path level circuit performance with high accuracy with very little overhead in runtime. The Interconnect Variability Characterization (IVC) framework maps lithography induced geometrical variations at the interconnect level to electrical delay variations. This framework is applied to one dimensional repeater circuits patterned with both 90nm single patterning and 32nm double patterning technologies, under the presence of focus, exposure, and overlay variability. Studies indicate that single and double patterning layouts generally exhibit small variations in delay (between 1--3%) due to self compensating RC effects associated with dense layouts and overlay errors for layouts without self-compensating RC effects. The delay response of each double patterned interconnect structure is fit with a second order polynomial model with focus, exposure, and misalignment parameters with 12 coefficients and residuals of less than 0.1ps. The IVC framework is also applied to a repeater circuit with cascaded interconnect structures to emulate more complex layout scenarios, and it is observed that the variations on each segment average out to reduce the overall delay variation. The Standard Cell Variability Characterization

  13. Simulation study of reticle enhancement technology applications for 157-nm lithography

    NASA Astrophysics Data System (ADS)

    Schurz, Dan L.; Flack, Warren W.; Karklin, Linard

    2002-03-01

    The acceleration of the International Technology Roadmap for Semiconductors (ITRS) is placing significant pressure on the industry's infrastructure, particularly the lithography equipment. As recently as 1997, there was no optical solution offered past the 130 nm design node. The current roadmap has the 65 nm node (reduced from 70 nm) pulled in one year to 2007. Both 248 nm and 193 nm wavelength lithography tools will be pushed to their practical resolution limits in the near term. Very high numerical aperture (NA) 193 nm exposure tools in conjunction with resolution enhancement techniques (RET) will postpone the requirement for 157 nm lithography in manufacturing. However, ICs produced at 70 nm design rules with manufacturable k 1 values will require that 157 nm wavelength lithography tools incorporate the same RETs utilized in 248nm, and 193 nm tools. These enhancements will include Alternating Phase Shifting Masks (AltPSM) and Optical Proximity Correction (OPC) on F 2 doped quartz reticle substrates. This study investigates simulation results when AltPSM is applied to sub-100 nm test patterns in 157 nm lithography in order to maintain Critical Dimension (CD) control for both nested and isolated geometries. Aerial image simulations are performed for a range of numerical apertures, chrome regulators, gate pitches and gate widths. The relative performance for phase shifted versus binary structures is also compared. Results are demonstrated in terms of aerial image contrast and process window changes. The results clearly show that a combination of high NA and RET is necessary to achieve usable process windows for 70 nm line/space structures. In addition, it is important to consider two-dimensional proximity effects for sub-100 nm gate structures.

  14. Immersive Environments in ADL

    DTIC Science & Technology

    2009-08-20

    Tracking and Storing In Browser 3-D 13 Questions or Comments? Peter Smith Team Lead, Immersive Learning Technologies peter.smith.ctr@adlnet.gov +1.407.384.5572 ...Immersive Environments in ADL Mr. Peter Smith, Lead, ADL Immersive Learning Team 08/20/2009 Report Documentation Page Form ApprovedOMB No. 0704-0188...5d. PROJECT NUMBER 5e. TASK NUMBER 5f. WORK UNIT NUMBER 7. PERFORMING ORGANIZATION NAME(S) AND ADDRESS(ES) Advanced Decision Learning (ADL),1901 N

  15. TBC1D24, an ARF6-interacting protein, is mutated in familial infantile myoclonic epilepsy.

    PubMed

    Falace, Antonio; Filipello, Fabia; La Padula, Veronica; Vanni, Nicola; Madia, Francesca; De Pietri Tonelli, Davide; de Falco, Fabrizio A; Striano, Pasquale; Dagna Bricarelli, Franca; Minetti, Carlo; Benfenati, Fabio; Fassio, Anna; Zara, Federico

    2010-09-10

    Idiopathic epilepsies (IEs) are a group of disorders characterized by recurrent seizures in the absence of detectable brain lesions or metabolic abnormalities. IEs include common disorders with a complex mode of inheritance and rare Mendelian traits suggesting the occurrence of several alleles with variable penetrance. We previously described a large family with a recessive form of idiopathic epilepsy, named familial infantile myoclonic epilepsy (FIME), and mapped the disease locus on chromosome 16p13.3 by linkage analysis. In the present study, we found that two compound heterozygous missense mutations (D147H and A509V) in TBC1D24, a gene of unknown function, are responsible for FIME. In situ hybridization analysis revealed that Tbc1d24 is mainly expressed at the level of the cerebral cortex and the hippocampus. By coimmunoprecipitation assay we found that TBC1D24 binds ARF6, a Ras-related family of small GTPases regulating exo-endocytosis dynamics. The main recognized function of ARF6 in the nervous system is the regulation of dendritic branching, spine formation, and axonal extension. TBC1D24 overexpression resulted in a significant increase in neurite length and arborization and the FIME mutations significantly reverted this phenotype. In this study we identified a gene mutation involved in autosomal-recessive idiopathic epilepsy, unveiled the involvement of ARF6-dependent molecular pathway in brain hyperexcitability and seizures, and confirmed the emerging role of subtle cytoarchitectural alterations in the etiology of this group of common epileptic disorders. 2010 The American Society of Human Genetics. Published by Elsevier Inc. All rights reserved.

  16. Report on the fifth workshop on synchrotron x ray lithography

    NASA Astrophysics Data System (ADS)

    Williams, G. P.; Godel, J. B.; Brown, G. S.; Liebmann, W.

    Semiconductors comprise a greater part of the United States economy than the aircraft, steel, and automobile industries combined. In future the semiconductor manufacturing industry will be forced to switch away from present optical manufacturing methods in the early to mid 1990s. X ray lithography has emerged as the leading contender for continuing production below the 0.4 micron level. Brookhaven National Laboratory began a series of workshops on x ray lithography in 1986 to examine key issues and in particular to enable United States industry to take advantage of the technical base established in this field. Since accelerators provide the brightest sources for x ray lithography, most of the research and development to date has taken place at large accelerator-based research centers such as Brookhaven, the University of Wisconsin, and Stanford. The goals of this Fifth Brookhaven Workshop were to review progress and goals since the last workshop and to establish a blueprint for the future. The meeting focused on the exposure tool, that is, a term defined as the source plus beamline and stepper. In order to assess the appropriateness of schedules for the development of this tool, other aspects of the required technology such as masks, resists and inspection and repair were also reviewed. To accomplish this, two working groups were set up, one to review the overall aspects of x ray lithography and set a time frame, the other to focus on sources.

  17. Fabrication of Nonperiodic Metasurfaces by Microlens Projection Lithography.

    PubMed

    Gonidec, Mathieu; Hamedi, Mahiar M; Nemiroski, Alex; Rubio, Luis M; Torres, Cesar; Whitesides, George M

    2016-07-13

    This paper describes a strategy that uses template-directed self-assembly of micrometer-scale microspheres to fabricate arrays of microlenses for projection photolithography of periodic, quasiperiodic, and aperiodic infrared metasurfaces. This method of "template-encoded microlens projection lithography" (TEMPL) enables rapid prototyping of planar, multiscale patterns of similarly shaped structures with critical dimensions down to ∼400 nm. Each of these structures is defined by local projection lithography with a single microsphere acting as a lens. This paper explores the use of TEMPL for the fabrication of a broad range of two-dimensional lattices with varying types of nonperiodic spatial distribution. The matching optical spectra of the fabricated and simulated metasurfaces confirm that TEMPL can produce structures that conform to expected optical behavior.

  18. Simultaneous immersion Mirau interferometry.

    PubMed

    Lyulko, Oleksandra V; Randers-Pehrson, Gerhard; Brenner, David J

    2013-05-01

    A novel technique for label-free imaging of live biological cells in aqueous medium that is insensitive to ambient vibrations is presented. This technique is a spin-off from previously developed immersion Mirau interferometry. Both approaches utilize a modified Mirau interferometric attachment for a microscope objective that can be used both in air and in immersion mode, when the device is submerged in cell medium and has its internal space filled with liquid. While immersion Mirau interferometry involves first capturing a series of images, the resulting images are potentially distorted by ambient vibrations. Overcoming these serial-acquisition challenges, simultaneous immersion Mirau interferometry incorporates polarizing elements into the optics to allow simultaneous acquisition of two interferograms. The system design and production are described and images produced with the developed techniques are presented.

  19. Simultaneous immersion Mirau interferometry

    PubMed Central

    Lyulko, Oleksandra V.; Randers-Pehrson, Gerhard; Brenner, David J.

    2013-01-01

    A novel technique for label-free imaging of live biological cells in aqueous medium that is insensitive to ambient vibrations is presented. This technique is a spin-off from previously developed immersion Mirau interferometry. Both approaches utilize a modified Mirau interferometric attachment for a microscope objective that can be used both in air and in immersion mode, when the device is submerged in cell medium and has its internal space filled with liquid. While immersion Mirau interferometry involves first capturing a series of images, the resulting images are potentially distorted by ambient vibrations. Overcoming these serial-acquisition challenges, simultaneous immersion Mirau interferometry incorporates polarizing elements into the optics to allow simultaneous acquisition of two interferograms. The system design and production are described and images produced with the developed techniques are presented. PMID:23742552

  20. Immersive cyberspace system

    NASA Technical Reports Server (NTRS)

    Park, Brian V. (Inventor)

    1997-01-01

    An immersive cyberspace system is presented which provides visual, audible, and vibrational inputs to a subject remaining in neutral immersion, and also provides for subject control input. The immersive cyberspace system includes a relaxation chair and a neutral immersion display hood. The relaxation chair supports a subject positioned thereupon, and places the subject in position which merges a neutral body position, the position a body naturally assumes in zero gravity, with a savasana yoga position. The display hood, which covers the subject's head, is configured to produce light images and sounds. An image projection subsystem provides either external or internal image projection. The display hood includes a projection screen moveably attached to an opaque shroud. A motion base supports the relaxation chair and produces vibrational inputs over a range of about 0-30 Hz. The motion base also produces limited translation and rotational movements of the relaxation chair. These limited translational and rotational movements, when properly coordinated with visual stimuli, constitute motion cues which create sensations of pitch, yaw, and roll movements. Vibration transducers produce vibrational inputs from about 20 Hz to about 150 Hz. An external computer, coupled to various components of the immersive cyberspace system, executes a software program and creates the cyberspace environment. One or more neutral hand posture controllers may be coupled to the external computer system and used to control various aspects of the cyberspace environment, or to enter data during the cyberspace experience.

  1. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  2. The calcium-sensing receptor changes cell shape via a beta-arrestin-1 ARNO ARF6 ELMO protein network.

    PubMed

    Bouschet, Tristan; Martin, Stéphane; Kanamarlapudi, Venkateswarlu; Mundell, Stuart; Henley, Jeremy M

    2007-08-01

    G-protein-coupled receptors (GPCRs) transduce the binding of extracellular stimuli into intracellular signalling cascades that can lead to morphological changes. Here, we demonstrate that stimulation of the calcium-sensing receptor (CaSR), a GPCR that promotes chemotaxis by detecting increases in extracellular calcium, triggers plasma membrane (PM) ruffling via a pathway that involves beta-arrestin 1, Arf nucleotide binding site opener (ARNO), ADP-ribosylating factor 6 (ARF6) and engulfment and cell motility protein (ELMO). Expression of dominant negative beta-arrestin 1 or its knockdown with siRNA impaired the CaSR-induced PM ruffling response. Expression of a catalytically inactive ARNO also reduced CaSR-induced PM ruffling. Furthermore, beta-arrestin 1 co-immunoprecipitated with the CaSR and ARNO under resting conditions. Agonist treatment did not markedly alter beta-arrestin 1 binding to the CaSR or to ARNO but it did elicit the translocation and colocalisation of the CaSR, beta-arrestin 1 and ARNO to membrane protrusions. Furthermore, ARF6 and ELMO, two proteins known to couple ARNO to the cytoskeleton, were required for CaSR-dependent morphological changes and translocated to the PM ruffles. These data suggest that cells ruffle upon CaSR stimulation via a mechanism that involves translocation of beta-arrestin 1 pre-assembled with the CaSR or ARNO, and that ELMO plays an essential role in this CaSR-signalling-induced cytoskeletal reorganisation.

  3. Scanning digital lithography providing high speed large area patterning with diffraction limited sub-micron resolution

    NASA Astrophysics Data System (ADS)

    Wen, Sy-Bor; Bhaskar, Arun; Zhang, Hongjie

    2018-07-01

    A scanning digital lithography system using computer controlled digital spatial light modulator, spatial filter, infinity correct optical microscope and high precision translation stage is proposed and examined. Through utilizing the spatial filter to limit orders of diffraction modes for light delivered from the spatial light modulator, we are able to achieve diffraction limited deep submicron spatial resolution with the scanning digital lithography system by using standard one inch level optical components with reasonable prices. Raster scanning of this scanning digital lithography system using a high speed high precision x-y translation stage and piezo mount to real time adjust the focal position of objective lens allows us to achieve large area sub-micron resolved patterning with high speed (compared with e-beam lithography). It is determined in this study that to achieve high quality stitching of lithography patterns with raster scanning, a high-resolution rotation stage will be required to ensure the x and y directions of the projected pattern are in the same x and y translation directions of the nanometer precision x-y translation stage.

  4. Generating Two-Dimensional Repertoire of siRNA Linc-ROR and siRNA mRNA ARF6 from the lincRNA-RoR/miR-145/ARF6 expression Pathway that involved in the progression of Triple Negative Breast Cancer

    NASA Astrophysics Data System (ADS)

    Aditya Parikesit, Arli; Nurdiansyah, Rizki

    2018-01-01

    The research for finding the cure for breast cancer is currently entering the interesting phase of the transcriptomics based method. With the application of Next Generation Sequencing (NGS), molecular information on breast cancer could be gathered. Thus, both in silico and wet lab research has determined that the role of lincRNA-RoR/miR-145/ARF6 expression Pathway could not be ignored as one of the cardinal starting points for Triple-Negative Breast Cancer (TNBC). As the most hazardous type of breast cancer, TNBC should be treated with the most advanced approach that available in the scientific community. Bioinformatics approach has found the possible siRNA-based drug candidates for TNBC. It was found that siRNA that interfere with lincRNA-ROR and mRNA ARF6 could be a feasible opportunity as the drug candidate for TNBC. However, this claim should be validated with more thorough thermodynamics and kinetics computational approach as the comprehensive way to comprehend their molecular repertoire. In this respect, the claim was validated using various tools such as the RNAfold server to determine the 2D structure, Barriers server to comprehend the RNA folding kinetics, RNAeval server to validate the siRNA-target interaction. It was found that the thermodynamics and kinetics repertoire of the siRNA are indeed rational and feasible. In this end, our computation approach has proven that our designed siRNA could interact with lincRNA-RoR/miR-145/ARF6 expression Pathway.

  5. Fabrication of a Polymer Micro Needle Array by Mask-Dragging X-Ray Lithography and Alignment X-Ray Lithography

    NASA Astrophysics Data System (ADS)

    Li, Yi-Gui; Yang, Chun-Sheng; Liu, Jing-Quan; Sugiyama, Susumu

    2011-03-01

    Polymer materials such as transparent thermoplastic poly(methyl methacrylate) (PMMA) have been of great interest in the research and development of integrated circuits and micro-electromechanical systems due to their relatively low cost and easy process. We fabricated PMMA-based polymer hollow microneedle arrays by mask-dragging and aligning x-ray lithography. Techniques for 3D micromachining by direct lithography using x-rays are developed. These techniques are based on using image projection in which the x-ray is used to illuminate an appropriate gold pattern on a polyimide film mask. The mask is imaged onto the PMMA sample. A pattern with an area of up to 100 × 100mm2 can be fabricated with sub-micron resolution and a highly accurate order of a few microns by using a dragging mask. The fabrication technology has several advantages, such as forming complex 3D micro structures, high throughput and low cost.

  6. Plasmonic nanostructures through DNA-assisted lithography

    PubMed Central

    Shen, Boxuan; Linko, Veikko; Tapio, Kosti; Pikker, Siim; Lemma, Tibebe; Gopinath, Ashwin; Gothelf, Kurt V.; Kostiainen, Mauri A.; Toppari, J. Jussi

    2018-01-01

    Programmable self-assembly of nucleic acids enables the fabrication of custom, precise objects with nanoscale dimensions. These structures can be further harnessed as templates to build novel materials such as metallic nanostructures, which are widely used and explored because of their unique optical properties and their potency to serve as components of novel metamaterials. However, approaches to transfer the spatial information of DNA constructions to metal nanostructures remain a challenge. We report a DNA-assisted lithography (DALI) method that combines the structural versatility of DNA origami with conventional lithography techniques to create discrete, well-defined, and entirely metallic nanostructures with designed plasmonic properties. DALI is a parallel, high-throughput fabrication method compatible with transparent substrates, thus providing an additional advantage for optical measurements, and yields structures with a feature size of ~10 nm. We demonstrate its feasibility by producing metal nanostructures with a chiral plasmonic response and bowtie-shaped nanoantennas for surface-enhanced Raman spectroscopy. We envisage that DALI can be generalized to large substrates, which would subsequently enable scale-up production of diverse metallic nanostructures with tailored plasmonic features. PMID:29423446

  7. Learning Relative Motion Concepts in Immersive and Non-immersive Virtual Environments

    NASA Astrophysics Data System (ADS)

    Kozhevnikov, Michael; Gurlitt, Johannes; Kozhevnikov, Maria

    2013-12-01

    The focus of the current study is to understand which unique features of an immersive virtual reality environment have the potential to improve learning relative motion concepts. Thirty-seven undergraduate students learned relative motion concepts using computer simulation either in immersive virtual environment (IVE) or non-immersive desktop virtual environment (DVE) conditions. Our results show that after the simulation activities, both IVE and DVE groups exhibited a significant shift toward a scientific understanding in their conceptual models and epistemological beliefs about the nature of relative motion, and also a significant improvement on relative motion problem-solving tests. In addition, we analyzed students' performance on one-dimensional and two-dimensional questions in the relative motion problem-solving test separately and found that after training in the simulation, the IVE group performed significantly better than the DVE group on solving two-dimensional relative motion problems. We suggest that egocentric encoding of the scene in IVE (where the learner constitutes a part of a scene they are immersed in), as compared to allocentric encoding on a computer screen in DVE (where the learner is looking at the scene from "outside"), is more beneficial than DVE for studying more complex (two-dimensional) relative motion problems. Overall, our findings suggest that such aspects of virtual realities as immersivity, first-hand experience, and the possibility of changing different frames of reference can facilitate understanding abstract scientific phenomena and help in displacing intuitive misconceptions with more accurate mental models.

  8. Development of nanoimprint lithography templates for the contact hole layer application (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Ichimura, Koji; Hikichi, Ryugo; Harada, Saburo; Kanno, Koichi; Kurihara, Masaaki; Hayashi, Naoya

    2017-04-01

    Nanoimprint lithography, NIL, is gathering much attention as one of the most potential candidates for the next generation lithography for semiconductor. This technology needs no pattern data modification for exposure, simpler exposure system, and single step patterning process without any coat/develop truck, and has potential of cost effective patterning rather than very complex optical lithography and/or EUV lithography. NIL working templates are made by the replication of the EB written high quality master templates. Fabrication of high resolution master templates is one of the most important issues. Since NIL is 1:1 pattern transfer process, master templates have 4 times higher resolution compared with photomasks. Another key is to maintain the quality of the master templates in replication process. NIL process is applied for the template replication and this imprint process determines most of the performance of the replicated templates. Expectations to the NIL are not only high resolution line and spaces but also the contact hole layer application. Conventional ArF-i lithography has a certain limit in size and pitch for contact hole fabrication. On the other hand, NIL has good pattern fidelity for contact hole fabrication at smaller sizes and pitches compared with conventional optical lithography. Regarding the tone of the templates for contact hole, there are the possibilities of both tone, the hole template and the pillar template, depending on the processes of the wafer side. We have succeeded to fabricate both types of templates at 2xnm in size. In this presentation, we will be discussing fabrication or our replica template for the contact hole layer application. Both tone of the template fabrication will be presented as well as the performance of the replica templates. We will also discuss the resolution improvement of the hole master templates by using various e-beam exposure technologies.

  9. Near-field optical recording based on solid immersion lens system

    NASA Astrophysics Data System (ADS)

    Hong, Tao; Wang, Jia; Wu, Yan; Li, Dacheng

    2002-09-01

    Near-field optical recording based on solid immersion lens (SIL) system has attracted great attention in the field of high-density data storage in recent years. The diffraction limited spot size in optical recording and lithography can be decreased by utilizing the SIL. The SIL near-field optical storage has advantages of high density, mass storage capacity and compatibility with many technologies well developed. We have set up a SIL near-field static recording system. The recording medium is placed on a 3-D scanning stage with the scanning range of 70×70×70μm and positioning accuracy of sub-nanometer, which will ensure the rigorous separation control in SIL system and the precision motion of the recording medium. The SIL is mounted on an inverted microscope. The focusing between long working distance objective and SIL can be monitored and observed by the CCD camera and eyes. Readout signal can be collected by a detector. Some experiments have been performed based on the SIL near-field recording system. The attempt of the near-field recording on photochromic medium has been made and the resolution improvement of the SIL has been presented. The influence factors in SIL near-field recording system are also discussed in the paper.

  10. Crystallization and preliminary X-ray diffraction studies of hyperthermophilic archaeal Rieske-type ferredoxin (ARF) from Sulfolobus solfataricus P1.

    PubMed

    Kounosu, Asako; Hasegawa, Kazuya; Iwasaki, Toshio; Kumasaka, Takashi

    2010-07-01

    The hyperthermophilic archaeal Rieske-type [2Fe-2S] ferredoxin (ARF) from Sulfolobus solfataricus P1 contains a low-potential Rieske-type [2Fe-2S] cluster that has served as a tractable model for ligand-substitution studies on this protein family. Recombinant ARF harbouring a pET30a vector-derived N-terminal extension region plus a hexahistidine tag has been heterologously overproduced in Escherichia coli, purified and crystallized by the hanging-drop vapour-diffusion method using 0.05 M sodium acetate, 0.05 M HEPES, 2 M ammonium sulfate pH 5.5. The crystals diffracted to 1.85 A resolution and belonged to the tetragonal space group P4(3)2(1)2, with unit-cell parameters a = 60.72, c = 83.31 A. The asymmetric unit contains one protein molecule.

  11. Drawing lithography for microneedles: a review of fundamentals and biomedical applications.

    PubMed

    Lee, Kwang; Jung, Hyungil

    2012-10-01

    A microneedle is a three-dimensional (3D) micromechanical structure and has been in the spotlight recently as a drug delivery system (DDS). Because a microneedle delivers the target drug after penetrating the skin barrier, the therapeutic effects of microneedles proceed from its 3D structural geometry. Various types of microneedles have been fabricated using subtractive micromanufacturing methods which are based on the inherently planar two-dimensional (2D) geometries. However, traditional subtractive processes are limited for flexible structural microneedles and makes functional biomedical applications for efficient drug delivery difficult. The authors of the present study propose drawing lithography as a unique additive process for the fabrication of a microneedle directly from 2D planar substrates, thus overcoming a subtractive process shortcoming. The present article provides the first overview of the principal drawing lithography technology: fundamentals and biomedical applications. The continuous drawing technique for an ultrahigh-aspect ratio (UHAR) hollow microneedle, stepwise controlled drawing technique for a dissolving microneedle, and drawing technique with antidromic isolation for a hybrid electro-microneedle (HEM) are reviewed, and efficient biomedical applications by drawing lithography-mediated microneedles as an innovative drug and gene delivery system are described. Drawing lithography herein can provide a great breakthrough in the development of materials science and biotechnology. Copyright © 2012 Elsevier Ltd. All rights reserved.

  12. High resolution imaging and lithography with hard x rays using parabolic compound refractive lenses

    NASA Astrophysics Data System (ADS)

    Schroer, C. G.; Benner, B.; Günzler, T. F.; Kuhlmann, M.; Zimprich, C.; Lengeler, B.; Rau, C.; Weitkamp, T.; Snigirev, A.; Snigireva, I.; Appenzeller, J.

    2002-03-01

    Parabolic compound refractive lenses are high quality optical components for hard x rays. They are particularly suited for full field imaging, with applications in microscopy and x-ray lithography. Taking advantage of the large penetration depth of hard x rays, the interior of opaque samples can be imaged with submicrometer resolution. To obtain the three-dimensional structure of a sample, microscopy is combined with tomographic techniques. In a first hard x-ray lithography experiment, parabolic compound refractive lenses have been used to project the reduced image of a lithography mask onto a resist. Future developments are discussed.

  13. Selective Area Modification of Silicon Surface Wettability by Pulsed UV Laser Irradiation in Liquid Environment

    PubMed Central

    Liu, Neng; Moumanis, Khalid; Dubowski, Jan J.

    2015-01-01

    The wettability of silicon (Si) is one of the important parameters in the technology of surface functionalization of this material and fabrication of biosensing devices. We report on a protocol of using KrF and ArF lasers irradiating Si (001) samples immersed in a liquid environment with low number of pulses and operating at moderately low pulse fluences to induce Si wettability modification. Wafers immersed for up to 4 hr in a 0.01% H2O2/H2O solution did not show measurable change in their initial contact angle (CA) ~75°. However, the 500-pulse KrF and ArF lasers irradiation of such wafers in a microchamber filled with 0.01% H2O2/H2O solution at 250 and 65 mJ/cm2, respectively, has decreased the CA to near 15°, indicating the formation of a superhydrophilic surface. The formation of OH-terminated Si (001), with no measurable change of the wafer’s surface morphology, has been confirmed by X-ray photoelectron spectroscopy and atomic force microscopy measurements. The selective area irradiated samples were then immersed in a biotin-conjugated fluorescein-stained nanospheres solution for 2 hr, resulting in a successful immobilization of the nanospheres in the non-irradiated area. This illustrates the potential of the method for selective area biofunctionalization and fabrication of advanced Si-based biosensing architectures. We also describe a similar protocol of irradiation of wafers immersed in methanol (CH3OH) using ArF laser operating at pulse fluence of 65 mJ/cm2 and in situ formation of a strongly hydrophobic surface of Si (001) with the CA of 103°. The XPS results indicate ArF laser induced formation of Si–(OCH3)x compounds responsible for the observed hydrophobicity. However, no such compounds were found by XPS on the Si surface irradiated by KrF laser in methanol, demonstrating the inability of the KrF laser to photodissociate methanol and create -OCH3 radicals. PMID:26575362

  14. Selective Area Modification of Silicon Surface Wettability by Pulsed UV Laser Irradiation in Liquid Environment.

    PubMed

    Liu, Neng; Moumanis, Khalid; Dubowski, Jan J

    2015-11-09

    The wettability of silicon (Si) is one of the important parameters in the technology of surface functionalization of this material and fabrication of biosensing devices. We report on a protocol of using KrF and ArF lasers irradiating Si (001) samples immersed in a liquid environment with low number of pulses and operating at moderately low pulse fluences to induce Si wettability modification. Wafers immersed for up to 4 hr in a 0.01% H2O2/H2O solution did not show measurable change in their initial contact angle (CA) ~75°. However, the 500-pulse KrF and ArF lasers irradiation of such wafers in a microchamber filled with 0.01% H2O2/H2O solution at 250 and 65 mJ/cm(2), respectively, has decreased the CA to near 15°, indicating the formation of a superhydrophilic surface. The formation of OH-terminated Si (001), with no measurable change of the wafer's surface morphology, has been confirmed by X-ray photoelectron spectroscopy and atomic force microscopy measurements. The selective area irradiated samples were then immersed in a biotin-conjugated fluorescein-stained nanospheres solution for 2 hr, resulting in a successful immobilization of the nanospheres in the non-irradiated area. This illustrates the potential of the method for selective area biofunctionalization and fabrication of advanced Si-based biosensing architectures. We also describe a similar protocol of irradiation of wafers immersed in methanol (CH3OH) using ArF laser operating at pulse fluence of 65 mJ/cm(2) and in situ formation of a strongly hydrophobic surface of Si (001) with the CA of 103°. The XPS results indicate ArF laser induced formation of Si-(OCH3)x compounds responsible for the observed hydrophobicity. However, no such compounds were found by XPS on the Si surface irradiated by KrF laser in methanol, demonstrating the inability of the KrF laser to photodissociate methanol and create -OCH3 radicals.

  15. Gibberellin Application at Pre-Bloom in Grapevines Down-Regulates the Expressions of VvIAA9 and VvARF7, Negative Regulators of Fruit Set Initiation, during Parthenocarpic Fruit Development

    PubMed Central

    Jung, Chan Jin; Hur, Youn Young; Yu, Hee-Ju; Noh, Jung-Ho; Park, Kyo-Sun; Lee, Hee Jae

    2014-01-01

    Fruit set is initiated only after fertilization and is tightly regulated primarily by gibberellins (GAs) and auxins. The application of either of these hormones induces parthenocarpy, fruit set without fertilization, but the molecular mechanism underlying this induction is poorly understood. In the present study, we have shown that the parthenocarpic fruits induced by GA application at pre-bloom result from the interaction of GA with auxin signaling. The transcriptional levels of the putative negative regulators of fruit set initiation, including Vitis auxin/indole-3-acetic acid transcription factor 9 (VvIAA9), Vitis auxin response factor 7 (VvARF7), and VvARF8 were monitored during inflorescence development in seeded diploid ‘Tamnara’ grapevines with or without GA application. Without GA application, VvIAA9, VvARF7, and VvARF8 were expressed at a relatively high level before full bloom, but decreased thereafter following pollination. After GA application at 14 days before full bloom (DBF); however, the expression levels of VvIAA9 and VvARF7 declined at 5 DBF prior to pollination. The effects of GA application on auxin levels or auxin signaling were also analyzed by monitoring the expression patterns of auxin biosynthesis genes and auxin-responsive genes with or without GA application. Transcription levels of the auxin biosynthesis genes Vitis anthranilate synthase β subunit (VvASB1-like), Vitis YUCCA2 (VvYUC2), and VvYUC6 were not significantly changed by GA application. However, the expressions of Vitis Gretchen Hagen3.2 (VvGH3.2) and VvGH3.3, auxin-responsive genes, were up-regulated from 2 DBF to full bloom with GA application. Furthermore, the Vitis GA signaling gene, VvDELLA was up-regulated by GA application during 12 DBF to 7 DBF, prior to down-regulation of VvIAA9 and VvARF7. These results suggest that VvIAA9 and VvARF7 are negative regulators of fruit set initiation in grapevines, and GA signaling is integrated with auxin signaling via VvDELLA during

  16. Expression of ADP-ribosylation factor (ARF)-like protein 6 during mouse embryonic development.

    PubMed

    Takada, Tatsuyuki; Iida, Keiko; Sasaki, Hiroshi; Taira, Masanori; Kimura, Hiroshi

    2005-01-01

    ADP-ribosylation factor (ARF)-like protein 6 (ARL6) is a member of the ARF-like protein (ARL) subfamily of small GTPases (Moss, 1995; Chavrier, 1999). ARLs are highly conserved through evolution and most of them possess the consensus sequence required for GTP binding and hydrolysis (Pasquallato, 2002). Among ARLs, ARL6 which was initially isolated from a J2E erythroleukemic cell line is divergent in its consensus sequences and its expression has been shown to be limited to the brain and kidney in adult mouse (Ingley, 1999). Recently, it was reported that mutations of the ARL6 gene cause type 3 Bardet-Biedl syndrome in humans and that ARL6 is involved in ciliary transport in C. elegans (Chiang, 2004; Fan, 2004). Here, we investigated the expression pattern of ARL6 during early mouse development by whole-mount in situ hybridization and found that interestingly, ARL6 mRNA was localized around the node at 7.0-7.5 days post coitum (dpc) embryos, while weak expression was also found in the ectoderm. At the later stage (8.5 dpc) ARL6 was expressed in the neural plate and probably in the somites. Based on these results, a possible role of ARL6 in early development is discussed in relation to the findings in human and C. elegans (Chiang, 2004; Fan, 2004).

  17. Coaxial lithography

    NASA Astrophysics Data System (ADS)

    Ozel, Tuncay; Bourret, Gilles R.; Mirkin, Chad A.

    2015-05-01

    The optical and electrical properties of heterogeneous nanowires are profoundly related to their composition and nanoscale architecture. However, the intrinsic constraints of conventional synthetic and lithographic techniques have limited the types of multi-compositional nanowire that can be created and studied in the laboratory. Here, we report a high-throughput technique that can be used to prepare coaxial nanowires with sub-10 nm control over the architectural parameters in both axial and radial dimensions. The method, termed coaxial lithography (COAL), relies on templated electrochemical synthesis and can create coaxial nanowires composed of combinations of metals, metal oxides, metal chalcogenides and conjugated polymers. To illustrate the possibilities of the technique, a core/shell semiconductor nanowire with an embedded plasmonic nanoring was synthesized—a structure that cannot be prepared by any previously known method—and its plasmon-excitation-dependent optoelectronic properties were characterized.

  18. Maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    1999-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  19. Maskless lithography

    DOEpatents

    Sweatt, W.C.; Stulen, R.H.

    1999-02-09

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.

  20. Controllable Change of Photoluminescence Spectra of Silicone Rubber Modified by 193 nm ArF Excimer Laser

    NASA Astrophysics Data System (ADS)

    Okoshi, Masayuki; Iyono, Minako; Inoue, Narumi

    2009-12-01

    Photoluminescence spectra of silicone rubber ([SiO(CH3)2]n) photochemically modified by a 193 nm ArF excimer laser was found to be controllable. Compared with the modification in air, the photoluminescence spectra could be blueshifted by the modification in vacuum or the additional irradiation of ArF excimer laser in vacuum after the modification in air. To redshift, on the other hand, the additional irradiation of a 157 nm F2 laser in air after the modification in air, the modification in oxygen gas, or the postannealing after the modification in oxygen gas was effective. The blue and redshifts of the photoluminescence were essentially due to the acceleration of reduction and oxidation reactions of silicone rubber, respectively, because the photoluminescence derives its origin from oxygen deficiency centers and peroxy centers of the silica structure in the modified silicone rubber. On the basis of the spectra changes, colorful light-guiding sheets made of silicone rubber under illumination of a 375 nm light-emitting diode were successfully fabricated for cellular phone use.

  1. Continuous improvements of defectivity rates in immersion photolithography via functionalized membranes in point-of-use photochemical filtration

    NASA Astrophysics Data System (ADS)

    D'Urzo, Lucia; Bayana, Hareen; Vandereyken, Jelle; Foubert, Philippe; Wu, Aiwen; Jaber, Jad; Hamzik, James

    2017-03-01

    Specific "killer-defects", such as micro-line-bridges are one of the key challenges in photolithography's advanced applications, such as multi-pattern. These defects generate from several sources and are very difficult to eliminate. Pointof-use filtration (POU) plays a crucial role on the mitigation, or elimination, of such defects. Previous studies have demonstrated how the contribution of POU filtration could not be studied independently from photoresists design and track hardware settings. Specifically, we investigated how an effective combination of optimized photoresist, filtration rate, filtration pressure, membrane and device cleaning, and single and multilayer filter membranes at optimized pore size could modulate the occurrence of such defects [1, 2, 3 and 4]. However, the ultimate desired behavior for POU filtration is the selective retention of defect precursor molecules contained in commercially available photoresist. This optimal behavior can be achieved via customized membrane functionalization. Membrane functionalization provides additional non-sieving interactions which combined with efficient size exclusion can selectively capture certain defect precursors. The goal of this study is to provide a comprehensive assessment of membrane functionalization applied on an asymmetric ultra-high molecular weight polyethylene (UPE) membrane at different pore size. Defectivity transferred in a 45 nm line 55 nm space (45L/55S) pattern, created through 193 nm immersion (193i) lithography with a positive tone chemically amplified resist (PT-CAR), has been evaluated on organic under-layer coated wafers. Lithography performance, such as critical dimensions (CD), line width roughness (LWR) and focus energy matrix (FEM) is also assessed.

  2. Advanced electric-field scanning probe lithography on molecular resist using active cantilever

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Aydogan, Cemal; Ivanov, Tzvetan; Ahmad, Ahmad; Angelov, Tihomir; Reum, Alexander; Ishchuk, Valentyn; Krivoshapkina, Yana; Hofer, Manuel; Lenk, Steve; Atanasov, Ivaylo; Holz, Mathias; Rangelow, Ivo W.

    2015-07-01

    The routine "on demand" fabrication of features smaller than 10 nm opens up new possibilities for the realization of many devices. Driven by the thermally actuated piezoresistive cantilever technology, we have developed a prototype of a scanning probe lithography (SPL) platform which is able to image, inspect, align, and pattern features down to the single digit nanoregime. Here, we present examples of practical applications of the previously published electric-field based current-controlled scanning probe lithography. In particular, individual patterning tests are carried out on calixarene by using our developed table-top SPL system. We have demonstrated the application of a step-and-repeat SPL method including optical as well as atomic force microscopy-based navigation and alignment. The closed-loop lithography scheme was applied to sequentially write positive and negative tone features. Due to the integrated unique combination of read-write cycling, each single feature is aligned separately with the highest precision and inspected after patterning. This routine was applied to create a pattern step by step. Finally, we have demonstrated the patterning over larger areas, over existing topography, and the practical applicability of the SPL processes for lithography down to 13-nm pitch patterns. To enhance the throughput capability variable beam diameter electric field, current-controlled SPL is briefly discussed.

  3. Integration of multiple theories for the simulation of laser interference lithography processes

    NASA Astrophysics Data System (ADS)

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-01

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  4. Integration of multiple theories for the simulation of laser interference lithography processes.

    PubMed

    Lin, Te-Hsun; Yang, Yin-Kuang; Fu, Chien-Chung

    2017-11-24

    The periodic structure of laser interference lithography (LIL) fabrication is superior to other lithography technologies. In contrast to traditional lithography, LIL has the advantages of being a simple optical system with no mask requirements, low cost, high depth of focus, and large patterning area in a single exposure. Generally, a simulation pattern for the periodic structure is obtained through optical interference prior to its fabrication through LIL. However, the LIL process is complex and combines the fields of optical and polymer materials; thus, a single simulation theory cannot reflect the real situation. Therefore, this research integrates multiple theories, including those of optical interference, standing waves, and photoresist characteristics, to create a mathematical model for the LIL process. The mathematical model can accurately estimate the exposure time and reduce the LIL process duration through trial and error.

  5. High Throughput Optical Lithography by Scanning a Massive Array of Bowtie Aperture Antennas at Near-Field

    DTIC Science & Technology

    2015-11-03

    scale optical projection system powered by spatial light modulators, such as digital micro-mirror device ( DMD ). Figure 4 shows the parallel lithography ...1Scientific RepoRts | 5:16192 | DOi: 10.1038/srep16192 www.nature.com/scientificreports High throughput optical lithography by scanning a massive...array of bowtie aperture antennas at near-field X. Wen1,2,3,*, A. Datta1,*, L. M. Traverso1, L. Pan1, X. Xu1 & E. E. Moon4 Optical lithography , the

  6. Feasibility study of the application of radially polarized illumination to solid immersion lens-based near-field optics.

    PubMed

    Yoon, Yong-Joong; Kim, Wan-Chin; Park, No-Cheol; Park, Kyoung-Su; Park, Young-Pil

    2009-07-01

    We analyzed the behavior of the electric field in a focal plane consisting of a solid immersion lens (SIL), an air gap, and a measurement sample for radially polarized illumination in SIL-based near-field optics with an annular aperture. The analysis was based on the Debye diffraction integral and multiple beam interference. For SIL-based near-field optics whose NA is higher than unity, radially polarized light generates a smaller beam spot on the bottom surface of a SIL than circularly polarized light; however, the beam spot on the measurement sample is broadened with a more dominant transverse electric field. By introducing an annular aperture technique, it is possible to decrease the effects of the transverse electric field, and therefore the size of the beam spot on the measurement sample can be small. This analysis could have various applications in near-field optical storage, near-field microscopy, lithography at ultrahigh resolution, and other applications that use SILs for high resolution.

  7. Crystallization and preliminary X-ray diffraction studies of hyperthermophilic archaeal Rieske-type ferredoxin (ARF) from Sulfolobus solfataricus P1

    PubMed Central

    Kounosu, Asako; Hasegawa, Kazuya; Iwasaki, Toshio; Kumasaka, Takashi

    2010-01-01

    The hyperthermophilic archaeal Rieske-type [2Fe–2S] ferredoxin (ARF) from Sulfolobus solfataricus P1 contains a low-potential Rieske-type [2Fe–2S] cluster that has served as a tractable model for ligand-substitution studies on this protein family. Recombinant ARF harbouring a pET30a vector-derived N-­terminal extension region plus a hexahistidine tag has been heterologously overproduced in Escherichia coli, purified and crystallized by the hanging-drop vapour-diffusion method using 0.05 M sodium acetate, 0.05 M HEPES, 2 M ammonium sulfate pH 5.5. The crystals diffracted to 1.85 Å resolution and belonged to the tetragonal space group P43212, with unit-cell parameters a = 60.72, c = 83.31 Å. The asymmetric unit contains one protein molecule. PMID:20606288

  8. Wafer-shape metrics based foundry lithography

    NASA Astrophysics Data System (ADS)

    Kim, Sungtae; Liang, Frida; Mileham, Jeffrey; Tsai, Damon; Bouche, Eric; Lee, Sean; Huang, Albert; Hua, C. F.; Wei, Ming Sheng

    2017-03-01

    As device shrink, there are many difficulties with process integration and device yield. Lithography process control is expected to be a major challenge due to tighter overlay and focus control requirement. The understanding and control of stresses accumulated during device fabrication has becoming more critical at advanced technology nodes. Within-wafer stress variations cause local wafer distortions which in turn present challenges for managing overlay and depth of focus during lithography. A novel technique for measuring distortion is Coherent Gradient Sensing (CGS) interferometry, which is capable of generating a high-density distortion data set of the full wafer within a time frame suitable for a high volume manufacturing (HVM) environment. In this paper, we describe the adoption of CGS (Coherent Gradient Sensing) interferometry into high volume foundry manufacturing to overcome these challenges. Leveraging this high density 3D metrology, we characterized its In-plane distortion as well as its topography capabilities applied to the full flow of an advanced foundry manufacturing. Case studies are presented that summarize the use of CGS data to reveal correlations between in-plane distortion and overlay variation as well as between topography and device yield.

  9. The line roughness improvement with plasma coating and cure treatment for 193nm lithography and beyond

    NASA Astrophysics Data System (ADS)

    Zheng, Erhu; Huang, Yi; Zhang, Haiyang

    2017-03-01

    As CMOS technology reaches 14nm node and beyond, one of the key challenges of the extension of 193nm immersion lithography is how to control the line edge and width roughness (LER/LWR). For Self-aligned Multiple Patterning (SaMP), LER becomes larger while LWR becomes smaller as the process proceeds[1]. It means plasma etch process becomes more and more dominant for LER reduction. In this work, we mainly focus on the core etch solution including an extra plasma coating process introduced before the bottom anti reflective coating (BARC) open step, and an extra plasma cure process applied right after BARC-open step. Firstly, we leveraged the optimal design experiment (ODE) to investigate the impact of plasma coating step on LER and identified the optimal condition. ODE is an appropriate method for the screening experiments of non-linear parameters in dynamic process models, especially for high-cost-intensive industry [2]. Finally, we obtained the proper plasma coating treatment condition that has been proven to achieve 32% LER improvement compared with standard process. Furthermore, the plasma cure scheme has been also optimized with ODE method to cover the LWR degradation induced by plasma coating treatment.

  10. ILT optimization of EUV masks for sub-7nm lithography

    NASA Astrophysics Data System (ADS)

    Hooker, Kevin; Kuechler, Bernd; Kazarian, Aram; Xiao, Guangming; Lucas, Kevin

    2017-06-01

    The 5nm and 7nm technology nodes will continue recent scaling trends and will deliver significantly smaller minimum features, standard cell areas and SRAM cell areas vs. the 10nm node. There are tremendous economic pressures to shrink each subsequent technology, though in a cost-effective and performance enhancing manner. IC manufacturers are eagerly awaiting EUV so that they can more aggressively shrink their technology than they could by using complicated MPT. The current 0.33NA EUV tools and processes also have their patterning limitations. EUV scanner lenses, scanner sources, masks and resists are all relatively immature compared to the current lithography manufacturing baseline of 193i. For example, lens aberrations are currently several times larger (as a function of wavelength) in EUV scanners than for 193i scanners. Robustly patterning 16nm L/S fully random logic metal patterns and 40nm pitch random logic rectangular contacts with 0.33NA EUV are tough challenges that will benefit from advanced OPC/RET. For example, if an IC manufacturer can push single exposure device layer resolution 10% tighter using improved ILT to avoid using DPT, there will be a significant cost and process complexity benefit to doing so. ILT is well known to have considerable benefits in finding flexible 193i mask pattern solutions to improve process window, improve 2D CD control, improve resolution in low K1 lithography regime and help to delay the introduction of DPT. However, ILT has not previously been applied to EUV lithography. In this paper, we report on new developments which extend ILT method to EUV lithography and we characterize the benefits seen vs. traditional EUV OPC/RET methods.

  11. Vous avez dit "immersion?" (You Said "Immersion?").

    ERIC Educational Resources Information Center

    Gajo, Laurent, Ed.

    1998-01-01

    Articles on immersion and bilingual education include these: "Terminological Considerations Regarding Content and Language Integrated Learning" (Tarja Nikula, David Marsh); "Educazione bilingue e multiculturale, istruzione bilingue, immersione totale: quattro nozioni da definire" ("Bilingual and Multicultural Education,…

  12. Art, science, and immersion: data-driven experiences

    NASA Astrophysics Data System (ADS)

    West, Ruth G.; Monroe, Laura; Ford Morie, Jacquelyn; Aguilera, Julieta

    2013-03-01

    This panel and dialog-paper explores the potentials at the intersection of art, science, immersion and highly dimensional, "big" data to create new forms of engagement, insight and cultural forms. We will address questions such as: "What kinds of research questions can be identified at the intersection of art + science + immersive environments that can't be expressed otherwise?" "How is art+science+immersion distinct from state-of-the art visualization?" "What does working with immersive environments and visualization offer that other approaches don't or can't?" "Where does immersion fall short?" We will also explore current trends in the application of immersion for gaming, scientific data, entertainment, simulation, social media and other new forms of big data. We ask what expressive, arts-based approaches can contribute to these forms in the broad cultural landscape of immersive technologies.

  13. Diffractive optical elements on non-flat substrates using electron beam lithography

    NASA Technical Reports Server (NTRS)

    Maker, Paul D. (Inventor); Muller, Richard E. (Inventor); Wilson, Daniel W. (Inventor)

    2002-01-01

    The present disclosure describes a technique for creating diffraction gratings on curved surfaces with electron beam lithography. The curved surface can act as an optical element to produce flat and aberration-free images in imaging spectrometers. In addition, the fabrication technique can modify the power structure of the grating orders so that there is more energy in the first order than for a typical grating. The inventors noticed that by using electron-beam lithography techniques, a variety of convex gratings that are well-suited to the requirements of imaging spectrometers can be manufactured.

  14. Maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sweatt, W.C.; Stulen, R.H.

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides. 12 figs.« less

  15. Development of nanostencil lithography and its applications for plasmonics and vibrational biospectroscopy

    NASA Astrophysics Data System (ADS)

    Aksu, Serap

    Development of low cost nanolithography tools for precisely creating a variety of nanostructure shapes and arrangements in a high-throughput fashion is crucial for next generation biophotonic technologies. Although existing lithography techniques offer tremendous design flexibility, they have major drawbacks such as low-throughput and fabrication complexity. In addition the demand for the systematic fabrication of sub-100 nm structures on flexible, stretchable, non-planar nanoelectronic/photonic systems and multi-functional materials has fueled the research for innovative fabrication methods in recent years. This thesis research investigates a novel lithography approach for fabrication of engineered plasmonic nanostructures and metamaterials operating at visible and infrared wavelengths. The technique is called Nanostencil Lithography (NSL) and relies on direct deposition of materials through nanoapertures on a stencil. NSL enables high throughput fabrication of engineered antenna arrays with optical qualities similar to the ones fabricated by standard electron beam lithography. Moreover, nanostencils can be reused multiple times to fabricate series of plasmonic nanoantenna arrays with identical optical responses enabling high throughput manufacturing. Using nanostencils, very precise nanostructures could be fabricated with 10 nm accuracy. Furthermore, this technique has flexibility and resolution to create complex plasmonic nanostructure arrays on the substrates that are difficult to work with e-beam and ion beam lithography tools. Combining plasmonics with polymeric materials, biocompatible surfaces or curvilinear and non-planar objects enable unique optical applications since they can preserve normal device operation under large strain. In this work, mechanically tunable flexible optical materials and spectroscopy probes integrated on fiber surfaces that could be used for a wide range of applications are demonstrated. Finally, the first application of NSL

  16. Phase-conjugate holographic lithography based on micromirror array recording.

    PubMed

    Lim, Yongjun; Hahn, Joonku; Lee, Byoungho

    2011-12-01

    We present phase-conjugate holographic lithography with a hologram recorded by a digital micromirror device (DMD) and a telecentric lens. In our lithography system, a phase-conjugate hologram is applied instead of conventional masks or reticles to form patterns. This method has the advantage of increasing focus range, and it is applicable to the formation of patterns on fairly uneven surfaces. The hologram pattern is dynamically generated by the DMD, and its resolution is mainly determined by the demagnification of the telecentric lens. We experimentally demonstrate that our holographic lithographic system has a large focus range, and it is feasible to make a large-area hologram by stitching each pattern generated by the DMD without a falling off in resolution. © 2011 Optical Society of America

  17. Warming by immersion or exercise affects initial cooling rate during subsequent cold water immersion.

    PubMed

    Scott, Chris G; Ducharme, Michel B; Haman, François; Kenny, Glen P

    2004-11-01

    We examined the effect of prior heating, by exercise and warm-water immersion, on core cooling rates in individuals rendered mildly hypothermic by immersion in cold water. There were seven male subjects who were randomly assigned to one of three groups: 1) seated rest for 15 min (control); 2) cycling ergometry for 15 min at 70% Vo2 peak (active warming); or 3) immersion in a circulated bath at 40 degrees C to an esophageal temperature (Tes) similar to that at the end of exercise (passive warming). Subjects were then immersed in 7 degrees C water to a Tes of 34.5 degrees C. Initial Tes cooling rates (initial approximately 6 min cooling) differed significantly among the treatment conditions (0.074 +/- 0.045, 0.129 +/- 0.076, and 0.348 +/- 0.117 degrees C x min(-1) for control, active, and passive warming conditions, respectively); however, secondary cooling rates (rates following initial approximately 6 min cooling to the end of immersion) were not different between treatments (average of 0.102 +/- 0.085 degrees C x min(-1)). Overall Tes cooling rates during the full immersion period differed significantly and were 0.067 +/- 0.047, 0.085 +/- 0.045, and 0.209 +/- 0.131 degrees C x min(-1) for control, active, and passive warming, respectively. These results suggest that prior warming by both active and, to a greater extent, passive warming, may predispose a person to greater heat loss and to experience a larger decline in core temperature when subsequently exposed to cold water. Thus, functional time and possibly survival time could be reduced when cold water immersion is preceded by whole-body passive warming, and to a lesser degree by active warming.

  18. [Immersion pulmonary edema].

    PubMed

    Desgraz, Benoît; Sartori, Claudio; Saubade, Mathieu; Héritier, Francis; Gabus, Vincent

    2017-07-12

    Immersion pulmonary edema may occur during scuba diving, snorke-ling or swimming. It is a rare and often recurrent disease, mainly affecting individuals aged over 50 with high blood pressure. However it also occurs in young individuals with a healthy heart. The main symptoms are dyspnea, cough and hemoptysis. The outcome is often favorable under oxygen treatment but deaths are reported. A cardiac and pulmonary assessment is necessary to evaluate the risk of recurrence and possible contraindications to immersion.

  19. Impact resistance of oil-immersed lignum vitae

    NASA Astrophysics Data System (ADS)

    Yin, Wei; Shan, Lei; Lu, Hongyu; Zheng, Yelong; Han, Zhiwu; Tian, Yu

    2016-07-01

    Biological materials immersed in vegetable and mineral oil, such as rattan armor and wooden sleepers, have been extensively used since ancient times because of their excellent mechanical properties. This study quantitatively investigated the viscoelasticity and tribological performance of lignum vitae immersed in poly-alpha-olefin (PAO) and tung oils (Aleuritesfordii Hemsl.) to reveal the mechanism of impact resistance. The acceleration of samples immersed in tung oil was higher than that of dry and PAO-immersed samples in the first impact. The elastic modulus of the samples immersed in tung oil increased slightly. The impact damage on the samples immersed in tung oil was reduced because of the low friction coefficient (0.07) resulted in a low wear rate. The extent of impact damage on the samples immersed in tung oil was approximately 34% and 58% lower than that on the dry and PAO oil-immersed samples, respectively, under an angle of 20° and a height of 10 cm. The impact damage on the PAO-immersed samples was reduced because of low friction coefficient. However, impact damage increased because of large elastic modulus. The findings of this study can serve as a reference for the application of modified biological materials with high strength and wear resistance.

  20. Impact resistance of oil-immersed lignum vitae.

    PubMed

    Yin, Wei; Shan, Lei; Lu, Hongyu; Zheng, Yelong; Han, Zhiwu; Tian, Yu

    2016-07-18

    Biological materials immersed in vegetable and mineral oil, such as rattan armor and wooden sleepers, have been extensively used since ancient times because of their excellent mechanical properties. This study quantitatively investigated the viscoelasticity and tribological performance of lignum vitae immersed in poly-alpha-olefin (PAO) and tung oils (Aleuritesfordii Hemsl.) to reveal the mechanism of impact resistance. The acceleration of samples immersed in tung oil was higher than that of dry and PAO-immersed samples in the first impact. The elastic modulus of the samples immersed in tung oil increased slightly. The impact damage on the samples immersed in tung oil was reduced because of the low friction coefficient (0.07) resulted in a low wear rate. The extent of impact damage on the samples immersed in tung oil was approximately 34% and 58% lower than that on the dry and PAO oil-immersed samples, respectively, under an angle of 20° and a height of 10 cm. The impact damage on the PAO-immersed samples was reduced because of low friction coefficient. However, impact damage increased because of large elastic modulus. The findings of this study can serve as a reference for the application of modified biological materials with high strength and wear resistance.

  1. Materials Design for Block Copolymer Lithography

    NASA Astrophysics Data System (ADS)

    Sweat, Daniel Patrick

    Block copolymers (BCPs) have attracted a great deal of scientific and technological interest due to their ability to spontaneously self-assemble into dense periodic nanostructures with a typical length scale of 5 to 50 nm. The use of self-assembled BCP thin-films as templates to form nanopatterns over large-area is referred to as BCP lithography. Directed self-assembly of BCPs is now viewed as a viable candidate for sub-20 nm lithography by the semiconductor industry. However, there are multiple aspects of assembly and materials design that need to be addressed in order for BCP lithography to be successful. These include substrate modification with polymer brushes or mats, tailoring of the block copolymer chemistry, understanding thin-film assembly and developing epitaxial like methods to control long range alignment. The rational design, synthesis and self-assembly of block copolymers with large interaction parameters (chi) is described in the first part of this dissertation. Two main blocks were chosen for introducing polarity into the BCP system, namely poly(4-hydroxystyrene) and poly(2-vinylpyridine). Each of these blocks are capable of ligating Lewis acids which can increase the etch contrast between the blocks allowing for facile pattern transfer to the underlying substrate. These BCPs were synthesized by living anionic polymerization and showed excellent control over molecular weight and dispersity, providing access to sub 5-nm domain sizes. Polymer brushes consist of a polymer chain with one end tethered to the surface and have wide applicability in tuning surface energy, forming responsive surfaces and increasing biocompatibility. In the second part of the dissertation, we present a universal method to grow dense polymer brushes on a wide range of substrates and combine this chemistry with BCP assembly to fabricate nanopatterned polymer brushes. This is the first demonstration of introducing additional functionality into a BCP directing layer and opens up

  2. Hybrid immersed interface-immersed boundary methods for AC dielectrophoresis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hossan, Mohammad Robiul; Department of Engineering and Physics, University of Central Oklahoma, Edmond, OK 73034-5209; Dillon, Robert

    2014-08-01

    Dielectrophoresis, a nonlinear electrokinetic transport mechanism, has become popular in many engineering applications including manipulation, characterization and actuation of biomaterials, particles and biological cells. In this paper, we present a hybrid immersed interface–immersed boundary method to study AC dielectrophoresis where an algorithm is developed to solve the complex Poisson equation using a real variable formulation. An immersed interface method is employed to obtain the AC electric field in a fluid media with suspended particles and an immersed boundary method is used for the fluid equations and particle transport. The convergence of the proposed algorithm as well as validation of themore » hybrid scheme with experimental results is presented. In this paper, the Maxwell stress tensor is used to calculate the dielectrophoretic force acting on particles by considering the physical effect of particles in the computational domain. Thus, this study eliminates the approximations used in point dipole methods for calculating dielectrophoretic force. A comparative study between Maxwell stress tensor and point dipole methods for computing dielectrophoretic forces are presented. The hybrid method is used to investigate the physics of dielectrophoresis in microfluidic devices using an AC electric field. The numerical results show that with proper design and appropriate selection of applied potential and frequency, global electric field minima can be obtained to facilitate multiple particle trapping by exploiting the mechanism of negative dielectrophoresis. Our numerical results also show that electrically neutral particles form a chain parallel to the applied electric field irrespective of their initial orientation when an AC electric field is applied. This proposed hybrid numerical scheme will help to better understand dielectrophoresis and to design and optimize microfluidic devices.« less

  3. Exploring EUV and SAQP pattering schemes at 5nm technology node

    NASA Astrophysics Data System (ADS)

    Hamed Fatehy, Ahmed; Kotb, Rehab; Lafferty, Neal; Jiang, Fan; Word, James

    2018-03-01

    For years, Moore's law keeps driving the semiconductors industry towards smaller dimensions and higher density chips with more devices. Earlier, the correlation between exposure source's wave length and the smallest resolvable dimension, mandated the usage of Deep Ultra-Violent (DUV) optical lithography system which has been used for decades to sustain Moore's law, especially when immersion lithography was introduced with 193nm ArF laser sources. As dimensions of devices get smaller beyond Deep Ultra-Violent (DUV) optical resolution limits, the need for Extremely Ultra-Violent (EUV) optical lithography systems was a must. However, EUV systems were still under development at that time for the mass-production in semiconductors industry. Theretofore, Multi-Patterning (MP) technologies was introduced to swirl about DUV optical lithography limitations in advanced nodes beyond minimum dimension (CD) of 20nm. MP can be classified into two main categories; the first one is to split the target itself across multiple masks that give the original target patterns when they are printed. This category includes Double, Triple and Quadruple patterning (DP, TP, and QP). The second category is the Self-Aligned Patterning (SAP) where the target is divided into Mandrel patterns and non-Mandrel patterns. The Mandrel patterns get printed first, then a self-aligned sidewalls are grown around these printed patterns drawing the other non-Mandrel targets, afterword, a cut mask(s) is used to define target's line-ends. This approach contains Self-Aligned-Double Pattering (SADP) and Self-Aligned- Quadruple-Pattering (SAQP). DUV and MP along together paved the way for the industry down to 7nm. However, with the start of development at the 5nm node and the readiness of EUV, the differentiation question is aroused again, which pattering approach should be selected, direct printing using EUV or DUV with MP, or a hybrid flow that contains both DUV-MP and EUV. In this work we are comparing two

  4. A Theory of Immersion Freezing

    NASA Technical Reports Server (NTRS)

    Barahona, Donifan

    2017-01-01

    Immersion freezing is likely involved in the initiation of precipitation and determines to large extent the phase partitioning in convective clouds. Theoretical models commonly used to describe immersion freezing in atmospheric models are based on the classical nucleation theory which however neglects important interactions near the immersed particle that may affect nucleation rates. This work introduces a new theory of immersion freezing based on two premises. First, immersion ice nucleation is mediated by the modification of the properties of water near the particle-liquid interface, rather than by the geometry of the ice germ. Second, the same mechanism that leads to the decrease in the work of germ formation also decreases the mobility of water molecules near the immersed particle. These two premises allow establishing general thermodynamic constraints to the ice nucleation rate. Analysis of the new theory shows that active sites likely trigger ice nucleation, but they do not control the overall nucleation rate nor the probability of freezing. It also suggests that materials with different ice nucleation efficiency may exhibit similar freezing temperatures under similar conditions but differ in their sensitivity to particle surface area and cooling rate. Predicted nucleation rates show good agreement with observations for a diverse set of materials including dust, black carbon and bacterial ice nucleating particles. The application of the new theory within the NASA Global Earth System Model (GEOS-5) is also discussed.

  5. Chinese Immersion Language Education

    ERIC Educational Resources Information Center

    Jia, Hongyi

    2017-01-01

    In the present day Mandarin Chinese has become a commonly taught language in the U.S. Mandarin is widely taught in colleges and universities; K-12 Chinese programs, including immersion programs, have also grown rapidly. However, to date little research has been conducted on the latter programs. This study examines immersion programs in elementary…

  6. Optimizing a synchrotron based x-ray lithography system for IC manufacturing

    NASA Astrophysics Data System (ADS)

    Kovacs, Stephen; Speiser, Kenneth; Thaw, Winston; Heese, Richard N.

    1990-05-01

    The electron storage ring is a realistic solution as a radiation source for production grade, industrial X-ray lithography system. Today several large scale plans are in motion to design and implement synchrotron storage rings of different types for this purpose in the USA and abroad. Most of the scientific and technological problems related to the physics, design and manufacturing engineering, and commissioning of these systems for microlithography have been resolved or are under extensive study. However, investigation on issues connected to application of Synchrotron Orbit Radiation (SOR ) in chip production environment has been somewhat neglected. In this paper we have filled this gap pointing out direct effects of some basic synchrotron design parameters and associated subsystems (injector, X-ray beam line) on the operation and cost of lithography in production. The following factors were considered: synchrotron configuration, injection energy, beam intensity variability, number of beam lines and wafer exposure concept. A cost model has been worked out and applied to three different X-ray Lithography Source (XLS) systems. The results of these applications are compared and conclusions drawn.

  7. Photogrammetric Applications of Immersive Video Cameras

    NASA Astrophysics Data System (ADS)

    Kwiatek, K.; Tokarczyk, R.

    2014-05-01

    The paper investigates immersive videography and its application in close-range photogrammetry. Immersive video involves the capture of a live-action scene that presents a 360° field of view. It is recorded simultaneously by multiple cameras or microlenses, where the principal point of each camera is offset from the rotating axis of the device. This issue causes problems when stitching together individual frames of video separated from particular cameras, however there are ways to overcome it and applying immersive cameras in photogrammetry provides a new potential. The paper presents two applications of immersive video in photogrammetry. At first, the creation of a low-cost mobile mapping system based on Ladybug®3 and GPS device is discussed. The amount of panoramas is much too high for photogrammetric purposes as the base line between spherical panoramas is around 1 metre. More than 92 000 panoramas were recorded in one Polish region of Czarny Dunajec and the measurements from panoramas enable the user to measure the area of outdoors (adverting structures) and billboards. A new law is being created in order to limit the number of illegal advertising structures in the Polish landscape and immersive video recorded in a short period of time is a candidate for economical and flexible measurements off-site. The second approach is a generation of 3d video-based reconstructions of heritage sites based on immersive video (structure from immersive video). A mobile camera mounted on a tripod dolly was used to record the interior scene and immersive video, separated into thousands of still panoramas, was converted from video into 3d objects using Agisoft Photoscan Professional. The findings from these experiments demonstrated that immersive photogrammetry seems to be a flexible and prompt method of 3d modelling and provides promising features for mobile mapping systems.

  8. Study on Surface Permeability of Concrete under Immersion

    PubMed Central

    Liu, Jun; Xing, Feng; Dong, Biqin; Ma, Hongyan; Pan, Dong

    2014-01-01

    In this paper, concrete specimens are immersed in ultrapure water, to study the evolutions of surface permeability, pore structure and paste microstructure following the prolonging of immersion period. According to the results, after 30-day immersion, the surface permeability of concrete becomes higher as compared with the value before immersion. However, further immersion makes the surface permeability decrease, so that the value measured after 150-day immersion is only half that measured after 30-day immersion. The early increase in surface permeability should be mainly attributed to the leaching of calcium hydroxide, while the later decrease to the refinement of pore structure due to hydration. The two effects work simultaneously and compete throughout the immersion period. The proposed mechanisms get support from microscopic measurements and observations. PMID:28788490

  9. Research on evaluation techniques for immersive multimedia

    NASA Astrophysics Data System (ADS)

    Hashim, Aslinda M.; Romli, Fakaruddin Fahmi; Zainal Osman, Zosipha

    2013-03-01

    Nowadays Immersive Multimedia covers most usage in tremendous ways, such as healthcare/surgery, military, architecture, art, entertainment, education, business, media, sport, rehabilitation/treatment and training areas. Moreover, the significant of Immersive Multimedia to directly meet the end-users, clients and customers needs for a diversity of feature and purpose is the assembly of multiple elements that drive effective Immersive Multimedia system design, so evaluation techniques is crucial for Immersive Multimedia environments. A brief general idea of virtual environment (VE) context and `realism' concept that formulate the Immersive Multimedia environments is then provided. This is followed by a concise summary of the elements of VE assessment technique that is applied in Immersive Multimedia system design, which outlines the classification space for Immersive Multimedia environments evaluation techniques and gives an overview of the types of results reported. A particular focus is placed on the implications of the Immersive Multimedia environments evaluation techniques in relation to the elements of VE assessment technique, which is the primary purpose of producing this research. The paper will then conclude with an extensive overview of the recommendations emanating from the research.

  10. Astronomical large Ge immersion grating by Canon

    NASA Astrophysics Data System (ADS)

    Sukegawa, Takashi; Suzuki, Takeshi; Kitamura, Tsuyoshi

    2016-07-01

    Immersion grating is a powerful optical device for thee infrared high-resolution spectroscope. Germanium (GGe) is the best material for a mid-infrared immersion grating because of Ge has very large reflective index (n=4.0). On the other hands, there is no practical Ge immersion grating under 5umm use. It was very difficult for a fragile IR crystal to manufacture a diffraction grating precisely. Our original free-forming machine has accuracy of a few nano-meter in positioning and stability. We already fabricated the large CdZnTe immersion grating. (Sukegawa et al. (2012), Ikeda et al. (2015)) Wee are developing Ge immersion grating that can be a good solution for high-resolution infrared spectroscopy with the large ground-based/space telescopes. We succeeded practical Ge immersion grating with the grooved area off 75mm (ruled direction) x 119mm (grove width) and the blaze angle of 75 degrees. Our astronomical large Ge immersion grating has the grooved area of 155mm (ruled direction) x 41mmm (groove width) and groove pitch off 91.74um. We also report optical performance of astronomical large Ge immersion grating with a metal coating on the diffraction surface.

  11. 2.5 dimension structures in deep proton lithography

    NASA Astrophysics Data System (ADS)

    Kasztelanic, Rafal

    2006-04-01

    There are several technologies for cheap mass fabrication of microelements. One of them is deep proton lithography, used for the fabrication of elements of high structural depth. In this technology, accelerated protons are usually focused or formed by a mask to light a target. The energy of the proton beam is enough for all the protons to get through the target, losing only a part of their kinesthetic energy. Protons leaving the target are counted in various ways, thanks to which it is possible to estimate the energy deposed inside the target. In the next step chemical development is used to get rid of the radiated part of the target. With the use of this method, various 2D microelements can be obtained and the proton beam plays the role of a knife, cutting out the required shapes from the material. However, in order to make elements of modified surface (2.5D surface) it is necessary to change the energy of the proton beam or to change the dose deposed inside the material. The current article presents a proposal of creating simple 2.5D structures with the use of the method modifying the deposed does. This entails the modification of the deep proton lithography setup, which results moving the part for measuring the deposed dose of energy before the target. Additionally, the new deep proton lithography setup operates in the air. This article presents the results of simulations, as well as experimental results for such a setup built for the tandem accelerator in Erlangen, Germany.

  12. Flow lithography in ultraviolet-curable polydimethylsiloxane microfluidic chips

    PubMed Central

    Kim, Junbeom; An, Heseong; Seo, Yoojin; Jung, Youngmee; Lee, Jong Suk; Bong, Ki Wan

    2017-01-01

    Flow Lithography (FL) is the technique used for the synthesis of hydrogel microparticles with various complex shapes and distinct chemical compositions by combining microfluidics with photolithography. Although polydimethylsiloxane (PDMS) has been used most widely as almost the sole material for FL, PDMS microfluidic chips have limitations: (1) undesired shrinkage due to the thermal expansion of masters used for replica molding and (2) interfacial delamination between two thermally cured PDMS layers. Here, we propose the utilization of ultraviolet (UV)-curable PDMS (X-34-4184) for FL as an excellent alternative material of the conventional PDMS. Our proposed utilization of the UV-curable PDMS offers three key advantages, observed in our study: (1) UV-curable PDMS exhibited almost the same oxygen permeability as the conventional PDMS. (2) The almost complete absence of shrinkage facilitated the fabrication of more precise reverse duplication of microstructures. (3) UV-cured PDMS microfluidic chips were capable of much stronger interfacial bonding so that the burst pressure increased to ∼0.9 MPa. Owing to these benefits, we demonstrated a substantial improvement of productivity in synthesizing polyethylene glycol diacrylate microparticles via stop flow lithography, by applying a flow time (40 ms) an order of magnitude shorter. Our results suggest that UV-cured PDMS chips can be used as a general platform for various types of flow lithography and also be employed readily in other applications where very precise replication of structures on micro- or sub-micrometer scales and/or strong interfacial bonding are desirable. PMID:28469763

  13. Mask cost of ownership for advanced lithography

    NASA Astrophysics Data System (ADS)

    Muzio, Edward G.; Seidel, Philip K.

    2000-07-01

    As technology advances, becoming more difficult and more expensive, the cost of ownership (CoO) metric becomes increasingly important in evaluating technical strategies. The International SEMATECH CoC analysis has steadily gained visibility over the past year, as it attempts to level the playing field between technology choices, and create a fair relative comparison. In order to predict mask cots for advanced lithography, mask process flows are modeled using bets-known processing strategies, equipment cost, and yields. Using a newly revised yield mode, and updated mask manufacture flows, representative mask flows can be built. These flows are then used to calculate mask costs for advanced lithography down to the 50 nm node. It is never the goal of this type of work to provide absolute cost estimates for business planning purposes. However, the combination of a quantifiable yield model with a clearly defined set of mask processing flows and a cost model based upon them serves as an excellent starting point for cost driver analysis and process flow discussion.

  14. Approximating gecko setae via direct laser lithography

    NASA Astrophysics Data System (ADS)

    Tricinci, Omar; Eason, Eric V.; Filippeschi, Carlo; Mondini, Alessio; Mazzolai, Barbara; Pugno, Nicola M.; Cutkosky, Mark R.; Greco, Francesco; Mattoli, Virgilio

    2018-07-01

    The biomimetic replication of dry adhesion present in the gecko’s foot has attracted great interest in recent years. All the microfabrication techniques used so far were not able to faithfully reproduce the hierarchical and complex three-dimensional geometry of the gecko’s setae, with features at the micro- and nano-scale, thus reducing the effectiveness that such conformal morphology could provide. By means of direct laser lithography we fabricated artificial hairs that faithfully reproduce the natural model. This technique allows the fabrication of three-dimensional microstructures with outstanding results in terms of reproducibility and resolution at the micro- and nano-scale. It was possible to get very close to the morphology of the natural gecko setae, especially concerning the hierarchical shape. We designed several morphologies for the setae and studied the effects in terms of adhesion and friction performances compared to the natural counterpart, showing the interplay between morphology, dimensional scaling and materials. Direct laser lithography promises great applications in the biomimetics field, paving the way to the implementation of the concept of hierarchical bioinspired dry adhesives.

  15. Neurotrophin responsiveness of sympathetic neurons is regulated by rapid mobilization of the p75 receptor to the cell surface through TrkA activation of Arf6.

    PubMed

    Edward Hickman, F; Stanley, Emily M; Carter, Bruce D

    2018-05-22

    The p75 neurotrophin receptor (p75NTR) plays an integral role in patterning the sympathetic nervous system during development. Initially, p75NTR is expressed at low levels as sympathetic axons project toward their targets, which enables neurotrophin-3 (NT3) to activate TrkA receptors and promote growth. Upon reaching nerve growth factor (NGF) producing tissues, p75NTR is up regulated resulting in formation of TrkA-p75 complexes, which are high affinity binding sites selective for NGF, thereby blunting NT3 signaling. The level of p75NTR expressed on the neuron surface is instrumental in regulating trophic factor response; however, the mechanisms by which p75NTR expression is regulated are poorly understood. Here, we demonstrate a rapid, translation independent increase in surface expression of p75NTR in response to NGF in rat sympathetic neurons. p75NTR was mobilized to the neuron surface from GGA3-postitive vesicles through activation of the GTPase Arf6, which was stimulated by NGF, but not NT3 binding to TrkA. Arf6 activation required PI3 kinase activity and was prevented by an inhibitor of the cytohesin family of Arf6 GEFs. Overexpression of a constitutively active Arf6 mutant (Q67L) was sufficient to significantly increase surface expression of p75NTR even in the absence of NGF. Functionally, expression of active Arf6 markedly attenuated the ability of NT3 to promote neuronal survival and neurite outgrowth while the NGF response was unaltered. These data suggest that NGF activation of Arf6 through TrkA is critical for the increase in p75NTR surface expression that enables the switch in neurotrophin responsiveness during development in the sympathetic nervous system. SIGNIFICANCE STATEMENT p75NTR is instrumental in the regulation of neuronal survival and apoptosis during development and is also implicated as a contributor to aberrant neurodegeneration in numerous conditions. Therefore, a better understanding of the mechanisms that mediate p75NTR surface

  16. ELMO Domains, Evolutionary and Functional Characterization of a Novel GTPase-activating Protein (GAP) Domain for Arf Protein Family GTPases*

    PubMed Central

    East, Michael P.; Bowzard, J. Bradford; Dacks, Joel B.; Kahn, Richard A.

    2012-01-01

    The human family of ELMO domain-containing proteins (ELMODs) consists of six members and is defined by the presence of the ELMO domain. Within this family are two subclassifications of proteins, based on primary sequence conservation, protein size, and domain architecture, deemed ELMOD and ELMO. In this study, we used homology searching and phylogenetics to identify ELMOD family homologs in genomes from across eukaryotic diversity. This demonstrated not only that the protein family is ancient but also that ELMOs are potentially restricted to the supergroup Opisthokonta (Metazoa and Fungi), whereas proteins with the ELMOD organization are found in diverse eukaryotes and thus were likely the form present in the last eukaryotic common ancestor. The segregation of the ELMO clade from the larger ELMOD group is consistent with their contrasting functions as unconventional Rac1 guanine nucleotide exchange factors and the Arf family GTPase-activating proteins, respectively. We used unbiased, phylogenetic sorting and sequence alignments to identify the most highly conserved residues within the ELMO domain to identify a putative GAP domain within the ELMODs. Three independent but complementary assays were used to provide an initial characterization of this domain. We identified a highly conserved arginine residue critical for both the biochemical and cellular GAP activity of ELMODs. We also provide initial evidence of the function of human ELMOD1 as an Arf family GAP at the Golgi. These findings provide the basis for the future study of the ELMOD family of proteins and a new avenue for the study of Arf family GTPases. PMID:23014990

  17. Suspended liquid subtractive lithography: printing three dimensional channels directly into uncured PDMS

    NASA Astrophysics Data System (ADS)

    Helmer, D.; Voigt, A.; Wagner, S.; Keller, N.; Sachsenheimer, K.; Kotz, F.; Nargang, T. M.; Rapp, B. E.

    2018-02-01

    Polydimethylsiloxane (PDMS) is one of the most widely used polymers for the generation of microfluidic chips. The standard procedures of soft lithography require the formation of a new master structure for every design which is timeconsuming and expensive. All channel generated by soft lithography need to be consecutively sealed by bonding which is a process that can proof to be hard to control. Channel cross-sections are largely restricted to squares or flat-topped designs and the generation of truly three-dimensional designs is not straightforward. Here we present Suspended Liquid Subtractive Lithography (SLSL) a method for generating microfluidic channels of nearly arbitrary three-dimensional structures in PDMS that do not require master formation or bonding and give circular channel cross sections which are especially interesting for mimicking in vivo environments. In SLSL, an immiscible liquid is introduced into the uncured PDMS by a capillary mounted on a 3D printer head. The liquid forms continuous "threads" inside the matrix thus creating void suspended channel structures.

  18. Manipulation and simulations of thermal field profiles in laser heat-mode lithography

    NASA Astrophysics Data System (ADS)

    Wei, Tao; Wei, Jingsong; Wang, Yang; Zhang, Long

    2017-12-01

    Laser heat-mode lithography is a very useful method for high-speed fabrication of large-area micro/nanostructures. To obtain nanoscale pattern structures, one needs to manipulate the thermal diffusion channels. This work reports the manipulation of the thermal diffusion in laser heat-mode lithography and provides methods to restrain the in-plane thermal diffusion and improve the out-of-plane thermal diffusion. The thermal field profiles in heat-mode resist thin films have been given. It is found that the size of the heat-spot can be decreased by decreasing the thickness of the heat-mode resist thin films, inserting the thermal conduction layers, and shortening the laser irradiation time. The optimized laser writing strategy is also given, where the in-plane thermal diffusion is completely restrained and the out-of-plane thermal diffusion is improved. The heat-spot size is almost equal to that of the laser spot, accordingly. This work provides a very important guide to laser heat-mode lithography.

  19. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  20. Activation of endogenous p53 by combined p19Arf gene transfer and nutlin-3 drug treatment modalities in the murine cell lines B16 and C6

    PubMed Central

    2010-01-01

    Background Reactivation of p53 by either gene transfer or pharmacologic approaches may compensate for loss of p19Arf or excess mdm2 expression, common events in melanoma and glioma. In our previous work, we constructed the pCLPG retroviral vector where transgene expression is controlled by p53 through a p53-responsive promoter. The use of this vector to introduce p19Arf into tumor cells that harbor p53wt should yield viral expression of p19Arf which, in turn, would activate the endogenous p53 and result in enhanced vector expression and tumor suppression. Since nutlin-3 can activate p53 by blocking its interaction with mdm2, we explored the possibility that the combination of p19Arf gene transfer and nutlin-3 drug treatment may provide an additive benefit in stimulating p53 function. Methods B16 (mouse melanoma) and C6 (rat glioma) cell lines, which harbor p53wt, were transduced with pCLPGp19 and these were additionally treated with nutlin-3 or the DNA damaging agent, doxorubicin. Viral expression was confirmed by Western, Northern and immunofluorescence assays. p53 function was assessed by reporter gene activity provided by a p53-responsive construct. Alterations in proliferation and viability were measured by colony formation, growth curve, cell cycle and MTT assays. In an animal model, B16 cells were treated with the pCLPGp19 virus and/or drugs before subcutaneous injection in C57BL/6 mice, observation of tumor progression and histopathologic analyses. Results Here we show that the functional activation of endogenous p53wt in B16 was particularly challenging, but accomplished when combined gene transfer and drug treatments were applied, resulting in increased transactivation by p53, marked cell cycle alteration and reduced viability in culture. In an animal model, B16 cells treated with both p19Arf and nutlin-3 yielded increased necrosis and decreased BrdU marking. In comparison, C6 cells were quite susceptible to either treatment, yet p53 was further activated

  1. Investigation on gas medium parameters for an ArF excimer laser through orthogonal experimental design

    NASA Astrophysics Data System (ADS)

    Song, Xingliang; Sha, Pengfei; Fan, Yuanyuan; Jiang, R.; Zhao, Jiangshan; Zhou, Yi; Yang, Junhong; Xiong, Guangliang; Wang, Yu

    2018-02-01

    Due to complex kinetics of formation and loss mechanisms, such as ion-ion recombination reaction, neutral species harpoon reaction, excited state quenching and photon absorption, as well as their interactions, the performance behavior of different laser gas medium parameters for excimer laser varies greatly. Therefore, the effects of gas composition and total gas pressure on excimer laser performance attract continual research studies. In this work, orthogonal experimental design (OED) is used to investigate quantitative and qualitative correlations between output laser energy characteristics and gas medium parameters for an ArF excimer laser with plano-plano optical resonator operation. Optimized output laser energy with good pulse to pulse stability can be obtained effectively by proper selection of the gas medium parameters, which makes the most of the ArF excimer laser device. Simple and efficient method for gas medium optimization is proposed and demonstrated experimentally, which provides a global and systematic solution. By detailed statistical analysis, the significance sequence of relevant parameter factors and the optimized composition for gas medium parameters are obtained. Compared with conventional route of varying single gas parameter factor sequentially, this paper presents a more comprehensive way of considering multivariables simultaneously, which seems promising in striking an appropriate balance among various complicated parameters for power scaling study of an excimer laser.

  2. Feasibility of Air Levitated Surface Stage for Lithography Tool

    NASA Astrophysics Data System (ADS)

    Tanaka, Keiichi

    The application of light-weight drive technology into the lithography stage has been the current state of art because of minimization of power loss. The purpose of this article is to point out the so-called, "surface stage" which is composed of Lorentz forced 3 DOF (Degree Of Freedom) planar motor (x, y and theta z), air levitation (bearing) system and motor cooling system, is the most balanced concept for the next generation lithography through the verification of each component by manufacturing simple parts and test stand. This paper presents the design method and procedure, and experimental results of the air levitated surface stage which was conducted several years ago, however the author is convinced that the results are enough to adapt various developments of precision machining tool.

  3. Controlling large-scale film morphology by phase manipulation in interference lithography

    NASA Astrophysics Data System (ADS)

    Lu, Cheng; Hu, X. K.; Dimov, S. S.; Lipson, R. H.

    2007-10-01

    An experimental arrangement is described where a Babinet-Soleil compensator is inserted into the path of one of the three beams used for noncoplanar beam interference lithography. This birefringent element can change the phase of the beam so that either a positive two-dimensional pattern or an inverselike structure is generated in a photoresist without disturbing the mechanical geometry of the setup. Simulations are presented that confirm the validity of this approach. Large defect-free sample areas (>1 cm2) with submicrometer periodic patterns were obtained by expanding the laser beams used in the lithography experiment.

  4. Indus-2 X-ray lithography beamline for X-ray optics and material science applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dhamgaye, V. P., E-mail: vishal@rrcat.gov.in; Lodha, G. S., E-mail: vishal@rrcat.gov.in

    2014-04-24

    X-ray lithography is an ideal technique by which high aspect ratio and high spatial resolution micro/nano structures are fabricated using X-rays from synchrotron radiation source. The technique has been used for fabricating optics (X-ray, visible and infrared), sensors and actuators, fluidics and photonics. A beamline for X-ray lithography is operational on Indus-2. The beamline offers wide lithographic window from 1-40keV photon energy and wide beam for producing microstructures in polymers upto size ∼100mm × 100mm. X-ray exposures are possible in air, vacuum and He gas environment. The air based exposures enables the X-ray irradiation of resist for lithography and alsomore » irradiation of biological and liquid samples.« less

  5. Biocompatibility of hydroxyapatite scaffolds processed by lithography-based additive manufacturing.

    PubMed

    Tesavibul, Passakorn; Chantaweroad, Surapol; Laohaprapanon, Apinya; Channasanon, Somruethai; Uppanan, Paweena; Tanodekaew, Siriporn; Chalermkarnnon, Prasert; Sitthiseripratip, Kriskrai

    2015-01-01

    The fabrication of hydroxyapatite scaffolds for bone tissue engineering applications by using lithography-based additive manufacturing techniques has been introduced due to the abilities to control porous structures with suitable resolutions. In this research, the use of hydroxyapatite cellular structures, which are processed by lithography-based additive manufacturing machine, as a bone tissue engineering scaffold was investigated. The utilization of digital light processing system for additive manufacturing machine in laboratory scale was performed in order to fabricate the hydroxyapatite scaffold, of which biocompatibilities were eventually evaluated by direct contact and cell-culturing tests. In addition, the density and compressive strength of the scaffolds were also characterized. The results show that the hydroxyapatite scaffold at 77% of porosity with 91% of theoretical density and 0.36 MPa of the compressive strength are able to be processed. In comparison with a conventionally sintered hydroxyapatite, the scaffold did not present any cytotoxic signs while the viability of cells at 95.1% was reported. After 14 days of cell-culturing tests, the scaffold was able to be attached by pre-osteoblasts (MC3T3-E1) leading to cell proliferation and differentiation. The hydroxyapatite scaffold for bone tissue engineering was able to be processed by the lithography-based additive manufacturing machine while the biocompatibilities were also confirmed.

  6. Automated imprint mask cleaning for step-and-flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Singh, Sherjang; Chen, Ssuwei; Selinidis, Kosta; Fletcher, Brian; McMackin, Ian; Thompson, Ecron; Resnick, Douglas J.; Dress, Peter; Dietze, Uwe

    2009-03-01

    Step-and-Flash Imprint Lithography (S-FIL) is a promising lithography strategy for semiconductor manufacturing at device nodes below 32nm. The S-FIL 1:1 pattern transfer technology utilizes a field-by-field ink jet dispense of a low viscosity liquid resist to fill the relief pattern of the device layer etched into the glass mask. Compared to other sub 40nm CD lithography methods, the resulting high resolution, high throughput through clustering, 3D patterning capability, low process complexity, and low cost of ownership (CoO) of S-FIL makes it a widely accepted technology for patterned media as well as a promising mainstream option for future CMOS applications. Preservation of mask cleanliness is essential to avoid risk of repeated printing of defects. The development of mask cleaning processes capable of removing particles adhered to the mask surface without damaging the mask is critical to meet high volume manufacturing requirements. In this paper we have presented various methods of residual (cross-linked) resist removal and final imprint mask cleaning demonstrated on the HamaTech MaskTrack automated mask cleaning system. Conventional and non-conventional (acid free) methods of particle removal have been compared and the effect of mask cleaning on pattern damage and CD integrity is also studied.

  7. Condenser for extreme-UV lithography with discharge source

    DOEpatents

    Sweatt, William C.; Kubiak, Glenn D.

    2001-01-01

    Condenser system, for use with a ringfield camera in projection lithography, employs quasi grazing-incidence collector mirrors that are coated with a suitable reflective metal such as ruthenium to collect radiation from a discharge source to minimize the effect of contaminant accumulation on the collecting mirrors.

  8. Fabrication of Pt nanowires with a diffraction-unlimited feature size by high-threshold lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Li, E-mail: lil@cust.edu.cn, E-mail: wangz@cust.edu.cn, E-mail: kq-peng@bnu.edu.cn; Zhang, Ziang; Yu, Miao

    2015-09-28

    Although the nanoscale world can already be observed at a diffraction-unlimited resolution using far-field optical microscopy, to make the step from microscopy to lithography still requires a suitable photoresist material system. In this letter, we consider the threshold to be a region with a width characterized by the extreme feature size obtained using a Gaussian beam spot. By narrowing such a region through improvement of the threshold sensitization to intensity in a high-threshold material system, the minimal feature size becomes smaller. By using platinum as the negative photoresist, we demonstrate that high-threshold lithography can be used to fabricate nanowire arraysmore » with a scalable resolution along the axial direction of the linewidth from the micro- to the nanoscale using a nanosecond-pulsed laser source with a wavelength λ{sub 0} = 1064 nm. The minimal feature size is only several nanometers (sub λ{sub 0}/100). Compared with conventional polymer resist lithography, the advantages of high-threshold lithography are sharper pinpoints of laser intensity triggering the threshold response and also higher robustness allowing for large area exposure by a less-expensive nanosecond-pulsed laser.« less

  9. Fabrication of 3D surface structures using grayscale lithography

    NASA Astrophysics Data System (ADS)

    Stilson, Christopher; Pal, Rajan; Coutu, Ronald A.

    2014-03-01

    The ability to design and develop 3D microstructures is important for microelectromechanical systems (MEMS) fabrication. Previous techniques used to create 3D devices included tedious steps in direct writing and aligning patterns onto a substrate followed by multiple photolithography steps using expensive, customized equipment. Additionally, these techniques restricted batch processing and placed limits on achievable shapes. Gray-scale lithography enables the fabrication of a variety of shapes using a single photolithography step followed by reactive ion etching (RIE). Micromachining 3D silicon structures for MEMS can be accomplished using gray-scale lithography along with dry anisotropic etching. In this study, we investigated: using MATLAB for mask designs; feasibility of using 1 μm Heidelberg mask maker to direct write patterns onto photoresist; using RIE processing to etch patterns into a silicon substrate; and the ability to tailor etch selectivity for precise fabrication. To determine etch rates and to obtain desired etch selectivity, parameters such as gas mixture, gas flow, and electrode power were studied. This process successfully demonstrates the ability to use gray-scale lithography and RIE for use in the study of micro-contacts. These results were used to produce a known engineered non-planer surface for testing micro-contacts. Surface structures are between 5 μm and 20 μm wide with varying depths and slopes based on mask design and etch rate selectivity. The engineered surfaces will provide more insight into contact geometries and failure modes of fixed-fixed micro-contacts.

  10. The impact of contextualization on immersion in healthcare simulation.

    PubMed

    Engström, Henrik; Andersson Hagiwara, Magnus; Backlund, Per; Lebram, Mikael; Lundberg, Lars; Johannesson, Mikael; Sterner, Anders; Maurin Söderholm, Hanna

    2016-01-01

    The aim of this paper is to explore how contextualization of a healthcare simulation scenarios impacts immersion, by using a novel objective instrument, the Immersion Score Rating Instrument. This instrument consists of 10 triggers that indicate reduced or enhanced immersion among participants in a simulation scenario. Triggers refer to events such as jumps in time or space (sign of reduced immersion) and natural interaction with the manikin (sign of enhanced immersion) and can be used to calculate an immersion score. An experiment using a randomized controlled crossover design was conducted to compare immersion between two simulation training conditions for prehospital care: one basic and one contextualized. The Immersion Score Rating Instrument was used to compare the total immersion score for the whole scenario, the immersion score for individual mission phases, and to analyze differences in trigger occurrences. A paired t test was used to test for significance. The comparison shows that the overall immersion score for the simulation was higher in the contextualized condition. The average immersion score was 2.17 (sd = 1.67) in the contextualized condition and -0.77 (sd = 2.01) in the basic condition ( p  < .001). The immersion score was significantly higher in the contextualized condition in five out of six mission phases. Events that might be disruptive for the simulation participants' immersion, such as interventions of the instructor and illogical jumps in time or space, are present to a higher degree in the basic scenario condition; while events that signal enhanced immersion, such as natural interaction with the manikin, are more frequently observed in the contextualized condition. The results suggest that contextualization of simulation training with respect to increased equipment and environmental fidelity as well as functional task alignment might affect immersion positively and thus contribute to an improved training experience.

  11. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhuri, Avijit

    2001-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  12. Diffractive element in extreme-UV lithography condenser

    DOEpatents

    Sweatt, William C.; Ray-Chaudhurl, Avijit K.

    2000-01-01

    Condensers having a mirror with a diffraction grating in projection lithography using extreme ultra-violet significantly enhances critical dimension control. The diffraction grating has the effect of smoothing the illumination at the camera's entrance pupil with minimum light loss. Modeling suggests that critical dimension control for 100 nm features can be improved from 3 nm to less than about 0.5 nm.

  13. Design survey of X-ray/XUV projection lithography systems

    NASA Astrophysics Data System (ADS)

    Shealy, David L.; Viswanathan, V. K.

    1991-02-01

    Several configurations of two- to four-multilayer mirror systems that have been proposed for use in soft-X-ray projection lithography are examined. The performance capabilities of spherical and aspherical two-mirror projection systems are compared, and a two-spherical-mirror four-reflection system that can resolve 0.1-micron features over a 10 x 10 mm field is described. It is emphasized that three-mirror systems show promise of high resolution in telescope applications, but have not been fully analyzed for projection lithography applications. It has been shown that a four-mirror aspheric system can be designed to meet the resolution requirements, but a trade-off must be made between reducing distortion below 10 microns over the field of view and increasing the modulation transfer function greater than 50 percent at spatial frequency of 5000 cycles/mm.

  14. Maskless EUV lithography: an already difficult technology made even more complicated?

    NASA Astrophysics Data System (ADS)

    Chen, Yijian

    2012-03-01

    In this paper, we present the research progress made in maskless EUV lithography and discuss the emerging opportunities for this disruptive technology. It will be shown nanomirrors based maskless approach is one path to costeffective and defect-free EUV lithography, rather than making it even more complicated. The focus of our work is to optimize the existing vertical comb process and scale down the mirror size from several microns to sub-micron regime. The nanomirror device scaling, system configuration, and design issues will be addressed. We also report our theoretical and simulation study of reflective EUV nanomirror based imaging behavior. Dense line/space patterns are formed with an EUV nanomirror array by assigning a phase shift of π to neighboring nanomirrors. Our simulation results show that phase/intensity imbalance is an inherent characteristic of maskless EUV lithography while it only poses a manageable challenge to CD control and process window. The wafer scan and EUV laser jitter induced image blur phenomenon is discussed and a blurred imaging theory is constructed. This blur effect is found to degrade the image contrast at a level that mainly depends on the wafer scan speed.

  15. Photomask quality evaluation using lithography simulation and multi-detector MVM-SEM

    NASA Astrophysics Data System (ADS)

    Ito, Keisuke; Murakawa, Tsutomu; Fukuda, Naoki; Shida, Soichi; Iwai, Toshimichi; Matsumoto, Jun; Nakamura, Takayuki; Matsushita, Shohei; Hagiwara, Kazuyuki; Hara, Daisuke

    2013-06-01

    The detection and management of mask defects which are transferred onto wafer becomes more important day by day. As the photomask patterns becomes smaller and more complicated, using Inverse Lithography Technology (ILT) and Source Mask Optimization (SMO) with Optical Proximity Correction (OPC). To evaluate photomask quality, the current method uses aerial imaging by optical inspection tools. This technique at 1Xnm node has a resolution limit because small defects will be difficult to detect. We already reported the MEEF influence of high-end photomask using wide FOV SEM contour data of "E3630 MVM-SEM®" and lithography simulator "TrueMask® DS" of D2S Inc. in the prior paper [1]. In this paper we evaluate the correlation between our evaluation method and optical inspection tools as ongoing assessment. Also in order to reduce the defect classification work, we can compose the 3 Dimensional (3D) information of defects and can judge whether repairs of defects would be required. Moreover, we confirm the possibility of wafer plane CD measurement based on the combination between E3630 MVM-SEM® and 3D lithography simulation.

  16. Effect of immersion time of restorative glass ionomer cements and immersion duration in calcium chloride solution on surface hardness.

    PubMed

    Shiozawa, Maho; Takahashi, Hidekazu; Iwasaki, Naohiko; Wada, Takahiro; Uo, Motohiro

    2014-12-01

    The objective of this study was to evaluate the effect of immersion time of restorative glass ionomer cements (GICs) and immersion duration in calcium chloride (CaCl2) solution on the surface hardness. Two high-viscosity GICs, Fuji IX GP and GlasIonomer FX-II, were selected. Forty-eight specimens were randomly divided into two groups. Sixty minutes after being mixed, half of them were immersed in a 42.7wt% CaCl2 solution for 10, 30, or 60min (Group 1); the remaining specimens were immersed after an additional 1-week of storage (Group 2). The surface hardness of the specimens was measured and analyzed with two-way ANOVA and the Tukey HSD test (α=0.05). The surface compositions were examined using energy-dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy. The surface hardness of Group 1 significantly increased as the immersion duration in CaCl2 increased; that of Group 2 significantly increased only after 60-minute CaCl2 immersion. After CaCl2 immersion, the amounts of Ca increased as the immersion duration increased. The surface hardness after CaCl2 immersion significantly correlated with the amount of Ca in Group 1, but not in Group 2. The binding energy of the Ca2p peak was similar to that of calcium polyalkenoate. These findings indicated that the Ca ions from the CaCl2 solution created chemical bonds with the carboxylic acid groups in the cement matrix. Immersion of GICs in CaCl2 solution at the early stage of setting was considered to enhance the formation of the polyacid salt matrix; as a result, the surface hardness increased. Copyright © 2014. Published by Elsevier Ltd.

  17. Monolayer graphene-insulator-semiconductor emitter for large-area electron lithography

    NASA Astrophysics Data System (ADS)

    Kirley, Matthew P.; Aloui, Tanouir; Glass, Jeffrey T.

    2017-06-01

    The rapid adoption of nanotechnology in fields as varied as semiconductors, energy, and medicine requires the continual improvement of nanopatterning tools. Lithography is central to this evolving nanotechnology landscape, but current production systems are subject to high costs, low throughput, or low resolution. Herein, we present a solution to these problems with the use of monolayer graphene in a graphene-insulator-semiconductor (GIS) electron emitter device for large-area electron lithography. Our GIS device displayed high emission efficiency (up to 13%) and transferred large patterns (500 × 500 μm) with high fidelity (<50% spread). The performance of our device demonstrates a feasible path to dramatic improvements in lithographic patterning systems, enabling continued progress in existing industries and opening opportunities in nanomanufacturing.

  18. Immersive Technologies and Language Learning

    ERIC Educational Resources Information Center

    Blyth, Carl

    2018-01-01

    This article briefly traces the historical conceptualization of linguistic and cultural immersion through technological applications, from the early days of locally networked computers to the cutting-edge technologies known as virtual reality and augmented reality. Next, the article explores the challenges of immersive technologies for the field…

  19. Molecular dynamics simulations of membrane deformation induced by amphiphilic helices of Epsin, Sar1p, and Arf1

    NASA Astrophysics Data System (ADS)

    Li, Zhen-Lu

    2018-03-01

    The N-terminal amphiphilic helices of proteins Epsin, Sar1p, and Arf1 play a critical role in initiating membrane deformation. The interactions of these amphiphilic helices with the lipid membranes are investigated in this study by combining the all-atom and coarse-grained simulations. In the all-atom simulations, the amphiphilic helices of Epsin and Sar1p are found to have a shallower insertion depth into the membrane than the amphiphilic helix of Arf1, but remarkably, the amphiphilic helices of Epsin and Sar1p induce higher asymmetry in the lipid packing between the two monolayers of the membrane. The insertion depth of amphiphilic helix into the membrane is determined not only by the overall hydrophobicity but also by the specific distributions of polar and non-polar residues along the helix. To directly compare their ability to deform the membrane, the coarse-grained simulations are performed to investigate the membrane deformation under the insertion of multiple helices. Project supported by the National Natural Science Foundation of China (Grant Nos. 91427302 and 11474155).

  20. Learning immersion without getting wet

    NASA Astrophysics Data System (ADS)

    Aguilera, Julieta C.

    2012-03-01

    This paper describes the teaching of an immersive environments class on the Spring of 2011. The class had students from undergraduate as well as graduate art related majors. Their digital background and interests were also diverse. These variables were channeled as different approaches throughout the semester. Class components included fundamentals of stereoscopic computer graphics to explore spatial depth, 3D modeling and skeleton animation to in turn explore presence, exposure to formats like a stereo projection wall and dome environments to compare field of view across devices, and finally, interaction and tracking to explore issues of embodiment. All these components were supported by theoretical readings discussed in class. Guest artists presented their work in Virtual Reality, Dome Environments and other immersive formats. Museum professionals also introduced students to space science visualizations, which utilize immersive formats. Here I present the assignments and their outcome, together with insights as to how the creation of immersive environments can be learned through constraints that expose students to situations of embodied cognition.

  1. A two-in-one process for reliable graphene transistors processed with photo-lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahlberg, P.; Hinnemo, M.; Song, M.

    2015-11-16

    Research on graphene field-effect transistors (GFETs) has mainly relied on devices fabricated using electron-beam lithography for pattern generation, a method that has known problems with polymer contaminants. GFETs fabricated via photo-lithography suffer even worse from other chemical contaminations, which may lead to strong unintentional doping of the graphene. In this letter, we report on a scalable fabrication process for reliable GFETs based on ordinary photo-lithography by eliminating the aforementioned issues. The key to making this GFET processing compatible with silicon technology lies in a two-in-one process where a gate dielectric is deposited by means of atomic layer deposition. During thismore » deposition step, contaminants, likely unintentionally introduced during the graphene transfer and patterning, are effectively removed. The resulting GFETs exhibit current-voltage characteristics representative to that of intrinsic non-doped graphene. Fundamental aspects pertaining to the surface engineering employed in this work are investigated in the light of chemical analysis in combination with electrical characterization.« less

  2. Innovative Second Language Education: Bilingual Immersion Programs.

    ERIC Educational Resources Information Center

    Snow, Marguerite Ann

    Bilingual immersion programs combine second language immersion for language majority children and bilingual education for language minority children. The programs are based on the underlying assumption of the immersion model: that a second language is best learned as a medium of instruction, not as the object of instruction. However, they are not…

  3. Fabrication of 2D and 3D photonic structures using laser lithography

    NASA Astrophysics Data System (ADS)

    Gaso, P.; Jandura, D.; Pudis, D.

    2016-12-01

    In this paper we demonstrate possibilities of three-dimensional (3D) printing technology based on two photon polymerization. We used three-dimensional dip-in direct-laser-writing (DLW) optical lithography to fabricate 2D and 3D optical structures for optoelectronics and for optical sensing applications. DLW lithography allows us use a non conventional way how to couple light into the waveguide structure. We prepared ring resonator and we investigated its transmission spectral characteristic. We present 3D inverse opal structure from its design to printing and scanning electron microscope (SEM) imaging. Finally, SEM images of some prepared photonic crystal structures were performed.

  4. Inorganic resist materials based on zirconium phosphonate for atomic force microscope lithography

    NASA Astrophysics Data System (ADS)

    Kang, Mankyu; Kim, Seonae; Jung, JinHyuck; Kim, Heebom; Shin, Inkyun; Jeon, Chanuk; Lee, Haiwon

    2014-03-01

    New inorganic resist materials based on metal complexes were investigated for atomic force microscope (AFM) lithography. Phosphoric acids are good for self-assembly because of their strong binding energy. In this work, zirconium phosphonate system are newly synthesized for spin-coatable materials in aqueous solutions and leads to negative tone pattern for improving line edge roughness. Low electron exposure by AFM lithography could generate a pattern by electrochemical reaction and cross-linking of metal-oxo complexes. It has been reported that the minimum pattern results are affected by lithographic speed, and the applied voltage between a tip and a substrate.

  5. Selenium immersed thermistor bolometer study

    NASA Technical Reports Server (NTRS)

    Rolls, W. H.

    1979-01-01

    The noise characteristics of thermistor bolometers immersed in layers of arsenic/selenium glass uniform in composition were examined. Using a controlled deposition technique, layers of glass were deposited, thermistor bolometers immersed, and their electrical characteristics measured after various thermal treatments. Markedly improved stability of the detector noise was observed using this new technique.

  6. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-03-01

    With the advancement of VLSI technology nodes, light diffraction caused lithographic hotspots have become a serious problem affecting manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with extreme scaling of transistor feature size and more and more complicated layout patterns, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. In this paper, we present a deep convolutional neural network (CNN) targeting representative feature learning in lithography hotspot detection. We carefully analyze impact and effectiveness of different CNN hyper-parameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always minorities in VLSI mask design, the training data set is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from high false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply minority upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves highly comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  7. Imbalance aware lithography hotspot detection: a deep learning approach

    NASA Astrophysics Data System (ADS)

    Yang, Haoyu; Luo, Luyang; Su, Jing; Lin, Chenxi; Yu, Bei

    2017-07-01

    With the advancement of very large scale integrated circuits (VLSI) technology nodes, lithographic hotspots become a serious problem that affects manufacture yield. Lithography hotspot detection at the post-OPC stage is imperative to check potential circuit failures when transferring designed patterns onto silicon wafers. Although conventional lithography hotspot detection methods, such as machine learning, have gained satisfactory performance, with the extreme scaling of transistor feature size and layout patterns growing in complexity, conventional methodologies may suffer from performance degradation. For example, manual or ad hoc feature extraction in a machine learning framework may lose important information when predicting potential errors in ultra-large-scale integrated circuit masks. We present a deep convolutional neural network (CNN) that targets representative feature learning in lithography hotspot detection. We carefully analyze the impact and effectiveness of different CNN hyperparameters, through which a hotspot-detection-oriented neural network model is established. Because hotspot patterns are always in the minority in VLSI mask design, the training dataset is highly imbalanced. In this situation, a neural network is no longer reliable, because a trained model with high classification accuracy may still suffer from a high number of false negative results (missing hotspots), which is fatal in hotspot detection problems. To address the imbalance problem, we further apply hotspot upsampling and random-mirror flipping before training the network. Experimental results show that our proposed neural network model achieves comparable or better performance on the ICCAD 2012 contest benchmark compared to state-of-the-art hotspot detectors based on deep or representative machine leaning.

  8. Wiring up pre-characterized single-photon emitters by laser lithography

    NASA Astrophysics Data System (ADS)

    Shi, Q.; Sontheimer, B.; Nikolay, N.; Schell, A. W.; Fischer, J.; Naber, A.; Benson, O.; Wegener, M.

    2016-08-01

    Future quantum optical chips will likely be hybrid in nature and include many single-photon emitters, waveguides, filters, as well as single-photon detectors. Here, we introduce a scalable optical localization-selection-lithography procedure for wiring up a large number of single-photon emitters via polymeric photonic wire bonds in three dimensions. First, we localize and characterize nitrogen vacancies in nanodiamonds inside a solid photoresist exhibiting low background fluorescence. Next, without intermediate steps and using the same optical instrument, we perform aligned three-dimensional laser lithography. As a proof of concept, we design, fabricate, and characterize three-dimensional functional waveguide elements on an optical chip. Each element consists of one single-photon emitter centered in a crossed-arc waveguide configuration, allowing for integrated optical excitation and efficient background suppression at the same time.

  9. Deep X-ray lithography for the fabrication of microstructures at ELSA

    NASA Astrophysics Data System (ADS)

    Pantenburg, F. J.; Mohr, J.

    2001-07-01

    Two beamlines at the Electron Stretcher Accelerator (ELSA) of Bonn University are dedicated for the production of microstructures by deep X-ray lithography with synchrotron radiation. They are equipped with state-of-the-art X-ray scanners, maintained and used by Forschungszentrum Karlsruhe. Polymer microstructure heights between 30 and 3000 μm are manufactured regularly for research and industrial projects. This requires different characteristic energies. Therefore, ELSA operates routinely at 1.6, 2.3 and 2.7 GeV, for high-resolution X-ray mask fabrication, deep and ultra-deep X-ray lithography, respectively. The experimental setup, as well as the structure quality of deep and ultra deep X-ray lithographic microstructures are described.

  10. The application of phase grating to CLM technology for the sub-65nm node optical lithography

    NASA Astrophysics Data System (ADS)

    Yoon, Gi-Sung; Kim, Sung-Hyuck; Park, Ji-Soong; Choi, Sun-Young; Jeon, Chan-Uk; Shin, In-Kyun; Choi, Sung-Woon; Han, Woo-Sung

    2005-06-01

    As a promising technology for sub-65nm node optical lithography, CLM(Chrome-Less Mask) technology among RETs(Resolution Enhancement Techniques) for low k1 has been researched worldwide in recent years. CLM has several advantages, such as relatively simple manufacturing process and competitive performance compared to phase-edge PSM's. For the low-k1 lithography, we have researched CLM technique as a good solution especially for sub-65nm node. As a step for developing the sub-65nm node optical lithography, we have applied CLM technology in 80nm-node lithography with mesa and trench method. From the analysis of the CLM technology in the 80nm lithography, we found that there is the optimal shutter size for best performance in the technique, the increment of wafer ADI CD varied with pattern's pitch, and a limitation in patterning various shapes and size by OPC dead-zone - OPC dead-zone in CLM technique is the specific region of shutter size that dose not make the wafer CD increased more than a specific size. And also small patterns are easily broken, while fabricating the CLM mask in mesa method. Generally, trench method has better optical performance than mesa. These issues have so far restricted the application of CLM technology to a small field. We approached these issues with 3-D topographic simulation tool and found that the issues could be overcome by applying phase grating in trench-type CLM. With the simulation data, we made some test masks which had many kinds of patterns with many different conditions and analyzed their performance through AIMS fab 193 and exposure on wafer. Finally, we have developed the CLM technology which is free of OPC dead-zone and pattern broken in fabrication process. Therefore, we can apply the CLM technique into sub-65nm node optical lithography including logic devices.

  11. Gasoline immersion injury

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simpson, L.A.; Cruse, C.W.

    1981-01-01

    Chemical burns and pulmonary complications are the most common problems encountered in the patient immersed in gasoline. Our patient demonstrated a 46-percent total-body-surface area, partial-thickness chemical burn. Although he did not develop bronchitis or pneumonitis, he did display persistent atelectasis, laryngeal edema, and subsequent upper airway obstruction. This had not previously been reported in gasoline inhalation injuries. Hydrocarbon hepatitis secondary to the vascular endothelial damage is apparently a reversible lesion with no reported long-term sequelae. Gasoline immersion injuries may be a series multisystem injury and require the burn surgeon to take a multisystem approach to its diagnosis and treatment.

  12. Software-based data path for raster-scanned multi-beam mask lithography

    NASA Astrophysics Data System (ADS)

    Rajagopalan, Archana; Agarwal, Ankita; Buck, Peter; Geller, Paul; Hamaker, H. Christopher; Rao, Nagswara

    2016-10-01

    According to the 2013 SEMATECH Mask Industry Survey,i roughly half of all photomasks are produced using laser mask pattern generator ("LMPG") lithography. LMPG lithography can be used for all layers at mature technology nodes, and for many non-critical and semi-critical masks at advanced nodes. The extensive use of multi-patterning at the 14-nm node significantly increases the number of critical mask layers, and the transition in wafer lithography from positive tone resist to negative tone resist at the 14-nm design node enables the switch from advanced binary masks back to attenuated phase shifting masks that require second level writes to remove unwanted chrome. LMPG lithography is typically used for second level writes due to its high productivity, absence of charging effects, and versatile non-actinic alignment capability. As multi-patterning use expands from double to triple patterning and beyond, the number of LMPG second level writes increases correspondingly. The desire to reserve the limited capacity of advanced electron beam writers for use when essential is another factor driving the demand for LMPG capacity. The increasing demand for cost-effective productivity has kept most of the laser mask writers ever manufactured running in production, sometimes long past their projected lifespan, and new writers continue to be built based on hardware developed some years ago.ii The data path is a case in point. While state-ofthe- art when first introduced, hardware-based data path systems are difficult to modify or add new features to meet the changing requirements of the market. As data volumes increase, design styles change, and new uses are found for laser writers, it is useful to consider a replacement for this critical subsystem. The availability of low-cost, high-performance, distributed computer systems combined with highly scalable EDA software lends itself well to creating an advanced data path system. EDA software, in routine production today, scales

  13. Lossless compression algorithm for REBL direct-write e-beam lithography system

    NASA Astrophysics Data System (ADS)

    Cramer, George; Liu, Hsin-I.; Zakhor, Avideh

    2010-03-01

    Future lithography systems must produce microchips with smaller feature sizes, while maintaining throughputs comparable to those of today's optical lithography systems. This places stringent constraints on the effective data throughput of any maskless lithography system. In recent years, we have developed a datapath architecture for direct-write lithography systems, and have shown that compression plays a key role in reducing throughput requirements of such systems. Our approach integrates a low complexity hardware-based decoder with the writers, in order to decompress a compressed data layer in real time on the fly. In doing so, we have developed a spectrum of lossless compression algorithms for integrated circuit layout data to provide a tradeoff between compression efficiency and hardware complexity, the latest of which is Block Golomb Context Copy Coding (Block GC3). In this paper, we present a modified version of Block GC3 called Block RGC3, specifically tailored to the REBL direct-write E-beam lithography system. Two characteristic features of the REBL system are a rotary stage resulting in arbitrarily-rotated layout imagery, and E-beam corrections prior to writing the data, both of which present significant challenges to lossless compression algorithms. Together, these effects reduce the effectiveness of both the copy and predict compression methods within Block GC3. Similar to Block GC3, our newly proposed technique Block RGC3, divides the image into a grid of two-dimensional "blocks" of pixels, each of which copies from a specified location in a history buffer of recently-decoded pixels. However, in Block RGC3 the number of possible copy locations is significantly increased, so as to allow repetition to be discovered along any angle of orientation, rather than horizontal or vertical. Also, by copying smaller groups of pixels at a time, repetition in layout patterns is easier to find and take advantage of. As a side effect, this increases the total number

  14. Electron Beam/Optical Hybrid Lithography For The Production Of Gallium Arsenide Monolithic Microwave Integrated Circuits (Mimics)

    NASA Astrophysics Data System (ADS)

    Nagarajan, Rao M.; Rask, Steven D.

    1988-06-01

    A hybrid lithography technique is described in which selected levels are fabricated by high resolution direct write electron beam lithography and all other levels are fabricated optically. This technique permits subhalf micron geometries and the site-by-site alignment for each field written by electron beam lithography while still maintaining the high throughput possible with optical lithography. The goal is to improve throughput and reduce overall cost of fabricating MIMIC GaAS chips without compromising device performance. The lithography equipment used for these experiments is the Cambridge Electron beam vector scan system EBMF 6.4 capable of achieving ultra high current densities with a beam of circular cross section and a gaussian intensity profile operated at 20 kev. The optical aligner is a Karl Suss Contact aligner. The flexibility of the Cambridge electron beam system is matched to the less flexible Karl Suss contact aligner. The lithography related factors, such as image placement, exposure and process related analyses, which influence overlay, pattern quality and performance, are discussed. A process chip containing 3.2768mm fields in an eleven by eleven array was used for alignment evaluation on a 3" semi-insulating GaAS wafer. Each test chip contained five optical verniers and four Prometrix registration marks per field along with metal bumps for alignment marks. The process parameters for these chips are identical to those of HEMT/epi-MESFET ohmic contact and gate layer processes. These layers were used to evaluate the overlay accuracy because of their critical alignment and dimensional control requirements. Two cases were examined: (1) Electron beam written gate layers aligned to optically imaged ohmic contact layers and (2) Electron beam written gate layers aligned to electron beam written ohmic contact layers. The effect of substrate charging by the electron beam is also investigated. The resulting peak overlay error accuracies are: (1) Electron

  15. L'expression orale apres treize ans d'immersion francaise (Oral Expression After Thirteen Years of French Immersion).

    ERIC Educational Resources Information Center

    Pellerin, Micheline; Hammerly, Hector

    1986-01-01

    Conversations with six twelfth graders who had been in French immersion since kindergarten found a high rate of incorrect sentences, suggesting a faulty interlanguage fossilized at grade six and a need for immersion program revision. (MSE)

  16. A study of an alignment-less lithography method as an educational resource

    NASA Astrophysics Data System (ADS)

    Kai, Kazuho; Shiota, Koki; Nagaoka, Shiro; Mahmood, Mohamad Rusop Bin Haji; Kawai, Akira

    2016-07-01

    A simplification of the lithography process was studied. The simplification method of photolithography, named "alignment-less lithography" was proposed by omitting the photomask alignment process in photolithography process using mechanically aligned photomasks and substrate by using a simple jig on which countersinks were formed. Photomasks made of glass and the photomasks made of transparent plastic sheets were prepared for the process. As the result, approximately 5µm in the case of the glass mask, and 20µm in the case of the OHP mask were obtained with repetitive accuracies, respectively. It was confirmed that the alignment-less lithography method was successful. The possibility of the application to an educational program, such as a heuristic for solving problems was suggested using the method with the OHP mask. The nMOS FET fabrication process was successfully demonstrated using this method. The feasibility of this process was confirmed. It is expected that a totally simplified device fabrication process can be achievable when combined with other simplifications, such ass the simplified impurity diffusion processes using PSG and BSG thin film as diffusion source prepared by the Sol-Gel material under normal air environment.

  17. The fabrication of nanopatterns with Au nanoparticles-embedded micelles via nanoimprint lithography.

    PubMed

    Lee, Jung-Pil; Kim, Eun-Uk; Koh, Haeng-Deog; Kang, Nam-Goo; Jung, Gun-Young; Lee, Jae-Suk

    2009-09-09

    We fabricated nanopatterns with Au nanoparticles-embedded micelles (Au-micelles) by self-assembly of block copolymers via nanoimprint lithography. The micelle structure prepared by self-assembled block copolymers was used as a template for the synthesis of Au nanoparticles (Au NPs). Au NPs were synthesized in situ inside the micelles of polystyrene-block-poly(2-vinylpyridine) (PS- b-P2VP). Au-micelles were arranged on the trenches of the polymer template, which was imprinted by nanoimprint lithography. The fabrication of line-type and dot-type nanopatterns was carried out by the combined method. In addition, multilayer nanopatterns of the Au-micelles were also proposed.

  18. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography.

    PubMed

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH 3 and NO 2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  19. Conductive polymer nanowire gas sensor fabricated by nanoscale soft lithography

    NASA Astrophysics Data System (ADS)

    Tang, Ning; Jiang, Yang; Qu, Hemi; Duan, Xuexin

    2017-12-01

    Resistive devices composed of one-dimensional nanostructures are promising candidates for the next generation of gas sensors. However, the large-scale fabrication of nanowires is still challenging, which restricts the commercialization of such devices. Here, we report a highly efficient and facile approach to fabricating poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT:PSS) nanowire chemiresistive gas sensors by nanoscale soft lithography. Well-defined sub-100 nm nanowires are fabricated on silicon substrate, which facilitates device integration. The nanowire chemiresistive gas sensor is demonstrated for NH3 and NO2 detection at room temperature and shows a limit of detection at ppb level, which is compatible with nanoscale PEDOT:PSS gas sensors fabricated with the conventional lithography technique. In comparison with PEDOT:PSS thin-film gas sensors, the nanowire gas sensor exhibits higher sensitivity and a much faster response to gas molecules.

  20. High-resolution nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using gas permeable mold

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto

    2017-03-01

    We report high-resolution (150 nm) nanopatterning of biodegradable polylactide by thermal nanoimprint lithography using dichloromethane as a volatile solvent for improving the liquidity and a porous cyclodextrin-based gas-permeable mold. This study demonstrates the high-resolution patterning of polylactic acid and other non-liquid functional materials with poor fluidity by thermal nanoimprinting. Such a patterning is expected to expand the utility of thermal nanoimprint lithography and fabricate non-liquid functional materials suitable for eco-friendly and biomedical applications.

  1. Fabrication of unique 3D microparticles in non-rectangular microchannels with flow lithography

    NASA Astrophysics Data System (ADS)

    Nam, Sung Min; Kim, Kibeom; Park, Wook; Lee, Wonhee

    Invention of flow lithography has offered a simple yet effective method of fabricating micro-particles. However particles produced with conventional techniques were largely limited to 2-dimensional shapes projected to form a column. We proposed inexpensive and simple soft-lithography techniques to fabricate micro-channels with various cross-sectional shapes. The non-rectangular channels are then used to fabricate micro-particles using flow lithography resulting in interesting 3D shapes such as tetrahedrals or half-pyramids. In addition, a microfluidic device capable of fabricating multi-layered micro-particles was developed. On-chip PDMS valves are used to trap and position the particle at the precise location in microchannel with varying cross-section. Multilayer particles are generated by sequential monomer exchange and polymerization along the channel. While conventional multi-layered particles made with droplet generators require their layer materials be dissolved in immiscible fluids, the new method allows diverse choice of materials, not limited to their diffusibility. The multilayer 3D particles can be applied in areas such as drug delivery and tissue engineering.

  2. IQ-Station: A Low Cost Portable Immersive Environment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Eric Whiting; Patrick O'Leary; William Sherman

    2010-11-01

    The emergence of inexpensive 3D TV’s, affordable input and rendering hardware and open-source software has created a yeasty atmosphere for the development of low-cost immersive environments (IE). A low cost IE system, or IQ-station, fashioned from commercial off the shelf technology (COTS), coupled with a targeted immersive application can be a viable laboratory instrument for enhancing scientific workflow for exploration and analysis. The use of an IQ-station in a laboratory setting also has the potential of quickening the adoption of a more sophisticated immersive environment as a critical enabler in modern scientific and engineering workflows. Prior work in immersive environmentsmore » generally required either a head mounted display (HMD) system or a large projector-based implementation both of which have limitations in terms of cost, usability, or space requirements. The solution presented here provides an alternative platform providing a reasonable immersive experience that addresses those limitations. Our work brings together the needed hardware and software to create a fully integrated immersive display and interface system that can be readily deployed in laboratories and common workspaces. By doing so, it is now feasible for immersive technologies to be included in researchers’ day-to-day workflows. The IQ-Station sets the stage for much wider adoption of immersive environments outside the small communities of virtual reality centers.« less

  3. Design of the ultraprecision stage for lithography using VCM

    NASA Astrophysics Data System (ADS)

    Kim, Jung-Han; Kim, Mun-Su; Oh, Min-Taek

    2007-12-01

    This paper presents a new design of precision stage for the reticle in lithography process and a low hunting control method for the stage. The stage has three axes for X,Y, θ Z, those actuated by three voice coil motors individually. The proposed precision stage system has three gap sensors and voice coil motors, and supported by four air bearings, so it do not have any mechanical contact and nonlinear effect such as hysterisis which usually degrade performance in nano level movement. The reticle stage has cross coupled dynamics between X,Y,θ Z, axes, so the forward and inverse kinematics were solved to get an accurate reference position. When the stage is in regulating control mode, there always exist small fluctuations (stage hunting) in the stage movement. Because the low stage hunting characteristic is very important in recent lithography and nano-level applications, the proposed stage has a special regulating controller composed of digital filter, adjustor and switching algorithm. Another importance factor that generates hunting noise is the system noise inside the lithography machine such as EMI from another motor and solenoids. For reducing such system noises, the proposed controller has a two-port transmission system that transfers torque command signal from the DSP board to the amplifier. The low hunting control algorithm and two-port transmission system reduced hunting noise as 35nm(rms) when a conventional PID generates 77nm(rms) in the same mechanical system. The experimental results showed that the reticle system has 100nm linear accuracy and 1μ rad rotation accuracy at the control frequency of 8 kHz.

  4. English Immersion and Educational Inequality in South Korea

    ERIC Educational Resources Information Center

    Jeon, Mihyon

    2012-01-01

    This article explores what immersion English education means in South Korea (henceforth Korea) and examines various related educational practices. The proposal for English immersion from the Presidential Transition Committee of the Lee administration in early 2008 has highlighted immersion education in Korea. Ironically, since the committee's…

  5. Arf6 controls beta-amyloid production by regulating macropinocytosis of the Amyloid Precursor Protein to lysosomes.

    PubMed

    Tang, Weihao; Tam, Joshua H K; Seah, Claudia; Chiu, Justin; Tyrer, Andrea; Cregan, Sean P; Meakin, Susan O; Pasternak, Stephen H

    2015-07-14

    Alzheimer's disease (AD) is characterized by the deposition of Beta-Amyloid (Aβ) peptides in the brain. Aβ peptides are generated by cleavage of the Amyloid Precursor Protein (APP) by the β - and γ - secretase enzymes. Although this process is tightly linked to the internalization of cell surface APP, the compartments responsible are not well defined. We have found that APP can be rapidly internalized from the cell surface to lysosomes, bypassing early and late endosomes. Here we show by confocal microscopy and electron microscopy that this pathway is mediated by macropinocytosis. APP internalization is enhanced by antibody binding/crosslinking of APP suggesting that APP may function as a receptor. Furthermore, a dominant negative mutant of Arf6 blocks direct transport of APP to lysosomes, but does not affect classical endocytosis to endosomes. Arf6 expression increases through the hippocampus with the development of Alzheimer's disease, being expressed mostly in the CA1 and CA2 regions in normal individuals but spreading through the CA3 and CA4 regions in individuals with pathologically diagnosed AD. Disruption of lysosomal transport of APP reduces both Aβ40 and Aβ42 production by more than 30 %. Our findings suggest that the lysosome is an important site for Aβ production and that altering APP trafficking represents a viable strategy to reduce Aβ production.

  6. Attenuated phase-shift mask (PSM) blanks for flat panel display

    NASA Astrophysics Data System (ADS)

    Kageyama, Kagehiro; Mochizuki, Satoru; Yamakawa, Hiroyuki; Uchida, Shigeru

    2015-10-01

    The fine pattern exposure techniques are required for Flat Panel display applications as smart phone, tablet PC recently. The attenuated phase shift masks (PSM) are being used for ArF and KrF photomask lithography technique for high end pattern Semiconductor applications. We developed CrOx based large size PSM blanks that has good uniformity on optical characteristics for FPD applications. We report the basic optical characteristics and uniformity, stability data of large sized CrOx PSM blanks.

  7. Two-Way Immersion: A Key to Global Awareness.

    ERIC Educational Resources Information Center

    Howard, Elizabeth R.

    2002-01-01

    Describes the use of two-way immersion education to provide students with opportunities to understand and appreciate other countries and cultures. The main features of two-way immersion education are bilingual language instruction, cross-cultural understanding, and international exchanges. Includes case study of two-way immersion at the Alicia…

  8. Surface phenomena related to mirror degradation in extreme ultraviolet (EUV) lithography

    NASA Astrophysics Data System (ADS)

    Madey, Theodore E.; Faradzhev, Nadir S.; Yakshinskiy, Boris V.; Edwards, N. V.

    2006-12-01

    One of the most promising methods for next generation device manufacturing is extreme ultraviolet (EUV) lithography, which uses 13.5 nm wavelength radiation generated from freestanding plasma-based sources. The short wavelength of the incident illumination allows for a considerable decrease in printed feature size, but also creates a range of technological challenges not present for traditional optical lithography. Contamination and oxidation form on multilayer reflecting optics surfaces that not only reduce system throughput because of the associated reduction in EUV reflectivity, but also introduce wavefront aberrations that compromise the ability to print uniform features. Capping layers of ruthenium, films ∼2 nm thick, are found to extend the lifetime of Mo/Si multilayer mirrors used in EUV lithography applications. However, reflectivities of even the Ru-coated mirrors degrade in time during exposure to EUV radiation. Ruthenium surfaces are chemically reactive and are very effective as heterogeneous catalysts. In the present paper we summarize the thermal and radiation-induced surface chemistry of bare Ru exposed to gases; the emphasis is on H2O vapor, a dominant background gas in vacuum processing chambers. Our goal is to provide insights into the fundamental physical processes that affect the reflectivity of Ru-coated Mo/Si multilayer mirrors exposed to EUV radiation. Our ultimate goal is to identify and recommend practices or antidotes that may extend mirror lifetimes.

  9. Hylemetry versus Biometry: a new method to certificate the lithography authenticity

    NASA Astrophysics Data System (ADS)

    Schirripa Spagnolo, Giuseppe; Cozzella, Lorenzo; Simonetti, Carla

    2011-06-01

    When we buy an artwork object a certificate of authenticity contain specific details about the artwork. Unfortunately, these certificates are often exchanged between similar artworks: the same document is supplied by the seller to certificate the originality. In this way the buyer will have a copy of an original certificate to attest that the "not original artwork" is an original one. A solution for this problem would be to insert a system that links together the certificate and a specific artwork. To do this it is necessary, for a single artwork, to find unique, unrepeatable, and unchangeable characteristics. In this paper we propose a new lithography certification based on the color spots distribution, which compose the lithography itself. Due to the high resolution acquisition media available today, it is possible using analysis method typical of speckle metrology. In particular, in verification phase it is only necessary acquiring the same portion of lithography, extracting the verification information, using the private key to obtain the same information from the certificate and confronting the two information using a comparison threshold. Due to the possible rotation and translation it is applied image correlation solutions, used in speckle metrology, to determine translation and rotation error and correct allow to verifying extracted and acquired images in the best situation, for granting correct originality verification.

  10. KinImmerse: Macromolecular VR for NMR ensembles

    PubMed Central

    Block, Jeremy N; Zielinski, David J; Chen, Vincent B; Davis, Ian W; Vinson, E Claire; Brady, Rachael; Richardson, Jane S; Richardson, David C

    2009-01-01

    Background In molecular applications, virtual reality (VR) and immersive virtual environments have generally been used and valued for the visual and interactive experience – to enhance intuition and communicate excitement – rather than as part of the actual research process. In contrast, this work develops a software infrastructure for research use and illustrates such use on a specific case. Methods The Syzygy open-source toolkit for VR software was used to write the KinImmerse program, which translates the molecular capabilities of the kinemage graphics format into software for display and manipulation in the DiVE (Duke immersive Virtual Environment) or other VR system. KinImmerse is supported by the flexible display construction and editing features in the KiNG kinemage viewer and it implements new forms of user interaction in the DiVE. Results In addition to molecular visualizations and navigation, KinImmerse provides a set of research tools for manipulation, identification, co-centering of multiple models, free-form 3D annotation, and output of results. The molecular research test case analyzes the local neighborhood around an individual atom within an ensemble of nuclear magnetic resonance (NMR) models, enabling immersive visual comparison of the local conformation with the local NMR experimental data, including target curves for residual dipolar couplings (RDCs). Conclusion The promise of KinImmerse for production-level molecular research in the DiVE is shown by the locally co-centered RDC visualization developed there, which gave new insights now being pursued in wider data analysis. PMID:19222844

  11. Optofluidic encapsulation and manipulation of silicon microchips using image processing based optofluidic maskless lithography and railed microfluidics.

    PubMed

    Chung, Su Eun; Lee, Seung Ah; Kim, Jiyun; Kwon, Sunghoon

    2009-10-07

    We demonstrate optofluidic encapsulation of silicon microchips using image processing based optofluidic maskless lithography and manipulation using railed microfluidics. Optofluidic maskless lithography is a dynamic photopolymerization technique of free-floating microstructures within a fluidic channel using spatial light modulator. Using optofluidic maskless lithography via computer-vision aided image processing, polymer encapsulants are fabricated for chip protection and guiding-fins for efficient chip conveying within a fluidic channel. Encapsulated silicon chips with guiding-fins are assembled using railed microfluidics, which is an efficient guiding and heterogeneous self-assembly system of microcomponents. With our technology, externally fabricated silicon microchips are encapsulated, fluidically guided and self-assembled potentially enabling low cost fluidic manipulation and assembly of integrated circuits.

  12. 21 CFR 890.5100 - Immersion hydrobath.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 8 2011-04-01 2011-04-01 false Immersion hydrobath. 890.5100 Section 890.5100 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES PHYSICAL MEDICINE DEVICES Physical Medicine Therapeutic Devices § 890.5100 Immersion...

  13. 21 CFR 890.5100 - Immersion hydrobath.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 8 2012-04-01 2012-04-01 false Immersion hydrobath. 890.5100 Section 890.5100 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES PHYSICAL MEDICINE DEVICES Physical Medicine Therapeutic Devices § 890.5100 Immersion...

  14. 21 CFR 890.5100 - Immersion hydrobath.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 8 2013-04-01 2013-04-01 false Immersion hydrobath. 890.5100 Section 890.5100 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES PHYSICAL MEDICINE DEVICES Physical Medicine Therapeutic Devices § 890.5100 Immersion...

  15. 21 CFR 890.5100 - Immersion hydrobath.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... 21 Food and Drugs 8 2010-04-01 2010-04-01 false Immersion hydrobath. 890.5100 Section 890.5100 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES PHYSICAL MEDICINE DEVICES Physical Medicine Therapeutic Devices § 890.5100 Immersion...

  16. 21 CFR 890.5100 - Immersion hydrobath.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 8 2014-04-01 2014-04-01 false Immersion hydrobath. 890.5100 Section 890.5100 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES (CONTINUED) MEDICAL DEVICES PHYSICAL MEDICINE DEVICES Physical Medicine Therapeutic Devices § 890.5100 Immersion...

  17. Programmable imprint lithography template

    DOEpatents

    Cardinale, Gregory F [Oakland, CA; Talin, Albert A [Livermore, CA

    2006-10-31

    A template for imprint lithography (IL) that reduces significantly template production costs by allowing the same template to be re-used for several technology generations. The template is composed of an array of spaced-apart moveable and individually addressable rods or plungers. Thus, the template can be configured to provide a desired pattern by programming the array of plungers such that certain of the plungers are in an "up" or actuated configuration. This arrangement of "up" and "down" plungers forms a pattern composed of protruding and recessed features which can then be impressed onto a polymer film coated substrate by applying a pressure to the template impressing the programmed configuration into the polymer film. The pattern impressed into the polymer film will be reproduced on the substrate by subsequent processing.

  18. Plasmonic Lithography Utilizing Epsilon Near Zero Hyperbolic Metamaterial.

    PubMed

    Chen, Xi; Zhang, Cheng; Yang, Fan; Liang, Gaofeng; Li, Qiaochu; Guo, L Jay

    2017-10-24

    In this work, a special hyperbolic metamaterial (HMM) metamaterial is investigated for plasmonic lithography of period reduction patterns. It is a type II HMM (ϵ ∥ < 0 and ϵ ⊥ > 0) whose tangential component of the permittivity ϵ ∥ is close to zero. Due to the high anisotropy of the type II epsilon-near-zero (ENZ) HMM, only one plasmonic mode can propagate horizontally with low loss in a waveguide system with ENZ HMM as its core. This work takes the advantage of a type II ENZ HMM composed of aluminum/aluminum oxide films and the associated unusual mode to expose a photoresist layer in a specially designed lithography system. Periodic patterns with a half pitch of 58.3 nm were achieved due to the interference of third-order diffracted light of the grating. The lines were 1/6 of the mask with a period of 700 nm and ∼1/7 of the wavelength of the incident light. Moreover, the theoretical analyses performed are widely applicable to structures made of different materials such as silver as well as systems working at deep ultraviolet wavelengths including 193, 248, and 365 nm.

  19. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  20. Middle Immersion Study 1988. Research Paper 88-08.

    ERIC Educational Resources Information Center

    Parkin, Michael; And Others

    Ottawa's program of middle French immersion (MFI) instruction, beginning in fourth grade, was evaluated when the first cohort reached sixth grade. Results of the study were compared with those of other groups, particularly the early French immersion (EFI) program and late French immersion (LFI) program students. The evaluation also added data from…

  1. Self-aligned grating couplers on template-stripped metal pyramids via nanostencil lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Klemme, Daniel J.; Johnson, Timothy W.; Mohr, Daniel A.

    2016-05-23

    We combine nanostencil lithography and template stripping to create self-aligned patterns about the apex of ultrasmooth metal pyramids with high throughput. Three-dimensional patterns such as spiral and asymmetric linear gratings, which can couple incident light into a hot spot at the tip, are presented as examples of this fabrication method. Computer simulations demonstrate that spiral and linear diffraction grating patterns are both effective at coupling light to the tip. The self-aligned stencil lithography technique can be useful for integrating plasmonic couplers with sharp metallic tips for applications such as near-field optical spectroscopy, tip-based optical trapping, plasmonic sensing, and heat-assisted magneticmore » recording.« less

  2. Maskless micro-ion-beam reduction lithography system

    DOEpatents

    Leung, Ka-Ngo; Barletta, William A.; Patterson, David O.; Gough, Richard A.

    2005-05-03

    A maskless micro-ion-beam reduction lithography system is a system for projecting patterns onto a resist layer on a wafer with feature size down to below 100 nm. The MMRL system operates without a stencil mask. The patterns are generated by switching beamlets on and off from a two electrode blanking system or pattern generator. The pattern generator controllably extracts the beamlet pattern from an ion source and is followed by a beam reduction and acceleration column.

  3. Understanding overlay signatures using machine learning on non-lithography context information

    NASA Astrophysics Data System (ADS)

    Overcast, Marshall; Mellegaard, Corey; Daniel, David; Habets, Boris; Erley, Georg; Guhlemann, Steffen; Thrun, Xaver; Buhl, Stefan; Tottewitz, Steven

    2018-03-01

    Overlay errors between two layers can be caused by non-lithography processes. While these errors can be compensated by the run-to-run system, such process and tool signatures are not always stable. In order to monitor the impact of non-lithography context on overlay at regular intervals, a systematic approach is needed. Using various machine learning techniques, significant context parameters that relate to deviating overlay signatures are automatically identified. Once the most influential context parameters are found, a run-to-run simulation is performed to see how much improvement can be obtained. The resulting analysis shows good potential for reducing the influence of hidden context parameters on overlay performance. Non-lithographic contexts are significant contributors, and their automatic detection and classification will enable the overlay roadmap, given the corresponding control capabilities.

  4. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography

    PubMed Central

    Morris, Michael A.

    2017-01-01

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO3) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance. PMID:28973987

  5. Inspection of imprint lithography patterns for semiconductor and patterned media

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Haase, Gaddi; Singh, Lovejeet; Curran, David; Schmid, Gerard M.; Luo, Kang; Brooks, Cindy; Selinidis, Kosta; Fretwell, John; Sreenivasan, S. V.

    2010-03-01

    Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Acceptance of imprint lithography for manufacturing will require demonstration that it can attain defect levels commensurate with the requirements of cost-effective device production. This work summarizes the results of defect inspections of semiconductor masks, wafers and hard disks patterned using Jet and Flash Imprint Lithography (J-FILTM). Inspections were performed with optical and e-beam based automated inspection tools. For the semiconductor market, a test mask was designed which included dense features (with half pitches ranging between 32 nm and 48 nm) containing an extensive array of programmed defects. For this work, both e-beam inspection and optical inspection were used to detect both random defects and the programmed defects. Analytical SEMs were then used to review the defects detected by the inspection. Defect trends over the course of many wafers were observed with another test mask using a KLA-T 2132 optical inspection tool. The primary source of defects over 2000 imprints were particle related. For the hard drive market, it is important to understand the defectivity of both the template and the imprinted disk. This work presents a methodology for automated pattern inspection and defect classification for imprint-patterned media. Candela CS20 and 6120 tools from KLA-Tencor map the optical properties of the disk surface, producing highresolution grayscale images of surface reflectivity, scattered light, phase shift, etc. Defects that have been identified in this manner are further characterized according to the morphology

  6. Creating Active Device Materials for Nanoelectronics Using Block Copolymer Lithography.

    PubMed

    Cummins, Cian; Bell, Alan P; Morris, Michael A

    2017-09-30

    The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO₃) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)- block -poly(4-vinylpyridine) (PS- b -P4VP) BCP soft template. We outline WO₃ nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO₃ nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

  7. Voxel-based Immersive Environments Immersive Environments

    DTIC Science & Technology

    2000-05-31

    3D accelerated hardware. While this method lends itself well to modem hardware, the quality of the resulting images was low due to the coarse sampling...pipes. We will use MPEG video compression when sending video over T1 line, whereas for 56K bit Internet connection, we can use one of the more...sent over the communication line. The ultimate goal is to send the immersive environment over the 56K bps Internet. Since we need to send audio and

  8. Structure of the catalytic domain of Plasmodium falciparum ARF GTPase-activating protein (ARFGAP)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cook, William J.; Senkovich, Olga; Chattopadhyay, Debasish

    2012-03-26

    The crystal structure of the catalytic domain of the ADP ribosylation factor GTPase-activating protein (ARFGAP) from Plasmodium falciparum has been determined and refined to 2.4 {angstrom} resolution. Multiwavelength anomalous diffraction (MAD) data were collected utilizing the Zn{sup 2+} ion bound at the zinc-finger domain and were used to solve the structure. The overall structure of the domain is similar to those of mammalian ARFGAPs. However, several amino-acid residues in the area where GAP interacts with ARF1 differ in P. falciparum ARFGAP. Moreover, a number of residues that form the dimer interface in the crystal structure are unique in P. falciparummore » ARFGAP.« less

  9. Whole body cooling by immersion in water at moderate temperatures.

    PubMed

    Marino, F; Booth, J

    1998-06-01

    This study investigated the potential use of whole body cooling by water immersion for lowering body temperatures prior to endurance exercise. Rectal temperature (Tre), mean skin temperature (Tsk), oxygen consumption (VO2), and ventilation (VE) were measured in 7 male and 3 female subjects who were immersed in a water bath for up to 60 min. Initial water temperature was 28.8+/-1.5 degrees C and decreased to 23.8+/-1.1 degrees C by the end of immersion. Pre-immersion Tre of 37.34+/-0.36 degrees C was not altered by 60 min water immersion but decreased to 36.64+/-0.34 degrees C at 3 min post immersion (p < 0.01). Tsk decreased from 33.23+/-1.4 degrees C to 26.95+/-1.8 degrees C (p < 0.01) at the end of immersion. Reductions in Tre and Tsk resulted in reduced body heat content (Hc) of approximately 545 kJ (p < 0.01) at the end of immersion. VO2 and VE increased from pre-immersion values of 0.34+/-0.08 L x min(-1) and 6.2+/-1.4 L x min(-1) to 0.54+/-0.09 L x min(-) and 11.5+/-5.4 L x min(-1) at the end of immersion, respectively. Heart rate remained unchanged throughout immersion. These results indicate that whole body immersion in moderately cold water temperatures is an effective cooling maneuver for lowering body temperatures and body Hc in the absence of severe physiological responses generally associated with sudden cold stress.

  10. Development of XUV projection lithography at 60 to 80 nm

    NASA Astrophysics Data System (ADS)

    Newnam, B. E.; Viswanathan, V. K.

    The rationale, design, component properties, properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60-80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  11. Integration of plant viruses in electron beam lithography nanostructures.

    PubMed

    Alonso, Jose M; Ondarçuhu, Thierry; Bittner, Alexander M

    2013-03-15

    Tobacco mosaic virus (TMV) is the textbook example of a virus, and also of a self-assembling nanoscale structure. This tubular RNA/protein architecture has also found applications as biotemplate for the synthesis of nanomaterials such as wires, as tubes, or as nanoparticle assemblies. Although TMV is, being a biological structure, quite resilient to environmental conditions (temperature, chemicals), it cannot be processed in electron beam lithography (eBL) fabrication, which is the most important and most versatile method of nanoscale structuring. Here we present adjusted eBL-compatible processes that allow the incorporation of TMV in nanostructures made of positive and negative tone eBL resists. The key steps are covering TMV by polymer resists, which are only heated to 50 °C, and development (selective dissolution) in carefully selected organic solvents. We demonstrate the post-lithography biochemical functionality of TMV by selective immunocoating of the viral particles, and the use of immobilized TMV as direct immunosensor. Our modified eBL process should be applicable to incorporate a wide range of sensitive materials in nanofabrication schemes.

  12. High-throughput NGL electron-beam direct-write lithography system

    NASA Astrophysics Data System (ADS)

    Parker, N. William; Brodie, Alan D.; McCoy, John H.

    2000-07-01

    Electron beam lithography systems have historically had low throughput. The only practical solution to this limitation is an approach using many beams writing simultaneously. For single-column multi-beam systems, including projection optics (SCALPELR and PREVAIL) and blanked aperture arrays, throughput and resolution are limited by space-charge effects. Multibeam micro-column (one beam per column) systems are limited by the need for low voltage operation, electrical connection density and fabrication complexities. In this paper, we discuss a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams. This overcomes the limitations of space-charge interactions and low voltage operation. We also discuss a rationale leading to the optimum number of columns and beams per column. Using this approach we show how production throughputs >= 60 wafers per hour can be achieved at CDs lithography are significant especially for small-volume semiconductor fabrication, for example ASICs, SOCs and MPUs.

  13. Interaction of a Blumeria graminis f. sp. hordei effector candidate with a barley ARF-GAP suggests that host vesicle trafficking is a fungal pathogenicity target.

    PubMed

    Schmidt, Sarah M; Kuhn, Hannah; Micali, Cristina; Liller, Corinna; Kwaaitaal, Mark; Panstruga, Ralph

    2014-08-01

    Filamentous phytopathogens, such as fungi and oomycetes, secrete effector proteins to establish successful interactions with their plant hosts. In contrast with oomycetes, little is known about effector functions in true fungi. We used a bioinformatics pipeline to identify Blumeria effector candidates (BECs) from the obligate biotrophic barley powdery mildew pathogen, Blumeria graminis f. sp. hordei (Bgh). BEC1-BEC5 are expressed at different time points during barley infection. BEC1, BEC2 and BEC4 have orthologues in the Arabidopsis thaliana-infecting powdery mildew fungus Golovinomyces orontii. Arabidopsis lines stably expressing the G. orontii BEC2 orthologue, GoEC2, are more susceptible to infection with the non-adapted fungus Erysiphe pisi, suggesting that GoEC2 contributes to powdery mildew virulence. For BEC3 and BEC4, we identified thiopurine methyltransferase, a ubiquitin-conjugating enzyme, and an ADP ribosylation factor-GTPase-activating protein (ARF-GAP) as potential host targets. Arabidopsis knockout lines of the respective HvARF-GAP orthologue (AtAGD5) allowed higher entry levels of E. pisi, but exhibited elevated resistance to the oomycete Hyaloperonospora arabidopsidis. We hypothesize that ARF-GAP proteins are conserved targets of powdery and downy mildew effectors, and we speculate that BEC4 might interfere with defence-associated host vesicle trafficking. © 2013 BSPP AND JOHN WILEY & SONS LTD.

  14. A fuzzy pattern matching method based on graph kernel for lithography hotspot detection

    NASA Astrophysics Data System (ADS)

    Nitta, Izumi; Kanazawa, Yuzi; Ishida, Tsutomu; Banno, Koji

    2017-03-01

    In advanced technology nodes, lithography hotspot detection has become one of the most significant issues in design for manufacturability. Recently, machine learning based lithography hotspot detection has been widely investigated, but it has trade-off between detection accuracy and false alarm. To apply machine learning based technique to the physical verification phase, designers require minimizing undetected hotspots to avoid yield degradation. They also need a ranking of similar known patterns with a detected hotspot to prioritize layout pattern to be corrected. To achieve high detection accuracy and to prioritize detected hotspots, we propose a novel lithography hotspot detection method using Delaunay triangulation and graph kernel based machine learning. Delaunay triangulation extracts features of hotspot patterns where polygons locate irregularly and closely one another, and graph kernel expresses inner structure of graphs. Additionally, our method provides similarity between two patterns and creates a list of similar training patterns with a detected hotspot. Experiments results on ICCAD 2012 benchmarks show that our method achieves high accuracy with allowable range of false alarm. We also show the ranking of the similar known patterns with a detected hotspot.

  15. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography

    NASA Astrophysics Data System (ADS)

    Wang, L.; Kirk, E.; Wäckerlin, C.; Schneider, C. W.; Hojeij, M.; Gobrecht, J.; Ekinci, Y.

    2014-06-01

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  16. Nearly amorphous Mo-N gratings for ultimate resolution in extreme ultraviolet interference lithography.

    PubMed

    Wang, L; Kirk, E; Wäckerlin, C; Schneider, C W; Hojeij, M; Gobrecht, J; Ekinci, Y

    2014-06-13

    We present fabrication and characterization of high-resolution and nearly amorphous Mo1 - xNx transmission gratings and their use as masks for extreme ultraviolet (EUV) interference lithography. During sputter deposition of Mo, nitrogen is incorporated into the film by addition of N2 to the Ar sputter gas, leading to suppression of Mo grain growth and resulting in smooth and homogeneous thin films with a negligible grain size. The obtained Mo0.8N0.2 thin films, as determined by x-ray photoelectron spectroscopy, are characterized to be nearly amorphous using x-ray diffraction. We demonstrate a greatly reduced Mo0.8N0.2 grating line edge roughness compared with pure Mo grating structures after e-beam lithography and plasma dry etching. The amorphous Mo0.8N0.2 thin films retain, to a large extent, the benefits of Mo as a phase grating material for EUV wavelengths, providing great advantages for fabrication of highly efficient diffraction gratings with extremely low roughness. Using these grating masks, well-resolved dense lines down to 8 nm half-pitch are fabricated with EUV interference lithography.

  17. Advancing semiconductor–electrocatalyst systems: application of surface transformation films and nanosphere lithography

    DOE PAGES

    Brinkert, Katharina; Richter, Matthias H.; Akay, Ömer; ...

    2018-01-01

    We demonstrate that shadow nanosphere lithography (SNL) is an auspicious tool to systematically create three-dimensional electrocatalyst nanostructures on the semiconductor photoelectrode through controlling their morphology and optical properties.

  18. Hypervolemia and plasma vasopressin response during water immersion in men

    NASA Technical Reports Server (NTRS)

    Greenleaf, J. E.; Morse, J. T.; Barnes, P. R.; Silver, J.; Keil, L. C.

    1983-01-01

    Immersion studies were performed on seven mildly dehydrated male subjects to examine the effect of suppression of plasma vasopressin (PVP) on diuresis in water immersion. The water was kept at close to 34.5 C and the subjects remained in the water for 4 hr after sitting for 2 hr. Na and K levels in the serum and urine were analyzed, as were osmolality, red blood cell count, renin activity, total protein, albumin amounts, hematocrit, and hemoglobin. Plasma volume was monitored from samples drawn at specified intervals during immersion. The plasma volume increased significantly 30 min after immersion, but no PVP was observed. The dehydration induced elevated serum osmotic concentrations. It is concluded that the hydration condition before immersion and the volume of fluid intake during immersion affects the hemodilution during immersion.

  19. Eco-friendly electron beam lithography using water-developable resist material derived from biomass

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Oshima, Akihiro; Wakabayashi, Takanori; Kozawa, Takahiro; Tagawa, Seiichi

    2012-07-01

    We investigated the eco-friendly electron beam (EB) lithography using a high-sensitive negative type of water-developable resist material derived from biomass on hardmask layer for tri-layer processes. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of trimethylphenylammonium hydroxide. The images of 200 nm line and 800 nm space pattern with exposure dose of 7.0 μC/cm2 and CF4 etching selectivity of 2.2 with hardmask layer were provided by specific process conditions.

  20. Examination for optimization of synchrotron radiation spectrum for the x ray depth lithography

    NASA Astrophysics Data System (ADS)

    Dany, Raimund

    1992-06-01

    The effect of reducing the vertical distribution of synchrotron radiation on its spectral distribution is examined through resin irradiation. The resulting filter effect is compared to that of absorption filters. Transmission coefficients of titanium, gold, and polyamide were calculated from linear absorption coefficients with the Beer law. The use of a diaphragm in X-ray depth lithography, which is the first step of the LIGA (Lithography Galvanoforming Molding) process, is discussed. A calorimetric device for determining the synchrotron radiation power and distribution was developed and tested. Measurements at the ELSA storage ring show a strong dependence of the vertical emittance on the electron current.

  1. 46 CFR 199.214 - Immersion suits and thermal protective aids.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 7 2010-10-01 2010-10-01 false Immersion suits and thermal protective aids. 199.214... Passenger Vessels § 199.214 Immersion suits and thermal protective aids. (a) Each passenger vessel must... an immersion suit. (c) The immersion suits and thermal protective aids required under paragraphs (a...

  2. 46 CFR 199.214 - Immersion suits and thermal protective aids.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 46 Shipping 7 2014-10-01 2014-10-01 false Immersion suits and thermal protective aids. 199.214... Passenger Vessels § 199.214 Immersion suits and thermal protective aids. (a) Each passenger vessel must... an immersion suit. (c) The immersion suits and thermal protective aids required under paragraphs (a...

  3. 46 CFR 199.214 - Immersion suits and thermal protective aids.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 7 2011-10-01 2011-10-01 false Immersion suits and thermal protective aids. 199.214... Passenger Vessels § 199.214 Immersion suits and thermal protective aids. (a) Each passenger vessel must... an immersion suit. (c) The immersion suits and thermal protective aids required under paragraphs (a...

  4. 46 CFR 199.214 - Immersion suits and thermal protective aids.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 46 Shipping 7 2013-10-01 2013-10-01 false Immersion suits and thermal protective aids. 199.214... Passenger Vessels § 199.214 Immersion suits and thermal protective aids. (a) Each passenger vessel must... an immersion suit. (c) The immersion suits and thermal protective aids required under paragraphs (a...

  5. 46 CFR 199.214 - Immersion suits and thermal protective aids.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 46 Shipping 7 2012-10-01 2012-10-01 false Immersion suits and thermal protective aids. 199.214... Passenger Vessels § 199.214 Immersion suits and thermal protective aids. (a) Each passenger vessel must... an immersion suit. (c) The immersion suits and thermal protective aids required under paragraphs (a...

  6. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-01

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  7. Fabrication of ferroelectric polymer nanostructures on flexible substrates by soft-mold reverse nanoimprint lithography.

    PubMed

    Song, Jingfeng; Lu, Haidong; Li, Shumin; Tan, Li; Gruverman, Alexei; Ducharme, Stephen

    2016-01-08

    Conventional nanoimprint lithography with expensive rigid molds is used to pattern ferroelectric polymer nanostructures on hard substrate for use in, e.g., organic electronics. The main innovation here is the use of inexpensive soft polycarbonate molds derived from recordable DVDs and reverse nanoimprint lithography at low pressure, which is compatible with flexible substrates. This approach was implemented to produce regular stripe arrays with a spacing of 700 nm from vinylidene fluoride co trifluoroethylene ferroelectric copolymer on flexible polyethylene terephthalate substrates. The nanostructures have very stable and switchable piezoelectric response and good crystallinity, and are highly promising for use in organic electronics enhanced or complemented by the unique properties of the ferroelectric polymer, such as bistable polarization, piezoelectric response, pyroelectric response, or electrocaloric function. The soft-mold reverse nanoimprint lithography also leaves little or no residual layer, affording good isolation of the nanostructures. This approach reduces the cost and facilitates large-area, high-throughput production of isolated functional polymer nanostructures on flexible substrates for the increasing application of ferroelectric polymers in flexible electronics.

  8. The Balancing Act of Bilingual Immersion

    ERIC Educational Resources Information Center

    Hadi-Tabassum, Samina

    2005-01-01

    Hadi-Tabassum believes having a separate life context for each language she learned in childhood enabled her to switch easily among five different tongues. She states that the success of dual immersion bilingual programs is largely dependent on whether they immerse students in each of the involved languages separately and help students have a…

  9. Method for maskless lithography

    DOEpatents

    Sweatt, William C.; Stulen, Richard H.

    2000-01-01

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of these individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.

  10. Achieving pattern uniformity in plasmonic lithography by spatial frequency selection

    NASA Astrophysics Data System (ADS)

    Liang, Gaofeng; Chen, Xi; Zhao, Qing; Guo, L. Jay

    2018-01-01

    The effects of the surface roughness of thin films and defects on photomasks are investigated in two representative plasmonic lithography systems: thin silver film-based superlens and multilayer-based hyperbolic metamaterial (HMM). Superlens can replicate arbitrary patterns because of its broad evanescent wave passband, which also makes it inherently vulnerable to the roughness of the thin film and imperfections of the mask. On the other hand, the HMM system has spatial frequency filtering characteristics and its pattern formation is based on interference, producing uniform and stable periodic patterns. In this work, we show that the HMM system is more immune to such imperfections due to its function of spatial frequency selection. The analyses are further verified by an interference lithography system incorporating the photoresist layer as an optical waveguide to improve the aspect ratio of the pattern. It is concluded that a system capable of spatial frequency selection is a powerful method to produce deep-subwavelength periodic patterns with high degree of uniformity and fidelity.

  11. Etched-multilayer phase shifting masks for EUV lithography

    DOEpatents

    Chapman, Henry N.; Taylor, John S.

    2005-04-05

    A method is disclosed for the implementation of phase shifting masks for EUV lithography. The method involves directly etching material away from the multilayer coating of the mask, to cause a refractive phase shift in the mask. By etching into the multilayer (for example, by reactive ion etching), rather than depositing extra material on the top of the multilayer, there will be minimal absorption loss associated with the phase shift.

  12. Fabrication of functional devices using soft lithography and unconventional micropatterning

    NASA Astrophysics Data System (ADS)

    Deng, Tao

    In this thesis, I present part of our work in the fabrication of functional devices using soft lithography, and also describe unconventional micropatterning techniques involving photographic films. Soft lithography is a set of techniques that are complementary to photolithography, but not limited to planar patterning. It offers the capability of generating micro and nanostructures to a larger community than that familiar with conventional fabrication facilities. The first part of this thesis (chapter 1--4) focuses on the fabrication of microelectronic and micromagnetic devices. These successful demonstrations establish the compatibility of soft lithography with multilayer fabrication of functional devices, and open the door for the further development in these areas. Chapter 1 and 2 describe the use of microtransfer molding (muTM), micromolding in capillaries (MIMIC), and microcontact (muCP) for fabricating Schottky diodes and half-wave rectifier circuits. The fabrication processes involve multiple soft lithography steps and address the registrations between different layer of structures. Room temperature characteristics of these devices resemble those of diodes and rectifiers fabricated by photolithography. Chapter 3 and 4 demonstrate the fabrication of micromagnetic systems. In chapter 3, a one-dimensional bead motor is reported. Based on current-carrying wire systems, the bead motor can trap and transfer magnetic beads suspended in aqueous solutions. Chapter 4 shows a microfiltration system that uses arrays of nickel posts positioned in a polydimethylsiloxane (PDMS) microfluidic channel as the filtering elements. Turning on or off the magnetic field that is localized by these nickel posts can trap or release magnetic beads flowing by. The second part of this thesis (chapter 5--7) focuses on the development of unconventional microfabrication. The major objective underlying this work is to explore the simplest and most broadly available techniques that we could

  13. Inactivation of INK4a and ARF induces myocardial proliferation and improves cardiac repair following ischemia‑reperfusion.

    PubMed

    An, Songtao; Chen, Yan; Gao, Chuanyu; Qin, Bingyu; Du, Xianhui; Meng, Fanmin; Qi, Yanyan

    2015-10-01

    The growth of the heart during mammalian embryonic development is primarily dependent on an increase in the number of cardiomyocytes (CM). However, shortly following birth, CMs cease proliferating and further growth of the myocardium is achieved via hypertrophic expansion of the existing CM population. The cyclin-dependent kinase inhibitor 2A (Cdkn2a) locus encodes overlapping genes for two tumor suppressor proteins, p16INK4a and p19 alternative reading frame (ARF). To determine whether decreased Cdkn2a gene expression results in improved cardiac regeneration in vitro and in vivo following cardiac injury, the proliferation of CMs isolated from Cdkn2a knockout (KO) and wild‑type (WT) mice in vitro and in vivo were evaluated following generation of ischemia reperfusion (IR) injury. The KO mice demonstrated enhanced CM proliferation not only in vitro, but also in vivo. Furthermore, heart function was improved and scar size was decreased in the KO mice compared with that of the WT mice. The results also indicated that microRNA (miR)‑1 and miR‑195 expression levels associated with cell proliferation were reduced following IR injury in KO mice compared with those of WT mice. These results suggested that the inactivation of INK4a and ARF stimulated CM proliferation and promoted cardiac repair.

  14. Finding the right way: DFM versus area efficiency for 65 nm gate layer lithography

    NASA Astrophysics Data System (ADS)

    Sarma, Chandra S.; Scheer, Steven; Herold, Klaus; Fonseca, Carlos; Thomas, Alan; Schroeder, Uwe P.

    2006-03-01

    DFM (Design for Manufacturing) has become a buzzword for lithography since the 90nm node. Implementing DFM intelligently can boost yield rates and reliability in semiconductor manufacturing significantly. However, any restriction on the design space will always result in an area loss, thus diminishing the effective shrink factor for a given technology. For a lithographer, the key task is to develop a manufacturable process, while not sacrificing too much area. We have developed a high performing lithography process for attenuated gate level lithography that is based on aggressive illumination and a newly optimized SRAF placement schemes. In this paper we present our methodology and results for this optimization, using an anchored simulation model. The wafer results largely confirm the predictions of the simulations. The use of aggressive SRAF (Sub Resolution Assist Features) strategy leads to reduction of forbidden pitch regions without any SRAF printing. The data show that our OPC is capable of correcting the PC tip to tip distance without bridging between the tips in dense SRAM cells. SRAF strategy for various 2D cases has also been verified on wafer. We have shown that aggressive illumination schemes yielding a high performing lithography process can be employed without sacrificing area. By carefully choosing processing conditions, we were able develop a process that has very little restrictions for design. In our approach, the remaining issues can be addressed by DFM, partly in data prep procedures, which are largely area neutral and transparent to the designers. Hence, we have shown successfully, that DFM and effective technology shrinks are not mutually exclusive.

  15. Intrapericardial denervation: Responses to water immersion in rhesus monkeys

    NASA Technical Reports Server (NTRS)

    McKeever, Kenneth H.; Keil, Lanny C.; Sandler, Harold

    1995-01-01

    Eleven anesthetized rhesus monkeys were used to study cardiovascular, renal, and endocrine alterations associated with 120 min of head-out water immersion. Five animals underwent complete intrapericardial denervation using the Randall technique, while the remaining six monkeys served as intact controls. Each animal was chronically instrumented with an electromagnetic flow probe on the ascending aorta, a strain gauge pressure transducer implanted in the apex of the left ventricle (LV), and electrocardiogram leads anchored to the chest wall and LV. During immersion, LV end-diastolic pressure, urine flow, glomerular filtration rate, sodium excretion, and circulating atrial natriuretic peptide (ANP) each increased (P less than 0.05) for intact and denervated monkeys. There were no alterations in free water clearance in either group during immersion, yet fractional excretion of free water increased (P less than 0.05) in the intact monkeys. Plasma renin activity (PRA) decreased (P less than 0.05) during immersion in intact monkeys but not the denervated animals. Plasma vasopressin (PVP) concentration decreased (P less than 0.05) during the first 30 min of immersion in both groups but was not distinguishable from control by 60 min of immersion in denervated monkeys. These data demonstrate that complete cardiac denervation does not block the rise in plasma ANP or prevent the natriuresis associated with head-out water immersion. The suppression of PVP during the first minutes of immersion after complete cardiac denervation suggests that extracardiac sensing mechanisms associated with the induced fluid shifts may be responsible for the findings. water immersion; natriuresis; vasopressin; eardiae denervation; monkey

  16. Manufacturability study of masks created by inverse lithography technology (ILT)

    NASA Astrophysics Data System (ADS)

    Martin, Patrick M.; Progler, C. J.; Xiao, G.; Gray, R.; Pang, L.; Liu, Y.

    2005-11-01

    As photolithography is pushed to fabricate deep-sub wavelength devices for 90nm, 65nm and smaller technology nodes using available exposure tools (i.e., 248nm, 193nm steppers), photomask capability is becoming extremely critical. For example, PSM masks require more complicated processing; aggressive OPC makes the writing time longer and sometimes unpredictable; and, high MEEF imposes much more stringent demands on mask quality. Therefore, in order for any new lithography technology to be adopted into production, mask manufacturability must be studied thoroughly and carefully. In this paper we will present the mask manufacturability study on mask patterns created using Inverse Lithography Technology (ILT). Unlike conventional OPC methodologies, ILT uses a unique outcome-based technology to mathematically determine the mask features that produce the desired on-wafer results. ILT solves the most critical litho challenges of the deep sub-wavelength era. Potential benefits include: higher yield; expanded litho process windows; superb pattern fidelity at 90, 65 & 45-nm nodes; and reduced time-to-silicon - all without changing the existing lithography infrastructure and design-to-silicon flow. In this study a number of cell structures were selected and used as test patterns. "Luminized patterns" were generated for binary mask and attenuated phase-shift mask. Both conventional OPC patterns and "luminized patterns" were put on a test reticle side by side, and they all have a number of variations in term of correction aggressivity level and mask complexity. Mask manufacturability, including data fracturing, writing time, mask inspection, and metrology were studied. The results demonstrate that, by optimizing the inspection recipe, masks created using ILT technology can be made and qualified using current processes with a reasonable turn-around time.

  17. Craniomandibular System and Postural Balance after 3-Day Dry Immersion

    PubMed Central

    Treffel, Loïc; Dmitrieva, Liubov; Gauquelin-Koch, Guillemette; Custaud, Marc-Antoine; Blanc, Stéphane; Gharib, Claude; Millet, Catherine

    2016-01-01

    The objective of the study was to determine the influence of simulated microgravity by exposure to dry immersion on the craniomandibular system. Twelve healthy male volunteers participated in a 3-day dry immersion study. Before and immediately after exposure we measured maximal bite force using piezoresistive sensors. The mechanical properties of the jaw and cervical muscles were evaluated before, during, and after dry immersion using MyotonPRO. Because recent studies reported the effects of jaw motor activity on the postural stability of humans, stabilometric measurements of center of pressure were performed before and after dry immersion in two mandibular positions: rest position without jaw clenching, and intercuspidal position during voluntary teeth clenching. Results revealed no significant changes of maximal bite force after dry immersion. All postural parameters were significantly altered by dry immersion. There were however no significant differences in stabilometric data according to mandibular position. Moreover the masseter tonicity increased immediately after the end of dry immersion period. Dry immersion could be used as a valid model for studying the effects of microgravity on human subjects. However, 3 days appear insufficient in duration to evaluate the effects of weightlessness on maximal bite force. Our research suggests a link between postural disturbance after dry immersion and masseter tonicity. PMID:26913867

  18. Farbrication of diffractive optical elements on a Si chip by an imprint lithography using nonsymmetrical silicon mold

    NASA Astrophysics Data System (ADS)

    Hirai, Yoshihiko; Okano, Masato; Okuno, Takayuki; Toyota, Hiroshi; Yotsuya, Tsutomu; Kikuta, Hisao; Tanaka, Yoshio

    2001-11-01

    Fabrication of a fine diffractive optical element on a Si chip is demonstrated using imprint lithography. A chirped diffraction grating, which has modulated pitched pattern with curved cross section is fabricated by an electron beam lithography, where the exposure dose profile is automatically optimized by computer aided system. Using the resist pattern as an etching mask, anisotropic dry etching is performed to transfer the resist pattern profile to the Si chip. The etched Si substrate is used as a mold in the imprint lithography. The Si mold is pressed to a thin polymer (poly methyl methacrylate) on a Si chip. After releasing the mold, a fine diffractive optical pattern is successfully transferred to the thin polymer. This method is exceedingly useful for fabrication of integrated diffractive optical elements with electric circuits on a Si chip.

  19. 46 CFR 111.85-1 - Electric oil immersion heaters.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... 46 Shipping 4 2010-10-01 2010-10-01 false Electric oil immersion heaters. 111.85-1 Section 111.85-1 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) ELECTRICAL ENGINEERING ELECTRIC SYSTEMS-GENERAL REQUIREMENTS Electric Oil Immersion Heaters § 111.85-1 Electric oil immersion heaters...

  20. 46 CFR 111.85-1 - Electric oil immersion heaters.

    Code of Federal Regulations, 2011 CFR

    2011-10-01

    ... 46 Shipping 4 2011-10-01 2011-10-01 false Electric oil immersion heaters. 111.85-1 Section 111.85-1 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) ELECTRICAL ENGINEERING ELECTRIC SYSTEMS-GENERAL REQUIREMENTS Electric Oil Immersion Heaters § 111.85-1 Electric oil immersion heaters...

  1. 46 CFR 111.85-1 - Electric oil immersion heaters.

    Code of Federal Regulations, 2012 CFR

    2012-10-01

    ... 46 Shipping 4 2012-10-01 2012-10-01 false Electric oil immersion heaters. 111.85-1 Section 111.85-1 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) ELECTRICAL ENGINEERING ELECTRIC SYSTEMS-GENERAL REQUIREMENTS Electric Oil Immersion Heaters § 111.85-1 Electric oil immersion heaters...

  2. 46 CFR 111.85-1 - Electric oil immersion heaters.

    Code of Federal Regulations, 2014 CFR

    2014-10-01

    ... 46 Shipping 4 2014-10-01 2014-10-01 false Electric oil immersion heaters. 111.85-1 Section 111.85-1 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) ELECTRICAL ENGINEERING ELECTRIC SYSTEMS-GENERAL REQUIREMENTS Electric Oil Immersion Heaters § 111.85-1 Electric oil immersion heaters...

  3. 46 CFR 111.85-1 - Electric oil immersion heaters.

    Code of Federal Regulations, 2013 CFR

    2013-10-01

    ... 46 Shipping 4 2013-10-01 2013-10-01 false Electric oil immersion heaters. 111.85-1 Section 111.85-1 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) ELECTRICAL ENGINEERING ELECTRIC SYSTEMS-GENERAL REQUIREMENTS Electric Oil Immersion Heaters § 111.85-1 Electric oil immersion heaters...

  4. Radiopaque Resists for Two-Photon Lithography To Enable Submicron 3D Imaging of Polymer Parts via X-ray Computed Tomography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Saha, Sourabh K.; Oakdale, James S.; Cuadra, Jefferson A.

    Two-photon lithography (TPL) is a high-resolution additive manufacturing (AM) technique capable of producing arbitrarily complex three-dimensional (3D) microstructures with features 2–3 orders of magnitude finer than human hair. This process finds numerous applications as a direct route toward the fabrication of novel optical and mechanical metamaterials, miniaturized optics, microfluidics, biological scaffolds, and various other intricate 3D parts. As TPL matures, metrology and inspection become a crucial step in the manufacturing process to ensure that the geometric form of the end product meets design specifications. X-ray-based computed tomography (CT) is a nondestructive technique that can provide this inspection capability for themore » evaluation of complex internal 3D structure. However, polymeric photoresists commonly used for TPL, as well as other forms of stereolithography, poorly attenuate X-rays due to the low atomic number (Z) of their constituent elements and therefore appear relatively transparent during imaging. We present the development of optically clear yet radiopaque photoresists for enhanced contrast under X-ray CT. We have synthesized iodinated acrylate monomers to formulate high-Z photoresist materials that are capable of forming 3D microstructures with sub-150 nm features. In addition, we have developed a formulation protocol to match the refractive index of the photoresists to the immersion medium of the objective lens so as to enable dip-in laser lithography, a direct laser writing technique for producing millimeter-tall structures. Our radiopaque photopolymer then resists increase X-ray attenuation by a factor of more than 10 times without sacrificing the sub-150 nm feature resolution or the millimeter-scale part height. Thus, our resists can successfully replace existing photopolymers to generate AM parts that are suitable for inspection via X-ray CT. By providing the “feedstock” for radiopaque AM parts, our resist formulation

  5. Radiopaque Resists for Two-Photon Lithography To Enable Submicron 3D Imaging of Polymer Parts via X-ray Computed Tomography

    DOE PAGES

    Saha, Sourabh K.; Oakdale, James S.; Cuadra, Jefferson A.; ...

    2017-11-24

    Two-photon lithography (TPL) is a high-resolution additive manufacturing (AM) technique capable of producing arbitrarily complex three-dimensional (3D) microstructures with features 2–3 orders of magnitude finer than human hair. This process finds numerous applications as a direct route toward the fabrication of novel optical and mechanical metamaterials, miniaturized optics, microfluidics, biological scaffolds, and various other intricate 3D parts. As TPL matures, metrology and inspection become a crucial step in the manufacturing process to ensure that the geometric form of the end product meets design specifications. X-ray-based computed tomography (CT) is a nondestructive technique that can provide this inspection capability for themore » evaluation of complex internal 3D structure. However, polymeric photoresists commonly used for TPL, as well as other forms of stereolithography, poorly attenuate X-rays due to the low atomic number (Z) of their constituent elements and therefore appear relatively transparent during imaging. We present the development of optically clear yet radiopaque photoresists for enhanced contrast under X-ray CT. We have synthesized iodinated acrylate monomers to formulate high-Z photoresist materials that are capable of forming 3D microstructures with sub-150 nm features. In addition, we have developed a formulation protocol to match the refractive index of the photoresists to the immersion medium of the objective lens so as to enable dip-in laser lithography, a direct laser writing technique for producing millimeter-tall structures. Our radiopaque photopolymer then resists increase X-ray attenuation by a factor of more than 10 times without sacrificing the sub-150 nm feature resolution or the millimeter-scale part height. Thus, our resists can successfully replace existing photopolymers to generate AM parts that are suitable for inspection via X-ray CT. By providing the “feedstock” for radiopaque AM parts, our resist formulation

  6. Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2016-04-01

    Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.

  7. Implementation and benefits of advanced process control for lithography CD and overlay

    NASA Astrophysics Data System (ADS)

    Zavyalova, Lena; Fu, Chong-Cheng; Seligman, Gary S.; Tapp, Perry A.; Pol, Victor

    2003-05-01

    Due to the rapidly reduced imaging process windows and increasingly stingent device overlay requirements, sub-130 nm lithography processes are more severely impacted than ever by systamic fault. Limits on critical dimensions (CD) and overlay capability further challenge the operational effectiveness of a mix-and-match environment using multiple lithography tools, as such mode additionally consumes the available error budgets. Therefore, a focus on advanced process control (APC) methodologies is key to gaining control in the lithographic modules for critical device levels, which in turn translates to accelerated yield learning, achieving time-to-market lead, and ultimately a higher return on investment. This paper describes the implementation and unique challenges of a closed-loop CD and overlay control solution in high voume manufacturing of leading edge devices. A particular emphasis has been placed on developing a flexible APC application capable of managing a wide range of control aspects such as process and tool drifts, single and multiple lot excursions, referential overlay control, 'special lot' handling, advanced model hierarchy, and automatic model seeding. Specific integration cases, including the multiple-reticle complementary phase shift lithography process, are discussed. A continuous improvement in the overlay and CD Cpk performance as well as the rework rate has been observed through the implementation of this system, and the results are studied.

  8. High throughput optical lithography by scanning a massive array of bowtie aperture antennas at near-field

    PubMed Central

    Wen, X.; Datta, A.; Traverso, L. M.; Pan, L.; Xu, X.; Moon, E. E.

    2015-01-01

    Optical lithography, the enabling process for defining features, has been widely used in semiconductor industry and many other nanotechnology applications. Advances of nanotechnology require developments of high-throughput optical lithography capabilities to overcome the optical diffraction limit and meet the ever-decreasing device dimensions. We report our recent experimental advancements to scale up diffraction unlimited optical lithography in a massive scale using the near field nanolithography capabilities of bowtie apertures. A record number of near-field optical elements, an array of 1,024 bowtie antenna apertures, are simultaneously employed to generate a large number of patterns by carefully controlling their working distances over the entire array using an optical gap metrology system. Our experimental results reiterated the ability of using massively-parallel near-field devices to achieve high-throughput optical nanolithography, which can be promising for many important nanotechnology applications such as computation, data storage, communication, and energy. PMID:26525906

  9. Immersion diuresis without expected suppression of vasopressin

    NASA Technical Reports Server (NTRS)

    Keil, L. C.; Silver, J. E.; Wong, N.; Spaul, W. A.; Greenleaf, J. E.; Kravik, S. E.

    1984-01-01

    There is a shift of blood from the lower parts of the body to the thoracic circulation during bed rest, water immersion, and presumably during weightlessness. On earth, this central fluid shift is associated with a profound diuresis. However, the mechanism involved is not yet well understood. The present investigation is concerned with measurements regarding the plasma vasopressin, fluid, electrolyte, and plasma renin activity (PRA) responses in subjects with normal preimmersion plasma vasopressin (PVP) concentration. In the conducted experiments, PRA was suppressed significantly at 30 min of immersion and had declined by 74 percent by the end of the experiment. On the basis of previously obtained results, it appears that sodium excretion during immersion may be independent of aldosterone action. Experimental results indicate that PVP is not suppressed by water immersion in normally hydrated subjects and that other factors may be responsible for the diuresis.

  10. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, Glenn D.

    1999-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  11. Method for extreme ultraviolet lithography

    DOEpatents

    Felter, T. E.; Kubiak, G. D.

    2000-01-01

    A method of producing a patterned array of features, in particular, gate apertures, in the size range 0.4-0.05 .mu.m using projection lithography and extreme ultraviolet (EUV) radiation. A high energy laser beam is used to vaporize a target material in order to produce a plasma which in turn, produces extreme ultraviolet radiation of a characteristic wavelength of about 13 nm for lithographic applications. The radiation is transmitted by a series of reflective mirrors to a mask which bears the pattern to be printed. The demagnified focused mask pattern is, in turn, transmitted by means of appropriate optics and in a single exposure, to a substrate coated with photoresists designed to be transparent to EUV radiation and also satisfy conventional processing methods.

  12. Programmable lithography engine (ProLE) grid-type supercomputer and its applications

    NASA Astrophysics Data System (ADS)

    Petersen, John S.; Maslow, Mark J.; Gerold, David J.; Greenway, Robert T.

    2003-06-01

    There are many variables that can affect lithographic dependent device yield. Because of this, it is not enough to make optical proximity corrections (OPC) based on the mask type, wavelength, lens, illumination-type and coherence. Resist chemistry and physics along with substrate, exposure, and all post-exposure processing must be considered too. Only a holistic approach to finding imaging solutions will accelerate yield and maximize performance. Since experiments are too costly in both time and money, accomplishing this takes massive amounts of accurate simulation capability. Our solution is to create a workbench that has a set of advanced user applications that utilize best-in-class simulator engines for solving litho-related DFM problems using distributive computing. Our product, ProLE (Programmable Lithography Engine), is an integrated system that combines Petersen Advanced Lithography Inc."s (PAL"s) proprietary applications and cluster management software wrapped around commercial software engines, along with optional commercial hardware and software. It uses the most rigorous lithography simulation engines to solve deep sub-wavelength imaging problems accurately and at speeds that are several orders of magnitude faster than current methods. Specifically, ProLE uses full vector thin-mask aerial image models or when needed, full across source 3D electromagnetic field simulation to make accurate aerial image predictions along with calibrated resist models;. The ProLE workstation from Petersen Advanced Lithography, Inc., is the first commercial product that makes it possible to do these intensive calculations at a fraction of a time previously available thus significantly reducing time to market for advance technology devices. In this work, ProLE is introduced, through model comparison to show why vector imaging and rigorous resist models work better than other less rigorous models, then some applications of that use our distributive computing solution are shown

  13. Immersive Training Systems: Virtual Reality and Education and Training.

    ERIC Educational Resources Information Center

    Psotka, Joseph

    1995-01-01

    Describes virtual reality (VR) technology and VR research on education and training. Focuses on immersion as the key added value of VR, analyzes cognitive variables connected to immersion, how it is generated in synthetic environments and its benefits. Discusses value of tracked, immersive visual displays over nonimmersive simulations. Contains 78…

  14. Development of XUV projection lithography at 60-80 nm (Poster Paper)

    NASA Astrophysics Data System (ADS)

    Newnam, Brian E.; Viswanathan, Vriddhachalam K.

    1992-07-01

    The rationale, design, component properties, and potential capabilities of extreme-ultraviolet (XUV) projection lithography systems using 60 - 80 nm illumination and single-surface reflectors are described. These systems are evaluated for potential application to high-volume production of future generations of gigabit chips.

  15. Economic consequences of high throughput maskless lithography

    NASA Astrophysics Data System (ADS)

    Hartley, John G.; Govindaraju, Lakshmi

    2005-11-01

    Many people in the semiconductor industry bemoan the high costs of masks and view mask cost as one of the significant barriers to bringing new chip designs to market. All that is needed is a viable maskless technology and the problem will go away. Numerous sites around the world are working on maskless lithography but inevitably, the question asked is "Wouldn't a one wafer per hour maskless tool make a really good mask writer?" Of course, the answer is yes, the hesitation you hear in the answer isn't based on technology concerns, it's financial. The industry needs maskless lithography because mask costs are too high. Mask costs are too high because mask pattern generators (PG's) are slow and expensive. If mask PG's become much faster, mask costs go down, the maskless market goes away and the PG supplier is faced with an even smaller tool demand from the mask shops. Technical success becomes financial suicide - or does it? In this paper we will present the results of a model that examines some of the consequences of introducing high throughput maskless pattern generation. Specific features in the model include tool throughput for masks and wafers, market segmentation by node for masks and wafers and mask cost as an entry barrier to new chip designs. How does the availability of low cost masks and maskless tools affect the industries tool makeup and what is the ultimate potential market for high throughput maskless pattern generators?

  16. Method for maskless lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    NONE

    The present invention provides a method for maskless lithography. A plurality of individually addressable and rotatable micromirrors together comprise a two-dimensional array of micromirrors. Each micromirror in the two-dimensional array can be envisioned as an individually addressable element in the picture that comprises the circuit pattern desired. As each micromirror is addressed it rotates so as to reflect light from a light source onto a portion of the photoresist coated wafer thereby forming a pixel within the circuit pattern. By electronically addressing a two-dimensional array of these micromirrors in the proper sequence a circuit pattern that is comprised of thesemore » individual pixels can be constructed on a microchip. The reflecting surface of the micromirror is configured in such a way as to overcome coherence and diffraction effects in order to produce circuit elements having straight sides.« less

  17. Fabrication of superconducting nanowire single-photon detectors by nonlinear femtosecond optical lithography

    NASA Astrophysics Data System (ADS)

    Minaev, N. V.; Tarkhov, M. A.; Dudova, D. S.; Timashev, P. S.; Chichkov, B. N.; Bagratashvili, V. N.

    2018-02-01

    This paper describes a new approach to the fabrication of superconducting nanowire single-photon detectors from ultrathin NbN films on SiO2 substrates. The technology is based on nonlinear femtosecond optical lithography and includes direct formation of the sensitive element of the detector (the meander) through femtosecond laser exposure of the polymethyl methacrylate resist at a wavelength of 525 nm and subsequent removal of NbN using plasma-chemical etching. The nonlinear femtosecond optical lithography method allows the formation of planar structures with a spatial resolution of ~50 nm. These structures were used to fabricate single-photon superconducting detectors with quantum efficiency no worse than 8% at a wavelength of 1310 nm and dark count rate of 10 s-1 at liquid helium temperature.

  18. Water immersion decreases sympathetic skin response during color–word Stroop test

    PubMed Central

    Yamazaki, Yudai; Takahashi, Akari; Uetake, Yoshihito; Nakano, Saki; Iguchi, Kaho; Baba, Yasuhiro; Nara, Rio; Shimoyama, Yoshimitsu

    2017-01-01

    Water immersion alters the autonomic nervous system (ANS) response in humans. The effect of water immersion on executive function and ANS responses related to executive function tasks was unknown. Therefore, this study aimed to determine whether water immersion alters ANS response during executive tasks. Fourteen healthy participants performed color–word-matching Stroop tasks before and after non-immersion and water immersion intervention for 15 min in separate sessions. The Stroop task-related skin conductance response (SCR) was measured during every task. In addition, the skin conductance level (SCL) and electrocardiograph signals were measured over the course of the experimental procedure. The main findings of the present study were as follows: 1) water immersion decreased the executive task-related sympathetic nervous response, but did not affect executive function as evaluated by Stroop tasks, and 2) decreased SCL induced by water immersion was maintained for at least 15 min after water immersion. In conclusion, the present results suggest that water immersion decreases the sympathetic skin response during the color–word Stroop test without altering executive performance. PMID:28742137

  19. Water immersion decreases sympathetic skin response during color-word Stroop test.

    PubMed

    Sato, Daisuke; Yamazaki, Yudai; Takahashi, Akari; Uetake, Yoshihito; Nakano, Saki; Iguchi, Kaho; Baba, Yasuhiro; Nara, Rio; Shimoyama, Yoshimitsu

    2017-01-01

    Water immersion alters the autonomic nervous system (ANS) response in humans. The effect of water immersion on executive function and ANS responses related to executive function tasks was unknown. Therefore, this study aimed to determine whether water immersion alters ANS response during executive tasks. Fourteen healthy participants performed color-word-matching Stroop tasks before and after non-immersion and water immersion intervention for 15 min in separate sessions. The Stroop task-related skin conductance response (SCR) was measured during every task. In addition, the skin conductance level (SCL) and electrocardiograph signals were measured over the course of the experimental procedure. The main findings of the present study were as follows: 1) water immersion decreased the executive task-related sympathetic nervous response, but did not affect executive function as evaluated by Stroop tasks, and 2) decreased SCL induced by water immersion was maintained for at least 15 min after water immersion. In conclusion, the present results suggest that water immersion decreases the sympathetic skin response during the color-word Stroop test without altering executive performance.

  20. Compact imaging spectrometer utilizing immersed gratings

    DOEpatents

    Lerner, Scott A.

    2005-12-20

    A compact imaging spectrometer comprising an entrance slit for directing light, lens means for receiving the light, refracting the light, and focusing the light; an immersed diffraction grating that receives the light from the lens means and defracts the light, the immersed diffraction grating directing the detracted light back to the lens means; and a detector that receives the light from the lens means.

  1. The Flostation - an Immersive Cyberspace System

    NASA Technical Reports Server (NTRS)

    Park, Brian

    2006-01-01

    A flostation is a computer-controlled apparatus that, along with one or more computer(s) and other computer-controlled equipment, is part of an immersive cyberspace system. The system is said to be immersive in two senses of the word: (1) It supports the body in a modified form neutral posture experienced in zero gravity and (2) it is equipped with computer-controlled display equipment that helps to give the occupant of the chair a feeling of immersion in an environment that the system is designed to simulate. Neutral immersion was conceived during the Gemini program as a means of training astronauts for working in a zerogravity environment. Current derivatives include neutral-buoyancy tanks and the KC-135 airplane, each of which mimics the effects of zero gravity. While these have performed well in simulating the shorter-duration flights typical of the space program to date, a training device that can take astronauts to the next level will be needed for simulating longer-duration flights such as that of the International Space Station. The flostation is expected to satisfy this need. The flostation could also be adapted and replicated for use in commercial ventures ranging from home entertainment to medical treatment. The use of neutral immersion in the flostation enables the occupant to recline in an optimal posture of rest and meditation. This posture, combines savasana (known to practitioners of yoga) and a modified form of the neutral posture assumed by astronauts in outer space. As the occupant relaxes, awareness of the physical body is reduced. The neutral body posture, which can be maintained for hours without discomfort, is extended to the eyes, ears, and hands. The occupant can be surrounded with a full-field-of-view visual display and nearphone sound, and can be stimulated with full-body vibration and motion cueing. Once fully immersed, the occupant can use neutral hand controllers (that is, hand-posture sensors) to control various aspects of the

  2. Alterations in acid-base homeostasis during water immersion in normal man

    NASA Technical Reports Server (NTRS)

    Epstein, M.; Schneider, N. S.; Vaamonde, C. A.

    1974-01-01

    The effects of water immersion on renal bicarbonate and acid excretion were assessed in 10 normal male subjects. Immersion resulted in a highly significant progressive increase in the rate of sodium and bicarbonate excretion, and in urine pH. Immersion was also associated with a significant increase in urine P-CO2; this increase presupposes a maintained rate of hydrogen secretion in the distal tubular segment. The rapidity of onset of the bicarbonaturia (2 hrs of immersion) and the concomitant increase in urinary P-CO2 suggest that enhanced bicarbonate excretion of immersion cannot be completely accounted for by immersion-induced suppression of aldosterone, and that the natriuresis and bicarbonaturia of immersion is mediated in part by an increased proximal rejection of sodium and bicarbonate.

  3. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    NASA Astrophysics Data System (ADS)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  4. Imprint lithography template technology for bit patterned media (BPM)

    NASA Astrophysics Data System (ADS)

    Lille, J.; Patel, K.; Ruiz, R.; Wu, T.-W.; Gao, H.; Wan, Lei; Zeltzer, G.; Dobisz, E.; Albrecht, T. R.

    2011-11-01

    Bit patterned media (BPM) for magnetic recording has emerged as a promising technology to deliver thermally stable magnetic storage at densities beyond 1Tb/in2. Insertion of BPM into hard disk drives will require the introduction of nanoimprint lithography and other nanofabrication processes for the first time. In this work, we focus on nanoimprint and nanofabrication challenges that are being overcome in order to produce patterned media. Patterned media has created the need for new tools and processes, such as an advanced rotary e-beam lithography tool and block copolymer integration. The integration of block copolymer is through the use of a chemical contrast pattern on the substrate which guides the alignment of di-block copolymers. Most of the work on directed self assembly for patterned media applications has, until recently, concentrated on the formation of circular dot patterns in a hexagonal close packed lattice. However, interactions between the read head and media favor a bit aspect ratio (BAR) greater than one. This design constraint has motivated new approaches for using self-assembly to create suitable high-BAR master patterns and has implications for template fabrication.

  5. A review of nanoimprint lithography for high-volume semiconductor device manufacturing

    NASA Astrophysics Data System (ADS)

    Resnick, Douglas J.; Choi, Jin

    2017-06-01

    Imprint lithography has been shown to be a promising technique for the replication of nanoscale features. Jet and flash imprint lithography (J-FIL) [jet and flash imprint lithography and J-FIL are trademarks of Molecular Imprints, Inc.] involves the field-by-field deposition and exposure of a low-viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid, which then quickly flows into the relief patterns in the mask by capillary action. After this filling step, the resist is cross-linked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput, and defectivity. The most demanding devices now require an overlay of better than 4 nm, 3σ. Throughput for an imprint tool is generally targeted at 80 wafers/h. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. To address high-order corrections, a high-order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask and temperature correction to the wafer is described in detail. Examples are presented for the correction of K7, K11, and K17 distortions as well as distortions on actual device wafers.

  6. Plasma formed ion beam projection lithography system

    DOEpatents

    Leung, Ka-Ngo; Lee, Yung-Hee Yvette; Ngo, Vinh; Zahir, Nastaran

    2002-01-01

    A plasma-formed ion-beam projection lithography (IPL) system eliminates the acceleration stage between the ion source and stencil mask of a conventional IPL system. Instead a much thicker mask is used as a beam forming or extraction electrode, positioned next to the plasma in the ion source. Thus the entire beam forming electrode or mask is illuminated uniformly with the source plasma. The extracted beam passes through an acceleration and reduction stage onto the resist coated wafer. Low energy ions, about 30 eV, pass through the mask, minimizing heating, scattering, and sputtering.

  7. Photoinhibition superresolution lithography

    NASA Astrophysics Data System (ADS)

    Forman, Darren Lawrence

    While the prospect of nanoscale manufacturing has generated tremendous excitement, arbitrary patterning at nanometer length scales cannot be brought about with current photolithography---the technology that for decades has driven electronics miniaturization and enabled mass production of digital logic, memory, MEMS and flat-panel displays. This is due to the relatively long wavelength of light and diffraction, which imposes a physical not technological limit on the resolution of a far-field optical pattern. Photoinhibited superresolution (PInSR) lithography is a new scheme designed to beat the diffraction limit through two-color confinement of photopolymerization and, via efficient single-photon absorption kinetics, also be high-throughput capable. This thesis describes development of an integrated optical and materials system for investigating spatiotemporal dynamics of photoinhibited superresolution lithography, with a demonstrated 3x superresolution beyond the diffraction limit. The two-color response, arising from orthogonal photogeneration of species that participate in competing reactions, is shown to be highly complex. This is both a direct and indirect consequence of mobility. Interesting trade-offs arise: thin-film resins (necessitated by single-photon absorption kinetics) require high viscosity for film stability, but the photoinhibition effect is suppressed in viscous resins. Despite this apparent suppression, which can be overcome with high excitation of the photoinhibition system, the low mobility afforded by viscous materials is beneficial for confinement of active species. Diffusion-induced blurring of patterned photoinhibition is problematic in a resin with viscosity = 1,000 cP, and overcome in a resin with viscosity eta = 500,000 cP. Superresolution of factor 3x beyond the diffraction limit is demonstrated at 0.2 NA, with additional results indicating superresolution ability at 1.2 NA. Investigating the effect of diminished photoinhibition efficacy

  8. Lubricated immersed boundary method in two dimensions

    NASA Astrophysics Data System (ADS)

    Fai, Thomas G.; Rycroft, Chris H.

    2018-03-01

    Many biological examples of fluid-structure interaction, including the transit of red blood cells through the narrow slits in the spleen and the intracellular trafficking of vesicles into dendritic spines, involve the near-contact of elastic structures separated by thin layers of fluid. Motivated by such problems, we introduce an immersed boundary method that uses elements of lubrication theory to resolve thin fluid layers between immersed boundaries. We demonstrate 2nd-order accurate convergence for simple two-dimensional flows with known exact solutions to showcase the increased accuracy of this method compared to the standard immersed boundary method. Motivated by the phenomenon of wall-induced migration, we apply the lubricated immersed boundary method to simulate an elastic vesicle near a wall in shear flow. We also simulate the dynamics of a vesicle traveling through a narrow channel and observe the ability of the lubricated method to capture the vesicle motion on relatively coarse fluid grids.

  9. Immersive Earth: Teaching Earth and Space with inexpensive immersive technology

    NASA Astrophysics Data System (ADS)

    Reiff, P. H.; Sumners, C.; Law, C. C.; Handron, K.

    2003-12-01

    In 1995 we pioneered "Space Update", the Digital Library for the rest of us", software that was so simple that a child could use it without a keyboard and yet would allow one-click updating of the daily earth and space science images without the dangers of having an open web browser on display. Thanks to NASA support, it allowed museums and schools to have a powerful exhibit for a tiny price. Over 40,000 disks in our series have been distributed so far to educators and the public. In 2003, with our partners we are again revolutionizing educational technology with a low-cost hardware and software solution to creating and displaying immersive content. Recently selected for funding as part of the REASoN competition, Immersive Earth is a partnership of scientists, museums, educators, and content providers. The hardware consists of a modest projector with a special fisheye lens to be used in an inflatable dome which many schools already have. This, coupled with a modest personal computer, can now easily project images and movies of earth and space, allows training students in 3-D content at a tiny fraction of the cost of a cave or fullscale dome theater. Another low-cost solution is the "Imove" system, where spherical movies can play on a personal computer, with the user changing the viewing direction with a joystick. We were the first to create immersive earth science shows, remain the leader in creating educational content that people want to see. We encourage people with "allsky" images or movies to bring it and see what it looks like inside a dome! Your content could be in our next show!

  10. Effect of hydration on plasma volume and endocrine responses to water immersion

    NASA Technical Reports Server (NTRS)

    Harrison, M. H.; Keil, L. C.; Wade, C. A.; Silver, J. E.; Geelen, G.

    1986-01-01

    The effect of hydration status on early endocrine responses and on osmotic and intravascular volume changes during immersion was determined in humans undergoing successive periods of dehydration, immersion, rehydration, and immersion. Immersion caused an isotonic expansion of plasma volume, as well as suppression of plasma renin activity and aldosterone, which all occurred independently of hydration status. On the other hand, the concentration of plasma vasopressin (PVP) was found to decrease during dehydrated immersion, but not during rehydrated immersion. It is concluded that plasma tonicity is not a factor influencing PVP suppression during water immersion.

  11. MEKK1 is a Novel Regulator of the Dmp1-Arf-p53 Pathway and Prognostic Indicator in Breast Cancer

    DTIC Science & Technology

    2012-12-01

    hDMP1, INK4a/ARF, p53 or Hdm2 amplification. Kaplan -Meier analyses have been conducted to study the impact for the impact of loss or gain of each locus on...Palma P, Pellegrini S, Fina P et al. Mdm2 gene alterations and mdm2 protein expression in breast carcinomas. J Pathol 1995; 175: 31–38. 21 Turbin DA

  12. Late Immersion in Perspective: The Peel Study.

    ERIC Educational Resources Information Center

    Lapkin, Sharon; And Others

    1983-01-01

    Presents the 1979 evaluation of the Peel County (Ontario) late immersion French program, in the context of some current issues in second language education in Canada. These include the comparative effectiveness of early and late immersion, the importance of intense exposure, and total accumulated hours of instruction. (Author/AMH)

  13. Intrapericardial Denervation: Responses to Water Immersion in Rhesus Monkeys

    NASA Technical Reports Server (NTRS)

    McKeever, Kenneth H.; Keil, Lanny C.; Sandler, Harold

    1995-01-01

    Eleven anesthetized rhesus monkeys were used to study cardiovascular, renal, and endocrine alterations associated with 120 min of head-out water immersion. Five animals underwent complete intrapericardial denervation using the Randall technique, while the remaining six monkeys served as intact controls. Each animal was chronically instrumented with an electromagnetic flow probe on the ascending aorta, a strain gauge pressure transducer implanted in the apex of the left ventricle (LV), and electrocardiogram leads anchored to the chest wall and LV. During immersion, LV end-diastolic pressure, urine flow, glomerular filtration rate, sodium excretion, and circulating atrial natriuretic peptide (ANP) each increased (P less than 0.05) for intact and denervated monkeys. There were no alterations in free water clearance in either group during immersion, yet fractional excretion of free water increased (P less than 0.05) in the intact monkeys. Plasma renin activity (PRA) decreased (P less than 0.05) during immersion in intact monkeys but not the denervated animals. Plasma vasopressin (PVP) concentration decreased (P less than 0.05) during the first 30 min of immersion in both groups but was not distinguishable from control by 60 min of immersion in denervated monkeys. These data demonstrate that complete cardiac denervation does not block the rise in plasma ANP or prevent the natriuresis associated with head-out water immersion. The suppression of PVP during the first minutes of immersion after complete cardiac denervation suggests that extracardiac sensing mechanisms associated with the induced fluid shifts may be responsible for the findings.

  14. A Low-Cost Hands-On Laboratory to Introduce Lithography Concepts

    ERIC Educational Resources Information Center

    Jalali, M.; Marti, J. J.; Kirchhoff, A. L.; Lawrenz, F.; Campbell, S. A.

    2012-01-01

    A lithography lab course has been developed that is applicable to students from the middle-school level up to college students. It can also be inserted into electronics technology or similar courses in two- and four-year colleges, or used to demonstrate applications of polymers in chemistry classes. Some of these techniques would enable research…

  15. Into hot water head first: distribution of intentional and unintentional immersion burns.

    PubMed

    Daria, Sonya; Sugar, Naomi F; Feldman, Kenneth W; Boos, Stephen C; Benton, Scott A; Ornstein, Amy

    2004-05-01

    Experience with several, previously unreported, intentional face-first immersion burns led us to evaluate the distribution of inflicted and unintentional immersion scald burns in a hospital series. (1) Authors' clinical and legal practices; (2) Burn center at regional Level 1 trauma hospital. : (1) Case series of face-first, inflicted immersion burn victims; (2) Consecutive hospitalized scald burn victims younger than 5 years old, 1/3/1996 to 3/25/2000. (1) Individual case reports; (2) Retrospective records review. Simple descriptive statistics, Fisher Exact test and t test. (1) Six cases of inflicted head and neck immersion injury are described. Four were tap water and 2 food/drink scalds. (2) 22/195 hospitalized victims had sustained immersion burns, 13 from tap water and 9 from other fluids. Six (46%) tap water immersions and no (0%) other immersions had inflicted injuries (P = 0.05). Two of the tap water immersions and one other source immersion included burning of the head and neck. Of these, one tap water immersion, but no other immersion, was inflicted. In no patients were head and neck injuries the sole or predominant site of scalding. In all, 9 children sustained inflicted scalds. Bilateral lower extremity tap water immersion scalds occurred in 100% (6/6) of abusive and 29% (2/7) of unintentional injuries (P = 0.02). Buttock and perineal injuries occurred in 67% (4/6) inflicted versus 29% (2/7) unintentional tap water immersion scalds (P = 0.28). Other fluids caused bilateral lower extremity immersion burns in 3/9 (33 %) unintentionally injured patients, but no abused children (NS). Craniofacial immersion injury, although seen by the authors in legal cases, is infrequent. It was present incidentally in one inflicted tap water burn in the consecutive hospital series. This series affirms the predominance of bilateral lower extremity burns in inflicted tap water immersions. Buttock/perineal immersions were more common with abuse than with unintentional injury.

  16. The ALIVE Project: Astronomy Learning in Immersive Virtual Environments

    NASA Astrophysics Data System (ADS)

    Yu, K. C.; Sahami, K.; Denn, G.

    2008-06-01

    The Astronomy Learning in Immersive Virtual Environments (ALIVE) project seeks to discover learning modes and optimal teaching strategies using immersive virtual environments (VEs). VEs are computer-generated, three-dimensional environments that can be navigated to provide multiple perspectives. Immersive VEs provide the additional benefit of surrounding a viewer with the simulated reality. ALIVE evaluates the incorporation of an interactive, real-time ``virtual universe'' into formal college astronomy education. In the experiment, pre-course, post-course, and curriculum tests will be used to determine the efficacy of immersive visualizations presented in a digital planetarium versus the same visual simulations in the non-immersive setting of a normal classroom, as well as a control case using traditional classroom multimedia. To normalize for inter-instructor variability, each ALIVE instructor will teach at least one of each class in each of the three test groups.

  17. Objective for EUV microscopy, EUV lithography, and x-ray imaging

    DOEpatents

    Bitter, Manfred; Hill, Kenneth W.; Efthimion, Philip

    2016-05-03

    Disclosed is an imaging apparatus for EUV spectroscopy, EUV microscopy, EUV lithography, and x-ray imaging. This new imaging apparatus could, in particular, make significant contributions to EUV lithography at wavelengths in the range from 10 to 15 nm, which is presently being developed for the manufacturing of the next-generation integrated circuits. The disclosure provides a novel adjustable imaging apparatus that allows for the production of stigmatic images in x-ray imaging, EUV imaging, and EUVL. The imaging apparatus of the present invention incorporates additional properties compared to previously described objectives. The use of a pair of spherical reflectors containing a concave and convex arrangement has been applied to a EUV imaging system to allow for the image and optics to all be placed on the same side of a vacuum chamber. Additionally, the two spherical reflector segments previously described have been replaced by two full spheres or, more precisely, two spherical annuli, so that the total photon throughput is largely increased. Finally, the range of permissible Bragg angles and possible magnifications of the objective has been largely increased.

  18. High throughput, high resolution enzymatic lithography process: effect of crystallite size, moisture, and enzyme concentration.

    PubMed

    Mao, Zhantong; Ganesh, Manoj; Bucaro, Michael; Smolianski, Igor; Gross, Richard A; Lyons, Alan M

    2014-12-08

    By bringing enzymes into contact with predefined regions of a surface, a polymer film can be selectively degraded to form desired patterns that find a variety of applications in biotechnology and electronics. This so-called "enzymatic lithography" is an environmentally friendly process as it does not require actinic radiation or synthetic chemicals to develop the patterns. A significant challenge to using enzymatic lithography has been the need to restrict the mobility of the enzyme in order to maintain control of feature sizes. Previous approaches have resulted in low throughput and were limited to polymer films only a few nanometers thick. In this paper, we demonstrate an enzymatic lithography system based on Candida antartica lipase B (CALB) and poly(ε-caprolactone) (PCL) that can resolve fine-scale features, (<1 μm across) in thick (0.1-2.0 μm) polymer films. A Polymer Pen Lithography (PPL) tool was developed to deposit an aqueous solution of CALB onto a spin-cast PCL film. Immobilization of the enzyme on the polymer surface was monitored using fluorescence microscopy by labeling CALB with FITC. The crystallite size in the PCL films was systematically varied; small crystallites resulted in significantly faster etch rates (20 nm/min) and the ability to resolve smaller features (as fine as 1 μm). The effect of printing conditions and relative humidity during incubation is also presented. Patterns formed in the PCL film were transferred to an underlying copper foil demonstrating a "Green" approach to the fabrication of printed circuit boards.

  19. Social Interaction Development through Immersive Virtual Environments

    ERIC Educational Resources Information Center

    Beach, Jason; Wendt, Jeremy

    2014-01-01

    The purpose of this pilot study was to determine if participants could improve their social interaction skills by participating in a virtual immersive environment. The participants used a developing virtual reality head-mounted display to engage themselves in a fully-immersive environment. While in the environment, participants had an opportunity…

  20. Distortion of calculated whole-body hematocrit during lower-body immersion in water.

    PubMed

    Knight, D R; Santoro, T; Bondi, K R

    1986-11-01

    We found a difference between the venous hematocrits of immersed and nonimmersed arms during immersion of the lower body in cold water but not during a comparable exposure to warm water. Fourteen healthy men were exposed to three different experimental conditions: arm immersion, body immersion, and control. The men always sat upright while both upper extremities hung vertically at their sides. During arm immersion, one forearm was completely immersed for 30 min in either cold water (28 degrees C, n = 7) or warm water (38 degrees C, n = 7). This cold-warm water protocol was repeated on separate days for exposure to the remaining conditions of body immersion (immersion of 1 forearm and all tissues below the xiphoid process) and control (no immersion). Blood samples were simultaneously drawn from cannulated veins in both antecubital fossae. Hematocrit difference (Hct diff) was measured by subtracting the nonimmersed forearm's hematocrit (Hct dry) from the immersed forearm's hematocrit (Hct wet). Hct diff was approximately zero when the men were exposed to the control condition and body immersion in warm water. In the remaining conditions, Hct wet dropped below Hct dry (P less than 0.01, 3-way analysis of variance). The decrements of Hct diff showed there were differences between venous hematocrits in immersed and nonimmersed regions of the body, indicating that changes of the whole-body hematocrit cannot be calculated from a large-vessel hematocrit soon after immersing the lower body in cold water.