Sample records for bacteriochlorophyll synthesis genes

  1. Physical Mapping of bchG, orf427, and orf177 in the Photosynthesis Gene Cluster of Rhodobacter sphaeroides: Functional Assignment of the Bacteriochlorophyll Synthetase Gene

    PubMed Central

    Addlesee, Hugh A.; Fiedor, Leszek; Hunter, C. Neil

    2000-01-01

    The purple photosynthetic bacterium Rhodobacter sphaeroides has within its genome a cluster of photosynthesis-related genes approximately 41 kb in length. In an attempt to identify genes involved in the terminal esterification stage of bacteriochlorophyll biosynthesis, a previously uncharacterized 5-kb region of this cluster was sequenced. Four open reading frames (ORFs) were identified, and each was analyzed by transposon mutagenesis. The product of one of these ORFs, bchG, shows close homologies with (bacterio)chlorophyll synthetases, and mutants in this gene were found to accumulate bacteriopheophorbide, the metal-free derivative of the bacteriochlorophyll precursor bacteriochlorophyllide, suggesting that bchG is responsible for the esterification of bacteriochlorophyllide with an alcohol moiety. This assignment of function to bchG was verified by the performance of assays demonstrating the ability of BchG protein, heterologously synthesized in Escherichia coli, to esterify bacteriochlorophyllide with geranylgeranyl pyrophosphate in vitro, thereby generating bacteriochlorophyll. This step is pivotal to the assembly of a functional photosystem in R. sphaeroides, a model organism for the study of structure-function relationships in photosynthesis. A second gene, orf177, is a member of a large family of isopentenyl diphosphate isomerases, while sequence homologies suggest that a third gene, orf427, may encode an assembly factor for photosynthetic complexes. The function of the remaining ORF, bchP, is the subject of a separate paper (H. Addlesee and C. N. Hunter, J. Bacteriol. 181:7248–7255, 1999). An operonal arrangement of the genes is proposed. PMID:10809697

  2. Specific inhibition of antenna bacteriochlorophyll synthesis in Chlorobium vibrioforme by anesthetic gases.

    PubMed Central

    Ormerod, J G; Nesbakken, T; Beale, S I

    1990-01-01

    The green sulfur bacterium Chlorobium vibrioforme contains two types of bacteriochlorophyll (Bchl). The minor pigment, Bchl a, is associated primarily with the cell membrane and its reaction centers; and the major light-harvesting antenna pigment, Bchl d, is found primarily in the chlorosomes, which are attached to the inner surface of the cell membrane. Anesthetic gases, such as N2O, ethylene, and acetylene, were found to inhibit the synthesis of Bchl d, but not of Bchl a, thus allowing the cells to grow at high light intensities with a greatly diminished content of antenna pigment. Chlorosomes were absent or sparse in inhibited cells. Porphyrins accumulated in the inhibited cells. The major one was identified as the Bchl precursor magnesium-protoporphyrin IX monomethyl ester (Mg-PPME) by comparative absorption and fluorescence spectroscopy and thin-layer chromatography of the porphyrin and its derivatives with those of authentic protoporphyrin IX. Small amounts of Mg-PPME were present in control cells, but the addition of inhibitor caused a rapid increase in the Mg-PPME concentration, accompanying the inhibition of Bchl d synthesis. Cells grown in the presence of ethephon (as a source of ethylene) and allowed to stand in dim light for long periods accumulated large amounts of PPME and other porphyrins and excreted or released porphyrins, which accumulated as a brown precipitate in the culture. Inhibition of Bchl d synthesis was relieved upon removal of the inhibitor. These results suggest that the gases act at a step in pigment biosynthesis that affects the utilization of Mg-PPME for isocyclic ring formation. Synthesis of Bchl d and Bchl a may be differentially affected by the gases because of compartmentation of their biosynthetic apparatus or because competition for precursors favors Bchl a synthesis. An ethephon-resistant mutant strain was isolated by selection for growth in dim, long-wavelength light. The mutant cells were also resistant to acetylene, but not

  3. Reconstruction of bacteriochlorophyll biosynthesis pathways in the filamentous anoxygenic phototrophic bacterium Oscillochloris trichoides DG-6 and evolution of anoxygenic phototrophs of the order Chloroflexales.

    PubMed

    Grouzdev, Denis S; Kuznetsov, Boris B; Keppen, Olga I; Krasil'nikova, Elena N; Lebedeva, Natalia V; Ivanovsky, Ruslan N

    2015-01-01

    It is commonly accepted that green filamentous anoxygenic phototrophic (FAP) bacteria are the most ancient representatives of phototrophic micro-organisms. Modern FAPs belonging to the order Chloroflexales are divided into two suborders: Chloroflexineae and Roseiflexineae. Representatives of Roseiflexineae lack chlorosomes and synthesize bacteriochlorophyll a, whereas those of Chloroflexineae synthesize bacteriochlorophylls a and c and utilize chlorosomes for light harvesting. Though they constitute a small number of species, FAPs are quite diverse in their physiology. This bacterial group includes autotrophs and heterotrophs, thermophiles and mesophiles, aerobes and anaerobes, occupying both freshwater and halophilic environments. The anaerobic mesophilic autotroph Oscillochloris trichoides DG-6 is still not well studied in its physiology, and its evolutionary origin remains unclear. The goals of this study included identification of the reaction centre type of O. trichoides DG-6, reconstruction of its bacteriochlorophyll biosynthesis pathways, and determination of its evolutionary relationships with other FAPs. By enzymic and genomic analysis, the presence of RCII in O. trichoides DG-6 was demonstrated and the complete gene set involved in biosynthesis of bacteriochlorophylls a and c was established. We found that the bacteriochlorophyll gene sets differed between aerobic and anaerobic FAPs. The aerobic FAP genomes code oxygen-dependent AcsF cyclases, but lack the bchQ/bchR genes, which have been associated with adaptation to low light conditions in the anaerobic FAPs. A scenario of evolution of FAPs belonging to the order Chloroflexales is proposed. © 2015 The Authors.

  4. Origin of Bacteriochlorophyll a and the Early Diversification of Photosynthesis.

    PubMed

    Cardona, Tanai

    2016-01-01

    Photosynthesis originated in the domain Bacteria billions of years ago; however, the identity of the last common ancestor to all phototrophic bacteria remains undetermined and speculative. Here I present the evolution of BchF or 3-vinyl-bacteriochlorophyll hydratase, an enzyme exclusively found in bacteria capable of synthetizing bacteriochlorophyll a. I show that BchF exists in two forms originating from an early divergence, one found in the phylum Chlorobi, including its paralogue BchV, and a second form that was ancestral to the enzyme found in the remaining anoxygenic phototrophic bacteria. The phylogeny of BchF is consistent with bacteriochlorophyll a evolving in an ancestral phototrophic bacterium that lived before the radiation event that gave rise to the phylum Chloroflexi, Chlorobi, Acidobacteria, Proteobacteria, and Gemmatimonadetes, but only after the divergence of Type I and Type II reaction centers. Consequently, it is suggested that the lack of phototrophy in many groups of extant bacteria is a derived trait.

  5. Evidence for anoxygenic photosynthesis from the distribution of bacteriochlorophylls in the Black Sea.

    PubMed

    Repeta, D J; Simpson, D J; Jorgensen, B B; Jannasch, H W

    1989-11-02

    The contribution of anoxygenic photosynthesis to carbon cycling in the Black Sea, the world's largest body of anoxic marine water, has been vigorously investigated and debated for over four decades. Penetration of light into the sulphide-containing deep water may result in a zone of anaerobic primary production by photosynthetic bacteria. We report here the results of analyses of photosynthetic pigments in samples of suspended particulate matter collected from two stations in the western basin of the Black Sea. Our data demonstrate high concentrations of a bacterio-chlorophyll at the chemocline, and thus the potential for anoxygenic photosynthesis as a component of primary production in the carbon cycle of the Black Sea. More than 95% of the pigments in the bacteriochlorophyll-maximum are accounted for by a series of aromatic carotenoids and bacteriochlorophylls-e, including a previously unreported geranyl ester of 4-i-butyl bacteriochlorophyll-e. The distribution of pigments is characteristic of the obligate phototrophs Chlorobium phaeobacteroides and C. phaeovibriodes. Total depth-integrated bacteriochlorophyll at one station exceeded total chlorophyll-a in the overlying oxygenated portion of the euphotic zone. We suggest that anoxygenic photosynthesis is a relatively recent phenomenon in the Black Sea initiated by shallowing of the chemocline over the past decade and development of an anoxic layer devoid of O2 and H2S.

  6. Localisation and origin of the bacteriochlorophyll-derived photosensitizer in the retina of the deep-sea dragon fish Malacosteus niger

    PubMed Central

    Douglas, Ronald H.; Genner, Martin J.; Hudson, Alan G.; Partridge, Julian C.; Wagner, Hans-Joachim

    2016-01-01

    Most deep-sea fish have a single visual pigment maximally sensitive at short wavelengths, approximately matching the spectrum of both downwelling sunlight and bioluminescence. However, Malcosteus niger produces far-red bioluminescence and its longwave retinal sensitivity is enhanced by red-shifted visual pigments, a longwave reflecting tapetum and, uniquely, a bacteriochlorophyll-derived photosensitizer. The origin of the photosensitizer, however, remains unclear. We investigated whether the bacteriochlorophyll was produced by endosymbiotic bacteria within unusual structures adjacent to the photoreceptors that had previously been described in this species. However, microscopy, elemental analysis and SYTOX green staining provided no evidence for such localised retinal bacteria, instead the photosensitizer was shown to be distributed throughout the retina. Furthermore, comparison of mRNA from the retina of Malacosteus to that of the closely related Pachystomias microdon (which does not contain a bacterichlorophyll-derived photosensitzer) revealed no genes of bacterial origin that were specifically up-regulated in Malacosteus. Instead up-regulated Malacosteus genes were associated with photosensitivity and may relate to its unique visual ecology and the chlorophyll-based visual system. We also suggest that the unusual longwave-reflecting, astaxanthin-based, tapetum of Malacosteus may protect the retina from the potential cytotoxicity of such a system. PMID:27996027

  7. Protein-induced geometric constraints and charge transfer in bacteriochlorophyll-histidine complexes in LH2.

    PubMed

    Wawrzyniak, Piotr K; Alia, A; Schaap, Roland G; Heemskerk, Mattijs M; de Groot, Huub J M; Buda, Francesco

    2008-12-14

    Bacteriochlorophyll-histidine complexes are ubiquitous in nature and are essential structural motifs supporting the conversion of solar energy into chemically useful compounds in a wide range of photosynthesis processes. A systematic density functional theory study of the NMR chemical shifts for histidine and for bacteriochlorophyll-a-histidine complexes in the light-harvesting complex II (LH2) is performed using the BLYP functional in combination with the 6-311++G(d,p) basis set. The computed chemical shift patterns are consistent with available experimental data for positive and neutral(tau) (N(tau) protonated) crystalline histidines. The results for the bacteriochlorophyll-a-histidine complexes in LH2 provide evidence that the protein environment is stabilizing the histidine close to the Mg ion, thereby inducing a large charge transfer of approximately 0.5 electronic equivalent. Due to this protein-induced geometric constraint, the Mg-coordinated histidine in LH2 appears to be in a frustrated state very different from the formal neutral(pi) (N(pi) protonated) form. This finding could be important for the understanding of basic functional mechanisms involved in tuning the electronic properties and exciton coupling in LH2.

  8. Specific inhibition of antenna bacteriochlorophyll synthesis in Chlorobium vibrioforme by anesthetic gases

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ormerod, J.G.; Nesbakken, T.; Beale, S.I.

    1990-03-01

    The green sulfur bacterium Chlorobium vibrioforme contains two types of bacteriochlorophll (Bchl). The minor pigment, Bchl a, is associated primarily with the cell membrane and its reaction centers; and the major light-harvesting antenna pigment, Bchl d, is found primarily in the chlorosomes, which are attached to the inner surface of the cell membrane. Anesthetic gases, such as N{sub 2}O, ethylene, and acetylene, were found to inhibit the synthesis of Bchl d, but not of Bchl a, thus allowing the cells to grow at high light intensities with a greatly diminished content of antenna pgiment. Chlorosomes were absent or sparse inmore » inhibited cells. Porphyrins accumulated in the inhibited cells. Result suggest that the gases act at a step in pigment biosynthesis that affect the utilization of the major one was identified as the Bchl precursor magnesium-protoporphyrin IX monomethyl ester (Mg-PPME) for isocyclic ring formation. Synthesis of Bchl d and Bchl a may be differentially affected by the gases because of compartmentation of their biosynthetic apparatus or because competition for precursors favors Bchl a synthesis. An ethephon-resistant mutant strain was isolated by selection for growth in dim, long-wavelength light. The mutant cells were also resistant to acetylene, but not to N{sub 2}O. The ability to reversibly generate viable Chlorobium cells that lack antenna pigments may be useful in photosynthesis research. The ethephon-and acetylene-resistant strain may be useful in the study of the enzymes and genes that are involved in the biosynthetic step that the gases affect.« less

  9. Synthesis and properties of new chlorin and bacteriochlorin photosensitizers

    NASA Astrophysics Data System (ADS)

    Mironov, Andrei F.

    1996-01-01

    A series of novel sensitizers, which absorb in the range of 660 - 820 nm, derived from natural occurring chlorophyll and bacteriochlorophyll was synthesized. Biomass of blue-green algae Spirulina platensis was used to prepare chlorophyll a derivatives, and biomass of purple bacteria Rhodobacter capsulatus was applied for preparation of bacteriochlorophyll a. The influence of different substituents on spectral characteristics and the amphipility of the sensitizer was investigated. The route for the synthesis of porphyrin macrocycle with the spacer that bears the isothiocyanate group capable for binding with proteins was proposed. Photophysical properties of chlorin p6, purpurin 18 and their esters in different solvents are investigated. Accumulation of two chlorins in the model Erlich tumor was studied.

  10. Ultrafast time-resolved carotenoid to-bacteriochlorophyll energy transfer in LH2 complexes from photosynthetic bacteria.

    PubMed

    Cong, Hong; Niedzwiedzki, Dariusz M; Gibson, George N; LaFountain, Amy M; Kelsh, Rhiannon M; Gardiner, Alastair T; Cogdell, Richard J; Frank, Harry A

    2008-08-28

    Steady-state and ultrafast time-resolved optical spectroscopic investigations have been carried out at 293 and 10 K on LH2 pigment-protein complexes isolated from three different strains of photosynthetic bacteria: Rhodobacter (Rb.) sphaeroides G1C, Rb. sphaeroides 2.4.1 (anaerobically and aerobically grown), and Rps. acidophila 10050. The LH2 complexes obtained from these strains contain the carotenoids, neurosporene, spheroidene, spheroidenone, and rhodopin glucoside, respectively. These molecules have a systematically increasing number of pi-electron conjugated carbon-carbon double bonds. Steady-state absorption and fluorescence excitation experiments have revealed that the total efficiency of energy transfer from the carotenoids to bacteriochlorophyll is independent of temperature and nearly constant at approximately 90% for the LH2 complexes containing neurosporene, spheroidene, spheroidenone, but drops to approximately 53% for the complex containing rhodopin glucoside. Ultrafast transient absorption spectra in the near-infrared (NIR) region of the purified carotenoids in solution have revealed the energies of the S1 (2(1)Ag-)-->S2 (1(1)Bu+) excited-state transitions which, when subtracted from the energies of the S0 (1(1)Ag-)-->S2 (1(1)Bu+) transitions determined by steady-state absorption measurements, give precise values for the positions of the S1 (2(1)Ag-) states of the carotenoids. Global fitting of the ultrafast spectral and temporal data sets have revealed the dynamics of the pathways of de-excitation of the carotenoid excited states. The pathways include energy transfer to bacteriochlorophyll, population of the so-called S* state of the carotenoids, and formation of carotenoid radical cations (Car*+). The investigation has found that excitation energy transfer to bacteriochlorophyll is partitioned through the S1 (1(1)Ag-), S2 (1(1)Bu+), and S* states of the different carotenoids to varying degrees. This is understood through a consideration of the

  11. Nonpigmented and Bacteriochlorophyll-Containing Bradyrhizobia Isolated from Aeschynomene indica

    PubMed Central

    van Berkum, P.; Tully, R. E.; Keister, D. L.

    1995-01-01

    The legume genus Aeschynomene is unusual, since many species develop stem nodules and the bradyrhizobia isolated from these nodules produce bacteriochlorophyll (Bchl). Evidence is presented that the bradyrhizobia of Aeschynomene indica have wide distribution throughout the world, since A. indica was nodulated when grown in 58 soils collected in 14 different countries. Only 38 of 79 isolates tested synthesized Bchl and carotenoids during heterotrophic growth. Nine isolates produced Bchl constitutively, and cultures were pigmented after growth in the dark. The other isolates required light for Bchl production. The DNA from seven pigmented and three nonpigmented bradyrhizobia hybridized with a DNA probe containing the genes for the photosynthetic apparatus of Rhodobacter capsulatus, but DNA from two other nonpigmented isolates did not hybridize with this probe. A relationship between pigmentation in culture and symbiotic phenotype was not evident, since bradyrhizobia of both Bchl phenotypes nodulated stems of A. indica and formed nitrogen-fixing symbioses. Several isolates, which were ineffective on A. indica, probably do belong to the proposed cross-inoculation group 3 (D. Alazard, Appl. Environ. Microbiol. 50:732-734, 1985), since they did not nodulate Aeschynomene americana or Macroptilium atropurpureum. Since it has been suggested that extant rhizobia arose from photosynthetic ancestors (J. I. Sprent, p. 45-54, in P. M. Gresshoff, L. E. Roth, G. Stacey, and W. E. Newton, ed., Nitrogen Fixation: Achievements and Objectives, 1990), we propose that the nonpigmented isolates may represent an extant lineage of an intermediate evolutionary stage. PMID:16534933

  12. Terminal steps of bacteriochlorophyll a phytol formation in purple photosynthetic bacteria

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shioi, Y.; Sasa, T.

    1984-04-01

    Four chemically different bacteriochlorophylls (Bchls) a esterified with geranylgeraniol, dihydrogeranyl-geraniol, tetrahydrogeraniol, and phytol have been detected by high-pressure liquid chromatography in cell extracts from Rhodopseudomonas sphaeroides and Chromatium vinosum. Bchl a containing phytol is the principal component, and the other three Bchls a comprise about 4% of the total Bchls a in stationary-phase cells of R. sphaeroides and C. vinosum. The high levels of the minor pigments occur in the beginning of Bchl a phytol formation, indicating that they are not degradation products, but intermediates of Bchl a phytol formation.

  13. Synthesis of covalently linked dimeric derivatives of chlorophyll a, pyrochlorophyll a, chlorophyll b, and bacteriochlorophyll a

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wasielewski, M.R.; Svec, W.A.

    1980-05-09

    Bis(chlorophyllide) ethylene glycol diesters were prepared for each of the title compounds. Pheophytins a and b isolated from alfalfa and bacteriochlorophyll a isolated from R. sphaeroides were treated with 80% aqueous trifluoroacetic acid to yield the corresponding pheophorbides. Pyropheophorbide was prepared by a literature procedure. Carbonic anhydride and benzotriazole-1-methanesulfonate activation methods were used in the esterification of the pheophorbides with ethylene glycol at ambient temperature. Each method yielded 75%+ of the pheophorbide ethylene glycol monoester. These monoesters were treated with equimolar amounts of the corresponding pheophorbide by using benzotriazol-1-methanesulfonate/4-(dimethylamino)pyridine in CH/sub 2/Cl/sub 2/ or dicyclohexylcarbodiimide/4-(dimethylamino)pyridine in CH/sub 2/Cl/sub 2/ atmore » ambient temperature. Yields of bis(phenophorbide) ethylene glycol diesters averaged about 50% for the former method and 70% for the latter method. Insertion of the magnesium atoms into the a series macrocycles was accomplished with iodomagnesium 2,6-di-tert-butyl-4-methylphenolate, IMgBHT, in CH/sub 2/Cl/sub 2/, while the metalation of the b and bacterial series macrocycles was carried out with a mixture of IMgBHT and lithium 2,2,6,6-tetramethylpiperidide in thiophen, all at ambient temperature. Both mono- and dimetalated derivatives were isolated and characterized in each case.« less

  14. Genome Sequence of Gammaproteobacterial Pseudohaliea rubra Type Strain DSM 19751, Isolated from Coastal Seawater of the Mediterranean Sea

    PubMed Central

    Fiebig, Anne; Riedel, Thomas; Göker, Markus; Klenk, Hans-Peter

    2014-01-01

    Pseudohaliea rubra strain DSM 19751T is an aerobic marine gammaproteobacterium that was isolated from surface coastal seawater of the Mediterranean Sea. Here, we present its genome sequence and annotation. Genome analysis revealed the presence of genes involved in the synthesis of bacteriochlorophyll-a and the reserve compound glycogen. PMID:25414506

  15. Complete genome sequence of the bacteriochlorophyll a-containing Roseibacterium elongatum type strain (DSM 19469(T)), a representative of the Roseobacter group isolated from Australian coast sand.

    PubMed

    Riedel, Thomas; Fiebig, Anne; Göker, Markus; Klenk, Hans-Peter

    2014-06-15

    Roseibacterium elongatum Suzuki et al. 2006 is a pink-pigmented and bacteriochlorophyll a-producing representative of the Roseobacter group within the alphaproteobacterial family Rhodobacteraceae. Representatives of the marine 'Roseobacter group' were found to be abundant in the ocean and play an important role in global and biogeochemical processes. In the present study we describe the features of R. elongatum strain OCh 323(T) together with its genome sequence and annotation. The 3,555,102 bp long genome consists of one circular chromosome with no extrachromosomal elements and is one of the smallest known Roseobacter genomes. It contains 3,540 protein-coding genes and 59 RNA genes. Genome analysis revealed the presence of a photosynthetic gene cluster, which putatively enables a photoheterotrophic lifestyle. Gene sequences associated with quorum sensing, motility, surface attachment, and thiosulfate and carbon monoxide oxidation could be detected. The genome was sequenced as part of the activities of the Transregional Collaborative Research Centre 51 (TRR51) funded by the German Research Foundation (DFG).

  16. Vibronic coupling explains the ultrafast carotenoid-to-bacteriochlorophyll energy transfer in natural and artificial light harvesters

    NASA Astrophysics Data System (ADS)

    Perlík, Václav; Seibt, Joachim; Cranston, Laura J.; Cogdell, Richard J.; Lincoln, Craig N.; Savolainen, Janne; Šanda, František; Mančal, Tomáš; Hauer, Jürgen

    2015-06-01

    The initial energy transfer steps in photosynthesis occur on ultrafast timescales. We analyze the carotenoid to bacteriochlorophyll energy transfer in LH2 Marichromatium purpuratum as well as in an artificial light-harvesting dyad system by using transient grating and two-dimensional electronic spectroscopy with 10 fs time resolution. We find that Förster-type models reproduce the experimentally observed 60 fs transfer times, but overestimate coupling constants, which lead to a disagreement with both linear absorption and electronic 2D-spectra. We show that a vibronic model, which treats carotenoid vibrations on both electronic ground and excited states as part of the system's Hamiltonian, reproduces all measured quantities. Importantly, the vibronic model presented here can explain the fast energy transfer rates with only moderate coupling constants, which are in agreement with structure based calculations. Counterintuitively, the vibrational levels on the carotenoid electronic ground state play the central role in the excited state population transfer to bacteriochlorophyll; resonance between the donor-acceptor energy gap and the vibrational ground state energies is the physical basis of the ultrafast energy transfer rates in these systems.

  17. Carotenoid-bacteriochlorophyll energy transfer in LH2 complexes studied with 10-fs time resolution.

    PubMed

    Polli, Dario; Cerullo, Giulio; Lanzani, Guglielmo; De Silvestri, Sandro; Hashimoto, Hideki; Cogdell, Richard J

    2006-04-01

    In this report, we present a study of carotenoid-bacteriochlorophyll energy transfer processes in two peripheral light-harvesting complexes (known as LH2) from purple bacteria. We use transient absorption spectroscopy with approximately 10 fs temporal resolution, which is necessary to observe the very fast energy relaxation processes. By comparing excited-state dynamics of the carotenoids in organic solvents and inside the LH2 complexes, it has been possible to directly evaluate their energy transfer efficiency to the bacteriochlorophylls. In the case of okenone in the LH2 complex from Chromatium purpuratum, we obtained an energy transfer efficiency of etaET2=63+/-2.5% from the optically active excited state (S2) and etaET1=61+/-2% from the optically dark state (S1); for rhodopin glucoside contained in the LH2 complex from Rhodopseudomonas acidophila these values become etaET2=49.5+/-3.5% and etaET1=5.1+/-1%. The measurements also enabled us to observe vibrational energy relaxation in the carotenoids' S1 state and real-time collective vibrational coherence initiated by the ultrashort pump pulses. Our results are important for understanding the dynamics of early events of photosynthesis and relating it to the structural arrangement of the chromophores.

  18. Unexpectedly high bacteriochlorophyll a concentrations in neotropical tank bromeliads.

    PubMed

    Lehours, Anne-Catherine; Jeune, Anne-Hélène Le; Aguer, Jean-Pierre; Céréghino, Régis; Corbara, Bruno; Kéraval, Benoit; Leroy, Céline; Perrière, Fanny; Jeanthon, Christian; Carrias, Jean-François

    2016-06-06

    The contribution of bacteriochlorophyll a (BChl a) to photosynthetically driven electron transport is generally low in aquatic and terrestrial systems. Here, we provide evidence that anoxygenic bacterial phototrophy is widespread and substantial in water retained by tank bromeliads of a primary rainforest in French Guiana. An analysis of the water extracted from 104 randomly selected tank bromeliads using infrared fluorimetry suggested the overall presence of abundant anoxygenic phototrophic bacterial populations. We found that purple bacteria dominated these populations responsible for unusually high BChl a/chlorophyll a ratios (>50%). Our data suggest that BChl a-based phototrophy in tank bromeliads can have significant effects on the ecology of tank-bromeliad ecosystems and on the carbon and energy fluxes in Neotropical forests. © 2016 Society for Applied Microbiology and John Wiley & Sons Ltd.

  19. Development of a gene synthesis platform for the efficient large scale production of small genes encoding animal toxins.

    PubMed

    Sequeira, Ana Filipa; Brás, Joana L A; Guerreiro, Catarina I P D; Vincentelli, Renaud; Fontes, Carlos M G A

    2016-12-01

    Gene synthesis is becoming an important tool in many fields of recombinant DNA technology, including recombinant protein production. De novo gene synthesis is quickly replacing the classical cloning and mutagenesis procedures and allows generating nucleic acids for which no template is available. In addition, when coupled with efficient gene design algorithms that optimize codon usage, it leads to high levels of recombinant protein expression. Here, we describe the development of an optimized gene synthesis platform that was applied to the large scale production of small genes encoding venom peptides. This improved gene synthesis method uses a PCR-based protocol to assemble synthetic DNA from pools of overlapping oligonucleotides and was developed to synthesise multiples genes simultaneously. This technology incorporates an accurate, automated and cost effective ligation independent cloning step to directly integrate the synthetic genes into an effective Escherichia coli expression vector. The robustness of this technology to generate large libraries of dozens to thousands of synthetic nucleic acids was demonstrated through the parallel and simultaneous synthesis of 96 genes encoding animal toxins. An automated platform was developed for the large-scale synthesis of small genes encoding eukaryotic toxins. Large scale recombinant expression of synthetic genes encoding eukaryotic toxins will allow exploring the extraordinary potency and pharmacological diversity of animal venoms, an increasingly valuable but unexplored source of lead molecules for drug discovery.

  20. Characterization of novel bacteriochlorophyll-a-containing red filaments from alkaline hot springs in Yellowstone National Park.

    PubMed

    Boomer, S M; Pierson, B K; Austinhirst, R; Castenholz, R W

    2000-09-01

    Novel red, filamentous, gliding bacteria formed deep red layers in several alkaline hot springs in Yellowstone National Park. Filaments contained densely layered intracellular membranes and bacteriochlorophyll a. The in vivo absorption spectrum of the red layer filaments was distinct from other phototrophs, with unusual bacteriochlorophyll a signature peaks in the near-infrared (IR) region (807 nm and 911 nm). These absorption peaks were similar to the wavelengths penetrating to the red layer of the mats as measured with in situ spectroradiometry. The filaments also demonstrated maximal photosynthetic uptake of radiolabeled carbon sources at these wavelengths. The red layer filaments displayed anoxygenic photoheterotrophy, as evidenced by the specific incorporation of acetate, not bicarbonate, and by the absence of oxygen production. Photoheterotrophy was unaffected by sulfide and oxygen, but was diminished by high-intensity visible light. Near-IR radiation supported photoheterotrophy. Morphologically and spectrally similar filaments were observed in several springs in Yellowstone National Park, including Octopus Spring. Taken together, these data suggest that the red layer filaments are most similar to the photoheterotroph, Heliothrix oregonensis. Notable differences include mat position and coloration, absorption spectra, and prominent intracellular membranes.

  1. Vibronic coupling explains the ultrafast carotenoid-to-bacteriochlorophyll energy transfer in natural and artificial light harvesters

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Perlík, Václav; Seibt, Joachim; Šanda, František

    The initial energy transfer steps in photosynthesis occur on ultrafast timescales. We analyze the carotenoid to bacteriochlorophyll energy transfer in LH2 Marichromatium purpuratum as well as in an artificial light-harvesting dyad system by using transient grating and two-dimensional electronic spectroscopy with 10 fs time resolution. We find that Förster-type models reproduce the experimentally observed 60 fs transfer times, but overestimate coupling constants, which lead to a disagreement with both linear absorption and electronic 2D-spectra. We show that a vibronic model, which treats carotenoid vibrations on both electronic ground and excited states as part of the system’s Hamiltonian, reproduces all measuredmore » quantities. Importantly, the vibronic model presented here can explain the fast energy transfer rates with only moderate coupling constants, which are in agreement with structure based calculations. Counterintuitively, the vibrational levels on the carotenoid electronic ground state play the central role in the excited state population transfer to bacteriochlorophyll; resonance between the donor-acceptor energy gap and the vibrational ground state energies is the physical basis of the ultrafast energy transfer rates in these systems.« less

  2. Complete genome sequence of the bacteriochlorophyll a-containing Roseibacterium elongatum type strain (DSM 19469T), a representative of the Roseobacter group isolated from Australian coast sand

    PubMed Central

    Riedel, Thomas; Fiebig, Anne; Göker, Markus; Klenk, Hans-Peter

    2014-01-01

    Roseibacterium elongatum Suzuki et al. 2006 is a pink-pigmented and bacteriochlorophyll a-producing representative of the Roseobacter group within the alphaproteobacterial family Rhodobacteraceae. Representatives of the marine ‘Roseobacter group’ were found to be abundant in the ocean and play an important role in global and biogeochemical processes. In the present study we describe the features of R. elongatum strain OCh 323T together with its genome sequence and annotation. The 3,555,102 bp long genome consists of one circular chromosome with no extrachromosomal elements and is one of the smallest known Roseobacter genomes. It contains 3,540 protein-coding genes and 59 RNA genes. Genome analysis revealed the presence of a photosynthetic gene cluster, which putatively enables a photoheterotrophic lifestyle. Gene sequences associated with quorum sensing, motility, surface attachment, and thiosulfate and carbon monoxide oxidation could be detected. The genome was sequenced as part of the activities of the Transregional Collaborative Research Centre 51 (TRR51) funded by the German Research Foundation (DFG). PMID:25197467

  3. Raman spectrum of bacteriochlorophyll a in the S1 state

    NASA Astrophysics Data System (ADS)

    Nishizawa, Ei-ichi; Hashimoto, Hideki; Koyama, Yasushi

    1991-07-01

    The S 1 Raman spectrum of bacteriochlorophyll a is reported for the first time. A one-color experiment using the 351 nm picosecond pulses (duration 50 ps and repetition 1 kHz) for tetrahydrofuran solution detected a transient species, which showed distinct Raman lines at 1567, 1409 and 1320 cm -1 and weak profiles around 1169, 1092, 1051 and 794 cm -1. The other one-color experiment using the 355 nm nanosecond pulses (duration 12 ns and repetition 10 Hz) detected the T 1 species reported previously showing Raman lines at 1578 and 1330 cm -1. Thus, the newly identified transient species, which was pumped and probed within 50 ps, is assigned to S 1.

  4. Rapid and accurate synthesis of TALE genes from synthetic oligonucleotides.

    PubMed

    Wang, Fenghua; Zhang, Hefei; Gao, Jingxia; Chen, Fengjiao; Chen, Sijie; Zhang, Cuizhen; Peng, Gang

    2016-01-01

    Custom synthesis of transcription activator-like effector (TALE) genes has relied upon plasmid libraries of pre-fabricated TALE-repeat monomers or oligomers. Here we describe a novel synthesis method that directly incorporates annealed synthetic oligonucleotides into the TALE-repeat units. Our approach utilizes iterative sets of oligonucleotides and a translational frame check strategy to ensure the high efficiency and accuracy of TALE-gene synthesis. TALE arrays of more than 20 repeats can be constructed, and the majority of the synthesized constructs have perfect sequences. In addition, this novel oligonucleotide-based method can readily accommodate design changes to the TALE repeats. We demonstrated an increased gene targeting efficiency against a genomic site containing a potentially methylated cytosine by incorporating non-conventional repeat variable di-residue (RVD) sequences.

  5. [Influence of LDAO on the conformation and release of bacteriochlorophyll of peripheral light-harvesting complex (LH2) from Rhodobacter azotoformans].

    PubMed

    Zhao, Gen-gui; Dong, Yan-min; Yang, Su-ping; Jiao, Nian-zhi; Qu, Yin-bo

    2010-10-01

    The aim of this study is to reveal the interaction relationships between lauryl dimethylamine N-oxide (LDAO) and peripheral light-harvesting complex (LH2) as well as the influence of LDAO on structure and function of LH2. In the present work, the effects of LDAO on the conformation and release processes of bacteriochlorophyll (BChl) of LH2 when incubated under different temperature and pH in the presence and absence of LDAO were investigated by spectroscopy. The results indicated that (1) the presence of LDAO resulted in alterations in the conformation, alpha-helix content, and spectra of Tyr and B850 band of LH2 at room temperature and pH 8.0. Moreover, energy transfer efficiency of LH2 was enhanced markedly in the presence of LDAO. (2) At 60 degrees C, both the B800 and B850 band of LH2 were released and transited into free BChl at pH 8.0. However, the release rates of bacteriochlorophylls of B800 and B850 band from LH2 were slowed down and the release processes were changed when incubated in the presence of LDAO. Hence, the stability of LH2 was improved in the presence of LDAO. (3) The accelerated release processes of bacteriochlorophylls of B800 and B850 band of LH2 were induced to transform into bacteriopheophytin (BPhe) and free BChl by LDAO in strong acid and strong alkalic solution at room temperature. However, the kinetic patterns of the B800 and B850 band were remarkably different. The release and self-assemble processes of B850 in LH2 were observed in strong acid solution without LDAO. Therefore, the different release behaviors of B800 and B850 of LH2 are induced by LDAO under different extreme environmental conditions.

  6. Construction of hybrid photosynthetic units using peripheral and core antennae from two different species of photosynthetic bacteria: detection of the energy transfer from bacteriochlorophyll a in LH2 to bacteriochlorophyll b in LH1.

    PubMed

    Fujii, Ritsuko; Shimonaka, Shozo; Uchida, Naoko; Gardiner, Alastair T; Cogdell, Richard J; Sugisaki, Mitsuru; Hashimoto, Hideki

    2008-01-01

    Typical purple bacterial photosynthetic units consist of supra-molecular arrays of peripheral (LH2) and core (LH1-RC) antenna complexes. Recent atomic force microscopy pictures of photosynthetic units in intact membranes have revealed that the architecture of these units is variable (Scheuring et al. (2005) Biochim Bhiophys Acta 1712:109-127). In this study, we describe methods for the construction of heterologous photosynthetic units in lipid-bilayers from mixtures of purified LH2 (from Rhodopseudomonas acidophila) and LH1-RC (from Rhodopseudomonas viridis) core complexes. The architecture of these reconstituted photosynthetic units can be varied by controlling ratio of added LH2 to core complexes. The arrangement of the complexes was visualized by electron-microscopy in combination with Fourier analysis. The regular trigonal array of the core complexes seen in the native photosynthetic membrane could be regenerated in the reconstituted membranes by temperature cycling. In the presence of added LH2 complexes, this trigonal symmetry was replaced with orthorhombic symmetry. The small lattice lengths for the latter suggest that the constituent unit of the orthorhombic lattice is the LH2. Fluorescence and fluorescence-excitation spectroscopy was applied to the set of the reconstituted membranes prepared with various proportions of LH2 to core complexes. Remarkably, even though the LH2 complexes contain bacteriochlorophyll a, and the core complexes contain bacteriochlorophyll b, it was possible to demonstrate energy transfer from LH2 to the core complexes. These experiments provide a first step along the path toward investigating how changing the architecture of purple bacterial photosynthetic units affects the overall efficiency of light-harvesting.

  7. Spectral heterogeneity and carotenoid-to-bacteriochlorophyll energy transfer in LH2 light-harvesting complexes from Allochromatium vinosum.

    PubMed

    Magdaong, Nikki M; LaFountain, Amy M; Hacking, Kirsty; Niedzwiedzki, Dariusz M; Gibson, George N; Cogdell, Richard J; Frank, Harry A

    2016-02-01

    Photosynthetic organisms produce a vast array of spectral forms of antenna pigment-protein complexes to harvest solar energy and also to adapt to growth under the variable environmental conditions of light intensity, temperature, and nutrient availability. This behavior is exemplified by Allochromatium (Alc.) vinosum, a photosynthetic purple sulfur bacterium that produces different types of LH2 light-harvesting complexes in response to variations in growth conditions. In the present work, three different spectral forms of LH2 from Alc. vinosum, B800-820, B800-840, and B800-850, were isolated, purified, and examined using steady-state absorption and fluorescence spectroscopy, and ultrafast time-resolved absorption spectroscopy. The pigment composition of the LH2 complexes was analyzed by high-performance liquid chromatography, and all were found to contain five carotenoids: lycopene, anhydrorhodovibrin, spirilloxanthin, rhodopin, and rhodovibrin. Spectral reconstructions of the absorption and fluorescence excitation spectra based on the pigment composition revealed significantly more spectral heterogeneity in these systems compared to LH2 complexes isolated from other species of purple bacteria. The data also revealed the individual carotenoid-to-bacteriochlorophyll energy transfer efficiencies which were correlated with the kinetic data from the ultrafast transient absorption spectroscopic experiments. This series of LH2 complexes allows a systematic exploration of the factors that determine the spectral properties of the bound pigments and control the rate and efficiency of carotenoid-to-bacteriochlorophyll energy transfer.

  8. Origin and spread of photosynthesis based upon conserved sequence features in key bacteriochlorophyll biosynthesis proteins.

    PubMed

    Gupta, Radhey S

    2012-11-01

    The origin of photosynthesis and how this capability has spread to other bacterial phyla remain important unresolved questions. I describe here a number of conserved signature indels (CSIs) in key proteins involved in bacteriochlorophyll (Bchl) biosynthesis that provide important insights in these regards. The proteins BchL and BchX, which are essential for Bchl biosynthesis, are derived by gene duplication in a common ancestor of all phototrophs. More ancient gene duplication gave rise to the BchX-BchL proteins and the NifH protein of the nitrogenase complex. The sequence alignment of NifH-BchX-BchL proteins contain two CSIs that are uniquely shared by all NifH and BchX homologs, but not by any BchL homologs. These CSIs and phylogenetic analysis of NifH-BchX-BchL protein sequences strongly suggest that the BchX homologs are ancestral to BchL and that the Bchl-based anoxygenic photosynthesis originated prior to the chlorophyll (Chl)-based photosynthesis in cyanobacteria. Another CSI in the BchX-BchL sequence alignment that is uniquely shared by all BchX homologs and the BchL sequences from Heliobacteriaceae, but absent in all other BchL homologs, suggests that the BchL homologs from Heliobacteriaceae are primitive in comparison to all other photosynthetic lineages. Several other identified CSIs in the BchN homologs are commonly shared by all proteobacterial homologs and a clade consisting of the marine unicellular Cyanobacteria (Clade C). These CSIs in conjunction with the results of phylogenetic analyses and pair-wise sequence similarity on the BchL, BchN, and BchB proteins, where the homologs from Clade C Cyanobacteria and Proteobacteria exhibited close relationship, provide strong evidence that these two groups have incurred lateral gene transfers. Additionally, phylogenetic analyses and several CSIs in the BchL-N-B proteins that are uniquely shared by all Chlorobi and Chloroflexi homologs provide evidence that the genes for these proteins have also been

  9. Combinatorial codon scrambling enables scalable gene synthesis and amplification of repetitive proteins

    NASA Astrophysics Data System (ADS)

    Tang, Nicholas C.; Chilkoti, Ashutosh

    2016-04-01

    Most genes are synthesized using seamless assembly methods that rely on the polymerase chain reaction (PCR). However, PCR of genes encoding repetitive proteins either fails or generates nonspecific products. Motivated by the need to efficiently generate new protein polymers through high-throughput gene synthesis, here we report a codon-scrambling algorithm that enables the PCR-based gene synthesis of repetitive proteins by exploiting the codon redundancy of amino acids and finding the least-repetitive synonymous gene sequence. We also show that the codon-scrambling problem is analogous to the well-known travelling salesman problem, and obtain an exact solution to it by using De Bruijn graphs and a modern mixed integer linear programme solver. As experimental proof of the utility of this approach, we use it to optimize the synthetic genes for 19 repetitive proteins, and show that the gene fragments are amenable to PCR-based gene assembly and recombinant expression.

  10. Identification of Cell Wall Synthesis Regulatory Genes Controlling Biomass Characteristics and Yield in Rice (Oryza Sativa)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Peng, Zhaohua PEng; Ronald, Palmela; Wang, Guo-Liang

    This project aims to identify the regulatory genes of rice cell wall synthesis pathways using a cell wall removal and regeneration system. We completed the gene expression profiling studies following the time course from cell wall removal to cell wall regeneration in rice suspension cells. We also completed, total proteome, nuclear subproteome and histone modification studies following the course from cell wall removal and cell wall regeneration process. A large number of differentially expressed regulatory genes and proteins were identified. Meanwhile, we generated RNAi and over-expression transgenic rice for 45 genes with at least 10 independent transgenic lines for eachmore » gene. In addition, we ordered T-DNA and transposon insertion mutants for 60 genes from Korea, Japan, and France and characterized the mutants. Overall, we have mutants and transgenic lines for over 90 genes, exceeded our proposed goal of generating mutants for 50 genes. Interesting Discoveries a) Cell wall re-synthesis in protoplasts may involve a novel cell wall synthesis mechanism. The synthesis of the primary cell wall is initiated in late cytokinesis with further modification during cell expansion. Phragmoplast plays an essential role in cell wall synthesis. It services as a scaffold for building the cell plate and formation of a new cell wall. Only one phragmoplast and one new cell wall is produced for each dividing cell. When the cell wall was removed enzymatically, we found that cell wall re-synthesis started from multiple locations simultaneously, suggesting that a novel mechanism is involved in cell wall re-synthesis. This observation raised many interesting questions, such as how the starting sites of cell wall synthesis are determined, whether phragmoplast and cell plate like structures are involved in cell wall re-synthesis, and more importantly whether the same set of enzymes and apparatus are used in cell wall re-synthesis as during cytokinesis. Given that many known cell

  11. Stability and properties of quasi-stable conformational states in the LH2 light-harvesting complex of Rbl. acidophilus bacteria formed by hexacoordination of bacteriochlorophyll a magnesium atom

    NASA Astrophysics Data System (ADS)

    Belov, Aleksandr S.; Khokhlov, Daniil V.; Glebov, Ilya O.; Poddubnyy, Vladimir V.; Eremin, Vadim V.

    2017-06-01

    Single-molecule spectroscopic experiments on several light-harvesting complexes revealed the existence of a set of metastable conformational states with different spectroscopic properties and lifetimes spanning from milliseconds to tens of seconds. In the absence of explicit structural data, a number of probable structural changes underlying the observed spectroscopic shifts were proposed. We examine the donor-acceptor interaction between the magnesium atom and the acetyl group of the adjacent bacteriochlorophylls a as a possible origin of metastable conformational states in the LH2 light-harvesting complex of Rbl. acidophilus bacteria. The results of QM/MM and molecular dynamics simulations show that such ligation can occur at room temperature and leads to one metastable coordination bond per pair of bacteriochlorophylls in the B850 ring. According to the results of Poisson-TrESP modeling, such coordination lowers the energies of the excited states of the complex by up to 163 cm-1 which causes red spectral shift of the B850 band.

  12. Sequence of the bchG gene from Chloroflexus aurantiacus: relationship between chlorophyll synthase and other polyprenyltransferases

    NASA Technical Reports Server (NTRS)

    Lopez, J. C.; Ryan, S.; Blankenship, R. E.

    1996-01-01

    The sequence of the Chloroflexus aurantiacus open reading frame thought to be the C. aurantiacus homolog of the Rhodobacter capsulatus bchG gene is reported. The BchG gene product catalyzes esterification of bacteriochlorophyllide a by geranylgeraniol-PPi during bacteriochlorophyll a biosynthesis. Homologs from Arabidopsis thaliana, Synechocystis sp. strain PCC6803, and C. aurantiacus were identified in database searches. Profile analysis identified three related polyprenyltransferase enzymes which attach an aliphatic alcohol PPi to an aromatic substrate. This suggests a broader relationship between chlorophyll synthases and other polyprenyltransferases.

  13. Enzymatic Synthesis of Self-assembled Dicer Substrate RNA Nanostructures for Programmable Gene Silencing.

    PubMed

    Jang, Bora; Kim, Boyoung; Kim, Hyunsook; Kwon, Hyokyoung; Kim, Minjeong; Seo, Yunmi; Colas, Marion; Jeong, Hansaem; Jeong, Eun Hye; Lee, Kyuri; Lee, Hyukjin

    2018-06-08

    Enzymatic synthesis of RNA nanostructures is achieved by isothermal rolling circle transcription (RCT). Each arm of RNA nanostructures provides a functional role of Dicer substrate RNA inducing sequence specific RNA interference (RNAi). Three different RNAi sequences (GFP, RFP, and BFP) are incorporated within the three-arm junction RNA nanostructures (Y-RNA). The template and helper DNA strands are designed for the large-scale in vitro synthesis of RNA strands to prepare self-assembled Y-RNA. Interestingly, Dicer processing of Y-RNA is highly influenced by its physical structure and different gene silencing activity is achieved depending on its arm length and overhang. In addition, enzymatic synthesis allows the preparation of various Y-RNA structures using a single DNA template offering on demand regulation of multiple target genes.

  14. Mutual control of axial and equatorial ligands: model studies with [Ni]-bacteriochlorophyll-a.

    PubMed

    Yerushalmi, Roie; Noy, Dror; Baldridge, Kim K; Scherz, Avigdor

    2002-07-17

    Modification of the metal's electronic environment by ligand association and dissociation in metalloenzymes is considered cardinal to their catalytic activity. We have recently presented a novel system that utilizes the bacteriochlorophyll (BChl) macrocycle as a ligand and reporter. This system allows for charge mobilization in the equatorial plane and experimental estimate of changes in the electronic charge density around the metal with no modification of the metal's chemical environment. The unique spectroscopy, electrochemistry and coordination chemistry of [Ni]-bacteriochlorophyll ([Ni]-BChl) enable us to follow directly fine details and steps involved in the function of the metal redox center. This approach is utilized here whereby electro-chemical reduction of [Ni]-BChl to the monoanion [Ni]-BChl(-) results in reversible dissociation of biologically relevant axial ligands. Similar ligand dissociation was previously detected upon photoexcitation of [Ni]-BChl (Musewald, C.; Hartwich, G.; Lossau, H.; Gilch, P.; Pollinger-Dammer, F.; Scheer, H.; Michel-Beyerle, M. E. J. Phys. Chem. B 1999, 103, 7055-7060 and Noy, D.; Yerushalmi, R.; Brumfeld, V.; Ashur, I.; Baldridge, K. K.; Scheer, H.; Scherz, A. J. Am. Chem. Soc. 2000, 122, 3937-3944). The electrochemical measurements and quantum mechanical (QM) calculations performed here for the neutral, singly reduced, monoligated, and singly reduced, monoligated [Ni]-BChl suggest the following: (a) Electroreduction, although resulting in a pi anion [Ni]-BChl(-) radical, causes electron density migration to the [Ni]-BChl core. (b) Reduction of nonligated [Ni]-BChl does not change the macrocycle conformation, whereas axial ligation results in a dramatic expansion of the metal core and a flattening of the highly ruffled macrocycle conformation. (c) In both the monoanion and singly excited [Ni]-BChl ([Ni]-BChl*), the frontier singly occupied molecular orbital (SOMO) has a small but nonnegligible metal character. Finally, (d

  15. Presence of exclusively bacteriochlorophyll-c containing substrain in the culture of green sulfur photosynthetic bacterium Chlorobium vibrioforme strain NCIB 8327 producing bacteriochlorophyll-d.

    PubMed

    Saga, Yoshitaka; Oh-oka, Hirozo; Hayashi, Takashi; Tamiaki, Hitoshi

    2003-12-01

    The light-dependent composition change of light harvesting bacteriochlorophyll(BChl)s in the present culture of a green sulfur photosynthetic bacterium Chlorobium (Chl.) vibrioforme f. sp. thiosulfatophilum strain NCIB 8327 was investigated by visible absorption spectroscopy and HPLC analyses. When the culture was repeatedly grown in liquid media under a low light condition, both the Soret and Qy absorption bands of the in vivo spectrum were shifted to longer wavelengths. Analysis of the extracted pigments by HPLC revealed that the ratio of the amount of BChl-c to that of BChl-d molecules gradually increased during repeated cultivation. In contrast, when the culture grown under a low light intensity was transferred to a high light condition and continued to be grown, the absorption bands were shifted to shorter wavelengths and the ratio of BChls-c/d decreased finally to the almost original value. Colonies were prepared on solid agar media from the liquid culture containing both BChls-c and d, which was grown under a low light intensity. Each colony obtained was found to contain either BChl-c or d, but not both of them. Two types of cells isolated in this study were derived from the same clone, judged from their genetic analyses. The variation of pigment composition in our liquid culture observed here could be ascribed to the difference of growth rates between two substrains containing BChl-c and BChl-d, respectively, depending on light conditions.

  16. Characterization and Expression Analysis of Genes Directing Galactomannan Synthesis in Coffee

    PubMed Central

    Pré, Martial; Caillet, Victoria; Sobilo, Julien; McCarthy, James

    2008-01-01

    Background and Aims Galactomannans act as storage reserves for the seeds in some plants, such as guar (Cyamopsis tetragonoloba) and coffee (Coffea arabica and Coffea canephora). In coffee, the galactomannans can represent up to 25 % of the mass of the mature green coffee grain, and they exert a significant influence on the production of different types of coffee products. The objective of the current work was to isolate and characterize cDNA encoding proteins responsible for galactomannan synthesis in coffee and to study the expression of the corresponding transcripts in the developing coffee grain from C. arabica and C. canephora, which potentially exhibit slight galactomannan variations. Comparative gene expression analysis was also carried out for several other tissues of C. arabica and C. canephora. Methods cDNA banks, RACE-PCR and genome walking were used to generate full-length cDNA for two putative coffee mannan synthases (ManS) and two galactomannan galactosyl transferases (GMGT). Gene-specific probe-primer sets were then generated and used to carry out comparative expression analysis of the corresponding genes in different coffee tissues using quantitative RT-PCR Key Results Two of the putative galactomannan biosynthetic genes, ManS1 and GMGT1, were demonstrated to have very high expression in the developing coffee grain of both Coffea species during endosperm development, consistent with our proposal that these two genes are responsible for the production of the majority of the galactomannans found in the grain. In contrast, the expression data presented indicates that the ManS2 gene product is probably involved in the synthesis of the galactomannans found in green tissue. Conclusions The identification of genes implicated in galactomannan synthesis in coffee are presented. The data obtained will enable more detailed studies on the biosynthesis of this important component of coffee grain and contribute to a better understanding of some functional

  17. Solvation effect of bacteriochlorophyll excitons in light-harvesting complex LH2.

    PubMed

    Urboniene, V; Vrublevskaja, O; Trinkunas, G; Gall, A; Robert, B; Valkunas, L

    2007-09-15

    We have characterized the influence of the protein environment on the spectral properties of the bacteriochlorophyll (Bchl) molecules of the peripheral light-harvesting (or LH2) complex from Rhodobacter sphaeroides. The spectral density functions of the pigments responsible for the 800 and 850 nm electronic transitions were determined from the temperature dependence of the Bchl absorption spectra in different environments (detergent micelles and native membranes). The spectral density function is virtually independent of the hydrophobic support that the protein experiences. The reorganization energy for the B850 Bchls is 220 cm(-1), which is almost twice that of the B800 Bchls, and its Huang-Rhys factor reaches 8.4. Around the transition point temperature, and at higher temperatures, both the static spectral inhomogeneity and the resonance interactions become temperature-dependent. The inhomogeneous distribution function of the transitions exhibits less temperature dependence when LH2 is embedded in membranes, suggesting that the lipid phase protects the protein. However, the temperature dependence of the fluorescence spectra of LH2 cannot be fitted using the same parameters determined from the analysis of the absorption spectra. Correct fitting requires the lowest exciton states to be additionally shifted to the red, suggesting the reorganization of the exciton spectrum.

  18. Comparison between chlorosomes containing bacteriochlorophyll-c and chlorosomes containing bacteriochlorophyll-d isolated from two substrains of green sulfur photosynthetic bacterium Chlorobium vibrioforme NCIB 8327.

    PubMed

    Saga, Yoshitaka; Tamiaki, Hitoshi

    2004-07-19

    Chlorosomes containing bacteriochlorophyll(BChl)-c and those containing BChl-d were isolated from two substrains of Chlorobium vibrioforme f. sp. thiosulfatophilum NCIB 8327, respectively. The two types of chlorosomes were investigated from the following aspect, what kinds of effects the molecular structure of chlorosomal BChls had on structural and spectroscopic properties of in vivo self-aggregates in chlorosomes without alteration of the other components such as chlorosomal proteins and lipids; both chlorosomes were expected to have the same components except for light-harvesting BChls. In their visible absorption spectra, the differences of Soret and Q(y) peak positions between BChl-c containing and BChl-d containing chlorosomes were similar to the differences between monomeric BChl-c and d. An inverse S-shaped CD signal in the Q(y) region of BChl-d containing chlorosomes was 1.4 times larger than that of BChl-c containing chlorosomes, when the Q(y) absorbance of the two chlorosomes was almost the same. This implies that the excitonic interaction of BChl-d is larger than that of BChl-c in natural chlorosomes. Resonance Raman spectroscopy showed that BChl self-assemblies in both chlorosomes were essentially formed by the same local structural interaction among 3(1)-hydroxy group, 13-keto group, and central magnesium. BChl-d self-aggregates in chlorosomes were more tolerant of 1-hexanol than in vivo BChl-c aggregates, suggesting that the molecular structure of BChl-d provided more stable self-assemblies than BChl-c in natural chlorosomes.

  19. Electron-Transfer Secondary Reaction Matrices for MALDI MS Analysis of Bacteriochlorophyll a in Rhodobacter sphaeroides and Its Zinc and Copper Analogue Pigments

    NASA Astrophysics Data System (ADS)

    Calvano, Cosima Damiana; Ventura, Giovanni; Trotta, Massimo; Bianco, Giuliana; Cataldi, Tommaso R. I.; Palmisano, Francesco

    2017-01-01

    Bacteriochlorophyll a ( BChl a), a photosynthetic pigment performing the same functions of chlorophylls in plants, features a bacteriochlorin macrocycle ring (18 π electrons) with two reduced pyrrole rings along with a hydrophobic terpenoid side chain (i.e., the phytol residue). Chlorophylls analysis by matrix-assisted laser desorption/ionization mass spectrometry (MALDI MS) is not so straightforward since pheophytinization (i.e., release of the central metal ion) and cleavage of the phytol-ester linkage are invariably observed by employing protonating matrices such as 2,5-dihydroxybenzoic acid, sinapinic acid, and α-cyano-4-hydroxycinnamic acid. Using BChl a from Rhodobacter sphaeroides R26 strain as a model system, different electron-transfer (ET) secondary reaction matrices, leading to the formation of almost stable radical ions in both positive ([M]+•) and negative ([M]-•) ionization modes at m/z 910.55, were evaluated. Compared with ET matrices such as trans-2-[3-(4-t-butyl-phenyl)-2-methyl-2-propenylidene]malononitrile (DCTB), 2,2':5',2''-terthiophene (TER), anthracene (ANT), and 9,10-diphenylanthracene (DP-ANT), 1,5-diaminonaphthalene (DAN) was found to provide the highest ionization yield with a negligible fragmentation. DAN also displayed excellent ionization properties for two metal ion-substituted bacteriochlorophylls, (i.e., Zn- and Cu-BChl a at m/z 950.49 and 949.49), respectively. MALDI MS/MS of both radical charged molecular species provide complementary information, thus making analyte identification more straightforward.

  20. Biosynthesis of Chlorophyll a in a Purple Bacterial Phototroph and Assembly into a Plant Chlorophyll-Protein Complex.

    PubMed

    Hitchcock, Andrew; Jackson, Philip J; Chidgey, Jack W; Dickman, Mark J; Hunter, C Neil; Canniffe, Daniel P

    2016-09-16

    Improvements to photosynthetic efficiency could be achieved by manipulating pigment biosynthetic pathways of photosynthetic organisms in order to increase the spectral coverage for light absorption. The development of organisms that can produce both bacteriochlorophylls and chlorophylls is one way to achieve this aim, and accordingly we have engineered the bacteriochlorophyll-utilizing anoxygenic phototroph Rhodobacter sphaeroides to make chlorophyll a. Bacteriochlorophyll and chlorophyll share a common biosynthetic pathway up to the precursor chlorophyllide. Deletion of genes responsible for the bacteriochlorophyll-specific modifications of chlorophyllide and replacement of the native bacteriochlorophyll synthase with a cyanobacterial chlorophyll synthase resulted in the production of chlorophyll a. This pigment could be assembled in vivo into the plant water-soluble chlorophyll protein, heterologously produced in Rhodobacter sphaeroides, which represents a proof-of-principle for the engineering of novel antenna complexes that enhance the spectral range of photosynthesis.

  1. One-step synthesis of gene carrier via gamma irradiation and its application in tumor gene therapy

    PubMed Central

    Kim, Eun-Ji; Heo, Hun; Park, Jong-Seok; Gwon, Hui-Jeong; Lim, Youn-Mook; Jang, Mi-Kyeong

    2018-01-01

    Introduction Although numerous studies have been conducted with the aim of developing drug-delivery systems, chemically synthesized gene carriers have shown limited applications in the biomedical fields due to several problems, such as low-grafting yields, undesirable reactions, difficulties in controlling the reactions, and high-cost production owing to multi-step manufacturing processes. Materials and methods We developed a 1-step synthesis process to produce 2-aminoethyl methacrylate-grafted water-soluble chitosan (AEMA-g-WSC) as a gene carrier, using gamma irradiation for simultaneous synthesis and sterilization, but no catalysts or photoinitiators. We analyzed the AEMA graft site on WSC using 2-dimensional nuclear magnetic resonance spectroscopy (2D NMR; 1H and 13C NMR), and assayed gene transfection effects in vitro and in vivo. Results We revealed selective grafting of AEMA onto C6-OH groups of WSC. AEMA-g-WSC effectively condensed plasmid DNA to form polyplexes in the size range of 170 to 282 nm. AEMA-g-WSC polyplexes in combination with psi-hBCL2 (a vector expressing short hairpin RNA against BCL2 mRNA) inhibited tumor cell proliferation and tumor growth in vitro and in vivo, respectively, by inducing apoptosis. Conclusion The simple grafting process mediated via gamma irradiation is a promising method for synthesizing gene carriers. PMID:29416333

  2. Docosahexaenoic acid antagonizes the boosting effect of palmitic acid on LPS inflammatory signaling by inhibiting gene transcription and ceramide synthesis

    PubMed Central

    Jin, Junfei; Lu, Zhongyang; Li, Yanchun; Cowart, L. Ashley; Lopes-Virella, Maria F.

    2018-01-01

    It is well known that saturated fatty acids (SFAs) and unsaturated fatty acid, in particular omega-3 polyunsaturated fatty acids (n-3 PUFAs), have different effects on inflammatory signaling: SFAs are pro-inflammatory but n-3 PUFAs have strong anti-inflammatory properties. We have reported that palmitic acid (PA), a saturated fatty acid, robustly amplifies lipopolysaccharide (LPS) signaling to upregulate proinflammatory gene expression in macrophages. We also reported that the increased production of ceramide (CER) via sphingomyelin (SM) hydrolysis and CER de novo synthesis plays a key role in the synergistic effect of LPS and PA on proinflammatory gene expression. However, it remains unclear if n-3 PUFAs are capable of antagonizing the synergistic effect of LPS and PA on gene expression and CER production. In this study, we employed the above macrophage culture system and lipidomical analysis to assess the effect of n-3 PUFAs on proinflammatory gene expression and CER production stimulated by LPS and PA. Results showed that DHA strongly inhibited the synergistic effect of LPS and PA on proinflammatory gene expression by targeting nuclear factor kappa B (NFκB)-dependent gene transcription. Results also showed that DHA inhibited the cooperative effect of LPS and PA on CER production by targeting CER de novo synthesis, but not SM hydrolysis. Furthermore, results showed that myriocin, a specific inhibitor of serine palmitoyltransferase, strongly inhibited both LPS-PA-stimulated CER synthesis and proinflammatory gene expression, indicating that CER synthesis is associated with proinflammatory gene expression and that inhibition of CER synthesis contributes to DHA-inhibited proinflammatory gene expression. Taken together, this study demonstrates that DHA antagonizes the boosting effect of PA on LPS signaling on proinflammatory gene expression by targeting both NFκB-dependent transcription and CER de novo synthesis in macrophages. PMID:29474492

  3. Docosahexaenoic acid antagonizes the boosting effect of palmitic acid on LPS inflammatory signaling by inhibiting gene transcription and ceramide synthesis.

    PubMed

    Jin, Junfei; Lu, Zhongyang; Li, Yanchun; Cowart, L Ashley; Lopes-Virella, Maria F; Huang, Yan

    2018-01-01

    It is well known that saturated fatty acids (SFAs) and unsaturated fatty acid, in particular omega-3 polyunsaturated fatty acids (n-3 PUFAs), have different effects on inflammatory signaling: SFAs are pro-inflammatory but n-3 PUFAs have strong anti-inflammatory properties. We have reported that palmitic acid (PA), a saturated fatty acid, robustly amplifies lipopolysaccharide (LPS) signaling to upregulate proinflammatory gene expression in macrophages. We also reported that the increased production of ceramide (CER) via sphingomyelin (SM) hydrolysis and CER de novo synthesis plays a key role in the synergistic effect of LPS and PA on proinflammatory gene expression. However, it remains unclear if n-3 PUFAs are capable of antagonizing the synergistic effect of LPS and PA on gene expression and CER production. In this study, we employed the above macrophage culture system and lipidomical analysis to assess the effect of n-3 PUFAs on proinflammatory gene expression and CER production stimulated by LPS and PA. Results showed that DHA strongly inhibited the synergistic effect of LPS and PA on proinflammatory gene expression by targeting nuclear factor kappa B (NFκB)-dependent gene transcription. Results also showed that DHA inhibited the cooperative effect of LPS and PA on CER production by targeting CER de novo synthesis, but not SM hydrolysis. Furthermore, results showed that myriocin, a specific inhibitor of serine palmitoyltransferase, strongly inhibited both LPS-PA-stimulated CER synthesis and proinflammatory gene expression, indicating that CER synthesis is associated with proinflammatory gene expression and that inhibition of CER synthesis contributes to DHA-inhibited proinflammatory gene expression. Taken together, this study demonstrates that DHA antagonizes the boosting effect of PA on LPS signaling on proinflammatory gene expression by targeting both NFκB-dependent transcription and CER de novo synthesis in macrophages.

  4. Genome-wide identification, phylogenetic analysis, and expression profiling of polyamine synthesis gene family members in tomato.

    PubMed

    Liu, Taibo; Huang, Binbin; Chen, Lin; Xian, Zhiqiang; Song, Shiwei; Chen, Riyuan; Hao, Yanwei

    2018-06-30

    Polyamines (PAs), including putrescine (Put), spermidine (Spd), spermine (Spm), and thermospermine (T-Spm), play key roles in plant development, including fruit setting and ripening, morphogenesis, and abiotic/biotic stress. Their functions appear to be intimately related to their synthesis, which occurs via arginine/ornithine decarboxylase (ADC/ODC), Spd synthase (SPDS), Spm synthase (SPMS), and Acaulis5 (ACL5), respectively. Unfortunately, the expression and function of these PA synthesis-relate genes during specific developmental process or under stress have not been fully elucidated. Here, we present the results of a genome-wide analysis of the PA synthesis genes (ADC, ODC, SPDS, SPMS, ACL5) in the tomato (Solanum lycopersicum). In total, 14 PA synthesis-related genes were identified. Further analysis of their structures, conserved domains, phylogenetic trees, predicted subcellular localization, and promoter cis-regulatory elements were analyzed. Furthermore, we also performed experiments to evaluate their tissue expression patterns and under hormone and various stress treatments. To our knowledge, this is the first study to elucidate the mechanisms underlying PA function in this variety of tomato. Taken together, these data provide valuable information for future functional characterization of specific genes in the PA synthesis pathway in this and other plant species. Although additional research is required, the insight gained by this and similar studies can be used to improve our understanding of PA metabolism ultimately leading to more effective and consistent plant cultivation. Copyright © 2018 Elsevier B.V. All rights reserved.

  5. Towards first-principles calculation of electronic excitations in the ring of the protein-bound bacteriochlorophylls

    NASA Astrophysics Data System (ADS)

    Polyakov, Igor V.; Khrenova, Maria G.; Moskovsky, Alexander A.; Shabanov, Boris M.; Nemukhin, Alexander V.

    2018-04-01

    Modeling electronic excitation of bacteriochlorophyll (BChl) molecules in light-harvesting (LH) antennae from photosynthetic centers presents a challenge for the quantum theory. We report on a quantum chemical study of the ring of 32 BChl molecules from the bacterial core complex LH1-RC. Diagonal and off-diagonal elements of the excitonic Hamiltonian matrices are estimated in quantum chemical calculations of relevant fragments using the TD-DFT and CIS approaches. The deviation of the computed excitation energy of this BChl system from the experimental data related to the Qy band maximum of this LH1-RC complex is about 0.2 eV. We demonstrate that corrections due to improvement in modeling of an individual BChl molecule and due to contributions from the protein environment are in the range of the obtained discrepancy between theory and experiment. Differences between results of the excitonic model and direct quantum chemical calculations of BChl aggregates fall in the same range.

  6. Descriptions of Roseiarcus fermentans gen. nov., sp. nov., a bacteriochlorophyll a-containing fermentative bacterium related phylogenetically to alphaproteobacterial methanotrophs, and of the family Roseiarcaceae fam. nov.

    PubMed

    Kulichevskaya, Irina S; Danilova, Olga V; Tereshina, Vera M; Kevbrin, Vadim V; Dedysh, Svetlana N

    2014-08-01

    A light-pink-pigmented, microaerophilic bacterium was obtained from a methanotrophic consortium enriched from acidic Sphagnum peat and designated strain Pf56(T). Cells of this bacterium were Gram-negative, non-motile, thick curved rods that contained a vesicular intracytoplasmic membrane system characteristic of some purple non-sulfur alphaproteobacteria. The absorption spectrum of acetone/methanol extracts of cells grown in the light showed maxima at 363, 475, 505, 601 and 770 nm; the peaks at 363 and 770 nm are characteristic of bacteriochlorophyll a. However, in contrast to purple non-sulfur bacteria, strain Pf56(T) was unable to grow phototrophically under anoxic conditions in the light. Best growth occurred on some sugars and organic acids under micro-oxic conditions by means of fermentation. The fermentation products were propionate, acetate and hydrogen. Slow chemo-organotrophic growth was also observed under fully oxic conditions. Light stimulated growth. C1 substrates were not utilized. Strain Pf56(T) grew at pH 4.0-7.0 (optimum pH 5.5-6.5) and at 15-30 °C (optimum 22-28 °C). The major cellular fatty acids were 19 : 0 cyclo ω8c and 18 : 1ω7c; quinones were represented by ubiquinone Q-10. The G+C content of the DNA was 70.0 mol%. Strain Pf56 displays 93.6-94.7 and 92.7-93.7% 16S rRNA gene sequence similarity to members of the families Methylocystaceae and Beijerinckiaceae, respectively, and belongs to a large cluster of environmental sequences retrieved from various wetlands and forest soils in cultivation-independent studies. Phenotypic, genotypic and chemotaxonomic characteristics of strain Pf56(T) suggest that it represents a novel genus and species of bacteriochlorophyll a-containing fermentative bacteria, for which the name Roseiarcus fermentans gen. nov., sp. nov. is proposed. Strain Pf56(T) ( = DSM 24875(T) = VKM B-2876(T)) is the type strain of Roseiarcus fermentans, and is also the first characterized member of a novel family

  7. Transcriptome Sequencing of Gracilariopsis lemaneiformis to Analyze the Genes Related to Optically Active Phycoerythrin Synthesis.

    PubMed

    Huang, Xiaoyun; Zang, Xiaonan; Wu, Fei; Jin, Yuming; Wang, Haitao; Liu, Chang; Ding, Yating; He, Bangxiang; Xiao, Dongfang; Song, Xinwei; Liu, Zhu

    2017-01-01

    Gracilariopsis lemaneiformis (aka Gracilaria lemaneiformis) is a red macroalga rich in phycoerythrin, which can capture light efficiently and transfer it to photosystemⅡ. However, little is known about the synthesis of optically active phycoerythrinin in G. lemaneiformis at the molecular level. With the advent of high-throughput sequencing technology, analysis of genetic information for G. lemaneiformis by transcriptome sequencing is an effective means to get a deeper insight into the molecular mechanism of phycoerythrin synthesis. Illumina technology was employed to sequence the transcriptome of two strains of G. lemaneiformis- the wild type and a green-pigmented mutant. We obtained a total of 86915 assembled unigenes as a reference gene set, and 42884 unigenes were annotated in at least one public database. Taking the above transcriptome sequencing as a reference gene set, 4041 differentially expressed genes were screened to analyze and compare the gene expression profiles of the wild type and green mutant. By GO and KEGG pathway analysis, we concluded that three factors, including a reduction in the expression level of apo-phycoerythrin, an increase of chlorophyll light-harvesting complex synthesis, and reduction of phycoerythrobilin by competitive inhibition, caused the reduction of optically active phycoerythrin in the green-pigmented mutant.

  8. Ribozyme-mediated cleavage of c-fos mRNA reduces gene expression of DNA synthesis enzymes and metallothionein.

    PubMed Central

    Scanlon, K J; Jiao, L; Funato, T; Wang, W; Tone, T; Rossi, J J; Kashani-Sabet, M

    1991-01-01

    The c-fos gene product Fos has been implicated in many cellular processes, including signal transduction, DNA synthesis, and resistance to antineoplastic agents. A fos ribozyme (catalytic RNA) was designed to evaluate the effects of suppressing Fos protein synthesis on expression of enzymes involved in DNA synthesis, DNA repair, and drug resistance. DNA encoding the fos ribozyme (fosRb) was cloned into the pMAMneo expression plasmid, and the resultant vector was transfected into A2780DDP cells resistant to the chemotherapeutic agent cisplatin. The parental drug-sensitive A2780S cells were transfected with the pMMV vector containing the c-fos gene. Morphological alterations were accompanied by significant changes in pharmacological sensitivity in both c-fos- and fosRb-transfected cells. pMAMneo fosRb transfectants revealed decreased c-fos gene expression, concomitant with reduced thymidylate (dTMP) synthase, DNA polymerase beta, topoisomerase I, and metallothionein IIA mRNAs. In contrast, c-myc expression was elevated after fos ribozyme action. Insertion of a mutant ribozyme, mainly capable of antisense activity, into A2780DDP cells resulted in smaller reductions in c-fos gene expression and in cisplatin resistance than the active ribozyme. These studies establish a role for c-fos in drug resistance and in mediating DNA synthesis and repair processes by modulating expression of genes such as dTMP synthase, DNA polymerase beta, and topoisomerase I. These studies also suggest the utility of ribozymes in the analysis of cellular gene expression. Images PMID:1660142

  9. Cultivation of Aquincola tertiaricarbonis L108 on the fuel oxygenate intermediate tert-butyl alcohol induces aerobic anoxygenic photosynthesis at extremely low feeding rates.

    PubMed

    Rohwerder, Thore; Müller, Roland H; Weichler, M Teresa; Schuster, Judith; Hübschmann, Thomas; Müller, Susann; Harms, Hauke

    2013-10-01

    Aerobic anoxygenic photosynthesis (AAP) is found in an increasing number of proteobacterial strains thriving in ecosystems ranging from extremely oligotrophic to eutrophic. Here, we have investigated whether the fuel oxygenate-degrading betaproteobacterium Aquincola tertiaricarbonis L108 can use AAP to compensate kinetic limitations at low heterotrophic substrate fluxes. In a fermenter experiment with complete biomass retention and also during chemostat cultivation, strain L108 was challenged with extremely low substrate feeding rates of tert-butyl alcohol (TBA), an intermediate of methyl tert-butyl ether (MTBE). Interestingly, formation of photosynthetic pigments, identified as bacteriochlorophyll a and spirilloxanthin, was only induced in growing cells at TBA feeding rates less than or equal to maintenance requirements observed under energy excess conditions. Growth continued at rates between 0.001 and 0.002 h(-1) even when the TBA feed was decreased to values close to 30 % of this maintenance rate. Partial sequencing of genomic DNA of strain L108 revealed a bacteriochlorophyll synthesis gene cluster (bchFNBHL) and photosynthesis regulator genes (ppsR and ppaA) typically found in AAP and other photosynthetic proteobacteria. The usage of light as auxiliary energy source enabling evolution of efficient degradation pathways for kinetically limited heterotrophic substrates and for lowering the threshold substrate concentration Smin at which growth becomes zero is discussed.

  10. Extensive horizontal gene transfer, duplication, and loss of chlorophyll synthesis genes in the algae

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hunsperger, Heather M.; Randhawa, Tejinder; Cattolico, Rose Ann

    Two non-homologous, isofunctional enzymes catalyze the penultimate step of chlorophyll a synthesis in oxygenic photosynthetic organisms such as cyanobacteria, eukaryotic algae and land plants: the light independent (LIPOR) and light-dependent (POR) protochlorophyllide oxidoreductases. Whereas the distribution of these enzymes in cyanobacteria and land plants is well understood, the presence, loss, duplication, and replacement of these genes have not been surveyed in the polyphyletic and remarkably diverse eukaryotic algal lineages.

  11. Extensive horizontal gene transfer, duplication, and loss of chlorophyll synthesis genes in the algae

    DOE PAGES

    Hunsperger, Heather M.; Randhawa, Tejinder; Cattolico, Rose Ann

    2015-02-10

    Two non-homologous, isofunctional enzymes catalyze the penultimate step of chlorophyll a synthesis in oxygenic photosynthetic organisms such as cyanobacteria, eukaryotic algae and land plants: the light independent (LIPOR) and light-dependent (POR) protochlorophyllide oxidoreductases. Whereas the distribution of these enzymes in cyanobacteria and land plants is well understood, the presence, loss, duplication, and replacement of these genes have not been surveyed in the polyphyletic and remarkably diverse eukaryotic algal lineages.

  12. Circadian rhythm genes mediate fenvalerate-induced inhibition of testosterone synthesis in mouse Leydig cells.

    PubMed

    Guo, Yichen; Shen, Ouxi; Han, Jingjing; Duan, Hongyu; Yang, Siyuan; Zhu, Zhenghong; Tong, Jian; Zhang, Jie

    2017-01-01

    Fenvalerate (Fen), a widely used pesticide, is known to impair male reproductive functions by mechanisms that remain to be elucidated. Recent studies indicated that circadian clock genes may play an important role in successful male reproduction. The aim of this study was to determine the effects of Fen on circadian clock genes involved in the biosynthesis of testosterone using TM3 cells derived from mouse Leydig cells. Data demonstrated that the circadian rhythm of testosterone synthesis in TM3 cells was disturbed following Fen treatment as evidenced by changes in the circadian rhythmicity of core clock genes (Bmal1, Rev-erbα, Rorα). Further, the observed altered rhythms were accompanied by increased intracellular Ca 2+ levels and modified steroidogenic acute regulatory (StAR) mRNA expression. Thus, data suggested that Fen inhibits testosterone synthesis via pathways involving intracellular Ca 2+ and clock genes (Bmal1, Rev-Erbα, Rorα) as well as StAR mRNA expression in TM3 cells.

  13. Excitation energy transfer from the bacteriochlorophyll Soret band to carotenoids in the LH2 light-harvesting complex from Ectothiorhodospira haloalkaliphila is negligible.

    PubMed

    Razjivin, A P; Lukashev, E P; Kompanets, V O; Kozlovsky, V S; Ashikhmin, A A; Chekalin, S V; Moskalenko, A A; Paschenko, V Z

    2017-09-01

    Pathways of intramolecular conversion and intermolecular electronic excitation energy transfer (EET) in the photosynthetic apparatus of purple bacteria remain subject to debate. Here we experimentally tested the possibility of EET from the bacteriochlorophyll (BChl) Soret band to the singlet S 2 level of carotenoids using femtosecond pump-probe measurements and steady-state fluorescence excitation and absorption measurements in the near-ultraviolet and visible spectral ranges. The efficiency of EET from the Soret band of BChl to S 2 of the carotenoids in light-harvesting complex LH2 from the purple bacterium Ectothiorhodospira haloalkaliphila appeared not to exceed a few percent.

  14. Discrepancy between experimental and theoretical excitation transfer rates in LH2 bacteriochlorophyll-protein complexes of purple bacteria.

    PubMed

    Borisov, A Y

    2008-02-01

    Discrepancy is revealed between the values of excitation transfer times measured experimentally, and those calculated, for the atomic structures of B800 --> B850 bacteriochlorophylls within the LH2 light-harvesting pigment-protein complex of the purple bacterium Rhodopseudomonas acidophila. The value 2.9-3.2 ps for the B800 --> B850 excitation transfer, calculated on the basis of atomic structure of LH2, is about 4-times longer than that measured for this bacterium (0.7 ps). This discrepancy appears common in at least two purple bacteria. Possible sources responsible for this discrepancy are discussed. It may either signify some drawback/s/ in our notions about the precise in vivo structure of LH2 complexes, for example, possible changes of LH2 structure during crystallization, or it may reflect our ignorance of some mechanisms involved in excitation migration.

  15. Timing matters: error-prone gap filling and translesion synthesis in immunoglobulin gene hypermutation

    PubMed Central

    Sale, Julian E.; Batters, Christopher; Edmunds, Charlotte E.; Phillips, Lara G.; Simpson, Laura J.; Szüts, Dávid

    2008-01-01

    By temporarily deferring the repair of DNA lesions encountered during replication, the bypass of DNA damage is critical to the ability of cells to withstand genomic insults. Damage bypass can be achieved either by recombinational mechanisms that are generally accurate or by a process called translesion synthesis. Translesion synthesis involves replacing the stalled replicative polymerase with one of a number of specialized DNA polymerases whose active sites are able to tolerate a distorted or damaged DNA template. While this property allows the translesion polymerases to synthesize across damaged bases, it does so with the trade-off of an increased mutation rate. The deployment of these enzymes must therefore be carefully regulated. In addition to their important role in general DNA damage tolerance and mutagenesis, the translesion polymerases play a crucial role in converting the products of activation induced deaminase-catalysed cytidine deamination to mutations during immunoglobulin gene somatic hypermutation. In this paper, we specifically consider the control of translesion synthesis in the context of the timing of lesion bypass relative to replication fork progression and arrest at sites of DNA damage. We then examine how recent observations concerning the control of translesion synthesis might help refine our view of the mechanisms of immunoglobulin gene somatic hypermutation. PMID:19008194

  16. An interactional network of genes involved in chitin synthesis in Saccharomyces cerevisiae.

    PubMed

    Lesage, Guillaume; Shapiro, Jesse; Specht, Charles A; Sdicu, Anne-Marie; Ménard, Patrice; Hussein, Shamiza; Tong, Amy Hin Yan; Boone, Charles; Bussey, Howard

    2005-02-16

    In S. cerevisiae the beta-1,4-linked N-acetylglucosamine polymer, chitin, is synthesized by a family of 3 specialized but interacting chitin synthases encoded by CHS1, CHS2 and CHS3. Chs2p makes chitin in the primary septum, while Chs3p makes chitin in the lateral cell wall and in the bud neck, and can partially compensate for the lack of Chs2p. Chs3p requires a pathway of Bni4p, Chs4p, Chs5p, Chs6p and Chs7p for its localization and activity. Chs1p is thought to have a septum repair function after cell separation. To further explore interactions in the chitin synthase family and to find processes buffering chitin synthesis, we compiled a genetic interaction network of genes showing synthetic interactions with CHS1, CHS3 and genes involved in Chs3p localization and function and made a phenotypic analysis of their mutants. Using deletion mutants in CHS1, CHS3, CHS4, CHS5, CHS6, CHS7 and BNI4 in a synthetic genetic array analysis we assembled a network of 316 interactions among 163 genes. The interaction network with CHS3, CHS4, CHS5, CHS6, CHS7 or BNI4 forms a dense neighborhood, with many genes functioning in cell wall assembly or polarized secretion. Chitin levels were altered in 54 of the mutants in individually deleted genes, indicating a functional relationship between them and chitin synthesis. 32 of these mutants triggered the chitin stress response, with elevated chitin levels and a dependence on CHS3. A large fraction of the CHS1-interaction set was distinct from that of the CHS3 network, indicating broad roles for Chs1p in buffering both Chs2p function and more global cell wall robustness. Based on their interaction patterns and chitin levels we group interacting mutants into functional categories. Genes interacting with CHS3 are involved in the amelioration of cell wall defects and in septum or bud neck chitin synthesis, and we newly assign a number of genes to these functions. Our genetic analysis of genes not interacting with CHS3 indicate expanded

  17. An interactional network of genes involved in chitin synthesis in Saccharomyces cerevisiae

    PubMed Central

    Lesage, Guillaume; Shapiro, Jesse; Specht, Charles A; Sdicu, Anne-Marie; Ménard, Patrice; Hussein, Shamiza; Tong, Amy Hin Yan; Boone, Charles; Bussey, Howard

    2005-01-01

    Background In S. cerevisiae the β-1,4-linked N-acetylglucosamine polymer, chitin, is synthesized by a family of 3 specialized but interacting chitin synthases encoded by CHS1, CHS2 and CHS3. Chs2p makes chitin in the primary septum, while Chs3p makes chitin in the lateral cell wall and in the bud neck, and can partially compensate for the lack of Chs2p. Chs3p requires a pathway of Bni4p, Chs4p, Chs5p, Chs6p and Chs7p for its localization and activity. Chs1p is thought to have a septum repair function after cell separation. To further explore interactions in the chitin synthase family and to find processes buffering chitin synthesis, we compiled a genetic interaction network of genes showing synthetic interactions with CHS1, CHS3 and genes involved in Chs3p localization and function and made a phenotypic analysis of their mutants. Results Using deletion mutants in CHS1, CHS3, CHS4, CHS5, CHS6, CHS7 and BNI4 in a synthetic genetic array analysis we assembled a network of 316 interactions among 163 genes. The interaction network with CHS3, CHS4, CHS5, CHS6, CHS7 or BNI4 forms a dense neighborhood, with many genes functioning in cell wall assembly or polarized secretion. Chitin levels were altered in 54 of the mutants in individually deleted genes, indicating a functional relationship between them and chitin synthesis. 32 of these mutants triggered the chitin stress response, with elevated chitin levels and a dependence on CHS3. A large fraction of the CHS1-interaction set was distinct from that of the CHS3 network, indicating broad roles for Chs1p in buffering both Chs2p function and more global cell wall robustness. Conclusion Based on their interaction patterns and chitin levels we group interacting mutants into functional categories. Genes interacting with CHS3 are involved in the amelioration of cell wall defects and in septum or bud neck chitin synthesis, and we newly assign a number of genes to these functions. Our genetic analysis of genes not interacting with

  18. Completion of biosynthetic pathways for bacteriochlorophyll g in Heliobacterium modesticaldum: The C8-ethylidene group formation.

    PubMed

    Tsukatani, Yusuke; Yamamoto, Haruki; Mizoguchi, Tadashi; Fujita, Yuichi; Tamiaki, Hitoshi

    2013-10-01

    Heliobacteria have the simplest photosynthetic apparatus, i.e., a type-I reaction center lacking a peripheral light-harvesting complex. Bacteriochlorophyll (BChl) g molecules are bound to the reaction center complex and work both as special-pair and antenna pigments. The C8-ethylidene group formation for BChl g is the last missing link in biosynthetic pathways for bacterial special-pair pigments, which include BChls a and b as well. Here, we report that chlorophyllide a oxidoreductase (COR) of Heliobacterium modesticaldum catalyzes the C8-ethylidene formation from 8-vinyl-chlorophyllide a, producing bacteriochlorophyllide g, the direct precursor for BChl g without the farnesyl tail. The finding led to plausible biosynthetic pathways for 8(1)-hydroxy-chlorophyll a, a primary electron acceptor from the special pair in heliobacterial reaction centers. Proposed catalytic mechanisms on hydrogenation reaction of the ethylidene synthase-type CORs are also discussed. Copyright © 2013 Elsevier B.V. All rights reserved.

  19. One-Pot Parallel Synthesis of Lipid Library via Thiolactone Ring Opening and Screening for Gene Delivery.

    PubMed

    Molla, Mijanur R; Böser, Alexander; Rana, Akshita; Schwarz, Karina; Levkin, Pavel A

    2018-04-18

    Efficient delivery of nucleic acids into cells is of great interest in the field of cell biology and gene therapy. Despite a lot of research, transfection efficiency and structural diversity of gene-delivery vectors are still limited. A better understanding of the structure-function relationship of gene delivery vectors is also essential for the design of novel and intelligent delivery vectors, efficient in "difficult-to-transfect" cells and in vivo clinical applications. Most of the existing strategies for the synthesis of gene-delivery vectors require multiple steps and lengthy procedures. Here, we demonstrate a facile, three-component one-pot synthesis of a combinatorial library of 288 structurally diverse lipid-like molecules termed "lipidoids" via a thiolactone ring opening reaction. This strategy introduces the possibility to synthesize lipidoids with hydrophobic tails containing both unsaturated bonds and reducible disulfide groups. The whole synthesis and purification are convenient, extremely fast, and can be accomplished within a few hours. Screening of the produced lipidoids using HEK293T cells without addition of helper lipids resulted in identification of highly stable liposomes demonstrating ∼95% transfection efficiency with low toxicity.

  20. Effects of Chromosomal Integration of the Vitreoscilla Hemoglobin Gene (vgb) and S-Adenosylmethionine Synthetase Gene (metK) on ε-Poly-L-Lysine Synthesis in Streptomyces albulus NK660.

    PubMed

    Gu, Yanyan; Wang, Xiaomeng; Yang, Chao; Geng, Weitao; Feng, Jun; Wang, Yuanyuan; Wang, Shufang; Song, Cunjiang

    2016-04-01

    ε-Poly-L-lysine (ε-PL) is a widely used natural food preservative. To test the effects of the Vitreoscilla hemoglobin (VHb) and S-adenosylmethionine (SAM) on ε-PL synthesis in Streptomyces albulus NK660, the heterologous VHb gene (vgb) and SAM synthetase gene (metK) were inserted into the S. albulus NK660 chromosome under the control of the constitutive ermE* promoter. CO-difference spectrum analysis showed S. albulus NK660-VHb strain could express functional VHb. S. albulus NK660-VHb produced 26.67 % higher ε-PL and 14.57 % higher biomass than the wild-type control, respectively. Reversed-phase high-pressure liquid chromatography (RP-HPLC) results showed the overexpression of the metK gene resulted in increased intracellular SAM synthesis in S. albulus NK660-SAM, which caused increases of biomass as well as the transcription level of ε-PL synthetase gene (pls). Results indicated that the expression of vgb and metK gene improved on ε-PL synthesis and biomass for S. albulus NK660, respectively.

  1. An Arabidopsis Gene Regulatory Network for Secondary Cell Wall Synthesis

    PubMed Central

    Taylor-Teeples, M; Lin, L; de Lucas, M; Turco, G; Toal, TW; Gaudinier, A; Young, NF; Trabucco, GM; Veling, MT; Lamothe, R; Handakumbura, PP; Xiong, G; Wang, C; Corwin, J; Tsoukalas, A; Zhang, L; Ware, D; Pauly, M; Kliebenstein, DJ; Dehesh, K; Tagkopoulos, I; Breton, G; Pruneda-Paz, JL; Ahnert, SE; Kay, SA; Hazen, SP; Brady, SM

    2014-01-01

    Summary The plant cell wall is an important factor for determining cell shape, function and response to the environment. Secondary cell walls, such as those found in xylem, are composed of cellulose, hemicelluloses and lignin and account for the bulk of plant biomass. The coordination between transcriptional regulation of synthesis for each polymer is complex and vital to cell function. A regulatory hierarchy of developmental switches has been proposed, although the full complement of regulators remains unknown. Here, we present a protein-DNA network between Arabidopsis transcription factors and secondary cell wall metabolic genes with gene expression regulated by a series of feed-forward loops. This model allowed us to develop and validate new hypotheses about secondary wall gene regulation under abiotic stress. Distinct stresses are able to perturb targeted genes to potentially promote functional adaptation. These interactions will serve as a foundation for understanding the regulation of a complex, integral plant component. PMID:25533953

  2. An Arabidopsis gene regulatory network for secondary cell wall synthesis

    DOE PAGES

    Taylor-Teeples, M.; Lin, L.; de Lucas, M.; ...

    2014-12-24

    The plant cell wall is an important factor for determining cell shape, function and response to the environment. Secondary cell walls, such as those found in xylem, are composed of cellulose, hemicelluloses and lignin and account for the bulk of plant biomass. The coordination between transcriptional regulation of synthesis for each polymer is complex and vital to cell function. A regulatory hierarchy of developmental switches has been proposed, although the full complement of regulators remains unknown. In this paper, we present a protein–DNA network between Arabidopsis thaliana transcription factors and secondary cell wall metabolic genes with gene expression regulated bymore » a series of feed-forward loops. This model allowed us to develop and validate new hypotheses about secondary wall gene regulation under abiotic stress. Distinct stresses are able to perturb targeted genes to potentially promote functional adaptation. Finally, these interactions will serve as a foundation for understanding the regulation of a complex, integral plant component.« less

  3. A simple, rapid, high-fidelity and cost-effective PCR-based two-step DNA synthesis method for long gene sequences.

    PubMed

    Xiong, Ai-Sheng; Yao, Quan-Hong; Peng, Ri-He; Li, Xian; Fan, Hui-Qin; Cheng, Zong-Ming; Li, Yi

    2004-07-07

    Chemical synthesis of DNA sequences provides a powerful tool for modifying genes and for studying gene function, structure and expression. Here, we report a simple, high-fidelity and cost-effective PCR-based two-step DNA synthesis (PTDS) method for synthesis of long segments of DNA. The method involves two steps. (i) Synthesis of individual fragments of the DNA of interest: ten to twelve 60mer oligonucleotides with 20 bp overlap are mixed and a PCR reaction is carried out with high-fidelity DNA polymerase Pfu to produce DNA fragments that are approximately 500 bp in length. (ii) Synthesis of the entire sequence of the DNA of interest: five to ten PCR products from the first step are combined and used as the template for a second PCR reaction using high-fidelity DNA polymerase pyrobest, with the two outermost oligonucleotides as primers. Compared with the previously published methods, the PTDS method is rapid (5-7 days) and suitable for synthesizing long segments of DNA (5-6 kb) with high G + C contents, repetitive sequences or complex secondary structures. Thus, the PTDS method provides an alternative tool for synthesizing and assembling long genes with complex structures. Using the newly developed PTDS method, we have successfully obtained several genes of interest with sizes ranging from 1.0 to 5.4 kb.

  4. Virus-Induced Gene Silencing Identifies an Important Role of the TaRSR1 Transcription Factor in Starch Synthesis in Bread Wheat.

    PubMed

    Liu, Guoyu; Wu, Yufang; Xu, Mengjun; Gao, Tian; Wang, Pengfei; Wang, Lina; Guo, Tiancai; Kang, Guozhang

    2016-09-23

    The function of a wheat starch regulator 1 (TaRSR1) in regulating the synthesis of grain storage starch was determined using the barley stripe mosaic virus-virus induced gene-silencing (BSMV-VIGS) method in field experiments. Chlorotic stripes appeared on the wheat spikes infected with barley stripe mosaic virus-virus induced gene-silencing- wheat starch regulator 1 (BSMV-VIGS-TaRSR1) at 15 days after anthesis, at which time the transcription levels of the TaRSR1 gene significantly decreased. Quantitative real-time PCR was also used to measure the transcription levels of 26 starch synthesis-related enzyme genes in the grains of BSMV-VIGS-TaRSR1-silenced wheat plants at 20, 27, and 31 days after anthesis. The results showed that the transcription levels of some starch synthesis-related enzyme genes were markedly induced at different sampling time points: TaSSI, TaSSIV, TaBEIII, TaISA1, TaISA3, TaPHOL, and TaDPE1 genes were induced at each of the three sampling time points and TaAGPS1-b, TaAGPL1, TaAGPL2, TaSSIIb, TaSSIIc, TaSSIIIb, TaBEI, TaBEIIa, TaBEIIb, TaISA2, TaPHOH, and TaDPE2 genes were induced at one sampling time point. Moreover, both the grain starch contents, one thousand kernel weights, grain length and width of BSMV-VIGS-TaRSR1-infected wheat plants significantly increased. These results suggest that TaRSR1 acts as a negative regulator and plays an important role in starch synthesis in wheat grains by temporally regulating the expression of specific starch synthesis-related enzyme genes.

  5. Virus-Induced Gene Silencing Identifies an Important Role of the TaRSR1 Transcription Factor in Starch Synthesis in Bread Wheat

    PubMed Central

    Liu, Guoyu; Wu, Yufang; Xu, Mengjun; Gao, Tian; Wang, Pengfei; Wang, Lina; Guo, Tiancai; Kang, Guozhang

    2016-01-01

    The function of a wheat starch regulator 1 (TaRSR1) in regulating the synthesis of grain storage starch was determined using the barley stripe mosaic virus—virus induced gene-silencing (BSMV-VIGS) method in field experiments. Chlorotic stripes appeared on the wheat spikes infected with barley stripe mosaic virus-virus induced gene-silencing- wheat starch regulator 1 (BSMV-VIGS-TaRSR1) at 15 days after anthesis, at which time the transcription levels of the TaRSR1 gene significantly decreased. Quantitative real-time PCR was also used to measure the transcription levels of 26 starch synthesis-related enzyme genes in the grains of BSMV-VIGS-TaRSR1-silenced wheat plants at 20, 27, and 31 days after anthesis. The results showed that the transcription levels of some starch synthesis-related enzyme genes were markedly induced at different sampling time points: TaSSI, TaSSIV, TaBEIII, TaISA1, TaISA3, TaPHOL, and TaDPE1 genes were induced at each of the three sampling time points and TaAGPS1-b, TaAGPL1, TaAGPL2, TaSSIIb, TaSSIIc, TaSSIIIb, TaBEI, TaBEIIa, TaBEIIb, TaISA2, TaPHOH, and TaDPE2 genes were induced at one sampling time point. Moreover, both the grain starch contents, one thousand kernel weights, grain length and width of BSMV-VIGS-TaRSR1-infected wheat plants significantly increased. These results suggest that TaRSR1 acts as a negative regulator and plays an important role in starch synthesis in wheat grains by temporally regulating the expression of specific starch synthesis-related enzyme genes. PMID:27669224

  6. A Dual-Promoter Gene Orchestrates the Sucrose-Coordinated Synthesis of Starch and Fructan in Barley

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jin, Yunkai; Fei, Mingliang; Rosenquist, Sara

    Starch and fructan are two important carbohydrates in many flowering plants and in human diets. Understanding how plants allocate photosynthates and how they prioritize synthesis of different carbohydrates during development is essential in efforts to improve cereals for increased stress tolerance and for desirable carbohydrate compositions in food and feed. We report the coordinated synthesis of starch and fructan in barley, orchestrated by two functionally opposing transcription factors encoded from two alternative promoters, one intronic/exonic, harbored on a single gene. . This dual-transcription factor system employs an autoregulatory, antagonsitic mechanism in sensing sucrose at one promoter, potentially via sucrose/glucose/fructose/trehalose 6-phosphatemore » signaling, and conduct a coordinated synthesis of starch and fructan synthesis by competitive transcription factor binding to the second promoter The finding of an intron/exon-spanning promoter in a hosting gene, resulting in proteins with distinct functions, contributes to our appreciation of the complexity of the plant genome As a case in point for the physiological role of the antagonistic transcription factor system, we have demonstrated that it can be exploited in breeding barley with tailored amounts of fructan for production of specialty food ingredients.« less

  7. Increased yield of PCR products by addition of T4 gene 32 protein to the SMART PCR cDNA synthesis system.

    PubMed

    Villalva, C; Touriol, C; Seurat, P; Trempat, P; Delsol, G; Brousset, P

    2001-07-01

    Under certain conditions, T4 gene 32 protein is known to increase the efficiency of different enzymes, such as Taq DNA polymerase, reverse transcriptase, and telomerase. In this study, we compared the efficiency of the SMART PCR cDNA synthesis kit with and without the T4 gene 32 protein. The use of this cDNA synthesis procedure, in combination with T4 gene 32 protein, increases the yield of RT-PCR products from approximately 90% to 150%. This effect is even observed for long mRNA templates and low concentrations of total RNA (25 ng). Therefore, we suggest the addition of T4 gene 32 protein in the RT-PCR mixture to increase the efficiency of cDNA synthesis, particularly in cases when low amounts of tissue are used.

  8. Microorganisms as Analytical Indicators. Experimental Methods and Techniques,

    DTIC Science & Technology

    1980-01-01

    Representa- tives of the genera Bacillus, Micrococcus, Escherichia, Pseudomonas, Aspergillus , and Penicillium are most frequently encountered...necessary for synthesis of prodigiosin, and magnesium is required for synthesis of bacteriochlorophylls. A change in the color of aspergillus spores...mesentericus niger and Bac. subtilis niger as a function of the concentration of phosphonium salts in the nutrient medium. The degree of

  9. Quantification of two forms of green sulfur bacteria in their natural habitat using bacteriochlorophyll fluorescence spectra

    NASA Astrophysics Data System (ADS)

    Kharcheva, Anastasia V.; Zhiltsova, Anna A.; Lunina, Olga N.; Savvichev, Alexander S.; Patsaeva, Svetlana V.

    2016-04-01

    Detection of phototropic organisms in their natural habitat using optical instruments operating under water is urgently needed for many tasks of ecological monitoring. While fluorescence methods are widely applied nowadays to detect and characterize phytoplankton communities, the techniques for detection and recognition of anoxygenic phototrophs are considered challenging. Differentiation of the forms of anoxygenic green sulfur bacteria in natural water using spectral techniques remains problematic. Green sulfur bacteria could be found in two forms, green-colored (containing BChl d in pigment compound) and brown-colored (containing BChl e), have the special ecological niche in such reservoirs. Separate determination of these microorganisms by spectral methods is complicated because of similarity of spectral characteristics of their pigments. We describe the novel technique of quantification of two forms of green sulfur bacteria directly in water using bacteriochlorophyll fluorescence without pigment extraction. This technique is noninvasive and could be applied in remote mode in the water bodies with restricted water circulation to determine simultaneously concentrations of two forms of green sulfur bacteria in their natural habitat.

  10. Chlorophyll Biosynthesis Gene Evolution Indicates Photosystem Gene Duplication, Not Photosystem Merger, at the Origin of Oxygenic Photosynthesis

    PubMed Central

    Sousa, Filipa L.; Shavit-Grievink, Liat; Allen, John F.; Martin, William F.

    2013-01-01

    An open question regarding the evolution of photosynthesis is how cyanobacteria came to possess the two reaction center (RC) types, Type I reaction center (RCI) and Type II reaction center (RCII). The two main competing theories in the foreground of current thinking on this issue are that either 1) RCI and RCII are related via lineage divergence among anoxygenic photosynthetic bacteria and became merged in cyanobacteria via an event of large-scale lateral gene transfer (also called "fusion" theories) or 2) the two RC types are related via gene duplication in an ancestral, anoxygenic but protocyanobacterial phototroph that possessed both RC types before making the transition to using water as an electron donor. To distinguish between these possibilities, we studied the evolution of the core (bacterio)chlorophyll biosynthetic pathway from protoporphyrin IX (Proto IX) up to (bacterio)chlorophyllide a. The results show no dichotomy of chlorophyll biosynthesis genes into RCI- and RCII-specific chlorophyll biosynthetic clades, thereby excluding models of fusion at the origin of cyanobacteria and supporting the selective-loss hypothesis. By considering the cofactor demands of the pathway and the source genes from which several steps in chlorophyll biosynthesis are derived, we infer that the cell that first synthesized chlorophyll was a cobalamin-dependent, heme-synthesizing, diazotrophic anaerobe. PMID:23258841

  11. Chlorophyll biosynthesis gene evolution indicates photosystem gene duplication, not photosystem merger, at the origin of oxygenic photosynthesis.

    PubMed

    Sousa, Filipa L; Shavit-Grievink, Liat; Allen, John F; Martin, William F

    2013-01-01

    An open question regarding the evolution of photosynthesis is how cyanobacteria came to possess the two reaction center (RC) types, Type I reaction center (RCI) and Type II reaction center (RCII). The two main competing theories in the foreground of current thinking on this issue are that either 1) RCI and RCII are related via lineage divergence among anoxygenic photosynthetic bacteria and became merged in cyanobacteria via an event of large-scale lateral gene transfer (also called "fusion" theories) or 2) the two RC types are related via gene duplication in an ancestral, anoxygenic but protocyanobacterial phototroph that possessed both RC types before making the transition to using water as an electron donor. To distinguish between these possibilities, we studied the evolution of the core (bacterio)chlorophyll biosynthetic pathway from protoporphyrin IX (Proto IX) up to (bacterio)chlorophyllide a. The results show no dichotomy of chlorophyll biosynthesis genes into RCI- and RCII-specific chlorophyll biosynthetic clades, thereby excluding models of fusion at the origin of cyanobacteria and supporting the selective-loss hypothesis. By considering the cofactor demands of the pathway and the source genes from which several steps in chlorophyll biosynthesis are derived, we infer that the cell that first synthesized chlorophyll was a cobalamin-dependent, heme-synthesizing, diazotrophic anaerobe.

  12. Intermolecular Modes between LH2 Bacteriochlorophylls and Protein Residues: The Effect on the Excitation Energies.

    PubMed

    Anda, André; De Vico, Luca; Hansen, Thorsten

    2017-06-08

    Light-harvesting system 2 (LH2) executes the primary processes of photosynthesis in purple bacteria; photon absorption, and energy transportation to the reaction center. A detailed mechanistic insight into these operations is obscured by the complexity of the light-harvesting systems, particularly by the chromophore-environment interaction. In this work, we focus on the effects of the protein residues that are ligated to the bacteriochlorophylls (BChls) and construct potential energy surfaces of the ground and first optically excited state for the various BChl-residue systems where we in each case consider two degrees of freedom in the intermolecular region. We find that the excitation energies are only slightly affected by the considered modes. In addition, we see that axial ligands and hydrogen-bonded residues have opposite effects on both excitation energies and oscillator strengths by comparing to the isolated BChls. Our results indicate that only a small part of the chromophore-environment interaction can be associated with the intermolecular region between a BChl and an adjacent residue, but that it may be possible to selectively raise or lower the excitation energy at the axial and planar residue positions, respectively.

  13. Ancestral gene reconstruction and synthesis of ancient rhodopsins in the laboratory.

    PubMed

    Chang, Belinda S W

    2003-08-01

    Laboratory synthesis of ancestral proteins offers an intriguing opportunity to study the past directly. The development of Bayesian methods to infer ancestral sequences, combined with advances in models of molecular evolution, and synthetic gene technology make this an increasingly promising approach in evolutionary studies of molecular function. Visual pigments form the first step in the biochemical cascade of events in the retina in all animals known to possess visual capabilities. In vertebrates, the necessity of spanning a dynamic range of light intensities of many orders of magnitude has given rise to two different types of photoreceptors, rods specialized for dim-light conditions, and cones for daylight and color vision. These photoreceptors contain different types of visual pigment genes. Reviewed here are methods of inferring ancestral sequences, chemical synthesis of artificial ancestral genes in the laboratory, and applications to the evolution of vertebrate visual systems and the experimental recreation of an archosaur rod visual pigment. The ancestral archosaurs gave rise to several notable lineages of diapsid reptiles, including the birds and the dinosaurs, and would have existed over 200 MYA. What little is known of their physiology comes from fossil remains, and inference based on the biology of their living descendants. Despite its age, an ancestral archosaur pigment was successfully recreated in the lab, and showed interesting properties of its wavelength sensitivity that may have implications for the visual capabilities of the ancestral archosaurs in dim light.

  14. A Dual-Promoter Gene Orchestrates the Sucrose-Coordinated Synthesis of Starch and Fructan in Barley

    DOE PAGES

    Jin, Yunkai; Fei, Mingliang; Rosenquist, Sara; ...

    2017-11-07

    Sequential carbohydrate synthesis is important for plant survival because it guarantees energy supplies for growth and development during plant ontogeny and reproduction. Starch and fructan are two important carbohydrates in many flowering plants and in human diets. Understanding this coordinated starch and fructan synthesis and unraveling how plants allocate photosynthates and prioritize different carbohydrate synthesis for survival could lead to improvements to cereals in agriculture for the purposes of greater food security and production quality. Here, we report a system from a single gene in barley employing two alternative promoters, one intronic/exonic, to generate two sequence-overlapping but functionally opposing transcriptionmore » factors, in sensing sucrose, potentially via sucrose/glucose/fructose/trehalose 6-phosphate signaling. The system employs an autoregulatory mechanism in perceiving a sucrose-controlled trans activity on one promoter and orchestrating the coordinated starch and fructan synthesis by competitive transcription factor binding on the other promoter. As a case in point for the physiological roles of the system, we have demonstrated that this multitasking system can be exploited in breeding barley with tailored amounts of fructan to produce healthy food ingredients. The identification of an intron/exon-spanning promoter in a hosting gene, resulting in proteins with distinct functions, adds to the complexity of plant genomes.« less

  15. A Dual-Promoter Gene Orchestrates the Sucrose-Coordinated Synthesis of Starch and Fructan in Barley

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jin, Yunkai; Fei, Mingliang; Rosenquist, Sara

    Sequential carbohydrate synthesis is important for plant survival because it guarantees energy supplies for growth and development during plant ontogeny and reproduction. Starch and fructan are two important carbohydrates in many flowering plants and in human diets. Understanding this coordinated starch and fructan synthesis and unraveling how plants allocate photosynthates and prioritize different carbohydrate synthesis for survival could lead to improvements to cereals in agriculture for the purposes of greater food security and production quality. Here, we report a system from a single gene in barley employing two alternative promoters, one intronic/exonic, to generate two sequence-overlapping but functionally opposing transcriptionmore » factors, in sensing sucrose, potentially via sucrose/glucose/fructose/trehalose 6-phosphate signaling. The system employs an autoregulatory mechanism in perceiving a sucrose-controlled trans activity on one promoter and orchestrating the coordinated starch and fructan synthesis by competitive transcription factor binding on the other promoter. As a case in point for the physiological roles of the system, we have demonstrated that this multitasking system can be exploited in breeding barley with tailored amounts of fructan to produce healthy food ingredients. The identification of an intron/exon-spanning promoter in a hosting gene, resulting in proteins with distinct functions, adds to the complexity of plant genomes.« less

  16. Demonstration of diet-induced decoupling of fatty acid and cholesterol synthesis by combining gene expression array and 2H2O quantification.

    PubMed

    Jensen, Kristian K; Previs, Stephen F; Zhu, Lei; Herath, Kithsiri; Wang, Sheng-Ping; Bhat, Gowri; Hu, Guanghui; Miller, Paul L; McLaren, David G; Shin, Myung K; Vogt, Thomas F; Wang, Liangsu; Wong, Kenny K; Roddy, Thomas P; Johns, Douglas G; Hubbard, Brian K

    2012-01-15

    The liver is a crossroad for metabolism of lipid and carbohydrates, with acetyl-CoA serving as an important metabolic intermediate and a precursor for fatty acid and cholesterol biosynthesis pathways. A better understanding of the regulation of these pathways requires an experimental approach that provides both quantitative metabolic flux measurements and mechanistic insight. Under conditions of high carbohydrate availability, excess carbon is converted into free fatty acids and triglyceride for storage, but it is not clear how excessive carbohydrate availability affects cholesterol biosynthesis. To address this, C57BL/6J mice were fed either a low-fat, high-carbohydrate diet or a high-fat, carbohydrate-free diet. At the end of the dietary intervention, the two groups received (2)H(2)O to trace de novo fatty acid and cholesterol synthesis, and livers were collected for gene expression analysis. Expression of lipid and glucose metabolism genes was determined using a custom-designed pathway focused PCR-based gene expression array. The expression analysis showed downregulation of cholesterol biosynthesis genes and upregulation of fatty acid synthesis genes in mice receiving the high-carbohydrate diet compared with the carbohydrate-free diet. In support of these findings, (2)H(2)O tracer data showed that fatty acid synthesis was increased 10-fold and cholesterol synthesis was reduced by 1.6-fold in mice fed the respective diets. In conclusion, by applying gene expression analysis and tracer methodology, we show that fatty acid and cholesterol synthesis are differentially regulated when the carbohydrate intake in mice is altered.

  17. Molecular cloning and expression of Corynebacterium glutamicum genes for amino acid synthesis in Escherichia coli cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Beskrovnaya, O.Yu.; Fonshtein, M.Yu.; Kolibaba, L.G.

    1989-01-01

    Molecular cloning of Corynebacterium glutamicum genes for threonine and lysine synthesis has been done in Escherichia coli cells. The clonal library of EcoRI fragments of chromosomal DNA of C. glutamicum was constructed on the plasmid vector /lambda/pSL5. The genes for threonine and lysine synthesis were identified by complementation of E. coli mutations in thrB and lysA genes, respectively. Recombinant plasmids, isolated from independent ThrB/sup +/ clone have a common 4.1-kb long EcoRI DNA fragment. Hybrid plasmids isolated from LysA/sup +/ transductants of E. coli have common 2.2 and 3.3 kb long EcoRI fragments of C. glutamicum DNA. The hybrid plasmidsmore » consistently transduced the markers thrB/sup +/ and lysA/sup +/. The Southern hybridization analysis showed that the cloned DNA fragments hybridized with the fragments of identical length in C. glutamicum chromosomes.« less

  18. Synthesis and Spectral Properties of meso-Arylbacteriochlorins, Including Insights into Essential Motifs of their Hydrodipyrrin Precursors

    DOE PAGES

    Reddy, Muthyala Nagarjuna; Zhang, Shaofei; Kim, Han-Je; ...

    2017-04-14

    Synthetic bacteriochlorins—analogues of bacteriochlorophylls, Nature’s near-infrared absorbers—are attractive for diverse photochemical studies. meso-Arylbacteriochlorins have been prepared by the self-condensation of a dihydrodipyrrin–carbinol or dihydrodipyrrin–acetal following an Eastern-Western (E-W) or Northern-Southern (N-S) joining process. The bacteriochlorins bear a gem-dimethyl group in each pyrroline ring to ensure stability toward oxidation. The two routes differ in the location of the gem-dimethyl group at the respective 3- or 2-position in the dihydrodipyrrin, and the method of synthesis of the dihydrodipyrrin. Treatment of a known 3,3-dimethyldihydrodipyrrin-1-carboxaldehyde with an aryl Grignard reagent afforded the dihydrodipyrrin-1-(aryl)carbinol, and upon subsequent acetylation, the corresponding dihydrodipyrrin-1-methyl acetate (dihydrodipyrrin– acetate). Self-condensationmore » of the dihydrodipyrrin–acetate gave a meso-diarylbacteriochlorin (E-W route). A 2,2-dimethyl-5-aryldihydrodipyrrin-1-(aryl)carbinol underwent self-condensation to give a trans-A 2B 2-type meso-tetraarylbacteriochlorin (N-S route). In each case, the aromatization process entails a 2e -/2H + (aerobic) dehydrogenative oxidation following the dihydrodipyrrin self-condensation. Comparison of a tetrahydrodipyrrin–acetal (0%) versus a dihydrodipyrrin–acetal (41%) in bacteriochlorin formation and results with various 1-substituted dihydrodipyrrins revealed the importance of resonance stabilization of the reactive hydrodipyrrin intermediate. Altogether 10 new dihydrodipyrrins and five new bacteriochlorins have been prepared. The bacteriochlorins exhibit characteristic bacteriochlorophyll-like absorption spectra, including a Q y band in the region 726–743 nm.« less

  19. Synthesis and Spectral Properties of meso-Arylbacteriochlorins, Including Insights into Essential Motifs of their Hydrodipyrrin Precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reddy, Muthyala Nagarjuna; Zhang, Shaofei; Kim, Han-Je

    Synthetic bacteriochlorins—analogues of bacteriochlorophylls, Nature’s near-infrared absorbers—are attractive for diverse photochemical studies. meso-Arylbacteriochlorins have been prepared by the self-condensation of a dihydrodipyrrin–carbinol or dihydrodipyrrin–acetal following an Eastern-Western (E-W) or Northern-Southern (N-S) joining process. The bacteriochlorins bear a gem-dimethyl group in each pyrroline ring to ensure stability toward oxidation. The two routes differ in the location of the gem-dimethyl group at the respective 3- or 2-position in the dihydrodipyrrin, and the method of synthesis of the dihydrodipyrrin. Treatment of a known 3,3-dimethyldihydrodipyrrin-1-carboxaldehyde with an aryl Grignard reagent afforded the dihydrodipyrrin-1-(aryl)carbinol, and upon subsequent acetylation, the corresponding dihydrodipyrrin-1-methyl acetate (dihydrodipyrrin– acetate). Self-condensationmore » of the dihydrodipyrrin–acetate gave a meso-diarylbacteriochlorin (E-W route). A 2,2-dimethyl-5-aryldihydrodipyrrin-1-(aryl)carbinol underwent self-condensation to give a trans-A 2B 2-type meso-tetraarylbacteriochlorin (N-S route). In each case, the aromatization process entails a 2e -/2H + (aerobic) dehydrogenative oxidation following the dihydrodipyrrin self-condensation. Comparison of a tetrahydrodipyrrin–acetal (0%) versus a dihydrodipyrrin–acetal (41%) in bacteriochlorin formation and results with various 1-substituted dihydrodipyrrins revealed the importance of resonance stabilization of the reactive hydrodipyrrin intermediate. Altogether 10 new dihydrodipyrrins and five new bacteriochlorins have been prepared. The bacteriochlorins exhibit characteristic bacteriochlorophyll-like absorption spectra, including a Q y band in the region 726–743 nm.« less

  20. Influence of EARLI1-like genes on flowering time and lignin synthesis of Arabidopsis thaliana.

    PubMed

    Shi, Y; Zhang, X; Xu, Z-Y; Li, L; Zhang, C; Schläppi, M; Xu, Z-Q

    2011-09-01

    EARLI1 encodes a 14.7 kDa protein in the cell wall, is a member of the PRP (proline-rich protein) family and has multiple functions, including resistance to low temperature and fungal infection. RNA gel blot analyses in the present work indicated that expression of EARLI1-like genes, EARLI1, At4G12470 and At4G12490, was down-regulated in Col-FRI-Sf2 RNAi plants derived from transformation with Agrobacterium strain ABI, which contains a construct encoding a double-strand RNA targeting 8CM of EARLI1. Phenotype analyses revealed that Col-FRI-Sf2 RNAi plants of EARLI1 flowered earlier than Col-FRI-Sf2 wild-type plants. The average bolting time of Col-FRI-Sf2 and Col-FRI-Sf2 RNAi plants was 39.7 and 19.4 days, respectively, under a long-day photoperiod. In addition, there were significant differences in main stem length, internode number and rosette leaf number between Col-FRI-Sf2 and Col-FRI-Sf2 RNAi plants. RT-PCR showed that EARLI1-like genes might delay flowering time through the autonomous and long-day photoperiod pathways by maintaining the abundance of FLC transcripts. In Col-FRI-Sf2 RNAi plants, transcription of FLC was repressed, while expression of SOC1 and FT was activated. Microscopy observations showed that EARLI1-like genes were also associated with morphogenesis of leaf cells in Arabidopsis. Using histochemical staining, EARLI1-like genes were found to be involved in regulation of lignin synthesis in inflorescence stems, and Col-FRI-Sf2 and Col-FRI-Sf2 RNAi plants had 9.67% and 8.76% dry weight lignin, respectively. Expression analysis revealed that cinnamoyl-CoA reductase, a key enzyme in lignin synthesis, was influenced by EARLI1-like genes. These data all suggest that EARLI1-like genes could control the flowering process and lignin synthesis in Arabidopsis. © 2011 German Botanical Society and The Royal Botanical Society of the Netherlands.

  1. Trehalose synthesis in Aspergillus niger: characterization of six homologous genes, all with conserved orthologs in related species

    PubMed Central

    2014-01-01

    Background The disaccharide trehalose is a major component of fungal spores and is released upon germination. Moreover, the sugar is well known for is protective functions, e.g. against thermal stress and dehydration. The properties and synthesis of trehalose have been well investigated in the bakers’ yeast Saccharomyces cerevisiae. In filamentous fungi, such knowledge is limited, although several gene products have been identified. Results Using Aspergillus niger as a model fungus, the aim of this study was to provide an overview of all genes involved in trehalose synthesis. This fungus has three potential trehalose-6-phosphate synthase encoding genes, tpsA-C, and three putative trehalose phosphate phosphatase encoding genes, tppA-C, of which two have not previously been identified. Expression of all six genes was confirmed using real-time PCR, and conserved orthologs could be identified in related Aspergilli. Using a two-hybrid approach, there is a strong indication that four of the proteins physically interact, as has previously been shown in S. cerevisiae. When creating null mutants of all the six genes, three of them, ΔtpsA, ΔtppA and ΔtppB, had lower internal trehalose contents. The only mutant with a pronounced morphological difference was ΔtppA, in which sporulation was severely reduced with abnormal conidiophores. This was also the only mutant with accumulated levels of trehalose-6-phosphate, indicating that the encoded protein is the main phosphatase under normal conditions. Besides ΔtppA, the most studied deletion mutant in this work was ΔtppB. This gene encodes a protein conserved in filamentous Ascomycota. The ΔtppB mutant displayed a low, but not depleted, internal trehalose content, and conidia were more susceptible to thermal stress. Conclusion A. niger contains at least 6 genes putatively involved in trehalose synthesis. Gene expressions related to germination have been quantified and deletion mutants characterized: Mutants lacking tps

  2. Proanthocyanidin synthesis in Theobroma cacao: genes encoding anthocyanidin synthase, anthocyanidin reductase, and leucoanthocyanidin reductase.

    PubMed

    Liu, Yi; Shi, Zi; Maximova, Siela; Payne, Mark J; Guiltinan, Mark J

    2013-12-05

    The proanthocyanidins (PAs), a subgroup of flavonoids, accumulate to levels of approximately 10% total dry weight of cacao seeds. PAs have been associated with human health benefits and also play important roles in pest and disease defense throughout the plant. To dissect the genetic basis of PA biosynthetic pathway in cacao (Theobroma cacao), we have isolated three genes encoding key PA synthesis enzymes, anthocyanidin synthase (ANS), anthocyanidin reductase (ANR) and leucoanthocyanidin reductase (LAR). We measured the expression levels of TcANR, TcANS and TcLAR and PA content in cacao leaves, flowers, pod exocarp and seeds. In all tissues examined, all three genes were abundantly expressed and well correlated with PA accumulation levels, suggesting their active roles in PA synthesis. Overexpression of TcANR in an Arabidopsis ban mutant complemented the PA deficient phenotype in seeds and resulted in reduced anthocyanidin levels in hypocotyls. Overexpression of TcANS in tobacco resulted in increased content of both anthocyanidins and PAs in flower petals. Overexpression of TcANS in an Arabidopsis ldox mutant complemented its PA deficient phenotype in seeds. Recombinant TcLAR protein converted leucoanthocyanidin to catechin in vitro. Transgenic tobacco overexpressing TcLAR had decreased amounts of anthocyanidins and increased PAs. Overexpressing TcLAR in Arabidopsis ldox mutant also resulted in elevated synthesis of not only catechin but also epicatechin. Our results confirm the in vivo function of cacao ANS and ANR predicted based on sequence homology to previously characterized enzymes from other species. In addition, our results provide a clear functional analysis of a LAR gene in vivo.

  3. Terminator Operon Reporter: combining a transcription termination switch with reporter technology for improved gene synthesis and synthetic biology applications.

    PubMed

    Zampini, Massimiliano; Mur, Luis A J; Rees Stevens, Pauline; Pachebat, Justin A; Newbold, C James; Hayes, Finbarr; Kingston-Smith, Alison

    2016-05-25

    Synthetic biology is characterized by the development of novel and powerful DNA fabrication methods and by the application of engineering principles to biology. The current study describes Terminator Operon Reporter (TOR), a new gene assembly technology based on the conditional activation of a reporter gene in response to sequence errors occurring at the assembly stage of the synthetic element. These errors are monitored by a transcription terminator that is placed between the synthetic gene and reporter gene. Switching of this terminator between active and inactive states dictates the transcription status of the downstream reporter gene to provide a rapid and facile readout of the accuracy of synthetic assembly. Designed specifically and uniquely for the synthesis of protein coding genes in bacteria, TOR allows the rapid and cost-effective fabrication of synthetic constructs by employing oligonucleotides at the most basic purification level (desalted) and without the need for costly and time-consuming post-synthesis correction methods. Thus, TOR streamlines gene assembly approaches, which are central to the future development of synthetic biology.

  4. Lifetimes of bacteriochlorophyll fluorescence in Rhodopseudomonas viridis and Heliobacterium chlorum at low temperatures

    NASA Technical Reports Server (NTRS)

    Kleinherenbrink, F. A.; Cheng, P.; Amesz, J.; Blankenship, R. E.

    1993-01-01

    Fluorescence lifetimes of isolated membranes of Rhodopseudomonas viridis were measured in the temperature range of 77 K to 25 K. At room temperature, the main component of the fluorescence decay of bacteriochlorophyll (BChl) b had a time constant of 50 ps. In contrast to other purple bacteria, the emission at low temperature was spectrally homogeneous and showed essentially single lifetimes of 140 ps at 77 K and 180 ps at 25 K, with the primary electron donor in the oxidized state. Taking into account the relative fluorescence yields with open and closed reaction centers, we arrive at numbers of 125 ps and 215 ps, respectively, for open reaction centers. These numbers are significantly smaller than expected on the basis of measurements of the efficiency of charge separation, perhaps suggesting that the excitation decay in the absence of reaction centers is considerably faster at low temperature than at room temperature. At least four different spectral components with different lifetimes were observed at 25 K in the emission of Heliobacterium chlorum, a short-wavelength component of about 30 ps and three longer-wavelength components of about 100 ps, 300 ps, and 900 ps. This indicates a strong heterogeneity in the emitting pigment, BChl g-808. The component with the shortest lifetime does not appear to be affected by the redox state of the reaction center and might reflect energy transfer to BChl g species which are connected to the reaction center.

  5. Carotenoid-to-bacteriochlorophyll energy transfer through vibronic coupling in LH2 from Phaeosprillum molischianum.

    PubMed

    Thyrhaug, Erling; Lincoln, Craig N; Branchi, Federico; Cerullo, Giulio; Perlík, Václav; Šanda, František; Lokstein, Heiko; Hauer, Jürgen

    2018-03-01

    The peripheral light-harvesting antenna complex (LH2) of purple photosynthetic bacteria is an ideal testing ground for models of structure-function relationships due to its well-determined molecular structure and ultrafast energy deactivation. It has been the target for numerous studies in both theory and ultrafast spectroscopy; nevertheless, certain aspects of the convoluted relaxation network of LH2 lack a satisfactory explanation by conventional theories. For example, the initial carotenoid-to-bacteriochlorophyll energy transfer step necessary on visible light excitation was long considered to follow the Förster mechanism, even though transfer times as short as 40 femtoseconds (fs) have been observed. Such transfer times are hard to accommodate by Förster theory, as the moderate coupling strengths found in LH2 suggest much slower transfer within this framework. In this study, we investigate LH2 from Phaeospirillum (Ph.) molischianum in two types of transient absorption experiments-with narrowband pump and white-light probe resulting in 100 fs time resolution, and with degenerate broadband 10 fs pump and probe pulses. With regard to the split Q x band in this system, we show that vibronically mediated transfer explains both the ultrafast carotenoid-to-B850 transfer, and the almost complete lack of transfer to B800. These results are beyond Förster theory, which predicts an almost equal partition between the two channels.

  6. Poly-γ-glutamic Acid Synthesis, Gene Regulation, Phylogenetic Relationships, and Role in Fermentation

    PubMed Central

    Hsueh, Yi-Huang; Huang, Kai-Yao; Kunene, Sikhumbuzo Charles; Lee, Tzong-Yi

    2017-01-01

    Poly-γ-glutamic acid (γ-PGA) is a biodegradable biopolymer produced by several bacteria, including Bacillus subtilis and other Bacillus species; it has good biocompatibility, is non-toxic, and has various potential biological applications in the food, pharmaceutical, cosmetic, and other industries. In this review, we have described the mechanisms of γ-PGA synthesis and gene regulation, its role in fermentation, and the phylogenetic relationships among various pgsBCAE, a biosynthesis gene cluster of γ-PGA, and pgdS, a degradation gene of γ-PGA. We also discuss potential applications of γ-PGA and highlight the established genetic recombinant bacterial strains that produce high levels of γ-PGA, which can be useful for large-scale γ-PGA production. PMID:29215550

  7. Proanthocyanidin synthesis in Theobroma cacao: genes encoding anthocyanidin synthase, anthocyanidin reductase, and leucoanthocyanidin reductase

    PubMed Central

    2013-01-01

    Background The proanthocyanidins (PAs), a subgroup of flavonoids, accumulate to levels of approximately 10% total dry weight of cacao seeds. PAs have been associated with human health benefits and also play important roles in pest and disease defense throughout the plant. Results To dissect the genetic basis of PA biosynthetic pathway in cacao (Theobroma cacao), we have isolated three genes encoding key PA synthesis enzymes, anthocyanidin synthase (ANS), anthocyanidin reductase (ANR) and leucoanthocyanidin reductase (LAR). We measured the expression levels of TcANR, TcANS and TcLAR and PA content in cacao leaves, flowers, pod exocarp and seeds. In all tissues examined, all three genes were abundantly expressed and well correlated with PA accumulation levels, suggesting their active roles in PA synthesis. Overexpression of TcANR in an Arabidopsis ban mutant complemented the PA deficient phenotype in seeds and resulted in reduced anthocyanidin levels in hypocotyls. Overexpression of TcANS in tobacco resulted in increased content of both anthocyanidins and PAs in flower petals. Overexpression of TcANS in an Arabidopsis ldox mutant complemented its PA deficient phenotype in seeds. Recombinant TcLAR protein converted leucoanthocyanidin to catechin in vitro. Transgenic tobacco overexpressing TcLAR had decreased amounts of anthocyanidins and increased PAs. Overexpressing TcLAR in Arabidopsis ldox mutant also resulted in elevated synthesis of not only catechin but also epicatechin. Conclusion Our results confirm the in vivo function of cacao ANS and ANR predicted based on sequence homology to previously characterized enzymes from other species. In addition, our results provide a clear functional analysis of a LAR gene in vivo. PMID:24308601

  8. A Mutation in the Bacillus subtilis rsbU Gene That Limits RNA Synthesis during Sporulation.

    PubMed

    Rothstein, David M; Lazinski, David; Osburne, Marcia S; Sonenshein, Abraham L

    2017-07-15

    Mutants of Bacillis subtilis that are temperature sensitive for RNA synthesis during sporulation were isolated after selection with a 32 P suicide agent. Whole-genome sequencing revealed that two of the mutants carried an identical lesion in the rsbU gene, which encodes a phosphatase that indirectly activates SigB, the stress-responsive RNA polymerase sigma factor. The mutation appeared to cause RsbU to be hyperactive, because the mutants were more resistant than the parent strain to ethanol stress. In support of this hypothesis, pseudorevertants that regained wild-type levels of sporulation at high temperature had secondary mutations that prevented expression of the mutant rsbU gene. The properties of these RsbU mutants support the idea that activation of SigB diminishes the bacterium's ability to sporulate. IMPORTANCE Most bacterial species encode multiple RNA polymerase promoter recognition subunits (sigma factors). Each sigma factor directs RNA polymerase to different sets of genes; each gene set typically encodes proteins important for responses to specific environmental conditions, such as changes in temperature, salt concentration, and nutrient availability. A selection for mutants of Bacillus subtilis that are temperature sensitive for RNA synthesis during sporulation unexpectedly yielded strains with a point mutation in rsbU , a gene that encodes a protein that normally activates sigma factor B (SigB) under conditions of salt stress. The mutation appears to cause RsbU, and therefore SigB, to be active inappropriately, thereby inhibiting, directly or indirectly, the ability of the cells to transcribe sporulation genes. Copyright © 2017 American Society for Microbiology.

  9. Thermodynamically balanced inside-out (TBIO) PCR-based gene synthesis: a novel method of primer design for high-fidelity assembly of longer gene sequences

    PubMed Central

    Gao, Xinxin; Yo, Peggy; Keith, Andrew; Ragan, Timothy J.; Harris, Thomas K.

    2003-01-01

    A novel thermodynamically-balanced inside-out (TBIO) method of primer design was developed and compared with a thermodynamically-balanced conventional (TBC) method of primer design for PCR-based gene synthesis of codon-optimized gene sequences for the human protein kinase B-2 (PKB2; 1494 bp), p70 ribosomal S6 subunit protein kinase-1 (S6K1; 1622 bp) and phosphoinositide-dependent protein kinase-1 (PDK1; 1712 bp). Each of the 60mer TBIO primers coded for identical nucleotide regions that the 60mer TBC primers covered, except that half of the TBIO primers were reverse complement sequences. In addition, the TBIO and TBC primers contained identical regions of temperature- optimized primer overlaps. The TBC method was optimized to generate sequential overlapping fragments (∼0.4–0.5 kb) for each of the gene sequences, and simultaneous and sequential combinations of overlapping fragments were tested for their ability to be assembled under an array of PCR conditions. However, no fully synthesized gene sequences could be obtained by this approach. In contrast, the TBIO method generated an initial central fragment (∼0.4–0.5 kb), which could be gel purified and used for further inside-out bidirectional elongation by additional increments of 0.4–0.5 kb. By using the newly developed TBIO method of PCR-based gene synthesis, error-free synthetic genes for the human protein kinases PKB2, S6K1 and PDK1 were obtained with little or no corrective mutagenesis. PMID:14602936

  10. Suppressing Sorbitol Synthesis Substantially Alters the Global Expression Profile of Stress Response Genes in Apple (Malus domestica) Leaves.

    PubMed

    Wu, Ting; Wang, Yi; Zheng, Yi; Fei, Zhangjun; Dandekar, Abhaya M; Xu, Kenong; Han, Zhenhai; Cheng, Lailiang

    2015-09-01

    Sorbitol is a major product of photosynthesis in apple (Malus domestica) that is involved in carbohydrate metabolism and stress tolerance. However, little is known about how the global transcript levels in apple leaves respond to decreased sorbitol synthesis. In this study we used RNA sequencing (RNA-seq) profiling to characterize the transcriptome of leaves from transgenic lines of the apple cultivar 'Greensleeves' exhibiting suppressed expression of aldose-6-phosphate reductase (A6PR) to gain insights into sorbitol function and the consequences of decreased sorbitol synthesis on gene expression. We observed that, although the leaves of the low sorbitol transgenic lines accumulate higher levels of various primary metabolites, only very limited changes were found in the levels of transcripts associated with primary metabolism. We suggest that this is indicative of post-transcriptional and/or post-translational regulation of primary metabolite accumulation and central carbon metabolism. However, we identified significantly enriched gene ontology terms belonging to the 'stress related process' category in the antisense lines (P-value < 0.05). These include genes involved in the synthesis/degradation of abscisic acid, salicylic acid and jasmonic acid, nucleotide-binding site leucine-rich repeat (NBS-LRR) disease resistance genes and ATP-binding cassette (ABC) transporter genes. This suggests that sorbitol plays a role in the responses of apple trees to abiotic and biotic stresses. © The Author 2015. Published by Oxford University Press on behalf of Japanese Society of Plant Physiologists. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  11. Response of Fatty Acid Synthesis Genes to the Binding of Human Salivary Amylase by Streptococcus gordonii

    PubMed Central

    Nikitkova, Anna E.; Haase, Elaine M.; Vickerman, M. Margaret; Gill, Steven R.

    2012-01-01

    Streptococcus gordonii, an important primary colonizer of dental plaque biofilm, specifically binds to salivary amylase via the surface-associated amylase-binding protein A (AbpA). We hypothesized that a function of amylase binding to S. gordonii may be to modulate the expression of chromosomal genes, which could influence bacterial survival and persistence in the oral cavity. Gene expression profiling by microarray analysis was performed to detect genes in S. gordonii strain CH1 that were differentially expressed in response to the binding of purified human salivary amylase versus exposure to purified heat-denatured amylase. Selected genes found to be differentially expressed were validated by quantitative reverse transcription-PCR (qRT-PCR). Five genes from the fatty acid synthesis (FAS) cluster were highly (10- to 35-fold) upregulated in S. gordonii CH1 cells treated with native amylase relative to those treated with denatured amylase. An abpA-deficient strain of S. gordonii exposed to amylase failed to show a response in FAS gene expression similar to that observed in the parental strain. Predicted phenotypic effects of amylase binding to S. gordonii strain CH1 (associated with increased expression of FAS genes, leading to changes in fatty acid synthesis) were noted; these included increased bacterial growth, survival at low pH, and resistance to triclosan. These changes were not observed in the amylase-exposed abpA-deficient strain, suggesting a role for AbpA in the amylase-induced phenotype. These results provide evidence that the binding of salivary amylase elicits a differential gene response in S. gordonii, resulting in a phenotypic adjustment that is potentially advantageous for bacterial survival in the oral environment. PMID:22247133

  12. Synthesis of a probe for monitoring HSV1-tk reporter gene expression using chemical exchange saturation transfer MRI

    PubMed Central

    Bar-Shir, Amnon; Liu, Guanshu; Greenberg, Marc M; Bulte, Jeff W M; Gilad, Assaf A

    2013-01-01

    In experiments involving transgenic animals or animals treated with transgenic cells, it is important to have a method to monitor the expression of the relevant genes longitudinally and noninvasively. An MRI-based reporter gene enables monitoring of gene expression in the deep tissues of living subjects. This information can be co-registered with detailed high-resolution anatomical and functional information. We describe here the synthesis of the reporter probe, 5-methyl-5,6-dihydrothymidine (5-MDHT), which can be used for imaging of the herpes simplex virus type 1 thymidine kinase (HSV1-tk) reporter gene expression in rodents by MRI. The protocol also includes data acquisition and data processing routines customized for chemical exchange saturation transfer (CEST) contrast mechanisms. The dihydropyrimidine 5-MDHT is synthesized through a catalytic hydrogenation of the 5,6-double bond of thymidine to yield 5,6-dihydrothymidine, which is methylated on the C-5 position of the resulting saturated pyrimidine ring. The synthesis of 5-MDHT can be completed within 5 d, and the compound is stable for more than 1 year. PMID:24177294

  13. Different sensitivities to oxygen between two strains of the photosynthetic green sulfur bacterium Chlorobium vibrioforme NCIB 8327 with bacteriochlorophyll c and d.

    PubMed

    Harada, Jiro; Saga, Yoshitaka; Oh-oka, Hirozo; Tamiaki, Hitoshi

    2005-11-01

    Two sub-strains of the anoxygenic photosynthetic green sulfur bacterium Chlorobium vibrioforme NCIB 8327 were derived from the same clone and could be discriminated only by their possession of either bacteriochlorophyll (BChl) c or d as the major pigment in the peripheral light-harvesting antenna system, chlorosome (Saga Y et al. (2003) Anal Sci 19: 1575-1579). In the presence of a proper amount of oxygen in the initial culture medium, the BChl d strain showed longer retardation on its growth initiation than the BChl c strain, indicating that the latter was advantageous for survival under aerobic light conditions which produced reactive oxygen species in vivo. The result would be ascribable to the difference of the midpoint potentials between two kinds of chlorosomes formed by self-aggregates of BChl c and d as measured by their fluorescence quenching.

  14. Ndrg2 is a PGC-1α/ERRα target gene that controls protein synthesis and expression of contractile-type genes in C2C12 myotubes.

    PubMed

    Foletta, Victoria C; Brown, Erin L; Cho, Yoshitake; Snow, Rod J; Kralli, Anastasia; Russell, Aaron P

    2013-12-01

    The stress-responsive, tumor suppressor N-myc downstream-regulated gene 2 (Ndrg2) is highly expressed in striated muscle. In response to anabolic and catabolic signals, Ndrg2 is suppressed and induced, respectively, in mouse C2C12 myotubes. However, little is known about the mechanisms regulating Ndrg2 expression in muscle, as well as the biological role for Ndrg2 in differentiated myotubes. Here, we show that Ndrg2 is a target of a peroxisome proliferator-activated receptor-gamma coactivator-1α (PGC-1α) and estrogen-related receptor alpha (ERRα) transcriptional program and is induced in response to endurance exercise, a physiological stress known also to increase PGC-1α/ERRα activity. Analyses of global gene and protein expression profiles in C2C12 myotubes with reduced levels of NDRG2, suggest that NDRG2 affects muscle growth, contractile properties, MAPK signaling, ion and vesicle transport and oxidative phosphorylation. Indeed, suppression of NDRG2 in myotubes increased protein synthesis and the expression of fast glycolytic myosin heavy chain isoforms, while reducing the expression of embryonic myosin Myh3, other contractile-associated genes and the MAPK p90 RSK1. Conversely, enhanced expression of NDRG2 reduced protein synthesis, and furthermore, partially blocked the increased protein synthesis rates elicited by a constitutively active form of ERRα. In contrast, suppressing or increasing levels of NDRG2 did not affect mRNA expression of genes involved in mitochondrial biogenesis that are regulated by PGC-1α or ERRα. This study shows that in C2C12 myotubes Ndrg2 is a novel PGC-1α/ERRα transcriptional target, which influences protein turnover and the regulation of genes involved in muscle contraction and function. © 2013 Elsevier B.V. All rights reserved.

  15. Endoplasmic reticulum stress inhibits expression of genes involved in thyroid hormone synthesis and their key transcriptional regulators in FRTL-5 thyrocytes

    PubMed Central

    Wen, Gaiping; Eder, Klaus

    2017-01-01

    Endoplasmic reticulum (ER) stress is characterized by the accumulation of misfolded proteins due to an impairment of ER quality control pathways leading to the activation of a defense system, called unfolded protein response (UPR). While thyrocytes are supposed to be highly susceptible to environmental conditions that cause ER stress due to the synthesis of large amounts of secretory proteins required for thyroid hormone synthesis, systematic investigations on the effect of ER stress on expression of key genes of thyroid hormone synthesis and their transcriptional regulators are lacking. Since the aim of the ER stress-induced UPR is to restore ER homeostasis and to facilitate cell survival through transient shutdown of ribosomal protein translation, we hypothesized that the expression of genes involved in thyroid hormone synthesis and their transcriptional regulators, all of which are not essential for cell survival, are down-regulated in thyrocytes during ER stress, while sterol regulatory element-binding proteins (SREBPs) are activated during ER stress in thyrocytes. Treatment of FRTL-5 thyrocytes with the ER stress inducer tunicamycin (TM) dose-dependently increased the mRNA and/or protein levels of known UPR target genes, stimulated phosphorylation of the ER stress sensor protein kinase RNA-like ER kinase (PERK) and of the PERK target protein eukaryotic initiation factor 2α (eIF2α) and caused splicing of the ER stress-sensitive transcription factor X-box binding protein (XBP-1) (P < 0.05). The mRNA levels and/or protein levels of genes involved in thyroid hormone synthesis, sodium/iodide symporter (NIS), thyroid peroxidase (TPO) and thyroglobulin (TG), their transcriptional regulators and thyrotropin (TSH) receptor and the uptake of Na125I were reduced at the highest concentration of TM tested (0.1 μg/mL; P < 0.05). Proteolytic activation of the SREBP-1c pathway was not observed in FRTL-5 cells treated with TM, whereas TM reduced proteolytic activation of

  16. Endoplasmic reticulum stress inhibits expression of genes involved in thyroid hormone synthesis and their key transcriptional regulators in FRTL-5 thyrocytes.

    PubMed

    Wen, Gaiping; Ringseis, Robert; Eder, Klaus

    2017-01-01

    Endoplasmic reticulum (ER) stress is characterized by the accumulation of misfolded proteins due to an impairment of ER quality control pathways leading to the activation of a defense system, called unfolded protein response (UPR). While thyrocytes are supposed to be highly susceptible to environmental conditions that cause ER stress due to the synthesis of large amounts of secretory proteins required for thyroid hormone synthesis, systematic investigations on the effect of ER stress on expression of key genes of thyroid hormone synthesis and their transcriptional regulators are lacking. Since the aim of the ER stress-induced UPR is to restore ER homeostasis and to facilitate cell survival through transient shutdown of ribosomal protein translation, we hypothesized that the expression of genes involved in thyroid hormone synthesis and their transcriptional regulators, all of which are not essential for cell survival, are down-regulated in thyrocytes during ER stress, while sterol regulatory element-binding proteins (SREBPs) are activated during ER stress in thyrocytes. Treatment of FRTL-5 thyrocytes with the ER stress inducer tunicamycin (TM) dose-dependently increased the mRNA and/or protein levels of known UPR target genes, stimulated phosphorylation of the ER stress sensor protein kinase RNA-like ER kinase (PERK) and of the PERK target protein eukaryotic initiation factor 2α (eIF2α) and caused splicing of the ER stress-sensitive transcription factor X-box binding protein (XBP-1) (P < 0.05). The mRNA levels and/or protein levels of genes involved in thyroid hormone synthesis, sodium/iodide symporter (NIS), thyroid peroxidase (TPO) and thyroglobulin (TG), their transcriptional regulators and thyrotropin (TSH) receptor and the uptake of Na125I were reduced at the highest concentration of TM tested (0.1 μg/mL; P < 0.05). Proteolytic activation of the SREBP-1c pathway was not observed in FRTL-5 cells treated with TM, whereas TM reduced proteolytic activation of

  17. Expression of genes involved in energy mobilization and osmoprotectant synthesis during thermal and dehydration stress in the Antarctic midge, Belgica antarctica.

    PubMed

    Teets, Nicholas M; Kawarasaki, Yuta; Lee, Richard E; Denlinger, David L

    2013-02-01

    The Antarctic midge, Belgica antarctica, experiences sub-zero temperatures and desiccating conditions for much of the year, and in response to these environmental insults, larvae undergo rapid shifts in metabolism, mobilizing carbohydrate energy reserves to promote synthesis of low-molecular-mass osmoprotectants. In this study, we measured the expression of 11 metabolic genes in response to thermal and dehydration stress. During both heat and cold stress, we observed upregulation of phosphoenolpyruvate carboxykinase (pepck) and glycogen phosphorylase (gp) to support rapid glucose mobilization. In contrast, there was a general downregulation of pathways related to polyol, trehalose, and proline synthesis during both high- and low-temperature stress. Pepck was likewise upregulated in response to different types of dehydration stress; however, for many of the other genes, expression patterns depended on the nature of dehydration stress. Following fast dehydration, expression patterns were similar to those observed during thermal stress, i.e., upregulation of gp accompanied by downregulation of trehalose and proline synthetic genes. In contrast, gradual, prolonged dehydration (both at a constant temperature and in conjunction with chilling) promoted marked upregulation of genes responsible for trehalose and proline synthesis. On the whole, our data agree with known metabolic adaptations to stress in B. antarctica, although a few discrepancies between gene expression patterns and downstream metabolite contents point to fluxes that are not controlled at the level of transcription.

  18. Naringenin Regulates Expression of Genes Involved in Cell Wall Synthesis in Herbaspirillum seropedicae▿

    PubMed Central

    Tadra-Sfeir, M. Z.; Souza, E. M.; Faoro, H.; Müller-Santos, M.; Baura, V. A.; Tuleski, T. R.; Rigo, L. U.; Yates, M. G.; Wassem, R.; Pedrosa, F. O.; Monteiro, R. A.

    2011-01-01

    Five thousand mutants of Herbaspirillum seropedicae SmR1 carrying random insertions of transposon pTnMod-OGmKmlacZ were screened for differential expression of LacZ in the presence of naringenin. Among the 16 mutants whose expression was regulated by naringenin were genes predicted to be involved in the synthesis of exopolysaccharides, lipopolysaccharides, and auxin. These loci are probably involved in establishing interactions with host plants. PMID:21257805

  19. Naringenin regulates expression of genes involved in cell wall synthesis in Herbaspirillum seropedicae.

    PubMed

    Tadra-Sfeir, M Z; Souza, E M; Faoro, H; Müller-Santos, M; Baura, V A; Tuleski, T R; Rigo, L U; Yates, M G; Wassem, R; Pedrosa, F O; Monteiro, R A

    2011-03-01

    Five thousand mutants of Herbaspirillum seropedicae SmR1 carrying random insertions of transposon pTnMod-OGmKmlacZ were screened for differential expression of LacZ in the presence of naringenin. Among the 16 mutants whose expression was regulated by naringenin were genes predicted to be involved in the synthesis of exopolysaccharides, lipopolysaccharides, and auxin. These loci are probably involved in establishing interactions with host plants.

  20. Genes involved in leukotriene synthesis pathway are dynamically regulated during lung development in Rhesus monkeys.

    PubMed

    Xia, Wanmin; Xie, Liang; Cao, Bangrong; Cheng, Shujun; Wan, Huajing; Liu, Hanmin

    2017-07-01

    Leukotrienes play critical roles in many inflammatory lung diseases and several antagonists of their receptors have been used in the clinical settings. However, the physiological functions of leukotrienes in lung development are still unclear. The expression levels of 34 genes involved in leukotriene synthesis and function pathway in the lungs of Rhesus monkey during different developmental time points were determined on a MiSeq platform and analyzed by the reads per kilobase of transcript per million mapped reads (RPKM) method. The results showed that the expression levels of PLA2G1B, PLA2G10, PLA2G2D, ALOX5, and ALOX5AP increased dramatically in the lung of Rhesus monkey, reflecting the changes in the pulmonary environment after delivery. Additionally, the different expression patterns between molecules related to LTB4 and LTC4 synthesis suggested distinct roles of LTB4 and LTC4 in lung development. Finally, the constant expression of CysLT1 during the development process provided new information to the pharmaceutical basis of the use of leukotriene receptor antagonists in the clinical setting. The expression levels of several key genes involved in leukotriene synthesis changed dramatically during lung development in Rhesus monkeys, suggesting the potential roles of leukotrienes in lung development in this animal model. Copyright © 2017 Elsevier Ltd. All rights reserved.

  1. RapGene: a fast and accurate strategy for synthetic gene assembly in Escherichia coli

    PubMed Central

    Zampini, Massimiliano; Stevens, Pauline Rees; Pachebat, Justin A.; Kingston-Smith, Alison; Mur, Luis A. J.; Hayes, Finbarr

    2015-01-01

    The ability to assemble DNA sequences de novo through efficient and powerful DNA fabrication methods is one of the foundational technologies of synthetic biology. Gene synthesis, in particular, has been considered the main driver for the emergence of this new scientific discipline. Here we describe RapGene, a rapid gene assembly technique which was successfully tested for the synthesis and cloning of both prokaryotic and eukaryotic genes through a ligation independent approach. The method developed in this study is a complete bacterial gene synthesis platform for the quick, accurate and cost effective fabrication and cloning of gene-length sequences that employ the widely used host Escherichia coli. PMID:26062748

  2. Chalcone Synthase (CHS) Gene Suppression in Flax Leads to Changes in Wall Synthesis and Sensing Genes, Cell Wall Chemistry and Stem Morphology Parameters

    PubMed Central

    Zuk, Magdalena; Działo, Magdalena; Richter, Dorota; Dymińska, Lucyna; Matuła, Jan; Kotecki, Andrzej; Hanuza, Jerzy; Szopa, Jan

    2016-01-01

    The chalcone synthase (CHS) gene controls the first step in the flavonoid biosynthesis. In flax, CHS down-regulation resulted in tannin accumulation and reduction in lignin synthesis, but plant growth was not affected. This suggests that lignin content and thus cell wall characteristics might be modulated through CHS activity. This study investigated the possibility that CHS affects cell wall sensing as well as polymer content and arrangement. CHS-suppressed and thus lignin-reduced plants showed significant changes in expression of genes involved in both synthesis of components and cell wall sensing. This was accompanied by increased levels of cellulose and hemicellulose. CHS-reduced flax also showed significant changes in morphology and arrangement of the cell wall. The stem tissue layers were enlarged averagely twofold compared to the control, and the number of fiber cells more than doubled. The stem morphology changes were accompanied by reduction of the crystallinity index of the cell wall. CHS silencing induces a signal transduction cascade that leads to modification of plant metabolism in a wide range and thus cell wall structure. PMID:27446124

  3. Aquatic contaminants alter genes involved in neurotransmitter synthesis and gonadotropin release in largemouth bass.

    PubMed

    Martyniuk, Christopher J; Sanchez, Brian C; Szabo, Nancy J; Denslow, Nancy D; Sepúlveda, Maria S

    2009-10-19

    Many aquatic contaminants potentially affect the central nervous system, however the underlying mechanisms of how toxicants alter normal brain function are not well understood. The objectives of this study were to compare the effects of emerging and prevalent environmental contaminants on the expression of brain transcripts with a role in neurotransmitter synthesis and reproduction. Adult male largemouth bass (Micropterus salmoides) were injected once for a 96 h duration with control (water or oil) or with one of two doses of a single chemical to achieve the following body burdens (microg/g): atrazine (0.3 and 3.0), toxaphene (10 and 100), cadmium (CdCl(2)) (0.000067 and 0.00067), polychlorinated biphenyl (PCB) 126 (0.25 and 2.5), and phenanthrene (5 and 50). Partial largemouth bass gene segments were cloned for enzymes involved in neurotransmitter (glutamic acid decarboxylase 65, GAD65; tyrosine hydroxylase) and estrogen (brain aromatase; CYP19b) synthesis for real-time PCR assays. In addition, neuropeptides regulating feeding (neuropeptide Y) and reproduction (chicken GnRH-II, cGnRH-II; salmon GnRH, sGnRH) were also investigated. Of the chemicals tested, only cadmium, PCB 126, and phenanthrene showed any significant effects on the genes tested, while atrazine and toxaphene did not. Cadmium (0.000067 microg/g) significantly increased cGnRH-II mRNA while PCB 126 (0.25 microg/g) decreased GAD65 mRNA. Phenanthrene decreased GAD65 and tyrosine hydroxylase mRNA levels at the highest dose (50 microg/g) but increased cGnRH-II mRNA at the lowest dose (5 microg/g). CYP19b, NPY, and sGnRH mRNA levels were unaffected by any of the treatments. A hierarchical clustering dendrogram grouped PCB 126 and phenanthrene more closely than other chemicals with respect to the genes tested. This study demonstrates that brain transcripts important for neurotransmitter synthesis neuroendocrine function are potential targets for emerging and prevalent aquatic contaminants.

  4. The β2-adrenoreceptor gene promoter polymorphisms may modulate β2-agonist- and glucocorticoid-induced IgE synthesis.

    PubMed

    Chalubinski, M; Grzegorczyk, J; Grzelak, A; Jarzebska, M; Kowalski, M L

    2014-01-01

    β2-adrenoreceptor (β2-AR) agonists and glucocorticoids (GCS) were shown to induce IgE synthesis in human PBMCs. Serum total IgE levels are associated with single nucleotide polymorphisms (SNPs) of the β2-AR gene. We aimed to assess the association of the effect of fenoterol (β2-AR agonist) on IL-4-driven and budesonide-induced IgE synthesis with genetic variants of β2-AR. The study included 25 individuals: 13 with allergic asthma and/or allergic rhinitis and 12 healthy volunteers. PBMCs were cultured with IL-4, fenoterol and/or budesonide, and IgE concentrations in supernatants were assessed. Five SNPs in positions: -47, -20, 46, 79 and 252 of β2-AR were determined by direct DNA sequencing. In -47 T/T and -20 T/T patients, incubation with fenoterol resulted in decreased IgE production, whereas in -47 C/T and -47 C/C as well as in -20 C/T and -20 C/C individuals, it was enhanced. In contrast to fenoterol, budesonide-induced IgE synthesis was significantly increased in -47 T/T and -20 T/T patients as compared to -47 C/T, -47 C/C, -20 C/T and -47 C/C individuals. Polymorphisms in positions 46, 79 and 252 were not associated with fenoterol- or budesonide-modulated IgE synthesis. No differences in the distribution of IgE synthesis was seen between atopic and non-atopic individuals carrying the same alleles. The differential effect of β2-agonists and GCS on IgE synthesis may be associated with genetic variants of promoter region of the β2-AR gene. Copyright © 2013 SEICAP. Published by Elsevier Espana. All rights reserved.

  5. Alkaline stress and iron deficiency regulate iron uptake and riboflavin synthesis gene expression differently in root and leaf tissue: implications for iron deficiency chlorosis

    PubMed Central

    Hsieh, En-Jung; Waters, Brian M.

    2016-01-01

    Iron (Fe) is an essential mineral that has low solubility in alkaline soils, where its deficiency results in chlorosis. Whether low Fe supply and alkaline pH stress are equivalent is unclear, as they have not been treated as separate variables in molecular physiological studies. Additionally, molecular responses to these stresses have not been studied in leaf and root tissues simultaneously. We tested how plants with the Strategy I Fe uptake system respond to Fe deficiency at mildly acidic and alkaline pH by measuring root ferric chelate reductase (FCR) activity and expression of selected Fe uptake genes and riboflavin synthesis genes. Alkaline pH increased cucumber (Cucumis sativus L.) root FCR activity at full Fe supply, but alkaline stress abolished FCR response to low Fe supply. Alkaline pH or low Fe supply resulted in increased expression of Fe uptake genes, but riboflavin synthesis genes responded to Fe deficiency but not alkalinity. Iron deficiency increased expression of some common genes in roots and leaves, but alkaline stress blocked up-regulation of these genes in Fe-deficient leaves. In roots of the melon (Cucumis melo L.) fefe mutant, in which Fe uptake responses are blocked upstream of Fe uptake genes, alkaline stress or Fe deficiency up-regulation of certain Fe uptake and riboflavin synthesis genes was inhibited, indicating a central role for the FeFe protein. These results suggest a model implicating shoot-to-root signaling of Fe status to induce Fe uptake gene expression in roots. PMID:27605716

  6. Threonine Affects Intestinal Function, Protein Synthesis and Gene Expression of TOR in Jian Carp (Cyprinus carpio var. Jian)

    PubMed Central

    Feng, Lin; Peng, Yan; Wu, Pei; Hu, Kai; Jiang, Wei-Dan; Liu, Yang; Jiang, Jun; Li, Shu-Hong; Zhou, Xiao-Qiu

    2013-01-01

    This study aimed to investigate the effects of threonine (Thr) on the digestive and absorptive ability, proliferation and differentiation of enterocytes, and gene expression of juvenile Jian carp (Cyprinus carpio var. Jian). First, seven isonitrogenous diets containing graded levels of Thr (7.4–25.2 g/kg diet) were fed to the fishes for 60 days. Second, enterocyte proliferation and differentiation were assayed by culturing enterocytes with graded levels of Thr (0–275 mg/l) in vitro. Finally, enterocytes were cultured with 0 and 205 mg/l Thr to determine protein synthesis. The percent weight gain (PWG), specific growth rate, feed intake, feed efficiency, protein retention value, activities of trypsin, lipase and amylase, weights and protein contents of hepatopancreas and intestine, folds heights, activities of alkaline phosphatase (AKP), γ- glutamyl transpeptidase and Na+/K+-ATPase in all intestinal segments, glutamate-oxaloacetate transaminase (GOT) and glutamate-pyruvate transaminase (GPT) activities in hepatopancreas, and 4E-BP2 gene expression in muscle, hepatopancreas and intestinal segments were significantly enhanced by Thr (p<0.05). However, the plasma ammonia concentration and TOR gene expression decreased (p<0.05). In vitro, Thr supplement significantly increased cell numbers, protein content, the activities of GOT, GPT, AKP and Na+/K+-ATPase, and protein synthesis rate of enterocytes, and decreased LDH activity and ammonia content in cell medium (p<0.05). In conclusion, Thr improved growth, digestive and absorptive capacity, enterocyte proliferation and differentiation, and protein synthesis and regulated TOR and 4E-BP2 gene expression in juvenile Jian carp. The dietary Thr requirement of juvenile Jian carp was 16.25 g/kg diet (51.3 g/kg protein) based on quadratic regression analysis of PWG. PMID:23922879

  7. Next-Gen Gene Synthesis Enables Large-Scale Engineering in Biological Systems: Recent advances in synthetic biology are making this field more promising than ever.

    PubMed

    Leake, Devin

    2015-01-01

    As scientists make strides toward the goal of developing a form of biological engineering that's as predictive and reliable as chemical engineering is for chemistry, one technology component has become absolutely critical: gene synthesis. Gene synthesis is the process of building stretches of deoxyribonucleic acid (DNA) to order--some stretches based on DNA that exists already in nature, some based on novel designs intended to accomplish new functions. This process is the foundation of synthetic biology, which is rapidly becoming the engineering counterpart to biology.

  8. Alkaline stress and iron deficiency regulate iron uptake and riboflavin synthesis gene expression differently in root and leaf tissue: implications for iron deficiency chlorosis.

    PubMed

    Hsieh, En-Jung; Waters, Brian M

    2016-10-01

    Iron (Fe) is an essential mineral that has low solubility in alkaline soils, where its deficiency results in chlorosis. Whether low Fe supply and alkaline pH stress are equivalent is unclear, as they have not been treated as separate variables in molecular physiological studies. Additionally, molecular responses to these stresses have not been studied in leaf and root tissues simultaneously. We tested how plants with the Strategy I Fe uptake system respond to Fe deficiency at mildly acidic and alkaline pH by measuring root ferric chelate reductase (FCR) activity and expression of selected Fe uptake genes and riboflavin synthesis genes. Alkaline pH increased cucumber (Cucumis sativus L.) root FCR activity at full Fe supply, but alkaline stress abolished FCR response to low Fe supply. Alkaline pH or low Fe supply resulted in increased expression of Fe uptake genes, but riboflavin synthesis genes responded to Fe deficiency but not alkalinity. Iron deficiency increased expression of some common genes in roots and leaves, but alkaline stress blocked up-regulation of these genes in Fe-deficient leaves. In roots of the melon (Cucumis melo L.) fefe mutant, in which Fe uptake responses are blocked upstream of Fe uptake genes, alkaline stress or Fe deficiency up-regulation of certain Fe uptake and riboflavin synthesis genes was inhibited, indicating a central role for the FeFe protein. These results suggest a model implicating shoot-to-root signaling of Fe status to induce Fe uptake gene expression in roots. © The Author 2016. Published by Oxford University Press on behalf of the Society for Experimental Biology.

  9. Forster energy transfer in chlorosomes of green photosynthetic bacteria

    NASA Technical Reports Server (NTRS)

    Causgrove, T. P.; Brune, D. C.; Blankenship, R. E.

    1992-01-01

    Energy transfer properties of whole cells and chlorosome antenna complexes isolated from the green sulfur bacteria Chlorobium limicola (containing bacteriochlorophyll c), Chlorobium vibrioforme (containing bacteriochlorophyll d) and Pelodictyon phaeoclathratiforme (containing bacteriochlorophyll e) were measured. The spectral overlap of the major chlorosome pigment (bacteriochlorophyll c, d or, e) with the bacteriochlorophyll a B795 chlorosome baseplate pigment is greatest for bacteriochlorophyll c and smallest for bacteriochlorophyll e. The absorbance and fluorescence spectra of isolated chlorosomes were measured, fitted to gaussian curves and the overlap factors with B795 calculated. Energy transfer times from the bacteriochlorophyll c, d or e to B795 were measured in whole cells and the results interpreted in terms of the Forster theory of energy transfer.

  10. Visual gene developer: a fully programmable bioinformatics software for synthetic gene optimization.

    PubMed

    Jung, Sang-Kyu; McDonald, Karen

    2011-08-16

    Direct gene synthesis is becoming more popular owing to decreases in gene synthesis pricing. Compared with using natural genes, gene synthesis provides a good opportunity to optimize gene sequence for specific applications. In order to facilitate gene optimization, we have developed a stand-alone software called Visual Gene Developer. The software not only provides general functions for gene analysis and optimization along with an interactive user-friendly interface, but also includes unique features such as programming capability, dedicated mRNA secondary structure prediction, artificial neural network modeling, network & multi-threaded computing, and user-accessible programming modules. The software allows a user to analyze and optimize a sequence using main menu functions or specialized module windows. Alternatively, gene optimization can be initiated by designing a gene construct and configuring an optimization strategy. A user can choose several predefined or user-defined algorithms to design a complicated strategy. The software provides expandable functionality as platform software supporting module development using popular script languages such as VBScript and JScript in the software programming environment. Visual Gene Developer is useful for both researchers who want to quickly analyze and optimize genes, and those who are interested in developing and testing new algorithms in bioinformatics. The software is available for free download at http://www.visualgenedeveloper.net.

  11. The evolution of the protein synthesis system. I - A model of a primitive protein synthesis system

    NASA Technical Reports Server (NTRS)

    Mizutani, H.; Ponnamperuma, C.

    1977-01-01

    A model is developed to describe the evolution of the protein synthesis system. The model is comprised of two independent autocatalytic systems, one including one gene (A-gene) and two activated amino acid polymerases (O and A-polymerases), and the other including the addition of another gene (N-gene) and a nucleotide polymerase. Simulation results have suggested that even a small enzymic activity and polymerase specificity could lead the system to the most accurate protein synthesis, as far as permitted by transitions to systems with higher accuracy.

  12. Approach to Rapid Synthesis and Functionalization of Iron Oxide Nanoparticles for High Gene Transfection.

    PubMed

    Stephen, Zachary R; Dayringer, Christopher J; Lim, Josh J; Revia, Richard A; Halbert, Mackenzie V; Jeon, Mike; Bakthavatsalam, Arvind; Ellenbogen, Richard G; Zhang, Miqin

    2016-03-01

    Surface functionalization of theranostic nanoparticles (NPs) typically relies on lengthy, aqueous postsynthesis labeling chemistries that have limited ability to fine-tune surface properties and can lead to NP heterogeneity. The need for a rapid, simple synthesis approach that can provide great control over the display of functional moieties on NP surfaces has led to increased use of highly selective bioorthoganol chemistries including metal-affinity coordination. Here we report a simple approach for rapid production of a superparamagnetic iron oxide NPs (SPIONs) with tunable functionality and high reproducibility under aqueous conditions. We utilize the high affinity complex formed between catechol and Fe((III)) as a means to dock well-defined catechol modified polymer modules on the surface of SPIONs during sonochemical coprecipitation synthesis. Polymer modules consisted of chitosan and poly(ethylene glycol) (PEG) copolymer (CP) modified with catechol (CCP), and CCP functionalized with cationic polyethylenimine (CCP-PEI) to facilitate binding and delivery of DNA for gene therapy. This rapid synthesis/functionalization approach provided excellent control over the extent of PEI labeling, improved SPION magnetic resonance imaging (MRI) contrast enhancement and produced an efficient transfection agent.

  13. Adaptation of Arabidopsis to nitrogen limitation involves induction of anthocyanin synthesis which is controlled by the NLA gene

    PubMed Central

    Peng, Mingsheng; Hudson, Darryl; Schofield, Andrew; Tsao, Rong; Yang, Raymond; Gu, Honglan; Bi, Yong-Mei; Rothstein, Steven. J.

    2008-01-01

    Plants can survive a limiting nitrogen (N) supply by developing a set of N limitation adaptive responses. However, the Arabidopsis nla (nitrogen limitation adaptation) mutant fails to produce such responses, and cannot adapt to N limitation. In this study, the nla mutant was utilized to understand further the effect of NLA on Arabidopsis adaptation to N limitation. Grown with limiting N, the nla mutant could not accumulate anthocyanins and instead produced an N limitation-induced early senescence phenotype. In contrast, when supplied with limiting N and limiting phosphorus (Pi), the nla mutants accumulated abundant anthocyanins and did not show the N limitation-induced early senescence phenotype. These results support the hypothesis that Arabidopsis has a specific pathway to control N limitation-induced anthocyanin synthesis, and the nla mutation disrupts this pathway. However, the nla mutation does not affect the Pi limitation-induced anthocyanin synthesis pathway. Therefore, Pi limitation induced the nla mutant to accumulate anthocyanins under N limitation and allowed this mutant to adapt to N limitation. Under N limitation, the nla mutant had a significantly down-regulated expression of many genes functioning in anthocyanin synthesis, and an enhanced expression of genes involved in lignin production. Correspondingly, the nla mutant grown with limiting N showed a significantly lower production of anthocyanins (particularly cyanidins) and an increase in lignin contents compared with wild-type plants. These data suggest that NLA controls Arabidopsis adaptability to N limitation by channelling the phenylpropanoid metabolic flux to the induced anthocyanin synthesis, which is important for Arabidopsis to adapt to N limitation. PMID:18552353

  14. Visual gene developer: a fully programmable bioinformatics software for synthetic gene optimization

    PubMed Central

    2011-01-01

    Background Direct gene synthesis is becoming more popular owing to decreases in gene synthesis pricing. Compared with using natural genes, gene synthesis provides a good opportunity to optimize gene sequence for specific applications. In order to facilitate gene optimization, we have developed a stand-alone software called Visual Gene Developer. Results The software not only provides general functions for gene analysis and optimization along with an interactive user-friendly interface, but also includes unique features such as programming capability, dedicated mRNA secondary structure prediction, artificial neural network modeling, network & multi-threaded computing, and user-accessible programming modules. The software allows a user to analyze and optimize a sequence using main menu functions or specialized module windows. Alternatively, gene optimization can be initiated by designing a gene construct and configuring an optimization strategy. A user can choose several predefined or user-defined algorithms to design a complicated strategy. The software provides expandable functionality as platform software supporting module development using popular script languages such as VBScript and JScript in the software programming environment. Conclusion Visual Gene Developer is useful for both researchers who want to quickly analyze and optimize genes, and those who are interested in developing and testing new algorithms in bioinformatics. The software is available for free download at http://www.visualgenedeveloper.net. PMID:21846353

  15. Novel Nonreplicating Vaccinia Virus Vector Enhances Expression of Heterologous Genes and Suppresses Synthesis of Endogenous Viral Proteins.

    PubMed

    Wyatt, Linda S; Xiao, Wei; Americo, Jeffrey L; Earl, Patricia L; Moss, Bernard

    2017-06-06

    Viruses are used as expression vectors for protein synthesis, immunology research, vaccines, and therapeutics. Advantages of poxvirus vectors include the accommodation of large amounts of heterologous DNA, the presence of a cytoplasmic site of transcription, and high expression levels. On the other hand, competition of approximately 200 viral genes with the target gene for expression and immune recognition may be disadvantageous. We describe a vaccinia virus (VACV) vector that uses an early promoter to express the bacteriophage T7 RNA polymerase; has the A23R intermediate transcription factor gene deleted, thereby restricting virus replication to complementing cells; and has a heterologous gene regulated by a T7 promoter. In noncomplementing cells, viral early gene expression and DNA replication occurred normally but synthesis of intermediate and late proteins was prevented. Nevertheless, the progeny viral DNA provided templates for abundant expression of heterologous genes regulated by a T7 promoter. Selective expression of the Escherichia coli lac repressor gene from an intermediate promoter reduced transcription of the heterologous gene specifically in complementing cells, where large amounts might adversely impact VACV replication. Expression of heterologous proteins mediated by the A23R deletion vector equaled that of a replicating VACV, was higher than that of a nonreplicating modified vaccinia virus Ankara (MVA) vector used for candidate vaccines in vitro and in vivo , and was similarly immunogenic in mice. Unlike the MVA vector, the A23R deletion vector still expresses numerous early genes that can restrict immunogenicity as demonstrated here by the failure of the prototype vector to induce interferon alpha. By deleting immunomodulatory genes, we anticipate further improvements in the system. IMPORTANCE Vaccines provide an efficient and effective way of preventing infectious diseases. Nevertheless, new and better vaccines are needed. Vaccinia virus, which

  16. Isolation and characterization of aerobic anoxygenic phototrophs from exposed soils from the Sør Rondane Mountains, East Antarctica.

    PubMed

    Tahon, Guillaume; Willems, Anne

    2017-09-01

    This study investigated the culturable aerobic phototrophic bacteria present in soil samples collected in the proximity of the Belgian Princess Elisabeth Station in the Sør Rondane Mountains, East Antarctica. Until recently, only oxygenic phototrophic bacteria (Cyanobacteria) were well known from Antarctic soils. However, more recent non-cultivation-based studies have demonstrated the presence of anoxygenic phototrophs and, particularly, aerobic anoxygenic phototrophic bacteria in these areas. Approximately 1000 isolates obtained after prolonged incubation under different growth conditions were studied and characterized by matrix-assisted laser desorption/ionization time-of-flight mass spectrometry. Representative strains were identified by sequence analysis of 16S rRNA genes. More than half of the isolates grouped among known aerobic anoxygenic phototrophic taxa, particularly with Sphingomonadaceae, Methylobacterium and Brevundimonas. In addition, a total of 330 isolates were tested for the presence of key phototrophy genes. While rhodopsin genes were not detected, multiple isolates possessed key genes of the bacteriochlorophyll synthesis pathway. The majority of these potential aerobic anoxygenic phototrophic strains grouped with Alphaproteobacteria (Sphingomonas, Methylobacterium, Brevundimonas and Polymorphobacter). Copyright © 2017 The Authors. Published by Elsevier GmbH.. All rights reserved.

  17. Effect of pollination and fertilization on the expression of genes related to floral transition, hormone synthesis and berry development in grapevine.

    PubMed

    Dauelsberg, Patricia; Matus, José Tomás; Poupin, María Josefina; Leiva-Ampuero, Andrés; Godoy, Francisca; Vega, Andrea; Arce-Johnson, Patricio

    2011-09-15

    In the present work, the effect of assisted fertilization on anatomical, morphological and gene expression changes occurring in carpels and during early stages of berry development in Vitis vinifera were studied. Inflorescences were emasculated before capfall, immediately manually pollinated (EP) and fruit development was compared to emasculated but non-pollinated (ENP) and self-pollinated inflorescences (NESP). The diameter of berries derived from pollinated flowers (EP and NESP) was significantly higher than from non-pollinated flowers (ENP) at 21 days after emasculation/pollination (DAE), and a rapid increase in the size of the inner mesocarp, together with the presence of an embryo-like structure, were observed. The expression of gibberellin oxidases (GA20ox and GA2ox), anthranilate synthase (related to auxin synthesis) and cytokinin synthase coding genes was studied to assess the relationship between hormone synthesis and early berry development, while flower patterning genes were analyzed to describe floral transition. Significant expression changes were found for hormone-related genes, suggesting that their expression at early stages of berry development (13 DAE) is related to cell division and differentiation of mesocarp tissue at a later stage (21 DAE). Expression of hormone-related genes also correlates with the expression of VvHB13, a gene related to mesocarp expansion, and with an increased repression of floral patterning genes (PISTILLATA and TM6), which may contribute to prevent floral transition inhibiting fruit growth before fertilization takes place. Copyright © 2011 Elsevier GmbH. All rights reserved.

  18. Mutation in the pssA gene involved in exopolysaccharide synthesis leads to several physiological and symbiotic defects in Rhizobium leguminosarum bv. trifolii.

    PubMed

    Janczarek, Monika; Rachwał, Kamila

    2013-12-05

    The symbiotic nitrogen-fixing bacterium Rhizobium leguminosarum bv. trifolii 24.2 secretes large amounts of acidic exopolysaccharide (EPS), which plays a crucial role in establishment of effective symbiosis with clover. The biosynthesis of this heteropolymer is conducted by a multi-enzymatic complex located in the bacterial inner membrane. PssA protein, responsible for the addition of glucose-1-phosphate to a polyprenyl phosphate carrier, is involved in the first step of EPS synthesis. In this work, we characterize R. leguminosarum bv. trifolii strain Rt270 containing a mini-Tn5 transposon insertion located in the 3'-end of the pssA gene. It has been established that a mutation in this gene causes a pleiotropic effect in rhizobial cells. This is confirmed by the phenotype of the mutant strain Rt270, which exhibits several physiological and symbiotic defects such as a deficiency in EPS synthesis, decreased motility and utilization of some nutrients, decreased sensitivity to several antibiotics, an altered extracellular protein profile, and failed host plant infection. The data of this study indicate that the protein product of the pssA gene is not only involved in EPS synthesis, but also required for proper functioning of Rhizobium leguminosarum bv. trifolii cells.

  19. Identification of nuclear genes controlling chlorophyll synthesis in barley by RNA-seq.

    PubMed

    Shmakov, Nickolay A; Vasiliev, Gennadiy V; Shatskaya, Natalya V; Doroshkov, Alexey V; Gordeeva, Elena I; Afonnikov, Dmitry A; Khlestkina, Elena K

    2016-11-16

    Albinism in plants is characterized by lack of chlorophyll and results in photosynthesis impairment, abnormal plant development and premature death. These abnormalities are frequently encountered in interspecific crosses and tissue culture experiments. Analysis of albino mutant phenotypes with full or partial chlorophyll deficiency can shed light on genetic determinants and molecular mechanisms of albinism. Here we report analysis of RNA-seq transcription profiling of barley (Hordeum vulgare L.) near-isogenic lines, one of which is a carrier of mutant allele of the Alm gene for albino lemma and pericarp phenotype (line i:BwAlm). 1221 genome fragments have statistically significant changes in expression levels between lines i:BwAlm and Bowman, with 148 fragments having increased expression levels in line i:BwAlm, and 1073 genome fragments, including 42 plastid operons, having decreased levels of expression in line i:BwAlm. We detected functional dissimilarity between genes with higher and lower levels of expression in i:BwAlm line. Genes with lower level of expression in the i:BwAlm line are mostly associated with photosynthesis and chlorophyll synthesis, while genes with higher expression level are functionally associated with vesicle transport. Differentially expressed genes are shown to be involved in several metabolic pathways; the largest fraction of such genes was observed for the Calvin-Benson-Bassham cycle. Finally, de novo assembly of transcriptome contains several transcripts, not annotated in current H. vulgare genome version. Our results provide the new information about genes which could be involved in formation of albino lemma and pericarp phenotype. They demonstrate the interplay between nuclear and chloroplast genomes in this physiological process.

  20. Fish Oil Ameliorates High-Fat Diet Induced Male Mouse Reproductive Dysfunction via Modifying the Rhythmic Expression of Testosterone Synthesis Related Genes.

    PubMed

    Wang, Hualin; Cai, Yazheng; Shao, Yang; Zhang, Xifeng; Li, Na; Zhang, Hongyu; Liu, Zhiguo

    2018-04-29

    The present study aims to investigate the protective effects of ω-3 polyunsaturated fatty acids (ω-3PUFAs) against high-fat diet induced male mouse reproductive dysfunction and to explore circadian regulation mechanisms. Male C57BL/6 mice were randomly divided into three groups and fed a normal chow diet (control group, CON), a high-fat diet (HFD group) or a HFD supplemented with fish oil (FO group) for 12 weeks. After 12 weeks of feeding, the body weight and the ratio of perinephric and epididymal fat weight to body weight were significantly higher in the HFD group compared with the CON group. The supplement of fish oil rich in ω-3PUFAs only slightly reduced the HFD-induced obesity but remarkably ameliorated HFD-induced dyslipidemia, sexual hormones disorder, testicle lesions and germ cell apoptosis. Fish oil supplementation restored the expression of steroid synthesis associated genes in HFD fed mouse and flattened the HFD-induced oscillations in circadian genes' expression. Fish oil supplementation prevented HFD-induced male mouse reproductive dysfunction and modified the rhythmic expression of testosterone synthesis related genes.

  1. Chardonnay Grape Seed Flour Ameliorates Hepatic Steatosis and Insulin Resistance via Altered Hepatic Gene Expression for Oxidative Stress, Inflammation, and Lipid and Ceramide Synthesis in Diet-Induced Obese Mice

    PubMed Central

    Seo, Kun-Ho; Bartley, Glenn E.; Tam, Christina; Kim, Hong-Seok; Kim, Dong-Hyeon; Chon, Jung-Whan; Yokoyama, Wallace

    2016-01-01

    To identify differentially expressed hepatic genes contributing to the improvement of high-fat (HF) diet-induced hepatic steatosis and insulin resistance following supplementation of partially defatted flavonoid-rich Chardonnay grape seed flour (ChrSd), diet-induced obese (DIO) mice were fed HF diets containing either ChrSd or microcrystalline cellulose (MCC, control) for 5 weeks. The 2-h insulin area under the curve was significantly lowered by ChrSd, indicating that ChrSd improved insulin sensitivity. ChrSd intake also significantly reduced body weight gain, liver and adipose tissue weight, hepatic lipid content, and plasma low-density lipoprotein (LDL)-cholesterol, despite a significant increase in food intake. Exon microarray analysis of hepatic gene expression revealed down-regulation of genes related to triglyceride and ceramide synthesis, immune response, oxidative stress, and inflammation and upregulation of genes related to fatty acid oxidation, cholesterol, and bile acid synthesis. In conclusion, the effects of ChrSd supplementation in a HF diet on weight gain, insulin resistance, and progression of hepatic steatosis in DIO mice were associated with modulation of hepatic genes related to oxidative stress, inflammation, ceramide synthesis, and lipid and cholesterol metabolism. PMID:27977712

  2. Transcriptomic analysis illuminates genes involved in chlorophyll synthesis after nitrogen starvation in Acaryochloris sp. CCMEE 5410.

    PubMed

    Yoneda, Aki; Wittmann, Bruce J; King, Jeremy D; Blankenship, Robert E; Dantas, Gautam

    2016-08-01

    Acaryochloris species are a genus of cyanobacteria that utilize chlorophyll (chl) d as their primary chlorophyll molecule during oxygenic photosynthesis. Chl d allows Acaryochloris to harvest red-shifted light, which gives them the ability to live in filtered light environments that are depleted in visible light. Although genomes of multiple Acaryochloris species have been sequenced, their analysis has not revealed how chl d is synthesized. Here, we demonstrate that Acaryochloris sp. CCMEE 5410 cells undergo chlorosis by nitrogen depletion and exhibit robust regeneration of chl d by nitrogen repletion. We performed a time course RNA-Seq experiment to quantify global transcriptomic changes during chlorophyll recovery. We observed upregulation of numerous known chl biosynthesis genes and also identified an oxygenase gene with a similar transcriptional profile as these chl biosynthesis genes, suggesting its possible involvement in chl d biosynthesis. Moreover, our data suggest that multiple prochlorophyte chlorophyll-binding homologs are important during chlorophyll recovery, and light-independent chl synthesis genes are more dominant than the light-dependent gene at the transcription level. Transcriptomic characterization of this organism provides crucial clues toward mechanistic elucidation of chl d biosynthesis.

  3. Relative gene expression of fatty acid synthesis genes at 60 days postpartum in bovine mammary epithelial cells of Surti and Jafarabadi buffaloes

    PubMed Central

    Janmeda, Mamta; Kharadi, Vishnu; Pandya, Gaurav; Brahmkshtri, Balkrishna; Ramani, Umed; Tyagi, Kuldeep

    2017-01-01

    Aim: Aim of the study was to study the relative gene expression of genes associated with fatty acid synthesis at 60 days postpartum (pp) in bovine mammary epithelial cells (MECs) of Surti and Jafarabadi buffaloes. Materials and Methods: A total of 10 healthy Surti and Jafarabadi buffaloes of each breed were selected at random from Livestock Research Station, Navsari and Cattle Breeding Farm, Junagadh, Gujarat, respectively, for this study. Milk sample was collected from each selected buffalo at day 60 pp from these two breeds to study relative gene expression of major milk fat genes using non-invasive approach of obtaining primary bovine MECs (pBMEC) from milk samples. Results: In this study overall, the relative expression of the six major milk lipogenic genes butyrophilin subfamily 1 member A1 (BTN1A1), stearoyl-CoA desaturase (SCD), lipoprotein lipase (LPL), glycerol-3-phosphate acyltransferase mitochondrial (GPAM), acetyl-coenzyme A carboxylase alpha (ACACA), and lipin (LPIN) did not show changes in expression patterns at 60th day of lactation in both Surti and Jafarabadi buffaloes. Conclusion: The pBMEC can be successfully recovered from 1500 ml of milk of Surti and Jafarabadi buffaloes using antibody-mediated magnetic bead separation and can be further used for recovering RNA for down step quantification of major milk lipogenic gene expression. The relative expression of the six major milk lipogenic genes BTN1A1, SCD, LPL, GPAM, ACACA, and LPIN did not show changes in expression patterns in both Surti and Jafarabadi buffaloes, suggesting expression levels of lipogenic genes are maintained almost uniform till peak lactation without any significant difference. PMID:28620248

  4. Isolation of Optically Targeted Single Bacteria by Application of Fluidic Force Microscopy to Aerobic Anoxygenic Phototrophs from the Phyllosphere

    PubMed Central

    Stiefel, Philipp; Zambelli, Tomaso

    2013-01-01

    In their natural environment, bacteria often behave differently than they do under laboratory conditions. To gain insight into the physiology of bacteria in situ, dedicated approaches are required to monitor their adaptations and specific behaviors under environmental conditions. Optical microscopy is crucial for the observation of fundamental characteristics of bacteria, such as cell shape, size, and marker gene expression. Here, fluidic force microscopy (FluidFM) was exploited to isolate optically selected bacteria for subsequent identification and characterization. In this study, bacteriochlorophyll-producing bacteria, which can be visualized due to their characteristic fluorescence in the infrared range, were isolated from leaf washes. Bacterial communities from the phyllosphere were investigated because they harbor genes indicative of aerobic anoxygenic photosynthesis. Our data show that different species of Methylobacterium express their photosystem in planta, and they show a distinct pattern of bacteriochlorophyll production under laboratory conditions that is dependent on supplied carbon sources. PMID:23770907

  5. Generation of mariner-based transposon insertion mutant library of Bacillus sphaericus 2297 and investigation of genes involved in sporulation and mosquito-larvicidal crystal protein synthesis.

    PubMed

    Wu, Yiming; Hu, Xiaomin; Ge, Yong; Zheng, Dasheng; Yuan, Zhiming

    2012-05-01

    Bacillus sphaericus has been used with great success in mosquito control programs worldwide. Under conditions of nutrient limitation, it undergoes sporulation via a series of well defined morphological stages. However, only a small number of genes involved in sporulation have been identified. To identify genes associated with sporulation, and to understand the relationship between sporulation and crystal protein synthesis, a random mariner-based transposon insertion mutant library of B. sphaericus strain 2297 was constructed and seven sporulation-defective mutants were selected. Sequencing of the DNA flanking of the transposon insertion identified several genes involved in sporulation. The morphologies of mutants were determined by electron microscopy and synthesis of crystal proteins was analyzed by SDS-PAGE and Western blot. Four mutants blocked at early stages of sporulation failed to produce crystal proteins and had lower larvicidal activity. However, the other three mutants were blocked at later stages and were able to form crystal proteins, and the larvicidal activity was similar to wild type. These results indicated that crystal protein synthesis in B. sphaericus is dependent on sporulation initiation. © 2012 Federation of European Microbiological Societies. Published by Blackwell Publishing Ltd. All rights reserved.

  6. Ultra-broadband 2D electronic spectroscopy of carotenoid-bacteriochlorophyll interactions in the LH1 complex of a purple bacterium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maiuri, Margherita; Department of Chemistry, Princeton University, Washington Road, Princeton, New Jersey 08544; Réhault, Julien

    We investigate the excitation energy transfer (EET) pathways in the photosynthetic light harvesting 1 (LH1) complex of purple bacterium Rhodospirillum rubrum with ultra-broadband two-dimensional electronic spectroscopy (2DES). We employ a 2DES apparatus in the partially collinear geometry, using a passive birefringent interferometer to generate the phase-locked pump pulse pair. This scheme easily lends itself to two-color operation, by coupling a sub-10 fs visible pulse with a sub-15-fs near-infrared pulse. This unique pulse combination allows us to simultaneously track with extremely high temporal resolution both the dynamics of the photoexcited carotenoid spirilloxanthin (Spx) in the visible range and the EET betweenmore » the Spx and the B890 bacterio-chlorophyll (BChl), whose Q{sub x} and Q{sub y} transitions peak at 585 and 881 nm, respectively, in the near-infrared. Global analysis of the one-color and two-color 2DES maps unravels different relaxation mechanisms in the LH1 complex: (i) the initial events of the internal conversion process within the Spx, (ii) the parallel EET from the first bright state S{sub 2} of the Spx towards the Q{sub x} state of the B890, and (iii) the internal conversion from Q{sub x} to Q{sub y} within the B890.« less

  7. Photosynthesis Is Widely Distributed among Proteobacteria as Demonstrated by the Phylogeny of PufLM Reaction Center Proteins

    PubMed Central

    Imhoff, Johannes F.; Rahn, Tanja; Künzel, Sven; Neulinger, Sven C.

    2018-01-01

    Two different photosystems for performing bacteriochlorophyll-mediated photosynthetic energy conversion are employed in different bacterial phyla. Those bacteria employing a photosystem II type of photosynthetic apparatus include the phototrophic purple bacteria (Proteobacteria), Gemmatimonas and Chloroflexus with their photosynthetic relatives. The proteins of the photosynthetic reaction center PufL and PufM are essential components and are common to all bacteria with a type-II photosynthetic apparatus, including the anaerobic as well as the aerobic phototrophic Proteobacteria. Therefore, PufL and PufM proteins and their genes are perfect tools to evaluate the phylogeny of the photosynthetic apparatus and to study the diversity of the bacteria employing this photosystem in nature. Almost complete pufLM gene sequences and the derived protein sequences from 152 type strains and 45 additional strains of phototrophic Proteobacteria employing photosystem II were compared. The results give interesting and comprehensive insights into the phylogeny of the photosynthetic apparatus and clearly define Chromatiales, Rhodobacterales, Sphingomonadales as major groups distinct from other Alphaproteobacteria, from Betaproteobacteria and from Caulobacterales (Brevundimonas subvibrioides). A special relationship exists between the PufLM sequences of those bacteria employing bacteriochlorophyll b instead of bacteriochlorophyll a. A clear phylogenetic association of aerobic phototrophic purple bacteria to anaerobic purple bacteria according to their PufLM sequences is demonstrated indicating multiple evolutionary lines from anaerobic to aerobic phototrophic purple bacteria. The impact of pufLM gene sequences for studies on the environmental diversity of phototrophic bacteria is discussed and the possibility of their identification on the species level in environmental samples is pointed out. PMID:29472894

  8. Mice exposed in situ to urban air pollution exhibit pulmonary alterations in gene expression in the lipid droplet synthesis pathways.

    PubMed

    Rowan-Carroll, Andrea; Halappanavar, Sabina; Williams, Andrew; Somers, Christophers M; Yauk, Carole L

    2013-05-01

    It is clear that particulate air pollution poses a serious risk to human health; however, the underlying mechanisms are not completely understood. We investigated pulmonary transcriptional responses in mice following in-situ exposure to ambient air in a heavily industrialized urban environment. Mature C57BL/CBA male mice were caged in sheds near two working steel mills and a major highway in Hamilton, Ontario, Canada in the spring/summer of 2004. Control mice were housed in the same environment, but received only high-efficiency particle filtered air (HEPA). Whole lung tissues were collected from mice exposed for 3, 10, or for 10 weeks followed by 6 weeks recovery in the laboratory (16 weeks). DNA microarrays were used to profile changes in pulmonary gene expression. Transcriptional profiling revealed changes in the expression of genes implicated in the lipid droplet synthesis (Plin I, Dgat2, Lpl, S3-12, and Agpat2), and antioxidant defense (Ucp1) pathways in mice breathing unfiltered air. We postulate that exposure to urban air, containing an abundance of particulate matter adsorbed with polycyclic aromatic hydrocarbons, triggers lipid droplet (holding depots for lipids and malformed/excess proteins tagged for degradation) synthesis in the lungs, which may act to sequester particulates. Increased lipid droplet synthesis could lead to endogenous/stressor-induced production of reactive oxygen species and activation of antioxidant mechanisms. Further investigation into the stimulation of lipid droplet synthesis in the lung in response to air pollution and the resulting health implications is warranted. Copyright © 2013 Wiley Periodicals, Inc.

  9. Effects of guanosine tetraphosphate on cell-free synthesis of Escherichia coli ribosomal RNA and other gene products.

    PubMed Central

    Reiness, G; Yang, H L; Zubay, G; Cashel, M

    1975-01-01

    A cell-free system derived from E. coli is described in which mature-sized 16S and 23S ribosomal RNAs (rRNA) are synthesized at a high relative rate, comprising 17-25% of the total transcription. The addition of guanosine tetraphosphate (ppGpp) to this system results in up to a 5-fold selective inhibition of rRNA accumulation. This effect is exerted at the level of synthesis rather than degradation. It is concluded that ppGpp, which is produced in large amounts by E. coli during amino-acid deprivation, could mediate the decrease in rRNA synthesis that accompanies such deprivation. The expression of other genes has also been investigated. No selective reduction of transfer RNA synthesis by ppGpp is observed. The trp and lac operons are found to be stimulated at the transcriptional level by the presence of this nucleotide. It is hypothesized that ppGpp interacts with the RNA polymerase in such a manner as to alter the affinity of the enzyme for promoters in an operon-specific fashion. PMID:1103124

  10. Disruption of the processing alpha-mannosidase gene does not prevent outer chain synthesis in Saccharomyces cerevisiae.

    PubMed Central

    Puccia, R; Grondin, B; Herscovics, A

    1993-01-01

    Processing of N-linked oligosaccharides in Saccharomyces cerevisiae begins with the removal of glucose and mannose residues from Glc3Man9GlcNAc2 to form a single isomer of Man8GlcNAc2. The importance of mannose removal for subsequent outer chain synthesis was examined in strains of S. cerevisiae disrupted in the MNS1 gene encoding a specific alpha 1,2-mannosidase responsible for Man8GlcNAc2 synthesis [Camirand, Heysen, Grondin and Herscovics (1991) J. Biol. Chem. 266, 15120-15127]. Both MNS1 transcripts of 1.85 kb and 1.7 kb were not observed in Northern blots of mns1 cells (i.e. cells containing the disrupted gene). Analysis on Bio-Gel P-6 of endo-beta-N-acetylglucosaminidase-H-sensitive oligosaccharides following a 10 min pulse with [2-3H]mannose revealed similar amounts of labelled outer chains excluded from the gel in both control and mns1 cells. H.p.l.c. of the included oligosaccharides showed that a Man9GlcNAc, rather than a Man8GlcNAc, intermediate was formed in mns1 cells. Analysis of [3H]mannose-labelled core oligosaccharides from immunoprecipitated CPY and invertase by h.p.l.c. showed a similar size distribution in mns1 and control cells. Invertase immunoprecipitated from [35S]methionine-labelled mns1 cells was highly glycosylated, but migrated slightly faster than that from control cells on denaturing PAGE, indicating a small difference in glycosylation. A similar difference in mobility was observed for invertase activity stain following non-denaturing gel electrophoresis. It is concluded that the alpha-mannosidase encoded by MNS1 is the only enzyme responsible for mannose removal in vivo, and that this processing step is not essential for outer chain synthesis. Images Figure 1 Figure 4 PMID:8439291

  11. Disruption of the processing alpha-mannosidase gene does not prevent outer chain synthesis in Saccharomyces cerevisiae.

    PubMed

    Puccia, R; Grondin, B; Herscovics, A

    1993-02-15

    Processing of N-linked oligosaccharides in Saccharomyces cerevisiae begins with the removal of glucose and mannose residues from Glc3Man9GlcNAc2 to form a single isomer of Man8GlcNAc2. The importance of mannose removal for subsequent outer chain synthesis was examined in strains of S. cerevisiae disrupted in the MNS1 gene encoding a specific alpha 1,2-mannosidase responsible for Man8GlcNAc2 synthesis [Camirand, Heysen, Grondin and Herscovics (1991) J. Biol. Chem. 266, 15120-15127]. Both MNS1 transcripts of 1.85 kb and 1.7 kb were not observed in Northern blots of mns1 cells (i.e. cells containing the disrupted gene). Analysis on Bio-Gel P-6 of endo-beta-N-acetylglucosaminidase-H-sensitive oligosaccharides following a 10 min pulse with [2-3H]mannose revealed similar amounts of labelled outer chains excluded from the gel in both control and mns1 cells. H.p.l.c. of the included oligosaccharides showed that a Man9GlcNAc, rather than a Man8GlcNAc, intermediate was formed in mns1 cells. Analysis of [3H]mannose-labelled core oligosaccharides from immunoprecipitated CPY and invertase by h.p.l.c. showed a similar size distribution in mns1 and control cells. Invertase immunoprecipitated from [35S]methionine-labelled mns1 cells was highly glycosylated, but migrated slightly faster than that from control cells on denaturing PAGE, indicating a small difference in glycosylation. A similar difference in mobility was observed for invertase activity stain following non-denaturing gel electrophoresis. It is concluded that the alpha-mannosidase encoded by MNS1 is the only enzyme responsible for mannose removal in vivo, and that this processing step is not essential for outer chain synthesis.

  12. Concurrent synthesis and release of nod-gene-inducing flavonoids from alfalfa roots. [Medicago sativa L. ; Rhizobium meliloti

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Maxwell, C.A.; Phillips, D.A.

    Flavonoid signals from alfalfa (Medicago sativa L.) induce transcription of nodulation (nod) genes in Rhizobium meliloti. Alfalfa roots release three major nod-gene inducers: 4{prime},7-dihydroxyflavanone, 4{prime},7-dihydroxyflavone, and 4,4{prime}-dihydroxy-2{prime}-methoxychalcone. The objective of the present study was to define temporal relationships between synthesis and exudation for those flavonoids. Requirements for concurrent flavonoid biosynthesis were assessed by treating roots of intact alfalfa seedlings with (U-{sup 14}C)-L-phenylalanine in the presence or absence of the phenylalanine ammonia-lyase inhibitor L-2-aminoxy-3-phenylpropionic acid (AOPP). In the absence of AOPP, each of the three flavonoids in exudates contained {sup 14}C. In the presence of AOPP, {sup 14}C labeling and releasemore » of all the exuded nod-gene inducers were reduced significantly. AOPP inhibited labeling and release of the strongest nod-gene inducer, methoxychalcone, by more than 90%. The release process responsible for exudation of nod-gene inducers appears to be specific rather than a general phenomenon such as a sloughing off of cells during root growth.« less

  13. Solid-phase-assisted synthesis of targeting peptide-PEG-oligo(ethane amino)amides for receptor-mediated gene delivery.

    PubMed

    Martin, Irene; Dohmen, Christian; Mas-Moruno, Carlos; Troiber, Christina; Kos, Petra; Schaffert, David; Lächelt, Ulrich; Teixidó, Meritxell; Günther, Michael; Kessler, Horst; Giralt, Ernest; Wagner, Ernst

    2012-04-28

    In the forthcoming era of cancer gene therapy, efforts will be devoted to the development of new efficient and non-toxic gene delivery vectors. In this regard, the use of Fmoc/Boc-protected oligo(ethane amino)acids as building blocks for solid-phase-supported assembly represents a novel promising approach towards fully controlled syntheses of effective gene vectors. Here we report on the synthesis of defined polymers containing the following: (i) a plasmid DNA (pDNA) binding domain of eight succinoyl-tetraethylenpentamine (Stp) units and two terminal cysteine residues; (ii) a central polyethylene glycol (PEG) chain (with twenty-four oxyethylene units) for shielding; and (iii) specific peptides for targeting towards cancer cells. Peptides B6 and c(RGDfK), which bind transferrin receptor and α(v)β(3) integrin, respectively, were chosen because of the high expression of these receptors in many tumoral cells. This study shows the feasibility of designing these kinds of fully controlled vectors and their success for targeted pDNA-based gene transfer. This journal is © The Royal Society of Chemistry 2012

  14. A murC gene from coryneform bacteria.

    PubMed

    Wachi, M; Wijayarathna, C D; Teraoka, H; Nagai, K

    1999-02-01

    The upstream flanking region of the ftsQ and ftsZ genes of Brevibacterium flavum MJ233, which belongs to the coryneform bacteria, was amplified by the inverse polymerase chain reaction method and cloned in Escherichia coli. Complementation analysis of E. coli mutant with a defective cell-wall synthesis mechanism with the cloned fragment and its DNA sequencing indicated the presence of the murC gene, encoding UDP-N-acetylmuramate:L-alanine ligase involved in peptidoglycan synthesis, just upstream from the ftsQ gene. The B. flavum murC gene could encode a protein of 486 amino acid residues with a calculated molecular mass of 51 198 Da. A 50-kDa protein was synthesized by the B. flavum murC gene in an in vitro transcription/translation system using E. coli S30 lysate. These results indicate that the genes responsible for cell-wall synthesis and cell division are located as a cluster in B. flavum similar to the E. coli mra region.

  15. The temporal responses of protein synthesis, gene expression and cell signalling in human quadriceps muscle and patellar tendon to disuse

    PubMed Central

    de Boer, Maarten D; Selby, Anna; Atherton, Philip; Smith, Ken; Seynnes, Olivier R; Maganaris, Constantinos N; Maffulli, Nicola; Movin, Tomas; Narici, Marco V; Rennie, Michael J

    2007-01-01

    We hypothesized that rates of myofibrillar and patellar tendon collagen synthesis would fall over time during disuse, the changes being accompanied in muscle by decreases in focal adhesion kinase (FAK) phosphorylation and in gene expression for proteolytic enzymes. We studied nine men (22 ± 4 years, BMI 24 ± 3 kg m−2 (means ± s.d.) who underwent unilateral lower leg suspension for 23 days; five were studied between 0 and 10 days and four between 10 and 21 days. Muscle and tendon biopsies were taken in the postabsorptive state at days 0, 10 and 21 for measurement of protein synthesis, gene expression and protein phosphorylation. Muscle cross-sectional area decreased by 5.2% at 14 days and 10.0% (both P < 0.001), at 23 days, i.e. 0.5% day−1, whereas tendon dimensions were constant. Rates of myofibrillar protein synthesis fell (P < 0.01) from 0.047% h−1 at day 0 to 0.022% h−1 at 10 days without further changes. Tendon collagen synthetic rates also fell (P < 0.01), from 0.052 to 0.023% h−1 at 10 days and then to 0.010% h−1 at 21 days. FAK phosphorylation decreased 30% (P < 0.01) at 10 days. No changes occurred in the amounts/phosphorylation of PKB–P70s6k–mTOR pathway components. Expression of mRNA for MuRF-1 increased ∼3-fold at 10 days without changes in MAFbx or tripeptidyl peptidase II mRNA, but all decreased between 10 and 21 days. Thus, both myofibrillar and tendon protein synthetic rates show progressive decreases during 21 days of disuse; in muscle, this is accompanied by decreased phosphorylation of FAK, with no marked increases in genes for proteolytic enzymes. PMID:17901116

  16. Novel mutant alleles of the starch synthesis gene TaSSIVb-D result in the reduction of starch granule number per chloroplast in wheat.

    PubMed

    Guo, Huijun; Liu, Yunchuan; Li, Xiao; Yan, Zhihui; Xie, Yongdun; Xiong, Hongchun; Zhao, Linshu; Gu, Jiayu; Zhao, Shirong; Liu, Luxiang

    2017-05-08

    Transient starch provides carbon and energy for plant growth, and its synthesis is regulated by the joint action of a series of enzymes. Starch synthesis IV (SSIV) is one of the important starch synthase isoforms, but its impact on wheat starch synthesis has not yet been reported due to the lack of mutant lines. Using the TILLING approach, we identified 54 mutations in the wheat gene TaSSIVb-D, with a mutation density of 1/165 Kb. Among these, three missense mutations and one nonsense mutation were predicted to have severe impacts on protein function. In the mutants, TaSSIVb-D was significantly down-regulated without compensatory increases in the homoeologous genes TaSSIVb-A and TaSSIVb-B. Altered expression of TaSSIVb-D affected granule number per chloroplast; compared with wild type, the number of chloroplasts containing 0-2 granules was significantly increased, while the number containing 3-4 granules was decreased. Photosynthesis was affected accordingly; the maximum quantum yield and yield of PSII were significantly reduced in the nonsense mutant at the heading stage. These results indicate that TaSSIVb-D plays an important role in the formation of transient starch granules in wheat, which in turn impact the efficiency of photosynthesis. The mutagenized population created in this study allows the efficient identification of novel alleles of target genes and could be used as a resource for wheat functional genomics.

  17. DEHP (DI-N-ETHYLHEXYL PHTHALATE), WHEN ADMINISTERED DURING SEXUAL DIFFERENTIATION, INDUCES DOSE DEPENDENT DECREASES IN FETAL TESTIS GENE EXPRESSION AND STEROID HORMONE SYNTHESIS

    EPA Science Inventory

    DEHP (di-n-ethylhexyl phthalate), when administered during sexual differentiation, induces dose dependent decreases in fetal testis gene expression and steroid hormone synthesis.
    Vickie S. Wilson, Christy Lambright, Johnathan Furr, Kathy Bobseine, Carmen Wood, Gary Held, and ...

  18. Antiaging Gene Klotho Regulates Adrenal CYP11B2 Expression and Aldosterone Synthesis

    PubMed Central

    Zhou, Xiaoli; Chen, Kai; Wang, Yongjun; Schuman, Mariano; Lei, Han

    2016-01-01

    Deficiency of the antiaging gene Klotho (KL) induces renal damage and hypertension through unknown mechanisms. In this study, we assessed whether KL regulates expression of CYP11B2, a key rate–limiting enzyme in aldosterone synthesis, in adrenal glands. We found that haplodeficiency of KL(+/−) in mice increased the plasma level of aldosterone by 16 weeks of age, which coincided with spontaneous and persistent elevation of BP. Blockade of aldosterone actions by eplerenone reversed KL deficiency–induced hypertension and attenuated the kidney damage. Protein expression of CYP11B2 was upregulated in adrenal cortex of KL(+/−) mice. KL and CYP11B2 proteins colocalized in adrenal zona glomerulosa cells. Silencing of KL upregulated and overexpression of KL downregulated CYP11B2 expression in human adrenocortical cells. Notably, silencing of KL decreased expression of SF-1, a negative transcription factor of CYP11B2, but increased phosphorylation of ATF2, a positive transcription factor of CYP11B2, which may contribute to upregulation of CYP11B2 expression. Therefore, these results show that KL regulates adrenal CYP11B2 expression. KL deficiency–induced spontaneous hypertension and kidney damage may be partially attributed to the upregulation of CYP11B2 expression and aldosterone synthesis. PMID:26471128

  19. Cellulose Synthesis in Agrobacterium tumefaciens

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alan R. White; Ann G. Matthysse

    2004-07-31

    We have cloned the celC gene and its homologue from E. coli, yhjM, in an expression vector and expressed the both genes in E. coli; we have determined that the YhjM protein is able to complement in vitro cellulose synthesis by extracts of A. tumefaciens celC mutants, we have purified the YhjM protein product and are currently examining its enzymatic activity; we have examined whole cell extracts of CelC and various other cellulose mutants and wild type bacteria for the presence of cellulose oligomers and cellulose; we have examined the ability of extracts of wild type and cellulose mutants includingmore » CelC to incorporate UDP-14C-glucose into cellulose and into water-soluble, ethanol-insoluble oligosaccharides; we have made mutants which synthesize greater amounts of cellulose than the wild type; and we have examined the role of cellulose in the formation of biofilms by A. tumefaciens. In addition we have examined the ability of a putative cellulose synthase gene from the tunicate Ciona savignyi to complement an A. tumefaciens celA mutant. The greatest difference between our knowledge of bacterial cellulose synthesis when we started this project and current knowledge is that in 1999 when we wrote the original grant very few bacteria were known to synthesize cellulose and genes involved in this synthesis were sequenced only from Acetobacter species, A. tumefaciens and Rhizobium leguminosarum. Currently many bacteria are known to synthesize cellulose and genes that may be involved have been sequenced from more than 10 species of bacteria. This additional information has raised the possibility of attempting to use genes from one bacterium to complement mutants in another bacterium. This will enable us to examine the question of which genes are responsible for the three dimensional structure of cellulose (since this differs among bacterial species) and also to examine the interactions between the various proteins required for cellulose synthesis. We have carried

  20. A Seven-Gene Locus for Synthesis of Phenazine-1-Carboxylic Acid by Pseudomonas fluorescens 2-79

    PubMed Central

    Mavrodi, Dmitri V.; Ksenzenko, Vladimir N.; Bonsall, Robert F.; Cook, R. James; Boronin, Alexander M.; Thomashow, Linda S.

    1998-01-01

    Pseudomonas fluorescens 2-79 produces the broad-spectrum antibiotic phenazine-1-carboxylic acid (PCA), which is active against a variety of fungal root pathogens. In this study, seven genes designated phzABCDEFG that are sufficient for synthesis of PCA were localized within a 6.8-kb BglII-XbaI fragment from the phenazine biosynthesis locus of strain 2-79. Polypeptides corresponding to all phz genes were identified by analysis of recombinant plasmids in a T7 promoter/polymerase expression system. Products of the phzC, phzD, and phzE genes have similarities to enzymes of shikimic acid and chorismic acid metabolism and, together with PhzF, are absolutely necessary for PCA production. PhzG is similar to pyridoxamine-5′-phosphate oxidases and probably is a source of cofactor for the PCA-synthesizing enzyme(s). Products of the phzA and phzB genes are highly homologous to each other and may be involved in stabilization of a putative PCA-synthesizing multienzyme complex. Two new genes, phzX and phzY, that are homologous to phzA and phzB, respectively, were cloned and sequenced from P. aureofaciens 30-84, which produces PCA, 2-hydroxyphenazine-1-carboxylic acid, and 2-hydroxyphenazine. Based on functional analysis of the phz genes from strains 2-79 and 30-84, we postulate that different species of fluorescent pseudomonads have similar genetic systems that confer the ability to synthesize PCA. PMID:9573209

  1. Polyploid genome of Camelina sativa revealed by isolation of fatty acid synthesis genes

    PubMed Central

    2010-01-01

    Background Camelina sativa, an oilseed crop in the Brassicaceae family, has inspired renewed interest due to its potential for biofuels applications. Little is understood of the nature of the C. sativa genome, however. A study was undertaken to characterize two genes in the fatty acid biosynthesis pathway, fatty acid desaturase (FAD) 2 and fatty acid elongase (FAE) 1, which revealed unexpected complexity in the C. sativa genome. Results In C. sativa, Southern analysis indicates the presence of three copies of both FAD2 and FAE1 as well as LFY, a known single copy gene in other species. All three copies of both CsFAD2 and CsFAE1 are expressed in developing seeds, and sequence alignments show that previously described conserved sites are present, suggesting that all three copies of both genes could be functional. The regions downstream of CsFAD2 and upstream of CsFAE1 demonstrate co-linearity with the Arabidopsis genome. In addition, three expressed haplotypes were observed for six predicted single-copy genes in 454 sequencing analysis and results from flow cytometry indicate that the DNA content of C. sativa is approximately three-fold that of diploid Camelina relatives. Phylogenetic analyses further support a history of duplication and indicate that C. sativa and C. microcarpa might share a parental genome. Conclusions There is compelling evidence for triplication of the C. sativa genome, including a larger chromosome number and three-fold larger measured genome size than other Camelina relatives, three isolated copies of FAD2, FAE1, and the KCS17-FAE1 intergenic region, and three expressed haplotypes observed for six predicted single-copy genes. Based on these results, we propose that C. sativa be considered an allohexaploid. The characterization of fatty acid synthesis pathway genes will allow for the future manipulation of oil composition of this emerging biofuel crop; however, targeted manipulations of oil composition and general development of C. sativa should

  2. Facile synthesis of semi-library of low charge density cationic polyesters from poly(alkylene maleate)s for efficient local gene delivery.

    PubMed

    Yan, Huijie; Zhu, Dingcheng; Zhou, Zhuxian; Liu, Xin; Piao, Ying; Zhang, Zhen; Liu, Xiangrui; Tang, Jianbin; Shen, Youqing

    2018-03-30

    Cationic polymers are one of the main non-viral vectors for gene therapy, but their applications are hindered by the toxicity and inefficient transfection, particularly in the presence of serum or other biological fluids. While rational design based on the current understanding of gene delivery process has produced various cationic polymers with improved overall transfection, high-throughput parallel synthesis of libraries of cationic polymers seems a more effective strategy to screen out efficacious polymers. Herein, we demonstrate a novel platform for parallel synthesis of low cationic charge-density polyesters for efficient gene delivery. Unsaturated polyester poly(alkylene maleate) (PAM) readily underwent Michael-addition reactions with various mercaptamines to produce polyester backbones with pendant amine groups, poly(alkylene maleate mercaptamine)s (PAMAs). Variations of the alkylenes in the backbone and the mercaptamines on the side chain produced PAMAs with tunable hydrophobicity and DNA-condensation ability, the key parameters dominating transfection efficiency of the resulting polymer/DNA complexes (polyplexes). A semi-library of such PAMAs was exampled from 7 alkylenes and 18 mercaptamines, from which a lead PAMA, G-1, synthesized from poly(1,4-phenylene bis(methylene) maleate) and N,N-dimethylcysteamine, showed remarkable transfection efficiency even in the presence of serum, owing to its efficient lysosome-circumventing cellular uptake. Furthermore, G-1 polyplexes efficiently delivered the suicide gene pTRAIL to intraperitoneal tumors and elicited effective anticancer activity. Copyright © 2018 Elsevier Ltd. All rights reserved.

  3. Nuclear Photosynthetic Gene Expression Is Synergistically Modulated by Rates of Protein Synthesis in Chloroplasts and Mitochondria[W

    PubMed Central

    Pesaresi, Paolo; Masiero, Simona; Eubel, Holger; Braun, Hans-Peter; Bhushan, Shashi; Glaser, Elzbieta; Salamini, Francesco; Leister, Dario

    2006-01-01

    Arabidopsis thaliana mutants prors1-1 and -2 were identified on the basis of a decrease in effective photosystem II quantum yield. Mutations were localized to the 5′-untranslated region of the nuclear gene PROLYL-tRNA SYNTHETASE1 (PRORS1), which acts in both plastids and mitochondria. In prors1-1 and -2, PRORS1 expression is reduced, along with protein synthesis in both organelles. PRORS1 null alleles (prors1-3 and -4) result in embryo sac and embryo development arrest. In mutants with the leaky prors1-1 and -2 alleles, transcription of nuclear genes for proteins involved in photosynthetic light reactions is downregulated, whereas genes for other chloroplast proteins are upregulated. Downregulation of nuclear photosynthetic genes is not associated with a marked increase in the level of reactive oxygen species in leaves and persists in the dark, suggesting that the transcriptional response is light and photooxidative stress independent. The mrpl11 and prpl11 mutants are impaired in the mitochondrial and plastid ribosomal L11 proteins, respectively. The prpl11 mrpl11 double mutant, but neither of the single mutants, resulted in strong downregulation of nuclear photosynthetic genes, like that seen in leaky mutants for PRORS1, implying that, when organellar translation is perturbed, signals derived from both types of organelles cooperate in the regulation of nuclear photosynthetic gene expression. PMID:16517761

  4. Participation of fad and mbt Genes in Synthesis of Mycobactin in Mycobacterium smegmatis

    PubMed Central

    LaMarca, B. Babbette D.; Zhu, Wenming; Arceneaux, Jean E. L.; Rowe Byers, B.; Lundrigan, Michael D.

    2004-01-01

    Colonies of Mycobacterium smegmatis LR222 on iron-limiting (0.1 μM Fe) minimal medium agar fluoresce under UV light due to the accumulation in the cells of the deferri form of the siderophore mycobactin. Two mutants with little or no fluorescence, designated LUN8 and LUN9, were isolated by screening colonies of transposon (Tn611)-mutagenized M. smegmatis. Ferrimycobactin prepared from iron-restricted cells of the wild type had an Rf of 0.62 on high-performance thin-layer chromatography (HPTLC) and a characteristic visible absorption spectrum with a peak near 450 nm. Similar extracts from LUN8 cells contained a small amount of ferrimycobactin with an Rf of 0.58 on HPTLC and an absorption spectrum with the peak shifted to a wavelength lower than that of the wild-type ferrimycobactin. Nuclear magnetic resonance spectroscopy studies suggested that the LUN8 mycobactin may have an altered fatty acid side chain. Mutant strain LUN9 produced no detectable mycobactin. Neither mutant strain produced measurable amounts of excreted mycobactin, although both excreted exochelin (the mycobacterial peptido-hydroxamate siderophore), and both mutants were more sensitive than the wild-type strain to growth inhibition by the iron chelator ethylenediamine-di(o-hydroxyphenylacetic acid). The transposon insertion sites were identified, and sequence analyses of the cloned flanking chromosome regions showed that the mutated gene in LUN9 was an orthologue of the Mycobacterium tuberculosis mycobactin biosynthetic gene mbtE. The mutated gene in LUN8 had homology with M. tuberculosis fadD33 (Rv1345), a gene that may encode an acyl-coenzyme A synthase and which previously was not known to participate in synthesis of mycobactin. PMID:14702306

  5. Increasing platelet concentrations in leukocyte-reduced platelet-rich plasma decrease collagen gene synthesis in tendons.

    PubMed

    Boswell, Stacie G; Schnabel, Lauren V; Mohammed, Hussni O; Sundman, Emily A; Minas, Tom; Fortier, Lisa A

    2014-01-01

    Platelet-rich plasma (PRP) is used for the treatment of tendinopathy. There are numerous PRP preparations, and the optimal combination of platelets and leukocytes is not known. Within leukocyte-reduced PRP (lrPRP), there is a plateau effect of platelet concentration, with increasing platelet concentrations being detrimental to extracellular matrix synthesis. Controlled laboratory study. Different formulations of lrPRP with respect to the platelet:leukocyte ratio were generated from venous blood of 8 horses. Explants of the superficial digital flexor tendon were cultured in lrPRP products for 96 hours. Platelet-derived growth factor-BB (PDGF-BB), tumor necrosis factor-α (TNF-α), transforming growth factor-β1 (TGF-β1), and interleukin-1β (IL-1β) concentrations were determined in the media by enzyme-linked immunosorbent assay. Gene expression in tendon tissue for collagen type I and III (COL1A1 and COL3A1, respectively), matrix metalloproteinase-3 and -13 (MMP-3 and MMP-13, respectively), cartilage oligomeric matrix protein (COMP), and IL-1β was determined. Data were divided into 3 groups of lrPRP based on the ratio of platelets:leukocytes and evaluated to determine the effect of platelet concentration. Complete blood counts verified leukocyte reduction and platelet enrichment in all PRP preparations. In the lrPRP preparation, the anabolic growth factors PDGF-BB and TGF-β1 were increased with increasing platelet concentrations, and the catabolic cytokine IL-1β was decreased with increasing platelet concentrations. Increasing the platelet concentration resulted in a significant reduction in COL1A1 and COL3A1 synthesis in tendons. Increasing the platelet concentration within lrPRP preparations results in the delivery of more anabolic growth factors and less proinflammatory cytokines, but the biological effect on tendons is diminished metabolism as indicated by a decrease in the synthesis of both COL1A1 and COL3A1. Together, this information suggests that

  6. Homeobox genes and melatonin synthesis: regulatory roles of the cone-rod homeobox transcription factor in the rodent pineal gland.

    PubMed

    Rohde, Kristian; Møller, Morten; Rath, Martin Fredensborg

    2014-01-01

    Nocturnal synthesis of melatonin in the pineal gland is controlled by a circadian rhythm in arylalkylamine N-acetyltransferase (AANAT) enzyme activity. In the rodent, Aanat gene expression displays a marked circadian rhythm; release of norepinephrine in the gland at night causes a cAMP-based induction of Aanat transcription. However, additional transcriptional control mechanisms exist. Homeobox genes, which are generally known to encode transcription factors controlling developmental processes, are also expressed in the mature rodent pineal gland. Among these, the cone-rod homeobox (CRX) transcription factor is believed to control pineal-specific Aanat expression. Based on recent advances in our understanding of Crx in the rodent pineal gland, we here suggest that homeobox genes play a role in adult pineal physiology both by ensuring pineal-specific Aanat expression and by facilitating cAMP response element-based circadian melatonin production.

  7. Homeobox Genes and Melatonin Synthesis: Regulatory Roles of the Cone-Rod Homeobox Transcription Factor in the Rodent Pineal Gland

    PubMed Central

    Rath, Martin Fredensborg

    2014-01-01

    Nocturnal synthesis of melatonin in the pineal gland is controlled by a circadian rhythm in arylalkylamine N-acetyltransferase (AANAT) enzyme activity. In the rodent, Aanat gene expression displays a marked circadian rhythm; release of norepinephrine in the gland at night causes a cAMP-based induction of Aanat transcription. However, additional transcriptional control mechanisms exist. Homeobox genes, which are generally known to encode transcription factors controlling developmental processes, are also expressed in the mature rodent pineal gland. Among these, the cone-rod homeobox (CRX) transcription factor is believed to control pineal-specific Aanat expression. Based on recent advances in our understanding of Crx in the rodent pineal gland, we here suggest that homeobox genes play a role in adult pineal physiology both by ensuring pineal-specific Aanat expression and by facilitating cAMP response element-based circadian melatonin production. PMID:24877149

  8. Cinnamic acid 4-hydroxylase of sorghum [Sorghum biocolor (L.) Moench] gene SbC4H1 restricts lignin synthesis in Arabidopsis

    USDA-ARS?s Scientific Manuscript database

    Cinnamic acid 4-hydroxylase (C4H) is the first hydroxylase enzyme of the phenylpropanoid pathway, and its content and activity affects the lignin synthesis. In this study, we isolated a C4H gene SbC4H1 from the suppression subtractive hybridization library of brown midrib (bmr) mutants of Sorghum b...

  9. Fe2+ chelator proferrorosamine A: a gene cluster of Erwinia rhapontici P45 involved in its synthesis and its impact on growth of Erwinia amylovora CFBP1430.

    PubMed

    Born, Yannick; Remus-Emsermann, Mitja N P; Bieri, Marco; Kamber, Tim; Piel, Jörn; Pelludat, Cosima

    2016-02-01

    Proferrorosamine A (proFRA) is an iron (Fe2+) chelator produced by the opportunistic plant pathogen Erwinia rhapontici P45. To identify genes involved in proFRA synthesis, transposon mutagenesis was performed. The identified 9.3 kb gene cluster, comprising seven genes, designated rosA-rosG, encodes proteins that are involved in proFRA synthesis. Based on gene homologies, a biosynthetic pathway model for proFRA is proposed. To obtain a better understanding of the effect of proFRA on non-proFRA producing bacteria, E. rhapontici P45 was co-cultured with Erwinia amylovora CFBP1430, a fire-blight-causing plant pathogen. E. rhapontici P45, but not corresponding proFRA-negative mutants, led to a pink coloration of E. amylovora CFBP1430 colonies on King's B agar, indicating accumulation of the proFRA-iron complex ferrorosamine, and growth inhibition in vitro. By saturating proFRA-containing extracts with Fe2+, the inhibitory effect was neutralized, suggesting that the iron-chelating capability of proFRA is responsible for the growth inhibition of E. amylovora CFBP1430.

  10. Antiaging Gene Klotho Regulates Adrenal CYP11B2 Expression and Aldosterone Synthesis.

    PubMed

    Zhou, Xiaoli; Chen, Kai; Wang, Yongjun; Schuman, Mariano; Lei, Han; Sun, Zhongjie

    2016-06-01

    Deficiency of the antiaging gene Klotho (KL) induces renal damage and hypertension through unknown mechanisms. In this study, we assessed whether KL regulates expression of CYP11B2, a key rate-limiting enzyme in aldosterone synthesis, in adrenal glands. We found that haplodeficiency of KL(+/-) in mice increased the plasma level of aldosterone by 16 weeks of age, which coincided with spontaneous and persistent elevation of BP. Blockade of aldosterone actions by eplerenone reversed KL deficiency-induced hypertension and attenuated the kidney damage. Protein expression of CYP11B2 was upregulated in adrenal cortex of KL(+/-) mice. KL and CYP11B2 proteins colocalized in adrenal zona glomerulosa cells. Silencing of KL upregulated and overexpression of KL downregulated CYP11B2 expression in human adrenocortical cells. Notably, silencing of KL decreased expression of SF-1, a negative transcription factor of CYP11B2, but increased phosphorylation of ATF2, a positive transcription factor of CYP11B2, which may contribute to upregulation of CYP11B2 expression. Therefore, these results show that KL regulates adrenal CYP11B2 expression. KL deficiency-induced spontaneous hypertension and kidney damage may be partially attributed to the upregulation of CYP11B2 expression and aldosterone synthesis. Copyright © 2016 by the American Society of Nephrology.

  11. Down-regulation of collagen synthesis and matrix metalloproteinase expression in myofibroblasts from Dupuytren nodule using adenovirus-mediated relaxin gene therapy.

    PubMed

    Kang, Young-Mi; Choi, Yun-Rak; Yun, Chae-Ok; Park, Jin-Oh; Suk, Kyung-Soo; Kim, Hak-Sun; Park, Moon-Soo; Lee, Byung-Ho; Lee, Hwan-Mo; Moon, Seong-Hwan

    2014-04-01

    Dupuytren's disease is a fibroproliferative connective tissue disorder characterized by contracture of the palmer fascia of the hand. Relaxin (RLN) is a multifunctional factor which contributes to the remodeling of the pelvic ligament by inhibiting fibrosis and inflammatory activities. The aim of this study was to investigate the effect of the RLN gene on the inhibition of fibrosis in myofibroblastic cells. Myofibroblast cells with adenovirus LacZ (Ad-LacZ) as a marker gene or adenovirus relaxin (Ad-RLN) as therapeutic gene showed transgene expressions in beta-galactosidase assay and Western blot analysis. Myofibroblastic cells with Ad-RLN demonstrated a 22% and 48% reduction in collagen I and III mRNA expressions respectively, a 50% decrease in MMP-1, 70% decrease in MMP-2, 80% decrease in MMP-9, and a 15% reduction in MMP-13 protein expression compared with cultures with viral control and saline control. In addition, myofibroblastic cells with Ad-RLN showed a 40% decrease in TIMP 1 and a 15% increase in TIMP 3 protein expression at 48 h compared to cultures with viral control and saline control. Also, myofibroblastic cell with Ad-RLN demonstrated a 74% inhibition of fibronectin and a 52% decrease in total collagen synthesis at 48 h compared with cultures with viral control and saline control. In conclusion, the RLN gene render antifibrogenic effect on myofibroblastic cells from Dupuytren's nodule via direct inhibition of collagen synthesis not through collagenolytic pathway such as MMP-1, -13, TIMP 1, and 3. Therefore relaxin can be an alternative therapeutic strategy in initial stage of Dupuytren's disease by its antifibrogenic effect. © 2013 Orthopaedic Research Society. Published by Wiley Periodicals, Inc.

  12. Depletion of polycistronic transcripts using short interfering RNAs: cDNA synthesis method affects levels of non-targeted genes determined by quantitative PCR.

    PubMed

    Hanning, Jennifer E; Groves, Ian J; Pett, Mark R; Coleman, Nicholas

    2013-05-21

    Short interfering RNAs (siRNAs) are often used to deplete viral polycistronic transcripts, such as those encoded by human papillomavirus (HPV). There are conflicting data in the literature concerning how siRNAs targeting one HPV gene can affect levels of other genes in the polycistronic transcripts. We hypothesised that the conflict might be partly explained by the method of cDNA synthesis used prior to transcript quantification. We treated HPV16-positive cervical keratinocytes with siRNAs targeting the HPV16 E7 gene and used quantitative PCR to compare transcript levels of E7 with those of E6 and E2, viral genes located upstream and downstream of the target site respectively. We compared our findings from cDNA generated using oligo-dT primers alone with those from cDNA generated using a combination of random hexamer and oligo-dT primers. Our data show that when polycistronic transcripts are targeted by siRNAs, there is a period when untranslatable cleaved mRNA upstream of the siRNA binding site remains detectable by PCR, if cDNA is generated using random hexamer primers. Such false indications of mRNA abundance are avoided using oligo-dT primers. The period corresponds to the time taken for siRNA activity and degradation of the cleaved transcripts. Genes downstream of the siRNA binding site are detectable during this interval, regardless of how the cDNA is generated. These data emphasise the importance of the cDNA synthesis method used when measuring transcript abundance following siRNA depletion of polycistronic transcripts. They provide a partial explanation for erroneous reports suggesting that siRNAs targeting HPV E7 can have gene-specific effects.

  13. Depletion of polycistronic transcripts using short interfering RNAs: cDNA synthesis method affects levels of non-targeted genes determined by quantitative PCR

    PubMed Central

    2013-01-01

    Background Short interfering RNAs (siRNAs) are often used to deplete viral polycistronic transcripts, such as those encoded by human papillomavirus (HPV). There are conflicting data in the literature concerning how siRNAs targeting one HPV gene can affect levels of other genes in the polycistronic transcripts. We hypothesised that the conflict might be partly explained by the method of cDNA synthesis used prior to transcript quantification. Findings We treated HPV16-positive cervical keratinocytes with siRNAs targeting the HPV16 E7 gene and used quantitative PCR to compare transcript levels of E7 with those of E6 and E2, viral genes located upstream and downstream of the target site respectively. We compared our findings from cDNA generated using oligo-dT primers alone with those from cDNA generated using a combination of random hexamer and oligo-dT primers. Our data show that when polycistronic transcripts are targeted by siRNAs, there is a period when untranslatable cleaved mRNA upstream of the siRNA binding site remains detectable by PCR, if cDNA is generated using random hexamer primers. Such false indications of mRNA abundance are avoided using oligo-dT primers. The period corresponds to the time taken for siRNA activity and degradation of the cleaved transcripts. Genes downstream of the siRNA binding site are detectable during this interval, regardless of how the cDNA is generated. Conclusions These data emphasise the importance of the cDNA synthesis method used when measuring transcript abundance following siRNA depletion of polycistronic transcripts. They provide a partial explanation for erroneous reports suggesting that siRNAs targeting HPV E7 can have gene-specific effects. PMID:23693071

  14. Regulation of peroxisome proliferator-activated receptor gamma on milk fat synthesis in dairy cow mammary epithelial cells.

    PubMed

    Liu, Lili; Lin, Ye; Liu, Lixin; Wang, Lina; Bian, Yanjie; Gao, Xuejun; Li, Qingzhang

    2016-12-01

    Peroxisome proliferator-activated receptor gamma (PPARγ) participates in lipogenesis in rats, goats, and humans. However, the exact mechanism of PPARγ regulation on milk fat synthesis in dairy cow mammary epithelial cells (DCMECs) remains largely unexplored. The aim of this study was to investigate the role of PPARγ regarding milk fat synthesis in DCMECs and to ascertain whether milk fat precursor acetic acid and palmitic acid could interact with PPARγ signaling to regulate milk fat synthesis. For this study, we examined the effects of PPARγ overexpression and gene silencing on cell growth, triacylglycerol synthesis, and the messenger RNA (mRNA) and protein expression levels of genes involved in milk fat synthesis in DCMECs. In addition, we investigated the influences of acetic acid and palmitic acid on the mRNA and protein levels of milk lipogenic genes and triacylglycerol synthesis in DCMECs transfected with PPARγ small interfering RNA (siRNA) and PPARγ expression vector. The results showed that when PPARγ was silenced, cell viability, proliferation, and triacylglycerol secretion were obviously reduced. Gene silencing of PPARγ significantly downregulated the expression levels of milk fat synthesis-related genes in DCMECs. PPARγ overexpression improved cell viability, proliferation, and triacylglycerol secretion. The expression levels of milk lipogenic genes were significantly increased when PPARγ was overexpressed. Acetic acid and palmitic acid could markedly improve triacylglycerol synthesis and upregulate the expression levels of PPARγ and other lipogenic genes in DCMECs. These results suggest that PPARγ is a positive regulator of milk fat synthesis in DCMECs and that acetic acid and palmitic acid could partly regulate milk fat synthesis in DCMECs via PPARγ signaling.

  15. The Gcn4 transcription factor reduces protein synthesis capacity and extends yeast lifespan.

    PubMed

    Mittal, Nitish; Guimaraes, Joao C; Gross, Thomas; Schmidt, Alexander; Vina-Vilaseca, Arnau; Nedialkova, Danny D; Aeschimann, Florian; Leidel, Sebastian A; Spang, Anne; Zavolan, Mihaela

    2017-09-06

    In Saccharomyces cerevisiae, deletion of large ribosomal subunit protein-encoding genes increases the replicative lifespan in a Gcn4-dependent manner. However, how Gcn4, a key transcriptional activator of amino acid biosynthesis genes, increases lifespan, is unknown. Here we show that Gcn4 acts as a repressor of protein synthesis. By analyzing the messenger RNA and protein abundance, ribosome occupancy and protein synthesis rate in various yeast strains, we demonstrate that Gcn4 is sufficient to reduce protein synthesis and increase yeast lifespan. Chromatin immunoprecipitation reveals Gcn4 binding not only at genes that are activated, but also at genes, some encoding ribosomal proteins, that are repressed upon Gcn4 overexpression. The promoters of repressed genes contain Rap1 binding motifs. Our data suggest that Gcn4 is a central regulator of protein synthesis under multiple perturbations, including ribosomal protein gene deletions, calorie restriction, and rapamycin treatment, and provide an explanation for its role in longevity and stress response.The transcription factor Gcn4 is known to regulate yeast amino acid synthesis. Here, the authors show that Gcn4 also acts as a repressor of protein biosynthesis in a range of conditions that enhance yeast lifespan, such as ribosomal protein knockout, calorie restriction or mTOR inhibition.

  16. Identification of a cis-regulatory region of a gene in Arabidopsis thaliana whose induction by dehydration is mediated by abscisic acid and requires protein synthesis.

    PubMed

    Iwasaki, T; Yamaguchi-Shinozaki, K; Shinozaki, K

    1995-05-20

    In Arabidopsis thaliana, the induction of a dehydration-responsive gene, rd22, is mediated by abscisic acid (ABA) but the gene does not include any sequence corresponding to the consensus ABA-responsive element (ABRE), RYACGTGGYR, in its promoter region. The cis-regulatory region of the rd22 promoter was identified by monitoring the expression of beta-glucuronidase (GUS) activity in leaves of transgenic tobacco plants transformed with chimeric gene fusions constructed between 5'-deleted promoters of rd22 and the coding region of the GUS reporter gene. A 67-bp nucleotide fragment corresponding to positions -207 to -141 of the rd22 promoter conferred responsiveness to dehydration and ABA on a non-responsive promoter. The 67-bp fragment contains the sequences of the recognition sites for some transcription factors, such as MYC, MYB, and GT-1. The fact that accumulation of rd22 mRNA requires protein synthesis raises the possibility that the expression of rd22 might be regulated by one of these trans-acting protein factors whose de novo synthesis is induced by dehydration or ABA. Although the structure of the RD22 protein is very similar to that of a non-storage seed protein, USP, of Vicia faba, the expression of the GUS gene driven by the rd22 promoter in non-stressed transgenic Arabidopsis plants was found mainly in flowers and bolted stems rather than in seeds.

  17. Molecular characterization of dihydroneopterin aldolase and aminodeoxychorismate synthase in common bean-genes coding for enzymes in the folate synthesis pathway.

    PubMed

    Xie, Weilong; Perry, Gregory; Martin, C Joe; Shim, Youn-Seb; Navabi, Alireza; Pauls, K Peter

    2017-07-01

    Common beans (Phaseolus vulgaris) are excellent sources of dietary folates, but different varieties contain different amounts of these compounds. Genes coding for dihydroneopterin aldolase (DHNA) and aminodeoxychorismate synthase (ADCS) of the folate synthesis pathway were characterized by PCR amplification, BAC clone sequencing, and whole genome sequencing. All DHNA and ADCS genes in the Mesoamerican cultivar OAC Rex were isolated and compared with those genes in the genome of Andean genotype G19833. Both genotypes have two functional DHNA genes and one pseudo gene. PvDHNA1 and PvDHNA2 proteins have similar secondary structures and conserved residues as DHNA homologs in Staphylococcus aureus and Arabidopsis. Sequence analysis and synteny mapping indicated that PvDHNA1 might be a duplicated and transposed copy of PvDHNA2. There is only one ADCS gene (PvADCS) identified in the bean genome and it is identical in OAC Rex and G19833. PvADCS has the conserved motifs required for catalytic activity similar to other plant ADCS homologs. DHNA and ADCS gene-specific markers were developed, mapped, and compared to their physical locations on chromosomes 1 and 7, respectively. The gene-specific markers developed in this study should be useful for detection and selection of varieties with enhanced folate contents in bean breeding programs.

  18. Organization of the capsule biosynthesis gene locus of the oral streptococcus Streptococcus anginosus.

    PubMed

    Tsunashima, Hiroyuki; Miyake, Katsuhide; Motono, Makoto; Iijima, Shinji

    2012-03-01

    The capsular polysaccharide (CPS) of the important oral streptococcus Streptococcus anginosus, which causes endocarditis, and the genes for its synthesis have not been clarified. In this study, we investigated the gene locus required for CPS synthesis in S. anginosus. Southern hybridization using the cpsE gene of the well-characterized bacterium S. agalactiae revealed that there is a similar gene in the genome of S. anginosus. By using the colony hybridization technique and inverse PCR, we isolated the CPS synthesis (cps) genes of S. anginosus. This gene cluster consisted of genes containing typical regulatory genes, cpsA-D, and glycosyltransferase genes coding for glucose, rhamnose, N-acetylgalactosamine, and galactofuranose transferases. Furthermore, we confirmed that the cps locus is required for CPS synthesis using a mutant strain with a defective cpsE gene. The cps cluster was found to be located downstream the nrdG gene, which encodes ribonucleoside triphosphate reductase activator, as is the case in other oral streptococci such as S. gordonii and S. sanguinis. However, the location of the gene cluster was different from those of S. pneumonia and S. agalactiae. Copyright © 2011 The Society for Biotechnology, Japan. Published by Elsevier B.V. All rights reserved.

  19. Folate depletion changes gene expression of fatty acid metabolism, DNA synthesis, and circadian cycle in male mice.

    PubMed

    Champier, Jacques; Claustrat, Francine; Nazaret, Nicolas; Fèvre Montange, Michelle; Claustrat, Bruno

    2012-02-01

    Folate is essential for purine and thymidylate biosynthesis and in methyl transfer for DNA methylation. Folate deficiency alters the secretion of melatonin, a hormone involved in circadian rhythm entrainment, and causes hyperhomocysteinemia because of disruption of homocysteine metabolism. Adverse effects of homocysteine include the generation of free radicals, activation of proliferation or apoptosis, and alteration of gene expression. The liver is an important organ for folate metabolism, and its genome analysis has revealed numerous clock-regulated genes. The variations at the level of their expression during folate deficiency are not known. The aim of our study was to investigate the effects of folate deficiency on gene expression in the mouse liver. A control group receiving a synthetic diet and a folate-depleted group were housed for 4 weeks on a 12-hour/12-hour light/dark cycle. Three mice from each group were euthanized under dim red light at the beginning of the light cycle, and 3, at the beginning of the dark period. Gene expression was studied in a microarray analysis. Of the 53 genes showing modified daily expression in the controls, 52 showed a less marked or no difference after folate depletion. Only 1, lpin1, showed a more marked difference. Ten genes coding for proteins involved in lipid metabolism did not show a morning/evening difference in controls but did after folate depletion. This study shows that, in the mouse liver, dietary folate depletion leads to major changes in expression of several genes involved in fatty acid metabolism, DNA synthesis, and expression of circadian genes. Copyright © 2012 Elsevier Inc. All rights reserved.

  20. Increased Production of Fatty Acids and Triglycerides in Aspergillus oryzae by Enhancing Expressions of Fatty Acid Synthesis-Related Genes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tamano, Koichi; Bruno, Kenneth S.; Karagiosis, Sue A.

    2013-01-01

    Microbial production of fats and oils is being developedas a means of converting biomass to biofuels. Here we investigate enhancing expression of enzymes involved in the production of fatty acids and triglycerides as a means to increase production of these compounds in Aspergillusoryzae. Examination of the A.oryzaegenome demonstrates that it contains twofatty acid synthases and several other genes that are predicted to be part of this biosynthetic pathway. We enhancedthe expressionof fatty acid synthesis-related genes by replacing their promoters with thepromoter fromthe constitutively highly expressedgene tef1. We demonstrate that by simply increasing the expression of the fatty acid synthasegenes wemore » successfullyincreasedtheproduction of fatty acids and triglyceridesby more than two fold. Enhancement of expression of the fatty acid pathway genes ATP-citrate lyase and palmitoyl-ACP thioesteraseincreasedproductivity to a lesser extent.Increasing expression ofacetyl-CoA carboxylase caused no detectable change in fatty acid levels. Increases in message level for each gene were monitored usingquantitative real-time RT-PCR. Our data demonstrates that a simple increase in the abundance of fatty acid synthase genes can increase the detectable amount of fatty acids.« less

  1. Transcriptome Analysis of Dendrobium officinale and its Application to the Identification of Genes Associated with Polysaccharide Synthesis

    PubMed Central

    Zhang, Jianxia; He, Chunmei; Wu, Kunlin; Teixeira da Silva, Jaime A.; Zeng, Songjun; Zhang, Xinhua; Yu, Zhenming; Xia, Haoqiang; Duan, Jun

    2016-01-01

    Dendrobium officinale is one of the most important Chinese medicinal herbs. Polysaccharides are one of the main active ingredients of D. officinale. To identify the genes that maybe related to polysaccharides synthesis, two cDNA libraries were prepared from juvenile and adult D. officinale, and were named Dendrobium-1 and Dendrobium-2, respectively. Illumina sequencing for Dendrobium-1 generated 102 million high quality reads that were assembled into 93,881 unigenes with an average sequence length of 790 base pairs. The sequencing for Dendrobium-2 generated 86 million reads that were assembled into 114,098 unigenes with an average sequence length of 695 base pairs. Two transcriptome databases were integrated and assembled into a total of 145,791 unigenes. Among them, 17,281 unigenes were assigned to 126 KEGG pathways while 135 unigenes were involved in fructose and mannose metabolism. Gene Ontology analysis revealed that the majority of genes were associated with metabolic and cellular processes. Furthermore, 430 glycosyltransferase and 89 cellulose synthase genes were identified. Comparative analysis of both transcriptome databases revealed a total of 32,794 differential expression genes (DEGs), including 22,051 up-regulated and 10,743 down-regulated genes in Dendrobium-2 compared to Dendrobium-1. Furthermore, a total of 1142 and 7918 unigenes showed unique expression in Dendrobium-1 and Dendrobium-2, respectively. These DEGs were mainly correlated with metabolic pathways and the biosynthesis of secondary metabolites. In addition, 170 DEGs belonged to glycosyltransferase genes, 37 DEGs were related to cellulose synthase genes and 627 DEGs encoded transcription factors. This study substantially expands the transcriptome information for D. officinale and provides valuable clues for identifying candidate genes involved in polysaccharide biosynthesis and elucidating the mechanism of polysaccharide biosynthesis. PMID:26904032

  2. Opposite effects on regulation of urea synthesis by early and late uraemia in rats.

    PubMed

    Nielsen, Susanne Schouw; Grøfte, Thorbjørn; Grønbaek, Henning; Tygstrup, Niels; Vilstrup, Hendrik

    2007-04-01

    Acute and chronic kidney failure lead to catabolism with loss of lean body mass. Up-regulation of hepatic urea synthesis may play a role for the loss of body nitrogen and for the level of uraemia. The aims were to investigate the effects of early and late experimental renal failure on the regulation of hepatic urea synthesis and the expression of urea cycle enzyme genes in the liver. We examined the in vivo capacity of urea nitrogen synthesis, mRNA levels of urea cycle enzyme genes, and N-balances 6 days and 21 days after 5/6th partial nephrectomy in rats, and compared these data with pair- and free-fed control animals. Compared with pair-fed animals, early uraemia halved the in vivo urea synthesis capacity and decreased urea gene expressions (P<0.05). In contrast, late uraemia up-regulated in vivo urea synthesis and expression of all urea genes (P<0.05), save that of the flux-generating enzyme carbamoyl phosphate synthetase. The N-balance in rats with early uraemia was markedly negative (P<0.05) and near zero in late uraemia. Early uraemia down-regulated urea synthesis, so hepatic ureagenesis was not in itself involved in the negative N-balance. In contrast, late uraemia up-regulated urea synthesis, which probably contributed towards the reduced N-balance of this condition. These time-dependent, opposite effects on the uraemia-induced regulation of urea synthesis in vivo were not related to food restriction and probably mostly reflected regulation on gene level.

  3. Pimelic acid, the first precursor of the Bacillus subtilis biotin synthesis pathway, exists as the free acid and is assembled by fatty acid synthesis.

    PubMed

    Manandhar, Miglena; Cronan, John E

    2017-05-01

    Biotin synthetic pathways are readily separated into two stages, synthesis of the seven carbon α, ω-dicarboxylic acid pimelate moiety and assembly of the fused heterocyclic rings. The biotin pathway genes responsible for pimelate moiety synthesis vary widely among bacteria whereas the ring synthesis genes are highly conserved. Bacillus subtilis seems to have redundant genes, bioI and bioW, for generation of the pimelate intermediate. Largely consistent with previous genetic studies it was found that deletion of bioW caused a biotin auxotrophic phenotype whereas deletion of bioI did not. BioW is a pimeloyl-CoA synthetase that converts pimelic acid to pimeloyl-CoA. The essentiality of BioW for biotin synthesis indicates that the free form of pimelic acid is an intermediate in biotin synthesis although this is not the case in E. coli. Since the origin of pimelic acid in Bacillus subtilis is unknown, 13 C-NMR studies were carried out to decipher the pathway for its generation. The data provided evidence for the role of free pimelate in biotin synthesis and the involvement of fatty acid synthesis in pimelate production. Cerulenin, an inhibitor of the key fatty acid elongation enzyme, FabF, markedly decreased biotin production by B. subtilis resting cells whereas a strain having a cerulenin-resistant FabF mutant produced more biotin. In addition, supplementation with pimelic acid fully restored biotin production in cerulenin-treated cells. These results indicate that pimelic acid originating from fatty acid synthesis pathway is a bona fide precursor of biotin in B. subtilis. © 2017 John Wiley & Sons Ltd.

  4. Defects in cholesterol synthesis genes in mouse and in humans: lessons for drug development and safer treatments.

    PubMed

    Horvat, Simon; McWhir, Jim; Rozman, Damjana

    2011-02-01

    This review describes the mouse knockout models of cholesterol synthesis, together with human malformations and drugs that target cholesterogenic enzymes. Generally, the sooner a gene acts in cholesterol synthesis, the earlier the phenotype occurs. Humans with loss of function of early cholesterogenic enzymes have not yet been described, and in the mouse, loss of Hmgcr is preimplantation lethal. Together, these results indicate that the widely prescribed cholesterol-lowering statins are potentially teratogenic. The Mvk knockout is early embryonic lethal in the mouse, the absence of Fdft1 is lethal at E9.5-12.5 dpc, while the Cyp51 knockouts die at 15.0 dpc. Fungal CYP51 inhibitor azoles are teratogenic in humans, potentially leading to symptoms of Antley-Bixler syndrome. The X-linked mutations in Nsdhl and Ebp are embryonic lethal in male mice, while heterozygous females are also affected. Consequently, the anticancer drugs, tamoxifen and toremifene, inhibiting human EBP, may be harmful in early pregnancy. The Dhcr7 and Dhcr24 knockout mice die shortly after birth, while humans survive with Smith-Lemli-Opitz syndrome or desmosterolosis. Since cholesterol is essential for hedgehog signaling, disturbance of this pathway by antipsychotics and -depressants explains some drug side effects. In conclusion, defects in cholesterol synthesis are generally lethal in mice, while humans with impaired later steps of the pathway can survive with severe malformations. Evidence shows that drugs targeting or, by coincidence, inhibiting human cholesterol synthesis are better avoided in early pregnancy. Since some drugs with teratogenic potential still stay on the market, this should be avoided in new cholesterol-related drug development.

  5. The effect of heat stress on gene expression, synthesis of steroids, and apoptosis in bovine granulosa cells.

    PubMed

    Li, Lian; Wu, Jie; Luo, Man; Sun, Yu; Wang, Genlin

    2016-05-01

    Summer heat stress (HS) is a major contributing factor in low fertility in lactating dairy cows in hot environments. Heat stress inhibits ovarian follicular development leading to diminished reproductive efficiency of dairy cows during summer. Ovarian follicle development is a complex process. During follicle development, granulosa cells (GCs) replicate, secrete hormones, and support the growth of the oocyte. To obtain an overview of the effects of heat stress on GCs, digital gene expression profiling was employed to screen and identify differentially expressed genes (DEGs; false discovery rate (FDR) ≤ 0.001, fold change ≥2) of cultured GCs during heat stress. A total of 1211 DEGs including 175 upregulated and 1036 downregulated ones were identified, of which DEGs can be classified into Gene Ontology (GO) categories and Kyoto Encyclopedia of Genes and Genomes (KEGG) pathways. The results suggested that heat stress triggers a dramatic and complex program of altered gene expression in GCs. We hypothesized that heat stress could induce the apoptosis and dysfunction of GCs. Real-time reverse transcription-polymerase chain reaction (RT-PCR) was used to evaluate the expression of steroidogenic genes (steroidogenic acute regulatory protein (Star), cytochrome P-450 (CYP11A1), CYP19A1, and steroidogenic factor 1 (SF-1)) and apoptosis-related genes (caspase-3, BCL-2, and BAX). Radio immunoassay (RIA) was used to analyze the level of 17β-estradiol (E2) and progesterone (P4). We also assessed the apoptosis of GCs by flow cytometry. Our data suggested that heat stress induced GC apoptosis through the BAX/BCL-2 pathway and reduced the steroidogenic gene messenger RNA (mRNA) expression and E2 synthesis. These results suggest that the decreased function of GCs may cause ovarian dysfunction and offer an improved understanding of the molecular mechanism responsible for the low fertility in cattle in summer.

  6. Additive roles of two TPS genes in trehalose synthesis, conidiation, multiple stress responses and host infection of a fungal insect pathogen.

    PubMed

    Wang, Juan-Juan; Cai, Qing; Qiu, Lei; Ying, Sheng-Hua; Feng, Ming-Guang

    2017-05-01

    Intracellular trehalose accumulation is relevant to fungal life and pathogenicity. Trehalose-6-phosphate synthase (TPS) is known to control the first step of trehalose synthesis, but functions of multiple TPS genes in some filamentous fungi are variable. Here, we examined the functions of two TPS genes (tpsA and tpsB) in Beauveria bassiana, a fungal insect pathogen widely applied in arthropod pest control. Intracellular TPS activity and trehalose content decreased by 71-75 and 72-80% in ΔtpsA, and 21-30 and 15-45% in ΔtpsB, respectively, and to undetectable levels in ΔtpsAΔtpsB, under normal and stressful conditions. The three mutants lost 33, 50, and 98% of conidiation capacity in standard cultures. Conidial quality indicated by viability, density, intracellular trehalose content, cell wall integrity, and hydrophobicity was more impaired in ΔtpsA than in ΔtpsB and mostly in ΔtpsAΔtpsB, which was also most sensitive to nutritional, chemical, and environmental stresses and least virulent to Galleria mellonella larvae. Almost all of phenotypic defects in ΔtpsAΔtpsB approached to the sums of those observed in ΔtpsA and ΔtpsB and were restored by targeted gene complementation. Altogether, TpsA and TpsB play complementary roles in sustaining trehalose synthesis, conidiation capacity, conidial quality, multiple stress tolerance, and virulence, highlighting a significance of both for the fungal adaptation to environment and host.

  7. The pbrB gene encodes a laccase required for DHN-melanin synthesis in conidia of Talaromyces (Penicillium) marneffei.

    PubMed

    Sapmak, Ariya; Boyce, Kylie J; Andrianopoulos, Alex; Vanittanakom, Nongnuch

    2015-01-01

    Talaromyces marneffei (Basionym: Penicillium marneffei) is a significant opportunistic fungal pathogen in patients infected with human immunodeficiency virus in Southeast Asia. T. marneffei cells have been shown to become melanized in vivo. Melanins are pigment biopolymers which act as a non-specific protectant against various stressors and which play an important role during virulence in fungi. The synthesis of the two most commonly found melanins in fungi, the eumelanin DOPA-melanin and the allomelanin DHN-melanin, requires the action of laccase enzymes. The T. marneffei genome encodes a number of laccases and this study describes the characterization of one of these, pbrB, during growth and development. A strain carrying a PbrB-GFP fusion shows that pbrB is expressed at high levels during asexual development (conidiation) but not in cells growing vegetatively. The pbrB gene is required for the synthesis of DHN-melanin in conidia and when deleted results in brown pigmented conidia, in contrast to the green conidia of the wild type.

  8. Winter flounder antifreeze protein genes: demonstration of a cold-inducible promoter and gene transfer to other species

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang, R.C.; Gourlie, B.; Price, J.

    1987-05-01

    During the late fall and winter, the winter flounder produces a family of unique antifreeze proteins (AFP) to prevent the lethal formation of ice crystals in its blood. They have been able to induce winter flounder AFP mRNA synthesis in vivo by lowering the ambient temperature of the fish from 18/sup 0/C in the summer months when AFP synthesis is at a minimum to 4/sup 0/C. Furthermore, they have demonstrated and thoroughly investigated this cold induction of AFP mRNA synthesis in vitro in isolated liver tissue and in nuclear preparations isolated from liver tissue. A drug selection vector (pRSV/sub gpt/)more » which uses RSV promoter for the expression of xanthine-guanine phosphoribosyltransferase (gpt) gene and contains an AFP gene and 1.7 kb of its 5' upstream control region has been constructed for studies of gene transfer into cells of other fish species. These studies were made using a variety of gene transfer techniques into tissue culture cell lines derived from rainbow trout, bluegill, and salmon. Drug resistant colonies from all three species have been obtained and the presence of AFP DNA has been positively identified by Southern analysis. In addition, Northern blot analysis has shown that both gpt gene and AFP gene are active in these cells since mRNA/sub gpt/ and mRNA/sub AFP/ can be detected by probing with the respective gene sequences.« less

  9. Selective protein extraction from Chlorobium tepidum chlorosomes using detergents. Evidence that CsmA forms multimers and binds bacteriochlorophyll a.

    PubMed

    Bryant, Donald A; Vassilieva, Elena V; Frigaard, Niels-Ulrik; Li, Hui

    2002-12-03

    Chlorosomes of the photosynthetic green sulfur bacterium Chlorobium tepidum consist of bacteriochlorophyll (BChl) c aggregates that are surrounded by a lipid-protein monolayer envelope that contains ten different proteins. Chlorosomes also contain a small amount of BChl a, but the organization and location of this BChl a are not yet clearly understood. Chlorosomes were treated with sodium dodecyl sulfate (SDS), Lubrol PX, or Triton X-100, separately or in combination with 1-hexanol, and the extracted components were separated from the residual chlorosomes by ultrafiltration on centrifugal filters. When chlorosomes were treated with low concentrations of SDS, all proteins except CsmA were extracted. However, this treatment did not significantly alter the size and shape of the chlorosomes, did not extract the BChl a, and caused only minor changes in the absorption spectrum of the chlorosomes. Cross-linking studies with SDS-treated chlorosomes revealed the presence of multimers of the major chlorosome protein, CsmA, up to homooctamers. Extraction of chlorosomes with SDS and 1-hexanol solubilized all ten chlorosome envelope proteins as well as BChl a. Although the size and shape of these extracted chlorosomes did not initially differ significantly from untreated chlorosomes, the extracted chlorosomes gradually disintegrated, and rod-shaped BChl c aggregates were sometimes observed. These results strongly suggest that CsmA binds the BChl a in Chlorobium-type chlorosomes and further indicate that none of the nine other chlorosome envelope proteins are absolutely required for maintaining the shape and integrity of chlorosomes. Quantitative estimates suggest that chlorosomes contain approximately equimolar amounts of CsmA and BChl a and that roughly one-third of the surface of the chlorosome is covered by CsmA.

  10. [Gene deletion and functional analysis of the heptyl glycosyltransferase (waaF) gene in Vibrio parahemolyticus O-antigen cluster].

    PubMed

    Zhao, Feng; Meng, Songsong; Zhou, Deqing

    2016-02-04

    To construct heptyl glycosyltransferase gene II (waaF) gene deletion mutant of Vibrio parahaemolyticus, and explore the function of the waaF gene in Vibrio parahaemolyticus. The waaF gene deletion mutant was constructed by chitin-based transformation technology using clinical isolates, and then the growth rate, morphology and serotypes were identified. The different sources (O3, O5 and O10) waaF gene complementations were constructed through E. coli S17λpir strains conjugative transferring with Vibrio parahaemolyticus, and the function of the waaF gene was further verified by serotypes. The waaF gene deletion mutant strain was successfully constructed and it grew normally. The growth rate and morphology of mutant were similar with the wild type strains (WT), but the mutant could not occurred agglutination reaction with O antisera. The O3 and O5 sources waaF gene complementations occurred agglutination reaction with O antisera, but the O10 sources waaF gene complementations was not. The waaF gene was related with O-antigen synthesis and it was the key gene of O-antigen synthesis pathway in Vibrio parahaemolyticus. The function of different sources waaF gene were not the same.

  11. Genes and Gut Bacteria Involved in Luminal Butyrate Reduction Caused by Diet and Loperamide.

    PubMed

    Hwang, Nakwon; Eom, Taekil; Gupta, Sachin K; Jeong, Seong-Yeop; Jeong, Do-Youn; Kim, Yong Sung; Lee, Ji-Hoon; Sadowsky, Michael J; Unno, Tatsuya

    2017-11-28

    Unbalanced dietary habits and gut dysmotility are causative factors in metabolic and functional gut disorders, including obesity, diabetes, and constipation. Reduction in luminal butyrate synthesis is known to be associated with gut dysbioses, and studies have suggested that restoring butyrate formation in the colon may improve gut health. In contrast, shifts in different types of gut microbiota may inhibit luminal butyrate synthesis, requiring different treatments to restore colonic bacterial butyrate synthesis. We investigated the influence of high-fat diets (HFD) and low-fiber diets (LFD), and loperamide (LPM) administration, on key bacteria and genes involved in reduction of butyrate synthesis in mice. MiSeq-based microbiota analysis and HiSeq-based differential gene analysis indicated that different types of bacteria and genes were involved in butyrate metabolism in each treatment. Dietary modulation depleted butyrate kinase and phosphate butyryl transferase by decreasing members of the Bacteroidales and Parabacteroides . The HFD also depleted genes involved in succinate synthesis by decreasing Lactobacillus . The LFD and LPM treatments depleted genes involved in crotonoyl-CoA synthesis by decreasing Roseburia and Oscilllibacter . Taken together, our results suggest that different types of bacteria and genes were involved in gut dysbiosis, and that selected treatments may be needed depending on the cause of gut dysfunction.

  12. Association Mapping of Cell Wall Synthesis Regulatory Genes and Cell Wall Quality in Switchgrass

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bartley, Laura; Wu, Y.; Zhu, L.

    . These markers might be used to select switchgrass genotypes with improved composition in breeding programs for biofuel and forage production. Because the SSAC continues to be characterized by collaborators in the bioenergy community, the data generated will be used to identify additional markers in higher resolution genotyping data to approach identifying the genes and alleles that cause natural variation in switchgrass cell wall quality. For example, these markers can be surveyed in the 2100-member Oklahoma Southern and Northern Lowland switchgrass collections that this project also characterized. An orthogonal approach to biodiversity studies, using comparative functional genomics permits systematic querying of how much regulatory information is likely to be transferable from dicots to grasses and use of accumulated functional genomics resources for better-characterized grass species, such as rice, itself a biomass source in global agriculture and in certain regions. The project generated and tested a number of specific hypotheses regarding cell wall transcription factors and enzymes of grasses. To aid identification of cell wall regulators, the project assembled a novel, highdepth and -quality gene association network using a general linearized model scoring system to combine rice gene network data. Using known or putative orthologs of Arabidopsis cell wall biosynthesis genes and regulators, the project pulled from this network a cell wall sub-network that includes 96 transcription factors. Reverse genetics of a co-ortholog of the Arabidopsis MYB61 transcription factor in rice revealed that this regulatory node has evolved the ability to regulate grass-specific cell wall synthesis enzymes. A transcription factor with such activity has not been previously characterized to our knowledge, representing a major conclusion of this work. Changes in gene expression in a protoplast-based assay demonstrated positive or negative roles in cell wall regulation for eleven other

  13. In vivo synthesis of nano-selenium by Tetrahymena thermophila SB210.

    PubMed

    Cui, Yin-Hua; Li, Ling-Li; Zhou, Nan-Qing; Liu, Jing-Hua; Huang, Qing; Wang, Hui-Juan; Tian, Jie; Yu, Han-Qing

    2016-12-01

    Nano-selenium has a great potential to be used in chemical, biological, medical and environmental fields. Biological methods for nano-selenium synthesis have attracted wide interests, because they can be operated at ambient temperature and pressure without complicated equipments. In this work, a protozoa, Tetrahymena thermophila (T. thermophila) SB210, was used to in vivo synthesize nano-selenium. The biosynthesized nano-selenium was characterized using transmission electron microscopy, energy dispersive X-ray spectroscopy and Raman spectroscopy. The synthesized amorphous spherical selenium nanoparticles had diameters of 50-500nm with the coexistence of irregular nano-selenium. The expressions of glutathione (GSH) synthesis related gene glutathione synthase, cysteine-rich protein metallothionein related gene metallothionein-1 and [2Fe-2S] cluster-binding protein related gene were up-regulated in the nano-selenium producing group. Also, the subsequent GSH detection and in vitro synthesis experimental results suggest the three proteins were likely to be involved in the nano-selenium synthesis process. Copyright © 2016 Elsevier Inc. All rights reserved.

  14. Effects of glucose availability on expression of the key genes involved in synthesis of milk fat, lactose and glucose metabolism in bovine mammary epithelial cells.

    PubMed

    Liu, Hongyun; Zhao, Ke; Liu, Jianxin

    2013-01-01

    As the main precursor for lactose synthesis, large amounts of glucose are required by lactating dairy cows. Milk yield greatly depends on mammary lactose synthesis due to its osmoregulatory property for mammary uptake of water. Thus, glucose availability to the mammary gland could be a potential regulator of milk production. In the present study, the effect of glucose availability on expression of the key genes involved in synthesis of milk fat, lactose and glucose metabolism in vitro was investigated. Bovine mammary epithelial cells (BMEC) were treated for 12 h with various concentrations of glucose (2.5, 5, 10 or 20 mmol/L). The higher concentrations of glucose (10-20 mmol/L) did not affect the mRNA expression of acetyl-CoA carboxylase, diacyl glycerol acyl transferase, glycerol-3 phosphate acyl transferase and α-lactalbumin, whereas fatty acid synthase, sterol regulatory element binding protein-1 and beta-1, 4-galactosyl transferase mRNA expression increased at 10 mmol/L and then decreased at 20 mmol/L. The content of lactose synthase increased with increasing concentration of glucose, with addition of highest value at 20 mmol/L of glucose. Moreover, the increased glucose concentration stimulated the activities of pyruvate kinase and glucose-6-phosphate dehydrogenase, and elevated the energy status of the BMEC. Therefore, it was deduced that after increasing glucose availability, the extra absorbed glucose was partitioned to entering the synthesis of milk fat and lactose by the regulation of the mRNA expression of key genes, promoting glucose metabolism by glycolysis and pentose phosphate pathway as well as energy status. These results indicated that the sufficient availability of glucose in BMEC may promote glucose metabolism, and affect the synthesis of milk composition.

  15. Comprehensive analysis of gene expression patterns in Friedreich's ataxia fibroblasts by RNA sequencing reveals altered levels of protein synthesis factors and solute carriers

    PubMed Central

    Li, Yanjie; Lu, Yue; Lin, Kevin; Hauser, Lauren A.; Lynch, David R.

    2017-01-01

    ABSTRACT Friedreich's ataxia (FRDA) is an autosomal recessive neurodegenerative disease usually caused by large homozygous expansions of GAA repeat sequences in intron 1 of the frataxin (FXN) gene. FRDA patients homozygous for GAA expansions have low FXN mRNA and protein levels when compared with heterozygous carriers or healthy controls. Frataxin is a mitochondrial protein involved in iron–sulfur cluster synthesis, and many FRDA phenotypes result from deficiencies in cellular metabolism due to lowered expression of FXN. Presently, there is no effective treatment for FRDA, and biomarkers to measure therapeutic trial outcomes and/or to gauge disease progression are lacking. Peripheral tissues, including blood cells, buccal cells and skin fibroblasts, can readily be isolated from FRDA patients and used to define molecular hallmarks of disease pathogenesis. For instance, FXN mRNA and protein levels as well as FXN GAA-repeat tract lengths are routinely determined using all of these cell types. However, because these tissues are not directly involved in disease pathogenesis, their relevance as models of the molecular aspects of the disease is yet to be decided. Herein, we conducted unbiased RNA sequencing to profile the transcriptomes of fibroblast cell lines derived from 18 FRDA patients and 17 unaffected control individuals. Bioinformatic analyses revealed significantly upregulated expression of genes encoding plasma membrane solute carrier proteins in FRDA fibroblasts. Conversely, the expression of genes encoding accessory factors and enzymes involved in cytoplasmic and mitochondrial protein synthesis was consistently decreased in FRDA fibroblasts. Finally, comparison of genes differentially expressed in FRDA fibroblasts to three previously published gene expression signatures defined for FRDA blood cells showed substantial overlap between the independent datasets, including correspondingly deficient expression of antioxidant defense genes. Together, these results

  16. A monogalactosyldiacylglycerol synthase found in the green sulfur bacterium Chlorobaculum tepidum reveals important roles for galactolipids in photosynthesis.

    PubMed

    Masuda, Shinji; Harada, Jiro; Yokono, Makio; Yuzawa, Yuichi; Shimojima, Mie; Murofushi, Kazuhiro; Tanaka, Hironori; Masuda, Hanako; Murakawa, Masato; Haraguchi, Tsuyoshi; Kondo, Maki; Nishimura, Mikio; Yuasa, Hideya; Noguchi, Masato; Oh-Oka, Hirozo; Tanaka, Ayumi; Tamiaki, Hitoshi; Ohta, Hiroyuki

    2011-07-01

    Monogalactosyldiacylglycerol (MGDG), which is conserved in almost all photosynthetic organisms, is the most abundant natural polar lipid on Earth. In plants, MGDG is highly accumulated in the chloroplast membranes and is an important bulk constituent of thylakoid membranes. However, precise functions of MGDG in photosynthesis have not been well understood. Here, we report a novel MGDG synthase from the green sulfur bacterium Chlorobaculum tepidum. This enzyme, MgdA, catalyzes MGDG synthesis using UDP-Gal as a substrate. The gene encoding MgdA was essential for this bacterium; only heterozygous mgdA mutants could be isolated. An mgdA knockdown mutation affected in vivo assembly of bacteriochlorophyll c aggregates, suggesting the involvement of MGDG in the construction of the light-harvesting complex called chlorosome. These results indicate that MGDG biosynthesis has been independently established in each photosynthetic organism to perform photosynthesis under different environmental conditions. We complemented an Arabidopsis thaliana MGDG synthase mutant by heterologous expression of MgdA. The complemented plants showed almost normal levels of MGDG, although they also had abnormal morphological phenotypes, including reduced chlorophyll content, no apical dominance in shoot growth, atypical flower development, and infertility. These observations provide new insights regarding the importance of regulated MGDG synthesis in the physiology of higher plants.

  17. A Potential Benefit of Albinism in Astyanax Cavefish: Downregulation of the oca2 Gene Increases Tyrosine and Catecholamine Levels as an Alternative to Melanin Synthesis

    PubMed Central

    Parkhurst, Amy; Jeffery, William R.

    2013-01-01

    Albinism, the loss of melanin pigmentation, has evolved in a diverse variety of cave animals but the responsible evolutionary mechanisms are unknown. In Astyanax mexicanus, which has a pigmented surface dwelling form (surface fish) and several albino cave-dwelling forms (cavefish), albinism is caused by loss of function mutations in the oca2 gene, which operates during the first step of the melanin synthesis pathway. In addition to albinism, cavefish have evolved differences in behavior, including feeding and sleep, which are under the control of the catecholamine system. The catecholamine and melanin synthesis pathways diverge after beginning with the same substrate, L-tyrosine. Here we describe a novel relationship between the catecholamine and melanin synthesis pathways in Astyanax. Our results show significant increases in L-tyrosine, dopamine, and norepinephrine in pre-feeding larvae and adult brains of Pachón cavefish relative to surface fish. In addition, norepinephrine is elevated in cavefish adult kidneys, which contain the teleost homologs of catecholamine synthesizing adrenal cells. We further show that the oca2 gene is expressed during surface fish development but is downregulated in cavefish embryos. A key finding is that knockdown of oca2 expression in surface fish embryos delays the development of pigmented melanophores and simultaneously increases L-tyrosine and dopamine. We conclude that a potential evolutionary benefit of albinism in Astyanax cavefish may be to provide surplus L-tyrosine as a precursor for the elevated catecholamine synthesis pathway, which could be important for adaptation to the challenging cave environment. PMID:24282555

  18. Evolution of saxitoxin synthesis in cyanobacteria and dinoflagellates.

    PubMed

    Hackett, Jeremiah D; Wisecaver, Jennifer H; Brosnahan, Michael L; Kulis, David M; Anderson, Donald M; Bhattacharya, Debashish; Plumley, F Gerald; Erdner, Deana L

    2013-01-01

    Dinoflagellates produce a variety of toxic secondary metabolites that have a significant impact on marine ecosystems and fisheries. Saxitoxin (STX), the cause of paralytic shellfish poisoning, is produced by three marine dinoflagellate genera and is also made by some freshwater cyanobacteria. Genes involved in STX synthesis have been identified in cyanobacteria but are yet to be reported in the massive genomes of dinoflagellates. We have assembled comprehensive transcriptome data sets for several STX-producing dinoflagellates and a related non-toxic species and have identified 265 putative homologs of 13 cyanobacterial STX synthesis genes, including all of the genes directly involved in toxin synthesis. Putative homologs of four proteins group closely in phylogenies with cyanobacteria and are likely the functional homologs of sxtA, sxtG, and sxtB in dinoflagellates. However, the phylogenies do not support the transfer of these genes directly between toxic cyanobacteria and dinoflagellates. SxtA is split into two proteins in the dinoflagellates corresponding to the N-terminal portion containing the methyltransferase and acyl carrier protein domains and a C-terminal portion with the aminotransferase domain. Homologs of sxtB and N-terminal sxtA are present in non-toxic strains, suggesting their functions may not be limited to saxitoxin production. Only homologs of the C-terminus of sxtA and sxtG were found exclusively in toxic strains. A more thorough survey of STX+ dinoflagellates will be needed to determine if these two genes may be specific to SXT production in dinoflagellates. The A. tamarense transcriptome does not contain homologs for the remaining STX genes. Nevertheless, we identified candidate genes with similar predicted biochemical activities that account for the missing functions. These results suggest that the STX synthesis pathway was likely assembled independently in the distantly related cyanobacteria and dinoflagellates, although using some

  19. Organization of Genes Required for the Oxidation of Methanol to Formaldehyde in Three Type II Methylotrophs

    PubMed Central

    Bastien, C.; Machlin, S.; Zhang, Y.; Donaldson, K.; Hanson, R. S.

    1989-01-01

    Restriction maps of genes required for the synthesis of active methanol dehydrogenase in Methylobacterium organophilum XX and Methylobacterium sp. strain AM1 have been completed and compared. In these two species of pink-pigmented, type II methylotrophs, 15 genes were identified that were required for the expression of methanol dehydrogenase activity. None of these genes were required for the synthesis of the prosthetic group of methanol dehydrogenase, pyrroloquinoline quinone. The structural gene required for the synthesis of cytochrome cL, an electron acceptor uniquely required for methanol dehydrogenase, and the genes encoding small basic peptides that copurified with methanol dehydrogenases were closely linked to the methanol dehydrogenase structural genes. A cloned 22-kilobase DNA insert from Methylsporovibrio methanica 81Z, an obligate type II methanotroph, complemented mutants that contained lesions in four genes closely linked to the methanol dehydrogenase structural genes. The methanol dehydrogenase and cytochrome cL structural genes were found to be transcribed independently in M. organophilum XX. Only two of the genes required for methanol dehydrogenase synthesis in this bacterium were found to be cotranscribed. PMID:16348074

  20. Increasing cholesterol synthesis in 7-dehydrosterol reductase (DHCR7) deficient mouse models through gene transfer

    PubMed Central

    Matabosch, Xavier; Ying, Lee; Serra, Montserrat; Wassif, Christopher A.; Porter, Forbes D.; Shackleton, Cedric; Watson, Gordon

    2010-01-01

    Smith-Lemli-Opitz syndrome (SLOS) is caused by deficiency in the terminal step of cholesterol biosynthesis: the conversion of 7-dehydrocholesterol (7DHC) to cholesterol (C), catalyzed by 7-dehydrocholesterol reductase (DHCR7). This disorder exhibits several phenotypic traits including dysmorphia and mental retardation with a broad range of severity. There are few proven treatment options. That most commonly used is a high cholesterol diet that seems to enhance the quality of life and improve behavioral characteristics of patients, although these positive effects are controversial. The goal of our study was to investigate the possibility of restoring DHCR7 activity by gene transfer. We constructed an adeno-associated virus (AAV) vector containing the DHCR7 gene. After we infused this vector into affected mice, the introduced DHCR7 gene could be identified in liver, mRNA was expressed and a functional enzyme was produced. Evidence of functionality came from the ability to partially normalize the serum ratio of 7DHC/C in treated animals, apparently by increasing cholesterol production with concomitant decrease in 7DHC precursor. By five weeks after treatment the mean ratio (for 7 animals) had fallen to 0.05 while the ratio for untreated littermate controls had risen to 0.14. This provides proof of principle that gene transfer can ameliorate the genetic defect causing SLOS and provides a new experimental tool for studying the pathogenesis of this disease. If effective in humans, it might also offer a possible alternative to exogenous cholesterol therapy. However, it would not offer a complete cure for the disorder as many of the negative implications of defective synthesis are already established during prenatal development. PMID:20800683

  1. From hormones to secondary metabolism: the emergence of metabolic gene clusters in plants.

    PubMed

    Chu, Hoi Yee; Wegel, Eva; Osbourn, Anne

    2011-04-01

    Gene clusters for the synthesis of secondary metabolites are a common feature of microbial genomes. Well-known examples include clusters for the synthesis of antibiotics in actinomycetes, and also for the synthesis of antibiotics and toxins in filamentous fungi. Until recently it was thought that genes for plant metabolic pathways were not clustered, and this is certainly true in many cases; however, five plant secondary metabolic gene clusters have now been discovered, all of them implicated in synthesis of defence compounds. An obvious assumption might be that these eukaryotic gene clusters have arisen by horizontal gene transfer from microbes, but there is compelling evidence to indicate that this is not the case. This raises intriguing questions about how widespread such clusters are, what the significance of clustering is, why genes for some metabolic pathways are clustered and those for others are not, and how these clusters form. In answering these questions we may hope to learn more about mechanisms of genome plasticity and adaptive evolution in plants. It is noteworthy that for the five plant secondary metabolic gene clusters reported so far, the enzymes for the first committed steps all appear to have been recruited directly or indirectly from primary metabolic pathways involved in hormone synthesis. This may or may not turn out to be a common feature of plant secondary metabolic gene clusters as new clusters emerge. © 2011 The Authors. The Plant Journal © 2011 Blackwell Publishing Ltd.

  2. Bacterial cellulose synthesis mechanism of facultative anaerobe Enterobacter sp. FY-07.

    PubMed

    Ji, Kaihua; Wang, Wei; Zeng, Bing; Chen, Sibin; Zhao, Qianqian; Chen, Yueqing; Li, Guoqiang; Ma, Ting

    2016-02-25

    Enterobacter sp. FY-07 can produce bacterial cellulose (BC) under aerobic and anaerobic conditions. Three potential BC synthesis gene clusters (bcsI, bcsII and bcsIII) of Enterobacter sp. FY-07 have been predicted using genome sequencing and comparative genome analysis, in which bcsIII was confirmed as the main contributor to BC synthesis by gene knockout and functional reconstitution methods. Protein homology, gene arrangement and gene constitution analysis indicated that bcsIII had high identity to the bcsI operon of Enterobacter sp. 638; however, its arrangement and composition were same as those of BC synthesizing operon of G. xylinum ATCC53582 except for the flanking sequences. According to the BC biosynthesizing process, oxygen is not directly involved in the reactions of BC synthesis, however, energy is required to activate intermediate metabolites and synthesize the activator, c-di-GMP. Comparative transcriptome and metabolite quantitative analysis demonstrated that under anaerobic conditions genes involved in the TCA cycle were downregulated, however, genes in the nitrate reduction and gluconeogenesis pathways were upregulated, especially, genes in three pyruvate metabolism pathways. These results suggested that Enterobacter sp. FY-07 could produce energy efficiently under anaerobic conditions to meet the requirement of BC biosynthesis.

  3. Bacterial cellulose synthesis mechanism of facultative anaerobe Enterobacter sp. FY-07

    PubMed Central

    Ji, Kaihua; Wang, Wei; Zeng, Bing; Chen, Sibin; Zhao, Qianqian; Chen, Yueqing; Li, Guoqiang; Ma, Ting

    2016-01-01

    Enterobacter sp. FY-07 can produce bacterial cellulose (BC) under aerobic and anaerobic conditions. Three potential BC synthesis gene clusters (bcsI, bcsII and bcsIII) of Enterobacter sp. FY-07 have been predicted using genome sequencing and comparative genome analysis, in which bcsIII was confirmed as the main contributor to BC synthesis by gene knockout and functional reconstitution methods. Protein homology, gene arrangement and gene constitution analysis indicated that bcsIII had high identity to the bcsI operon of Enterobacter sp. 638; however, its arrangement and composition were same as those of BC synthesizing operon of G. xylinum ATCC53582 except for the flanking sequences. According to the BC biosynthesizing process, oxygen is not directly involved in the reactions of BC synthesis, however, energy is required to activate intermediate metabolites and synthesize the activator, c-di-GMP. Comparative transcriptome and metabolite quantitative analysis demonstrated that under anaerobic conditions genes involved in the TCA cycle were downregulated, however, genes in the nitrate reduction and gluconeogenesis pathways were upregulated, especially, genes in three pyruvate metabolism pathways. These results suggested that Enterobacter sp. FY-07 could produce energy efficiently under anaerobic conditions to meet the requirement of BC biosynthesis. PMID:26911736

  4. Gene composer: database software for protein construct design, codon engineering, and gene synthesis.

    PubMed

    Lorimer, Don; Raymond, Amy; Walchli, John; Mixon, Mark; Barrow, Adrienne; Wallace, Ellen; Grice, Rena; Burgin, Alex; Stewart, Lance

    2009-04-21

    To improve efficiency in high throughput protein structure determination, we have developed a database software package, Gene Composer, which facilitates the information-rich design of protein constructs and their codon engineered synthetic gene sequences. With its modular workflow design and numerous graphical user interfaces, Gene Composer enables researchers to perform all common bio-informatics steps used in modern structure guided protein engineering and synthetic gene engineering. An interactive Alignment Viewer allows the researcher to simultaneously visualize sequence conservation in the context of known protein secondary structure, ligand contacts, water contacts, crystal contacts, B-factors, solvent accessible area, residue property type and several other useful property views. The Construct Design Module enables the facile design of novel protein constructs with altered N- and C-termini, internal insertions or deletions, point mutations, and desired affinity tags. The modifications can be combined and permuted into multiple protein constructs, and then virtually cloned in silico into defined expression vectors. The Gene Design Module uses a protein-to-gene algorithm that automates the back-translation of a protein amino acid sequence into a codon engineered nucleic acid gene sequence according to a selected codon usage table with minimal codon usage threshold, defined G:C% content, and desired sequence features achieved through synonymous codon selection that is optimized for the intended expression system. The gene-to-oligo algorithm of the Gene Design Module plans out all of the required overlapping oligonucleotides and mutagenic primers needed to synthesize the desired gene constructs by PCR, and for physically cloning them into selected vectors by the most popular subcloning strategies. We present a complete description of Gene Composer functionality, and an efficient PCR-based synthetic gene assembly procedure with mis-match specific endonuclease

  5. Gene Composer: database software for protein construct design, codon engineering, and gene synthesis

    PubMed Central

    Lorimer, Don; Raymond, Amy; Walchli, John; Mixon, Mark; Barrow, Adrienne; Wallace, Ellen; Grice, Rena; Burgin, Alex; Stewart, Lance

    2009-01-01

    Background To improve efficiency in high throughput protein structure determination, we have developed a database software package, Gene Composer, which facilitates the information-rich design of protein constructs and their codon engineered synthetic gene sequences. With its modular workflow design and numerous graphical user interfaces, Gene Composer enables researchers to perform all common bio-informatics steps used in modern structure guided protein engineering and synthetic gene engineering. Results An interactive Alignment Viewer allows the researcher to simultaneously visualize sequence conservation in the context of known protein secondary structure, ligand contacts, water contacts, crystal contacts, B-factors, solvent accessible area, residue property type and several other useful property views. The Construct Design Module enables the facile design of novel protein constructs with altered N- and C-termini, internal insertions or deletions, point mutations, and desired affinity tags. The modifications can be combined and permuted into multiple protein constructs, and then virtually cloned in silico into defined expression vectors. The Gene Design Module uses a protein-to-gene algorithm that automates the back-translation of a protein amino acid sequence into a codon engineered nucleic acid gene sequence according to a selected codon usage table with minimal codon usage threshold, defined G:C% content, and desired sequence features achieved through synonymous codon selection that is optimized for the intended expression system. The gene-to-oligo algorithm of the Gene Design Module plans out all of the required overlapping oligonucleotides and mutagenic primers needed to synthesize the desired gene constructs by PCR, and for physically cloning them into selected vectors by the most popular subcloning strategies. Conclusion We present a complete description of Gene Composer functionality, and an efficient PCR-based synthetic gene assembly procedure with mis

  6. The photophysics of monomeric bacteriochlorophylls c and d and their derivatives: properties of the triplet state and singlet oxygen photogeneration and quenching

    NASA Technical Reports Server (NTRS)

    Krasnovsky, A. A. Jr; Cheng, P.; Blankenship, R. E.; Moore, T. A.; Gust, D.

    1993-01-01

    Measurements of pigment triplet-triplet absorption, pigment phosphorescence and photosensitized singlet oxygen luminescence were carried out on solutions containing monomeric bacteriochlorophylls (Bchl) c and d, isolated from green photosynthetic bacteria, and their magnesium-free and farnesyl-free analogs. The energies of the pigment triplet states fell in the range 1.29-1.34 eV. The triplet lifetimes in aerobic solutions were 200-250 ns; they increased to 280 +/- 70 microseconds after nitrogen purging in liquid solutions and to 0.7-2.1 ms in a solid matrix at ambient or liquid nitrogen temperatures. Rate constants for quenching of the pigment triplet state by oxygen were (2.0-2.5) x 10(9) M-1 s-1, which is close to 1/9 of the rate constant for diffusion-controlled reactions. This quenching was accompanied by singlet oxygen formation. The quantum yields for the triplet state formation and singlet oxygen production were 55-75% in air-saturated solutions. Singlet oxygen quenching by ground-state pigment molecules was observed. Quenching was the most efficient for magnesium-containing pigments, kq = (0.31-1.2) x 10(9) M-1 s-1. It is caused mainly by a physical process of singlet oxygen (1O2) deactivation. Thus, Bchl c and d and their derivatives, as well as chlorophyll and Bchl a, combine a high efficiency of singlet oxygen production with the ability to protect photochemical and photobiological systems against damage by singlet oxygen.

  7. GCN-2 dependent inhibition of protein synthesis activates osmosensitive gene transcription via WNK and Ste20 kinase signaling

    PubMed Central

    Lee, Elaine Choung-Hee

    2012-01-01

    Increased gpdh-1 transcription is required for accumulation of the organic osmolyte glycerol and survival of Caenorhabditis elegans during hypertonic stress. Our previous work has shown that regulators of gpdh-1 (rgpd) gene knockdown constitutively activates gpdh-1 expression. Fifty-five rgpd genes play essential roles in translation suggesting that inhibition of protein synthesis is an important signal for regulating osmoprotective gene transcription. We demonstrate here that translation is reduced dramatically by hypertonic stress or knockdown of rgpd genes encoding aminoacyl-tRNA synthetases and eukaryotic translation initiation factors (eIFs). Toxin-induced inhibition of translation also activates gpdh-1 expression. Hypertonicity-induced translation inhibition is mediated by general control nonderepressible (GCN)-2 kinase signaling and eIF-2α phosphoryation. Loss of gcn-1 or gcn-2 function prevents eIF-2α phosphorylation, completely blocks reductions in translation, and inhibits gpdh-1 transcription. gpdh-1 expression is regulated by the highly conserved with-no-lysine kinase (WNK) and Ste20 kinases WNK-1 and GCK-3, which function in the GCN-2 signaling pathway downstream from eIF-2α phosphorylation. Our previous work has shown that hypertonic stress causes rapid and dramatic protein damage in C. elegans and that inhibition of translation reduces this damage. The current studies demonstrate that reduced translation also serves as an essential signal for activation of WNK-1/GCK-3 kinase signaling and subsequent transcription of gpdh-1 and possibly other osmoprotective genes. PMID:23076791

  8. A Robust and Versatile Method of Combinatorial Chemical Synthesis of Gene Libraries via Hierarchical Assembly of Partially Randomized Modules

    PubMed Central

    Popova, Blagovesta; Schubert, Steffen; Bulla, Ingo; Buchwald, Daniela; Kramer, Wilfried

    2015-01-01

    A major challenge in gene library generation is to guarantee a large functional size and diversity that significantly increases the chances of selecting different functional protein variants. The use of trinucleotides mixtures for controlled randomization results in superior library diversity and offers the ability to specify the type and distribution of the amino acids at each position. Here we describe the generation of a high diversity gene library using tHisF of the hyperthermophile Thermotoga maritima as a scaffold. Combining various rational criteria with contingency, we targeted 26 selected codons of the thisF gene sequence for randomization at a controlled level. We have developed a novel method of creating full-length gene libraries by combinatorial assembly of smaller sub-libraries. Full-length libraries of high diversity can easily be assembled on demand from smaller and much less diverse sub-libraries, which circumvent the notoriously troublesome long-term archivation and repeated proliferation of high diversity ensembles of phages or plasmids. We developed a generally applicable software tool for sequence analysis of mutated gene sequences that provides efficient assistance for analysis of library diversity. Finally, practical utility of the library was demonstrated in principle by assessment of the conformational stability of library members and isolating protein variants with HisF activity from it. Our approach integrates a number of features of nucleic acids synthetic chemistry, biochemistry and molecular genetics to a coherent, flexible and robust method of combinatorial gene synthesis. PMID:26355961

  9. A Robust and Versatile Method of Combinatorial Chemical Synthesis of Gene Libraries via Hierarchical Assembly of Partially Randomized Modules.

    PubMed

    Popova, Blagovesta; Schubert, Steffen; Bulla, Ingo; Buchwald, Daniela; Kramer, Wilfried

    2015-01-01

    A major challenge in gene library generation is to guarantee a large functional size and diversity that significantly increases the chances of selecting different functional protein variants. The use of trinucleotides mixtures for controlled randomization results in superior library diversity and offers the ability to specify the type and distribution of the amino acids at each position. Here we describe the generation of a high diversity gene library using tHisF of the hyperthermophile Thermotoga maritima as a scaffold. Combining various rational criteria with contingency, we targeted 26 selected codons of the thisF gene sequence for randomization at a controlled level. We have developed a novel method of creating full-length gene libraries by combinatorial assembly of smaller sub-libraries. Full-length libraries of high diversity can easily be assembled on demand from smaller and much less diverse sub-libraries, which circumvent the notoriously troublesome long-term archivation and repeated proliferation of high diversity ensembles of phages or plasmids. We developed a generally applicable software tool for sequence analysis of mutated gene sequences that provides efficient assistance for analysis of library diversity. Finally, practical utility of the library was demonstrated in principle by assessment of the conformational stability of library members and isolating protein variants with HisF activity from it. Our approach integrates a number of features of nucleic acids synthetic chemistry, biochemistry and molecular genetics to a coherent, flexible and robust method of combinatorial gene synthesis.

  10. Methods for the isolation of genes encoding novel PHB cycle enzymes from complex microbial communities.

    PubMed

    Nordeste, Ricardo F; Trainer, Maria A; Charles, Trevor C

    2010-01-01

    Development of different PHAs as alternatives to petrochemically derived plastics can be facilitated by mining metagenomic libraries for diverse PHA cycle genes that might be useful for synthesis of bioplastics. The specific phenotypes associated with mutations of the PHA synthesis pathway genes in Sinorhizobium meliloti allows for the use of powerful selection and screening tools to identify complementing novel PHA synthesis genes. Identification of novel genes through their function rather than sequence facilitates finding functional proteins that may otherwise have been excluded through sequence-only screening methodology. We present here methods that we have developed for the isolation of clones expressing novel PHA metabolism genes from metagenomic libraries.

  11. Methods for the Isolation of Genes Encoding Novel PHA Metabolism Enzymes from Complex Microbial Communities.

    PubMed

    Cheng, Jiujun; Nordeste, Ricardo; Trainer, Maria A; Charles, Trevor C

    2017-01-01

    Development of different PHAs as alternatives to petrochemically derived plastics can be facilitated by mining metagenomic libraries for diverse PHA cycle genes that might be useful for synthesis of bio-plastics. The specific phenotypes associated with mutations of the PHA synthesis pathway genes in Sinorhizobium meliloti and Pseudomonas putida, allows the use of powerful selection and screening tools to identify complementing novel PHA synthesis genes. Identification of novel genes through their function rather than sequence facilitates the functional proteins that may otherwise have been excluded through sequence-only screening methodology. We present here methods that we have developed for the isolation of clones expressing novel PHA metabolism genes from metagenomic libraries.

  12. Resistant starch manipulated hyperglycemia/hyperlipidemia and related genes expression in diabetic rats.

    PubMed

    Zhou, ZhongKai; Wang, Fang; Ren, XiaoChong; Wang, Yuyang; Blanchard, Chris

    2015-04-01

    The effect of resistant starch (RS) administration on biological parameters including blood glucose, lipids composition and oxidative stress of type 2 diabetic rats was investigated. The results showed blood glucose level, total cholesterol and triglycerides concentrations significantly reduced, and high-density lipoprotein cholesterol concentration was doubly increased in the rats of RS administration group compared to model control group (P<0.01). The analyses of genes involved in glucose and lipid metabolism pathways demonstrated that the expression levels of lipid oxidation gene Acox1, glycogen synthesis genes, GS2 and GYG1, and insulin-induced genes, Insig-1 and Insig-2, were significantly up-regulated (P<0.01). In contrast, fatty acids and triglycerides synthesis and metabolism-related gene SREBP-1, fatty acid synthesis gene Fads1 and gluconeogenesis gene G6PC1 were greatly down-regulated. The mechanism study shows that the lowering of blood glucose level in diabetic rats by feeding RS is regulated through promoting glycogen synthesis and inhibiting gluconeogenesis, and the increased lipid metabolism is modulated through promoting lipid oxidation and cholesterol homeostasis. Our study revealed for the first time that the regulation of hepatic genes expression involved in glucose and lipids metabolisms in diabetic rats could be achieved even at a moderate level of RS consumption. Copyright © 2015 Elsevier B.V. All rights reserved.

  13. Cloning and overexpression of beta-N-acetylglucosaminidase encoding gene nagA from Aspergillus oryzae and enzyme-catalyzed synthesis of human milk oligosaccharide.

    PubMed

    Matsuo, Ichiro; Kim, Sunhwa; Yamamoto, Yuichi; Ajisaka, Katsumi; Maruyama, Jun-ich; Nakajima, Harushi; Kitamoto, Katsuhiko

    2003-03-01

    We isolated a beta-N-acetylglucosaminidase encoding gene from the filamentous fungus Aspergillus oryzae, and designated it nagA. The nagA gene encoded a polypeptide of 600 amino acids with significant similarity to glucosaminidases and hexosaminidases of various eukaryotes. A. oryzae strain carrying the nagA gene under the control of the improved glaA promoter produced large amounts of beta-N-acetylglucosaminidase in a wheat bran solid culture. The beta-N-acetylglucosaminidase was purified from crude extracts of the solid culture by column chromatographies on Q-Sepharose and Sephacryl S-200. This enzyme was used for synthesis of lacto-N-triose II, which is contained in human milk. By reverse hydrolysis reaction, lacto-N-triose II and its positional isomer were synthesized from lactose and D-N-acetylglucosamine in 0.21% and 0.15% yield, respectively.

  14. Identification of a Hyphantria cunea nucleopolyhedrovirus (NPV) gene that is involved in global protein synthesis shutdown and restricted Bombyx mori NPV multiplication in a B. mori cell line.

    PubMed

    Shirata, Noriko; Ikeda, Motoko; Kobayashi, Michihiro

    2010-03-15

    We previously demonstrated that Bombyx mori nucleopolyhedrovirus (BmNPV) multiplication is restricted in permissive BmN-4 cells upon coinfection with Hyphantria cunea NPV (HycuNPV). Here, we show that HycuNPV-encoded hycu-ep32 gene is responsible for the restricted BmNPV multiplication in HycuNPV-coinfected BmN-4 cells. The only homologue for hycu-ep32 is in Orgyia pseudotsugata NPV. hycu-ep32 could encode a polypeptide of 312 amino acids, and it contains no characteristic domains or motifs to suggest its possible functions. hycu-ep32 is an early gene, and Hycu-EP32 expression reaches a maximum by 6 h postinfection. hycu-ep32-defective HycuNPV, vHycuDeltaep32, was generated, indicating that hycu-ep32 is nonessential in permissive SpIm cells. In BmN-4 cells, HycuNPV infection resulted in a severe global protein synthesis shutdown, while vHycuDeltaep32 did not cause any specific protein synthesis shutdown. These results indicate that the restriction of BmNPV multiplication by HycuNPV is caused by a global protein synthesis shutdown induced by hycu-ep32 upon coinfection with HycuNPV. Copyright 2009 Elsevier Inc. All rights reserved.

  15. The pbrB Gene Encodes a Laccase Required for DHN-Melanin Synthesis in Conidia of Talaromyces (Penicillium) marneffei

    PubMed Central

    Sapmak, Ariya; Boyce, Kylie J.; Andrianopoulos, Alex; Vanittanakom, Nongnuch

    2015-01-01

    Talaromyces marneffei (Basionym: Penicillium marneffei) is a significant opportunistic fungal pathogen in patients infected with human immunodeficiency virus in Southeast Asia. T. marneffei cells have been shown to become melanized in vivo. Melanins are pigment biopolymers which act as a non-specific protectant against various stressors and which play an important role during virulence in fungi. The synthesis of the two most commonly found melanins in fungi, the eumelanin DOPA-melanin and the allomelanin DHN-melanin, requires the action of laccase enzymes. The T. marneffei genome encodes a number of laccases and this study describes the characterization of one of these, pbrB, during growth and development. A strain carrying a PbrB-GFP fusion shows that pbrB is expressed at high levels during asexual development (conidiation) but not in cells growing vegetatively. The pbrB gene is required for the synthesis of DHN-melanin in conidia and when deleted results in brown pigmented conidia, in contrast to the green conidia of the wild type. PMID:25866870

  16. Sterol Synthesis in Diverse Bacteria.

    PubMed

    Wei, Jeremy H; Yin, Xinchi; Welander, Paula V

    2016-01-01

    Sterols are essential components of eukaryotic cells whose biosynthesis and function has been studied extensively. Sterols are also recognized as the diagenetic precursors of steranes preserved in sedimentary rocks where they can function as geological proxies for eukaryotic organisms and/or aerobic metabolisms and environments. However, production of these lipids is not restricted to the eukaryotic domain as a few bacterial species also synthesize sterols. Phylogenomic studies have identified genes encoding homologs of sterol biosynthesis proteins in the genomes of several additional species, indicating that sterol production may be more widespread in the bacterial domain than previously thought. Although the occurrence of sterol synthesis genes in a genome indicates the potential for sterol production, it provides neither conclusive evidence of sterol synthesis nor information about the composition and abundance of basic and modified sterols that are actually being produced. Here, we coupled bioinformatics with lipid analyses to investigate the scope of bacterial sterol production. We identified oxidosqualene cyclase (Osc), which catalyzes the initial cyclization of oxidosqualene to the basic sterol structure, in 34 bacterial genomes from five phyla (Bacteroidetes, Cyanobacteria, Planctomycetes, Proteobacteria, and Verrucomicrobia) and in 176 metagenomes. Our data indicate that bacterial sterol synthesis likely occurs in diverse organisms and environments and also provides evidence that there are as yet uncultured groups of bacterial sterol producers. Phylogenetic analysis of bacterial and eukaryotic Osc sequences confirmed a complex evolutionary history of sterol synthesis in this domain. Finally, we characterized the lipids produced by Osc-containing bacteria and found that we could generally predict the ability to synthesize sterols. However, predicting the final modified sterol based on our current knowledge of sterol synthesis was difficult. Some bacteria

  17. Survival of adult neurons lacking cholesterol synthesis in vivo

    PubMed Central

    Fünfschilling, Ursula; Saher, Gesine; Xiao, Le; Möbius, Wiebke; Nave, Klaus-Armin

    2007-01-01

    Background Cholesterol, an essential component of all mammalian plasma membranes, is highly enriched in the brain. Both during development and in the adult, brain cholesterol is derived from local cholesterol synthesis and not taken up from the circulation. However, the contribution of neurons and glial cells to total brain cholesterol metabolism is unknown. Results Using conditional gene inactivation in the mouse, we disrupted the squalene synthase gene (fdft1), which is critical for cholesterol synthesis, in cerebellar granule cells and some precerebellar nuclei. Mutant mice showed no histological signs of neuronal degeneration, displayed ultrastructurally normal synapses, and exhibited normal motor coordination. This revealed that these adult neurons do not require cell-autonomous cholesterol synthesis for survival or function. Conclusion We conclude that at least some adult neurons no longer require endogenous cholesterol synthesis and can fully meet their cholesterol needs by uptake from their surrounding. Glia are a likely source of cholesterol in the central nervous system. PMID:17199885

  18. Survival of adult neurons lacking cholesterol synthesis in vivo.

    PubMed

    Fünfschilling, Ursula; Saher, Gesine; Xiao, Le; Möbius, Wiebke; Nave, Klaus-Armin

    2007-01-02

    Cholesterol, an essential component of all mammalian plasma membranes, is highly enriched in the brain. Both during development and in the adult, brain cholesterol is derived from local cholesterol synthesis and not taken up from the circulation. However, the contribution of neurons and glial cells to total brain cholesterol metabolism is unknown. Using conditional gene inactivation in the mouse, we disrupted the squalene synthase gene (fdft1), which is critical for cholesterol synthesis, in cerebellar granule cells and some precerebellar nuclei. Mutant mice showed no histological signs of neuronal degeneration, displayed ultrastructurally normal synapses, and exhibited normal motor coordination. This revealed that these adult neurons do not require cell-autonomous cholesterol synthesis for survival or function. We conclude that at least some adult neurons no longer require endogenous cholesterol synthesis and can fully meet their cholesterol needs by uptake from their surrounding. Glia are a likely source of cholesterol in the central nervous system.

  19. Simultaneous Hypoxia and Low Extracellular pH Suppress Overall Metabolic Rate and Protein Synthesis In Vitro.

    PubMed

    Sørensen, Brita Singers; Busk, Morten; Overgaard, Jens; Horsman, Michael R; Alsner, Jan

    2015-01-01

    The tumor microenvironment is characterized by regions of hypoxia and acidosis which are linked to poor prognosis. This occurs due to an aberrant vasculature as well as high rates of glycolysis and lactate production in tumor cells even in the presence of oxygen (the Warburg effect), which weakens the spatial linkage between hypoxia and acidosis. Five different human squamous cell carcinoma cell lines (SiHa, FaDuDD, UTSCC5, UTSCC14 and UTSCC15) were treated with hypoxia, acidosis (pH 6.3), or a combination, and gene expression analyzed using microarray. SiHa and FaDuDD were chosen for further characterization of cell energetics and protein synthesis. Total cellular ATP turnover and relative glycolytic dependency was determined by simultaneous measurements of oxygen consumption and lactate synthesis rates and total protein synthesis was determined by autoradiographic quantification of the incorporation of 35S-labelled methionine and cysteine into protein. Microarray analysis allowed differentiation between genes induced at low oxygen only at normal extracellular pH (pHe), genes induced at low oxygen at both normal and low pHe, and genes induced at low pHe independent of oxygen concentration. Several genes were found to be upregulated by acidosis independent of oxygenation. Acidosis resulted in a more wide-scale change in gene expression profiles than hypoxia including upregulation of genes involved in the translation process, for example Eukaryotic translation initiation factor 4A, isoform 2 (EIF4A2), and Ribosomal protein L37 (RPL37). Acidosis suppressed overall ATP turnover and protein synthesis by 50%. Protein synthesis, but not total ATP production, was also suppressed under hypoxic conditions. A dramatic decrease in ATP turnover (SiHa) and protein synthesis (both cell lines) was observed when hypoxia and low pHe were combined. We demonstrate here that the influence of hypoxia and acidosis causes different responses, both in gene expression and in de novo

  20. Functional overexpression and characterization of lipogenesis-related genes in the oleaginous yeast Yarrowia lipolytica.

    PubMed

    Silverman, Andrew M; Qiao, Kangjian; Xu, Peng; Stephanopoulos, Gregory

    2016-04-01

    Single cell oil (SCO) is an attractive energy source due to scalability, utilization of low-cost renewable feedstocks, and type of product(s) made. Engineering strains capable of producing high lipid titers and yields is crucial to the economic viability of these processes. However, lipid synthesis in cells is a complex phenomenon subject to multiple layers of regulation, making gene target identification a challenging task. In this study, we aimed to identify genes in the oleaginous yeast Yarrowia lipolytica whose overexpression enhances lipid production by this organism. To this end, we examined the effect of the overexpression of a set of 44 native genes on lipid production in Y. lipolytica, including those involved in glycerolipid synthesis, fatty acid synthesis, central carbon metabolism, NADPH generation, regulation, and metabolite transport and characterized each resulting strain's ability to produce lipids growing on both glucose and acetate as a sole carbon source. Our results suggest that a diverse subset of genes was effective at individually influencing lipid production in Y. lipolytica, sometimes in a substrate-dependent manner. The most productive strain on glucose overexpressed the diacylglycerol acyltransferase DGA2 gene, increasing lipid titer, cellular content, and yield by 236, 165, and 246 %, respectively, over our control strain. On acetate, our most productive strain overexpressed the acylglycerol-phosphate acyltransferase SLC1 gene, with a lipid titer, cellular content, and yield increase of 99, 91, and 151 %, respectively, over the control strain. Aside from genes encoding enzymes that directly catalyze the reactions of lipid synthesis, other ways by which lipogenesis was increased in these cells include overexpressing the glycerol-3-phosphate dehydrogenase (GPD1) gene to increase production of glycerol head groups and overexpressing the 6-phosphogluconolactonase (SOL3) gene from the oxidative pentose phosphate pathway to increase NADPH

  1. Organization of genes required for the oxidation of methanol to formaldehyde in three type II methylotrophs

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bastien, C.; Machlin, S.; Zhang, Y.

    Restriction maps of genes required for the synthesis of active methanol dehydrogenase in Methylobacterium organophilum XX and Methylobacterium sp. strain AM1 have been completed and compared. In these two species of pink-pigmented, type II methylotrophs, 15 genes were identified that were required for the expression of methanol dehydrogenase activity. None of these genes were required for the synthesis of the prosthetic group of methanol dehydrogenase, pyrroloquinoline quinone. The structural gene required for the synthesis of cytochrome c{sub L}, an electron acceptor uniquely required for methanol dehydrogenase, and the genes encoding small basic peptides that copurified with methanol dehydrogenases were closelymore » linked to the methanol dehydrogenase structural genes. A cloned 22-kilobase DNA insert from Methylsporovibrio methanica 81Z, an obligate type II methanotroph, complemented mutants that contained lesions in four genes closely linked to the methanol dehydrogenase structural genes. The methanol dehydrogenase and cytochrome c{sub L} structural genes were found to be transcribed independently in M. organophilum XX. Only two of the genes required for methanol dehydrogenase synthesis in this bacterium were found to be cotranscribed.« less

  2. Virus-induced gene silencing (VIGS)-mediated functional characterization of two genes involved in lignocellulosic secondary cell wall formation.

    PubMed

    Pandey, Shashank K; Nookaraju, Akula; Fujino, Takeshi; Pattathil, Sivakumar; Joshi, Chandrashekhar P

    2016-11-01

    Functional characterization of two tobacco genes, one involved in xylan synthesis and the other, a positive regulator of secondary cell wall formation, is reported. Lignocellulosic secondary cell walls (SCW) provide essential plant materials for the production of second-generation bioethanol. Therefore, thorough understanding of the process of SCW formation in plants is beneficial for efficient bioethanol production. Recently, we provided the first proof-of-concept for using virus-induced gene silencing (VIGS) approach for rapid functional characterization of nine genes involved in cellulose, hemicellulose and lignin synthesis during SCW formation. Here, we report VIGS-mediated functional characterization of two tobacco genes involved in SCW formation. Stems of VIGS plants silenced for both selected genes showed increased amount of xylem formation but thinner cell walls than controls. These results were further confirmed by production of stable transgenic tobacco plants manipulated in expression of these genes. Stems of stable transgenic tobacco plants silenced for these two genes showed increased xylem proliferation with thinner walls, whereas transgenic tobacco plants overexpressing these two genes showed increased fiber cell wall thickness but no change in xylem proliferation. These two selected genes were later identified as possible members of DUF579 family involved in xylan synthesis and KNAT7 transcription factor family involved in positive regulation of SCW formation, respectively. Glycome analyses of cell walls showed increased polysaccharide extractability in 1 M KOH extracts of both VIGS-NbDUF579 and VIGS-NbKNAT7 lines suggestive of cell wall loosening. Also, VIGS-NbDUF579 and VIGS-NbKNAT7 lines showed increased saccharification rates (74.5 and 40 % higher than controls, respectively). All these properties are highly desirable for producing higher quantities of bioethanol from lignocellulosic materials of bioenergy plants.

  3. Transcriptional Mechanisms Underlying Hemoglobin Synthesis

    PubMed Central

    Katsumura, Koichi R.; DeVilbiss, Andrew W.; Pope, Nathaniel J.; Johnson, Kirby D.; Bresnick, Emery H.

    2013-01-01

    The physiological switch in expression of the embryonic, fetal, and adult β-like globin genes has garnered enormous attention from investigators interested in transcriptional mechanisms and the molecular basis of hemoglobinopathies. These efforts have led to the discovery of cell type-specific transcription factors, unprecedented mechanisms of transcriptional coregulator function, genome biology principles, unique contributions of nuclear organization to transcription and cell function, and promising therapeutic targets. Given the vast literature accrued on this topic, this article will focus on the master regulator of erythroid cell development and function GATA-1, its associated proteins, and its frontline role in controlling hemoglobin synthesis. GATA-1 is a crucial regulator of genes encoding hemoglobin subunits and heme biosynthetic enzymes. GATA-1-dependent mechanisms constitute an essential regulatory core that nucleates additional mechanisms to achieve the physiological control of hemoglobin synthesis. PMID:23838521

  4. Recent advances in genes involved in secondary metabolite synthesis, hyphal development, energy metabolism and pathogenicity in Fusarium graminearum (teleomorph Gibberella zeae).

    PubMed

    Geng, Zongyi; Zhu, Wei; Su, Hao; Zhao, Yong; Zhang, Ke-Qin; Yang, Jinkui

    2014-01-01

    The ascomycete fungus, Fusarium graminearum (teleomorph Gibberella zeae), is the most common causal agent of Fusarium head blight (FHB), a devastating disease for cereal crops worldwide. F. graminearum produces ascospores (sexual spores) and conidia (asexual spores), which can serve as disease inocula of FHB. Meanwhile, Fusarium-infected grains are often contaminated with mycotoxins such as trichothecenes (TRIs), fumonisins, and zearalenones, among which TRIs are related to the pathogenicity of F. graminearum, and these toxins are hazardous to humans and livestock. In recent years, with the complete genome sequencing of F. graminearum, an increasing number of functional genes involved in the production of secondary metabolites, hyphal differentiation, sexual and asexual reproduction, virulence and pathogenicity have been identified from F. graminearum. In this review, the secondary metabolite synthesis, hyphal development and pathogenicity related genes in F. graminearum were thoroughly summarized, and the genes associated with secondary metabolites, sexual reproduction, energy metabolism, and pathogenicity were highlighted. Copyright © 2013 Elsevier Inc. All rights reserved.

  5. Evolution of Saxitoxin Synthesis in Cyanobacteria and Dinoflagellates

    PubMed Central

    Hackett, Jeremiah D.; Wisecaver, Jennifer H.; Brosnahan, Michael L.; Kulis, David M.; Anderson, Donald M.; Bhattacharya, Debashish; Plumley, F. Gerald; Erdner, Deana L.

    2013-01-01

    Dinoflagellates produce a variety of toxic secondary metabolites that have a significant impact on marine ecosystems and fisheries. Saxitoxin (STX), the cause of paralytic shellfish poisoning, is produced by three marine dinoflagellate genera and is also made by some freshwater cyanobacteria. Genes involved in STX synthesis have been identified in cyanobacteria but are yet to be reported in the massive genomes of dinoflagellates. We have assembled comprehensive transcriptome data sets for several STX-producing dinoflagellates and a related non-toxic species and have identified 265 putative homologs of 13 cyanobacterial STX synthesis genes, including all of the genes directly involved in toxin synthesis. Putative homologs of four proteins group closely in phylogenies with cyanobacteria and are likely the functional homologs of sxtA, sxtG, and sxtB in dinoflagellates. However, the phylogenies do not support the transfer of these genes directly between toxic cyanobacteria and dinoflagellates. SxtA is split into two proteins in the dinoflagellates corresponding to the N-terminal portion containing the methyltransferase and acyl carrier protein domains and a C-terminal portion with the aminotransferase domain. Homologs of sxtB and N-terminal sxtA are present in non-toxic strains, suggesting their functions may not be limited to saxitoxin production. Only homologs of the C-terminus of sxtA and sxtG were found exclusively in toxic strains. A more thorough survey of STX+ dinoflagellates will be needed to determine if these two genes may be specific to SXT production in dinoflagellates. The A. tamarense transcriptome does not contain homologs for the remaining STX genes. Nevertheless, we identified candidate genes with similar predicted biochemical activities that account for the missing functions. These results suggest that the STX synthesis pathway was likely assembled independently in the distantly related cyanobacteria and dinoflagellates, although using some

  6. Polymorphisms in genes involved in the triglyceride synthesis pathway and marine omega-3 polyunsaturated fatty acid supplementation modulate plasma triglyceride levels.

    PubMed

    Ouellette, Catherine; Cormier, Hubert; Rudkowska, Iwona; Guénard, Frédéric; Lemieux, Simone; Couture, Patrick; Vohl, Marie-Claude

    2013-01-01

    Marine omega-3 (n-3) polyunsaturated fatty acids (PUFA) reduce plasma triglyceride (TG) levels. Genetic factors such as single nucleotide polymorphisms (SNPs) could be responsible for the variability of the plasma TG response to n-3 PUFA supplementation. Previous studies have demonstrated that n-3 PUFA supplementation using fish oil modified the expression levels of three genes involved in the TG synthesis pathway (GPAM, AGPAT3 and AGPAT4) in peripheral blood mononuclear cells. A total of 210 subjects consumed 5 g/day of a fish oil supplement for 6 weeks. Plasma lipids were measured before and after the supplementation period. Three SNPs in GPAM, 13 SNPs in AGPAT3 and 35 SNPs in AGPAT4 were genotyped. In an ANOVA for repeated measures adjusted for age, sex and BMI, genotype effects on plasma TG levels were observed for rs1838452 in AGPAT3 as well as for rs746731 and rs2293286 in AGPAT4. Genotype × supplementation interaction effects on plasma TG levels were observed for rs2792751 and rs17129561 in GPAM as well as for rs3798943 and rs9458172 in AGPAT4 (p < 0.05). These results suggest that SNPs in genes involved in the TG synthesis pathway may influence plasma TG levels after n-3 PUFA supplementation. © 2014 S. Karger AG, Basel.

  7. The Application of Nanoparticles in Gene Therapy and Magnetic Resonance Imaging

    PubMed Central

    HERRANZ, FERNANDO; ALMARZA, ELENA; RODRÍGUEZ, IGNACIO; SALINAS, BEATRIZ; ROSELL, YAMILKA; DESCO, MANUEL; BULTE, JEFF W.; RUIZ-CABELLO, JESÚS

    2012-01-01

    The combination of nanoparticles, gene therapy, and medical imaging has given rise to a new field known as gene theranostics, in which a nanobioconjugate is used to diagnose and treat the disease. The process generally involves binding between a vector carrying the genetic information and a nanoparticle, which provides the signal for imaging. The synthesis of this probe generates a synergic effect, enhancing the efficiency of gene transduction and imaging contrast. We discuss the latest approaches in the synthesis of nanoparticles for magnetic resonance imaging, gene therapy strategies, and their conjugation and in vivo application. PMID:21484943

  8. The Microphthalmia Transcription Factor (Mitf) Controls Expression of the Ocular Albinism Type 1 Gene: Link between Melanin Synthesis and Melanosome Biogenesis

    PubMed Central

    Vetrini, Francesco; Auricchio, Alberto; Du, Jinyan; Angeletti, Barbara; Fisher, David E.; Ballabio, Andrea; Marigo, Valeria

    2004-01-01

    Melanogenesis is the process that regulates skin and eye pigmentation. Albinism, a genetic disease causing pigmentation defects and visual disorders, is caused by mutations in genes controlling either melanin synthesis or melanosome biogenesis. Here we show that a common transcriptional control regulates both of these processes. We performed an analysis of the regulatory region of Oa1, the murine homolog of the gene that is mutated in the X-linked form of ocular albinism, as Oa1's function affects melanosome biogenesis. We demonstrated that Oa1 is a target of Mitf and that this regulatory mechanism is conserved in the human gene. Tissue-specific control of Oa1 transcription lies within a region of 617 bp that contains the E-box bound by Mitf. Finally, we took advantage of a virus-based system to assess tissue specificity in vivo. To this end, a small fragment of the Oa1 promoter was cloned in front of a reporter gene in an adeno-associated virus. After we injected this virus into the subretinal space, we observed reporter gene expression specifically in the retinal pigment epithelium, confirming the cell-specific expression of the Oa1 promoter in the eye. The results obtained with this viral system are a preamble to the development of new gene delivery approaches for the treatment of retinal pigment epithelium defects. PMID:15254223

  9. Modularity of Plant Metabolic Gene Clusters: A Trio of Linked Genes That Are Collectively Required for Acylation of Triterpenes in Oat[W][OA

    PubMed Central

    Mugford, Sam T.; Louveau, Thomas; Melton, Rachel; Qi, Xiaoquan; Bakht, Saleha; Hill, Lionel; Tsurushima, Tetsu; Honkanen, Suvi; Rosser, Susan J.; Lomonossoff, George P.; Osbourn, Anne

    2013-01-01

    Operon-like gene clusters are an emerging phenomenon in the field of plant natural products. The genes encoding some of the best-characterized plant secondary metabolite biosynthetic pathways are scattered across plant genomes. However, an increasing number of gene clusters encoding the synthesis of diverse natural products have recently been reported in plant genomes. These clusters have arisen through the neo-functionalization and relocation of existing genes within the genome, and not by horizontal gene transfer from microbes. The reasons for clustering are not yet clear, although this form of gene organization is likely to facilitate co-inheritance and co-regulation. Oats (Avena spp) synthesize antimicrobial triterpenoids (avenacins) that provide protection against disease. The synthesis of these compounds is encoded by a gene cluster. Here we show that a module of three adjacent genes within the wider biosynthetic gene cluster is required for avenacin acylation. Through the characterization of these genes and their encoded proteins we present a model of the subcellular organization of triterpenoid biosynthesis. PMID:23532069

  10. Gene co-expression network analysis in Rhodobacter capsulatus and application to comparative expression analysis of Rhodobacter sphaeroides

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pena-Castillo, Lourdes; Mercer, Ryan; Gurinovich, Anastasia

    2014-08-28

    annotation. We identified R. capsulatus modules enriched with genes for ribosomal proteins, porphyrin and bacteriochlorophyll anabolism, and biosynthesis of secondary metabolites to be preserved in R. sphaeroides whereas modules related to RcGTA production and signalling showed lack of preservation in R. sphaeroides. In addition, we demonstrated that network statistics may also be applied within-species to identify congruence between mRNA expression and protein abundance data for which simple correlation measurements have previously had mixed results.« less

  11. Engineering cell wall synthesis mechanism for enhanced PHB accumulation in E. coli.

    PubMed

    Zhang, Xing-Chen; Guo, Yingying; Liu, Xu; Chen, Xin-Guang; Wu, Qiong; Chen, Guo-Qiang

    2018-01-01

    The rigidity of bacterial cell walls synthesized by a complicated pathway limit the cell shapes as coccus, bar or ellipse or even fibers. A less rigid bacterium could be beneficial for intracellular accumulation of poly-3-hydroxybutyrate (PHB) as granular inclusion bodies. To understand how cell rigidity affects PHB accumulation, E. coli cell wall synthesis pathway was reinforced and weakened, respectively. Cell rigidity was achieved by thickening the cell walls via insertion of a constitutive gltA (encoding citrate synthase) promoter in front of a series of cell wall synthesis genes on the chromosome of several E. coli derivatives, resulting in 1.32-1.60 folds increase of Young's modulus in mechanical strength for longer E. coli cells over-expressing fission ring FtsZ protein inhibiting gene sulA. Cell rigidity was weakened by down regulating expressions of ten genes in the cell wall synthesis pathway using CRISPRi, leading to elastic cells with more spaces for PHB accumulation. The regulation on cell wall synthesis changes the cell rigidity: E. coli with thickened cell walls accumulated only 25% PHB while cell wall weakened E. coli produced 93% PHB. Manipulation on cell wall synthesis mechanism adds another possibility to morphology engineering of microorganisms. Copyright © 2017 International Metabolic Engineering Society. Published by Elsevier Inc. All rights reserved.

  12. Effects of Titanium Dioxide Nanoparticles on the Synthesis of Fibroin in Silkworm (Bombyx mori).

    PubMed

    Ni, Min; Li, FanChi; Tian, JiangHai; Hu, JingSheng; Zhang, Hua; Xu, KaiZun; Wang, BinBin; Li, YangYang; Shen, WeiDe; Li, Bing

    2015-08-01

    Silkworm (Bombyx mori) is an economically important insect, and its silk production capacity largely depends on its ability to synthesize fibroin. While breeding of B. mori varieties has been a key strategy to improve silk production, little improvement of B. mori silk production has been achieved to date. As a result, the development of sericulture economy has not progressed well, pointing to the need of new ways for improvement of B. mori silk production. Titanium dioxide nanoparticles (TiO2 NPs), a food additive widely used for livestock, have been shown to promote animal growth and increase the protein synthesis in animals. However, no studies on effect of TiO2 NPs on fibroin synthesis in B. mori have been available. In this study, the differential expression profiles of genes and proteins in the silk gland of B. mori fed without or with TiO2 NPs (5 μg ml(-1)) were analyzed and compared using digital gene expression (DGE), reverse transcription quantitative polymerase chain reaction (RT-qPCR), semi-qPCR, and Western blot analysis. The effects of TiO2 NPs feeding on the activity of proteases in the midgut and the synthesis and transportation of amino acids in hemolymph were also investigated. DGE analyses showed that among a total of 4,741 genes detected, 306 genes were differentially expressed after the TiO2 NPs feeding, of which 137 genes were upregulated whereas 169 genes were downregulated. 106 genes were shown to be involved in fibroin synthesis, of which 97 genes, including those encoding cuticular protein glycine-rich 10, serine protease inhibitor 28, aspartate aminotransferase, lysyl-tRNA synthetase, and splicing factor arginine/serine-rich 6, and silk gland factor-1 (SGF-1), were upregulated with the maximum induction of 8.52-folds, whereas nine genes, including those encoding aspartylglucosaminidase, the cathepsin L in Tribolium castaneum, and similar to SPRY domain-containing SOCS box protein 3, were downregulated with the maximum reduction of 8

  13. Simultaneous Hypoxia and Low Extracellular pH Suppress Overall Metabolic Rate and Protein Synthesis In Vitro

    PubMed Central

    Sørensen, Brita Singers; Busk, Morten; Overgaard, Jens; Horsman, Michael R.; Alsner, Jan

    2015-01-01

    Background The tumor microenvironment is characterized by regions of hypoxia and acidosis which are linked to poor prognosis. This occurs due to an aberrant vasculature as well as high rates of glycolysis and lactate production in tumor cells even in the presence of oxygen (the Warburg effect), which weakens the spatial linkage between hypoxia and acidosis. Methods Five different human squamous cell carcinoma cell lines (SiHa, FaDuDD, UTSCC5, UTSCC14 and UTSCC15) were treated with hypoxia, acidosis (pH 6.3), or a combination, and gene expression analyzed using microarray. SiHa and FaDuDD were chosen for further characterization of cell energetics and protein synthesis. Total cellular ATP turnover and relative glycolytic dependency was determined by simultaneous measurements of oxygen consumption and lactate synthesis rates and total protein synthesis was determined by autoradiographic quantification of the incorporation of 35S-labelled methionine and cysteine into protein. Results Microarray analysis allowed differentiation between genes induced at low oxygen only at normal extracellular pH (pHe), genes induced at low oxygen at both normal and low pHe, and genes induced at low pHe independent of oxygen concentration. Several genes were found to be upregulated by acidosis independent of oxygenation. Acidosis resulted in a more wide-scale change in gene expression profiles than hypoxia including upregulation of genes involved in the translation process, for example Eukaryotic translation initiation factor 4A, isoform 2 (EIF4A2), and Ribosomal protein L37 (RPL37). Acidosis suppressed overall ATP turnover and protein synthesis by 50%. Protein synthesis, but not total ATP production, was also suppressed under hypoxic conditions. A dramatic decrease in ATP turnover (SiHa) and protein synthesis (both cell lines) was observed when hypoxia and low pHe were combined. Conclusions We demonstrate here that the influence of hypoxia and acidosis causes different responses, both

  14. Sugar-mediated semidian oscillation of gene expression in the cassava storage root regulates starch synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jansson, Christer; Baguma, Yona; Sun, Chuanxin

    Starch branching enzyme (SBE) activity in the cassava storage root exhibited a diurnal fluctuation, dictated by a transcriptional oscillation of the corresponding SBE genes. The peak of SBE activity coincided with the onset of sucrose accumulation in the storage, and we conclude that the oscillatory mechanism keeps the starch synthetic apparatus in the storage root sink in tune with the flux of sucrose from the photosynthetic source. When storage roots were uncoupled from the source, SBE expression could be effectively induced by exogenous sucrose. Turanose, a sucrose isomer that cannot be metabolized by plants, mimicked the effect of sucrose, demonstratingmore » that downstream metabolism of sucrose was not necessary for signal transmission. Also glucose and glucose-1-P induced SBE expression. Interestingly, induction by sucrose, turanose and glucose but not glucose-1-P sustained an overt semidian (12-h) oscillation in SBE expression and was sensitive to the hexokinase (HXK) inhibitor glucosamine. These results suggest a pivotal regulatory role for HXK during starch synthesis. Abscisic acid (ABA) was another potent inducer of SBE expression. Induction by ABA was similar to that of glucose-1-P in that it bypassed the semidian oscillator. Both the sugar and ABA signaling cascades were disrupted by okadaic acid, a protein phosphatase inhibitor. Based on these findings, we propose a model for sugar signaling in regulation of starch synthesis in the cassava storage root.« less

  15. Development of Novel Polymeric Materials for Gene Therapy and pH-Sensitive Drug Delivery: Modeling, Synthesis, Characterization, and Analysis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anderson, Brian Curtis

    2002-01-01

    The underlying theme of this thesis is the use of polymeric materials in bioapplications. Chapters 2-5 either develop a fundamental understanding of current materials used for bioapplications or establish protocols and procedures used in characterizing and synthesizing novel materials. In chapters 6 and 7 these principles and procedures are applied to the development of materials to be used for gene therapy and drug delivery. Chapter one is an introduction to the ideas that will be necessary to understand the subsequent chapters, as well as a literature review of these topics. Chapter two is a paper that has been published inmore » the ''Journal of Controlled Release'' that examines the mechanism of drug release from a polymer gel, as well as experimental design suggestions for the evaluation of water soluble drug delivery systems. Chapter three is a paper that has been published in the ''Journal of Pharmaceutical Sciences'' that discusses the effect ionic salts have on properties of the polymer systems examined in chapter two. Chapter four is a paper published in the Materials Research Society Fall 2000 Symposium Series dealing with the design and synthesis of a pH-sensitive polymeric drug delivery device. Chapter five is a paper that has been published in the journal ''Biomaterials'' proposing a novel polymer/metal composite for use as a biomaterial in hip arthroplasty surgery. Chapter six is a paper that will appear in an upcoming volume of the Journal ''Biomaterials'' dealing with the synthesis of a novel water soluble cationic polymer with possible applications in non-viral gene therapy. Chapter seven is a paper that has been submitted to ''Macromolecules'' discussing several novel block copolymers based on poly(ethylene glycol) and poly(diethylamino ethyl methacrylate) that possess both pH-sensitive and temperature sensitive properties. Chapter eight contains a summary of the research contained in chapters 2-7 and proposes future research for the gene

  16. Fluctuations and synchrony of RNA synthesis in nucleoli.

    PubMed

    Pliss, Artem; Kuzmin, Andrey N; Kachynski, Aliaksandr V; Baev, Alexander; Berezney, Ronald; Prasad, Paras N

    2015-06-01

    Ribosomal RNA (rRNA) sequences are synthesized at exceptionally high rates and, together with ribosomal proteins (r-proteins), are utilized as building blocks for the assembly of pre-ribosomal particles. Although it is widely acknowledged that tight regulation and coordination of rRNA and r-protein production are fundamentally important for the maintenance of cellular homeostasis, still little is known about the real-time kinetics of the ribosome component synthesis in individual cells. In this communication we introduce a label-free MicroRaman spectrometric approach for monitoring rRNA synthesis in live cultured cells. Remarkably high and rapid fluctuations of rRNA production rates were revealed by this technique. Strikingly, the changes in the rRNA output were synchronous for ribosomal genes located in separate nucleoli of the same cell. Our findings call for the development of new concepts to elucidate the coordination of ribosomal components production. In this regard, numerical modeling further demonstrated that the production of rRNA and r-proteins can be coordinated, regardless of the fluctuations in rRNA synthesis. Overall, our quantitative data reveal a spectacular interplay of inherently stochastic rates of RNA synthesis and the coordination of gene expression.

  17. Ursolic Acid Inhibits Na+/K+-ATPase Activity and Prevents TNF-α-Induced Gene Expression by Blocking Amino Acid Transport and Cellular Protein Synthesis

    PubMed Central

    Yokomichi, Tomonobu; Morimoto, Kyoko; Oshima, Nana; Yamada, Yuriko; Fu, Liwei; Taketani, Shigeru; Ando, Masayoshi; Kataoka, Takao

    2011-01-01

    Pro-inflammatory cytokines, such as tumor necrosis factor (TNF)-α, induce the expression of a wide variety of genes, including intercellular adhesion molecule-1 (ICAM-1). Ursolic acid (3β-hydroxy-urs-12-en-28-oic acid) was identified to inhibit the cell-surface ICAM-1 expression induced by pro-inflammatory cytokines in human lung carcinoma A549 cells. Ursolic acid was found to inhibit the TNF-α-induced ICAM-1 protein expression almost completely, whereas the TNF-α-induced ICAM-1 mRNA expression and NF-κB signaling pathway were decreased only partially by ursolic acid. In line with these findings, ursolic acid prevented cellular protein synthesis as well as amino acid uptake, but did not obviously affect nucleoside uptake and the subsequent DNA/RNA syntheses. This inhibitory profile of ursolic acid was similar to that of the Na+/K+-ATPase inhibitor, ouabain, but not the translation inhibitor, cycloheximide. Consistent with this notion, ursolic acid was found to inhibit the catalytic activity of Na+/K+-ATPase. Thus, our present study reveals a novel molecular mechanism in which ursolic acid inhibits Na+/K+-ATPase activity and prevents the TNF-α-induced gene expression by blocking amino acid transport and cellular protein synthesis. PMID:24970122

  18. Proteomic analysis to unravel the effect of heat stress on gene expression and milk synthesis in bovine mammary epithelial cells.

    PubMed

    Li, Lian; Wang, Yiru; Li, Chengmin; Wang, Genlin

    2017-12-01

    Heat stress can play a negative effect on milk yield and composition of dairy cattle, leading to immeasurable economic loss. The basic components of the mammary gland are the alveoli; these alveolar mammary epithelial cells reflect the milk producing ability of dairy cows. In this study, we exposed bovine mammary epithelial cells to heat stress and compared them to a control group using isobaric tags for relative and absolute quantitation combined with liquid chromatography coupled with tandem mass spectrometry. Compared with a control group, 104 differentially elevated proteins (>1.3-fold) and 167 decreased proteins (<0.77-fold) were identified in the heat treatment group. Gene Ontology analysis identified a majority of the differentially expressed proteins are associated in cell-substrate junction assembly, catabolic processes and metabolic processes. Some of these significantly regulated proteins were related to the synthesis and secretion of milk, such as milk protein and fat. This finding was further supported by the results obtained from the reduced β-casein expression through the system of plasminogen activator - plasminogen - plasmin and decreased fatty acid synthase could partly explain why milk fat synthesis ability of dairy cows decreased under heat stress. Our results highlight the effects of heat stress on synthesis of milk protein and fat, thus providing additional clues for further studies of heat stress on dairy milk production. © 2017 Japanese Society of Animal Science.

  19. Dynamics of energy transfer from lycopene to bacteriochlorophyll in genetically-modified LH2 complexes of Rhodobacter sphaeroides.

    PubMed

    Hörvin Billsten, H; Herek, J L; Garcia-Asua, G; Hashøj, L; Polívka, T; Hunter, C N; Sundström, V

    2002-03-26

    LH2 complexes from Rb. sphaeroides were modified genetically so that lycopene, with 11 saturated double bonds, replaced the native carotenoids which contain 10 saturated double bonds. Tuning the S1 level of the carotenoid in LH2 in this way affected the dynamics of energy transfer within LH2, which were investigated using both steady-state and time-resolved techniques. The S1 energy of lycopene in n-hexane was determined to be approximately 12 500 +/- 150 cm(-1), by direct measurement of the S1-S2 transient absorption spectrum using a femtosecond IR-probing technique, thus placing an upper limit on the S1 energy of lycopene in the LH2 complex. Fluorescence emission and excitation spectra demonstrated that energy can be transferred from lycopene to the bacteriochlorophyll molecules within this LH2 complex. The energy-transfer dynamics within the mutant complex were compared to wild-type LH2 from Rb. sphaeroides containing the carotenoid spheroidene and from Rs. molischianum, in which lycopene is the native carotenoid. The results show that the overall efficiency for Crt --> B850 energy transfer is approximately 80% in lyco-LH2 and approximately 95% in WT-LH2 of Rb. sphaeroides. The difference in overall Crt --> BChl transfer efficiency of lyco-LH2 and WT-LH2 mainly relates to the low efficiency of the Crt S(1) --> BChl pathway for complexes containing lycopene, which was 20% in lyco-LH2. These results show that in an LH2 complex where the Crt S1 energy is sufficiently high to provide efficient spectral overlap with both B800 and B850 Q(y) states, energy transfer via the Crt S1 state occurs to both pigments. However, the introduction of lycopene into the Rb. sphaeroides LH2 complex lowers the S1 level of the carotenoid sufficiently to prevent efficient transfer of energy to the B800 Q(y) state, leaving only the Crt S1 --> B850 channel, strongly suggesting that Crt S1 --> BChl energy transfer is controlled by the relative Crt S1 and BChl Q(y) energies.

  20. Engineering Sialic Acid Synthesis Ability in Insect Cells.

    PubMed

    Viswanathan, Karthik; Narang, Someet; Betenbaugh, Michael J

    2015-01-01

    Insect cells lack the ability to synthesize the sialic acid donor molecule CMP-sialic acid or its precursor, sialic acid. In this chapter, we describe a method to engineer CMP-sialic acid synthesis capability into Spodoptera frugiperda (Sf9) cells, a prototypical insect cell line, by recombinant expression of sialic acid synthesis pathway genes using baculovirus technology. Co-expression of a sialuria mutant UDP-GlcNAc-2-epimerase/ManNAc kinase (EKR263L), wild-type sialic acid 9-phosphate synthase (SAS), and wild-type CMP-sialic acid synthetase (CSAS) in the presence of GlcNAc leads to synthesis of CMP-sialic acids synthesis to support sialylation of N-glycans on glycoproteins.

  1. Reassessment of the Genetic Regulation of Fatty Acid Synthesis in Escherichia coli: Global Positive Control by the Dual Functional Regulator FadR

    PubMed Central

    My, L.; Ghandour Achkar, N.; Viala, J. P.

    2015-01-01

    ABSTRACT In Escherichia coli, the FadR transcriptional regulator represses the expression of fatty acid degradation (fad) genes. However, FadR is also an activator of the expression of fabA and fabB, two genes involved in unsaturated fatty acid synthesis. Therefore, FadR plays an important role in maintaining the balance between saturated and unsaturated fatty acids in the membrane. We recently showed that FadR also activates the promoter upstream of the fabH gene (L. My, B. Rekoske, J. J. Lemke, J. P. Viala, R. L. Gourse, and E. Bouveret, J Bacteriol 195:3784–3795, 2013, doi:10.1128/JB.00384-13). Furthermore, recent transcriptomic and proteomic data suggested that FadR activates the majority of fatty acid (FA) synthesis genes. In the present study, we tested the role of FadR in the expression of all genes involved in FA synthesis. We found that FadR activates the transcription of all tested FA synthesis genes, and we identified the FadR binding site for each of these genes. This necessitated the reassessment of the transcription start sites for accA and accB genes described previously, and we provide evidence for the presence of multiple promoters driving the expression of these genes. We showed further that regulation by FadR impacts the amount of FA synthesis enzymes in the cell. Our results show that FadR is a global regulator of FA metabolism in E. coli, acting both as a repressor of catabolism and an activator of anabolism, two directly opposing pathways. IMPORTANCE In most bacteria, a transcriptional regulator tunes the level of FA synthesis enzymes. Oddly, such a global regulator still was missing for E. coli, which nonetheless is one of the prominent model bacteria used for engineering biofuel production using the FA synthesis pathway. Our work identifies the FadR functional dual regulator as a global activator of almost all FA synthesis genes in E. coli. Because FadR also is the repressor of FA degradation, FadR acts both as a repressor and an activator

  2. A mitochondrial locus is necessary for the synthesis of mitochondrial tRNA in the yeast Saccharomyces cerevisiae.

    PubMed Central

    Martin, N C; Underbrink-Lyon, K

    1981-01-01

    We have used a cloned yeast mitochondrial tRNAUCNSer gene as a probe to detect RNA species that are transcripts from this gene in wild-type Saccharomyces cerevisiae and in petite deletion mutants. In RNA from wild-type cells, the tRNA is the most prominent transcript of the gene. In RNA from deletion mutants that retain this gene but have lost other regions of mtDNA, high molecular weight transcripts containing the tRNAUCNSer sequences accumulate but tRNAUCNSer is not made. tRNAUCNSer synthesis can be restored in these mutants when they are mated to other deletion mutants that retain a different portion of the mitochondrial genome. Protein synthesis is not necessary for the restoration, and the restoration is not due to a nuclear effect or to an effect of mating alone, because strains without mtDNA are not able to restore tRNA synthesis. These results definitively demonstrate the existence of a yeast mitochondrial locus that is necessary for tRNA synthesis and, because the restoration of tRNAUCNSer synthesis appears to result from intergenic complementation, not recombination, indicate that this locus acts in trans. Images PMID:6795621

  3. Cell-free protein synthesis and assembly on a biochip

    NASA Astrophysics Data System (ADS)

    Heyman, Yael; Buxboim, Amnon; Wolf, Sharon G.; Daube, Shirley S.; Bar-Ziv, Roy H.

    2012-06-01

    Biologically active complexes such as ribosomes and bacteriophages are formed through the self-assembly of proteins and nucleic acids. Recapitulating these biological self-assembly processes in a cell-free environment offers a way to develop synthetic biodevices. To visualize and understand the assembly process, a platform is required that enables simultaneous synthesis, assembly and imaging at the nanoscale. Here, we show that a silicon dioxide grid, used to support samples in transmission electron microscopy, can be modified into a biochip to combine in situ protein synthesis, assembly and imaging. Light is used to pattern the biochip surface with genes that encode specific proteins, and antibody traps that bind and assemble the nascent proteins. Using transmission electron microscopy imaging we show that protein nanotubes synthesized on the biochip surface in the presence of antibody traps efficiently assembled on these traps, but pre-assembled nanotubes were not effectively captured. Moreover, synthesis of green fluorescent protein from its immobilized gene generated a gradient of captured proteins decreasing in concentration away from the gene source. This biochip could be used to create spatial patterns of proteins assembled on surfaces.

  4. Next generation macrocyclic and acyclic cationic lipids for gene transfer: Synthesis and in vitro evaluation.

    PubMed

    Jubeli, Emile; Maginty, Amanda B; Abdul Khalique, Nada; Raju, Liji; Abdulhai, Mohamad; Nicholson, David G; Larsen, Helge; Pungente, Michael D; Goldring, William P D

    2015-10-01

    Previously we reported the synthesis and in vitro evaluation of four novel, short-chain cationic lipid gene delivery vectors, characterized by acyclic or macrocyclic hydrophobic regions composed of, or derived from, two 7-carbon chains. Herein we describe a revised synthesis of an expanded library of related cationic lipids to include extended chain analogues, their formulation with plasmid DNA (pDNA) and in vitro delivery into Chinese hamster ovarian (CHO-K1) cells. The formulations were evaluated against each other based on structural differences in the hydrophobic domain and headgroup. Structurally the library is divided into four sets based on lipids derived from two 7- or two 11-carbon hydrophobic chains, C7 and C11 respectively, which possess either a dimethylamine or a trimethylamine derived headgroup. Each set includes four cationic lipids based on an acyclic or macrocyclic, saturated or unsaturated hydrophobic domain. All lipids were co-formulated with the commercial cationic lipid 1,2-dimyristoyl-sn-glycero-3-ethylphosphocholine (EPC) in a 1:1 molar ratio, along with one of two distinct neutral co-lipids, cholesterol or 1,2-dioleoyl-sn-glycero-3-phosphoethanolamine (DOPE) in an overall cationic-to-neutral lipid molar ratio of 3:2. Binding of lipid formulations with DNA, and packing morphology associated with the individual lipid-DNA complexes were characterized by gel electrophoresis and small angle X-ray diffraction (SAXD), respectively. As a general trend, lipoplex formulations based on mismatched binary cationic lipids, composed of a shorter C7 lipid and the longer lipid EPC (C14), were generally associated with higher transfection efficiency and lower cytotoxicity than their more closely matched C11/EPC binary lipid formulation counterparts. Furthermore, the cyclic lipids gave transfection levels as high as or greater than their acyclic counterparts, and formulations with cholesterol exhibited higher transfection and lower cytotoxicity than those

  5. Impact of unusual fatty acid synthesis on futile cycling through beta-oxidation and on gene expression in transgenic plants.

    PubMed

    Moire, Laurence; Rezzonico, Enea; Goepfert, Simon; Poirier, Yves

    2004-01-01

    Arabidopsis expressing the castor bean (Ricinus communis) oleate 12-hydroxylase or the Crepis palaestina linoleate 12-epoxygenase in developing seeds typically accumulate low levels of ricinoleic acid and vernolic acid, respectively. We have examined the presence of a futile cycle of fatty acid degradation in developing seeds using the synthesis of polyhydroxyalkanoate (PHA) from the intermediates of the peroxisomal beta-oxidation cycle. Both the quantity and monomer composition of the PHA synthesized in transgenic plants expressing the 12-epoxygenase and 12-hydroxylase in developing seeds revealed the presence of a futile cycle of degradation of the corresponding unusual fatty acids, indicating a limitation in their stable integration into lipids. The expression profile of nearly 200 genes involved in fatty acid biosynthesis and degradation has been analyzed through microarray. No significant changes in gene expression have been detected as a consequence of the activity of the 12-epoxygenase or the 12-hydroxylase in developing siliques. Similar results have also been obtained for transgenic plants expressing the Cuphea lanceolata caproyl-acyl carrier protein thioesterase and accumulating high amounts of caproic acid. Only in developing siliques of the tag1 mutant, deficient in the accumulation of triacylglycerols and shown to have a substantial futile cycling of fatty acids toward beta-oxidation, have some changes in gene expression been detected, notably the induction of the isocitrate lyase gene. These results indicate that analysis of peroxisomal PHA is a better indicator of the flux of fatty acid through beta-oxidation than the expression profile of genes involved in lipid metabolism.

  6. Identification and Characterization of a Novel Biotin Biosynthesis Gene in Saccharomyces cerevisiae

    PubMed Central

    Wu, Hong; Ito, Kiyoshi; Shimoi, Hitoshi

    2005-01-01

    Yeast Saccharomyces cerevisiae cells generally cannot synthesize biotin, a vitamin required for many carboxylation reactions. Although sake yeasts, which are used for Japanese sake brewing, are classified as S. cerevisiae, they do not require biotin for their growth. In this study, we identified a novel open reading frame (ORF) in the genome of one strain of sake yeast that we speculated to be involved in biotin synthesis. Homologs of this gene are widely distributed in the genomes of sake yeasts. However, they are not found in many laboratory strains and strains used for wine making and beer brewing. This ORF was named BIO6 because it has 52% identity with BIO3, a biotin biosynthesis gene of a laboratory strain. Further research showed that yeasts without the BIO6 gene are auxotrophic for biotin, whereas yeasts holding the BIO6 gene are prototrophic for biotin. The BIO6 gene was disrupted in strain A364A, which is a laboratory strain with one copy of the BIO6 gene. Although strain A364A is prototrophic for biotin, a BIO6 disrupted mutant was found to be auxotrophic for biotin. The BIO6 disruptant was able to grow in biotin-deficient medium supplemented with 7-keto-8-amino-pelargonic acid (KAPA), while the bio3 disruptant was not able to grow in this medium. These results suggest that Bio6p acts in an unknown step of biotin synthesis before KAPA synthesis. Furthermore, we demonstrated that expression of the BIO6 gene, like that of other biotin synthesis genes, was upregulated by depletion of biotin. We conclude that the BIO6 gene is a novel biotin biosynthesis gene of S. cerevisiae. PMID:16269718

  7. Missense mutation of the COQ2 gene causes defects of bioenergetics and de novo pyrimidine synthesis.

    PubMed

    López-Martín, José M; Salviati, Leonardo; Trevisson, Eva; Montini, Giovanni; DiMauro, Salvatore; Quinzii, Catarina; Hirano, Michio; Rodriguez-Hernandez, Angeles; Cordero, Mario D; Sánchez-Alcázar, José A; Santos-Ocaña, Carlos; Navas, Plácido

    2007-05-01

    Coenzyme Q(10) (CoQ(10)) deficiency has been associated with an increasing number of clinical phenotypes that respond to CoQ(10) supplementation. In two siblings with encephalomyopathy, nephropathy and severe CoQ(10) deficiency, a homozygous mutation was identified in the CoQ(10) biosynthesis gene COQ2, encoding polyprenyl-pHB transferase. To confirm the pathogenicity of this mutation, we have demonstrated that human wild-type, but not mutant COQ2, functionally complements COQ2 defective yeast. In addition, an equivalent mutation introduced in the yeast COQ2 gene also decreases both CoQ(6) concentration and growth in respiratory-chain dependent medium. Polyprenyl-pHB transferase activity was 33-45% of controls in COQ2 mutant fibroblasts. CoQ-dependent mitochondrial complexes activities were restored in deficient fibroblasts by CoQ(10) supplementation, and growth rate was restored in these cells by either CoQ(10) or uridine supplementation. This work is the first direct demonstration of the pathogenicity of a COQ2 mutation involved in human disease, and establishes yeast as a useful model to study human CoQ(10) deficiency. Moreover, we demonstrate that CoQ(10) deficiency in addition to the bioenergetics defect also impairs de novo pyrimidine synthesis, which may contribute to the pathogenesis of the disease.

  8. Microarray-based gene expression profiling to elucidate effectiveness of fermented Codonopsis lanceolata in mice.

    PubMed

    Choi, Woon Yong; Kim, Ji Seon; Park, Sung Jin; Ma, Choong Je; Lee, Hyeon Yong

    2014-04-08

    In this study, the effect of Codonopsis lanceolata fermented by lactic acid on controlling gene expression levels related to obesity was observed in an oligonucleotide chip microarray. Among 8170 genes, 393 genes were up regulated and 760 genes were down regulated in feeding the fermented C. lanceolata (FCL). Another 374 genes were up regulated and 527 genes down regulated without feeding the sample. The genes were not affected by the FCL sample. It was interesting that among those genes, Chytochrome P450, Dmbt1, LOC76487, and thyroid hormones, etc., were mostly up or down regulated. These genes are more related to lipid synthesis. We could conclude that the FCL possibly controlled the gene expression levels related to lipid synthesis, which resulted in reducing obesity. However, more detailed protein expression experiments should be carried out.

  9. A murC gene in Porphyromonas gingivalis 381.

    PubMed

    Ansai, T; Yamashita, Y; Awano, S; Shibata, Y; Wachi, M; Nagai, K; Takehara, T

    1995-09-01

    The gene encoding a 51 kDa polypeptide of Porphyromonas gingivalis 381 was isolated by immunoblotting using an antiserum raised against P. gingivalis alkaline phosphatase. DNA sequence analysis of a 2.5 kb DNA fragment containing a gene encoding the 51 kDa protein revealed one complete and two incomplete ORFs. Database searches using the FASTA program revealed significant homology between the P. gingivalis 51 kDa protein and the MurC protein of Escherichia coli, which functions in peptidoglycan synthesis. The cloned 51 kDa protein encoded a functional product that complemented an E. coli murC mutant. Moreover, the ORF just upstream of murC coded for a protein that was 31% homologous with the E. coli MurG protein. The ORF just downstream of murC coded for a protein that was 17% homologous with the Streptococcus pneumoniae penicillin-binding protein 2B (PBP2B), which functions in peptidoglycan synthesis and is responsible for antibiotic resistance. These results suggest that P. gingivalis contains a homologue of the E. coli peptidoglycan synthesis gene murC and indicate the possibility of a cluster of genes responsible for cell division and cell growth, as in the E. coli mra region.

  10. Ethylene Synthesis Regulated by Biphasic Induction of 1-Aminocyclopropane-1-Carboxylic Acid Synthase and 1-Aminocyclopropane-1-Carboxylic Acid Oxidase Genes Is Required for Hydrogen Peroxide Accumulation and Cell Death in Ozone-Exposed Tomato1

    PubMed Central

    Moeder, Wolfgang; Barry, Cornelius S.; Tauriainen, Airi A.; Betz, Christian; Tuomainen, Jaana; Utriainen, Merja; Grierson, Donald; Sandermann, Heinrich; Langebartels, Christian; Kangasjärvi, Jaakko

    2002-01-01

    We show that above a certain threshold concentration, ozone leads to leaf injury in tomato (Lycopersicon esculentum). Ozone-induced leaf damage was preceded by a rapid increase in 1-aminocyclopropane-1-carboxylic acid (ACC) synthase activity, ACC content, and ethylene emission. Changes in mRNA levels of specific ACC synthase, ACC oxidase, and ethylene receptor genes occurred within 1 to 5 h. Expression of the genes encoding components of ethylene biosynthesis and perception, and biochemistry of ethylene synthesis suggested that ozone-induced ethylene synthesis in tomato is under biphasic control. In transgenic plants containing an LE-ACO1 promoter-β-glucuronidase fusion construct, β-glucuronidase activity increased rapidly at the beginning of the O3 exposure and had a spatial distribution resembling the pattern of extracellular H2O2 production at 7 h, which coincided with the cell death pattern after 24 h. Ethylene synthesis and perception were required for active H2O2 production and cell death resulting in visible tissue damage. The results demonstrate a selective ozone response of ethylene biosynthetic genes and suggest a role for ethylene, in combination with the burst of H2O2 production, in regulating the spread of cell death. PMID:12481074

  11. Microarray-Based Analysis of the Differential Expression of Melanin Synthesis Genes in Dark and Light-Muzzle Korean Cattle

    PubMed Central

    Kim, Sang Hwan; Hwang, Sue Yun; Yoon, Jong Taek

    2014-01-01

    The coat color of mammals is determined by the melanogenesis pathway, which is responsible for maintaining the balance between black-brown eumelanin and yellow-reddish pheomelanin. It is also believed that the color of the bovine muzzle is regulated in a similar manner; however, the molecular mechanism underlying pigment deposition in the dark-muzzle has yet to be elucidated. The aim of the present study was to identify melanogenesis-associated genes that are differentially expressed in the dark vs. light muzzle of native Korean cows. Using microarray clustering and real-time polymerase chain reaction techniques, we observed that the expression of genes involved in the mitogen-activated protein kinase (MAPK) and Wnt signaling pathways is distinctively regulated in the dark and light muzzle tissues. Differential expression of tyrosinase was also noticed, although the difference was not as distinct as those of MAPK and Wnt. We hypothesize that emphasis on the MAPK pathway in the dark-muzzle induces eumelanin synthesis through the activation of cAMP response element-binding protein and tyrosinase, while activation of Wnt signaling counteracts this process and raises the amount of pheomelanin in the light-muzzle. We also found 2 novel genes (GenBank No. NM-001076026 and XM-588439) with increase expression in the black nose, which may provide additional information about the mechanism of nose pigmentation. Regarding the increasing interest in the genetic diversity of cattle stocks, genes we identified for differential expression in the dark vs. light muzzle may serve as novel markers for genetic diversity among cows based on the muzzle color phenotype. PMID:24811126

  12. Microarray-based analysis of the differential expression of melanin synthesis genes in dark and light-muzzle Korean cattle.

    PubMed

    Kim, Sang Hwan; Hwang, Sue Yun; Yoon, Jong Taek

    2014-01-01

    The coat color of mammals is determined by the melanogenesis pathway, which is responsible for maintaining the balance between black-brown eumelanin and yellow-reddish pheomelanin. It is also believed that the color of the bovine muzzle is regulated in a similar manner; however, the molecular mechanism underlying pigment deposition in the dark-muzzle has yet to be elucidated. The aim of the present study was to identify melanogenesis-associated genes that are differentially expressed in the dark vs. light muzzle of native Korean cows. Using microarray clustering and real-time polymerase chain reaction techniques, we observed that the expression of genes involved in the mitogen-activated protein kinase (MAPK) and Wnt signaling pathways is distinctively regulated in the dark and light muzzle tissues. Differential expression of tyrosinase was also noticed, although the difference was not as distinct as those of MAPK and Wnt. We hypothesize that emphasis on the MAPK pathway in the dark-muzzle induces eumelanin synthesis through the activation of cAMP response element-binding protein and tyrosinase, while activation of Wnt signaling counteracts this process and raises the amount of pheomelanin in the light-muzzle. We also found 2 novel genes (GenBank No. NM-001076026 and XM-588439) with increase expression in the black nose, which may provide additional information about the mechanism of nose pigmentation. Regarding the increasing interest in the genetic diversity of cattle stocks, genes we identified for differential expression in the dark vs. light muzzle may serve as novel markers for genetic diversity among cows based on the muzzle color phenotype.

  13. Gene expression complex networks: synthesis, identification, and analysis.

    PubMed

    Lopes, Fabrício M; Cesar, Roberto M; Costa, Luciano Da F

    2011-10-01

    Thanks to recent advances in molecular biology, allied to an ever increasing amount of experimental data, the functional state of thousands of genes can now be extracted simultaneously by using methods such as cDNA microarrays and RNA-Seq. Particularly important related investigations are the modeling and identification of gene regulatory networks from expression data sets. Such a knowledge is fundamental for many applications, such as disease treatment, therapeutic intervention strategies and drugs design, as well as for planning high-throughput new experiments. Methods have been developed for gene networks modeling and identification from expression profiles. However, an important open problem regards how to validate such approaches and its results. This work presents an objective approach for validation of gene network modeling and identification which comprises the following three main aspects: (1) Artificial Gene Networks (AGNs) model generation through theoretical models of complex networks, which is used to simulate temporal expression data; (2) a computational method for gene network identification from the simulated data, which is founded on a feature selection approach where a target gene is fixed and the expression profile is observed for all other genes in order to identify a relevant subset of predictors; and (3) validation of the identified AGN-based network through comparison with the original network. The proposed framework allows several types of AGNs to be generated and used in order to simulate temporal expression data. The results of the network identification method can then be compared to the original network in order to estimate its properties and accuracy. Some of the most important theoretical models of complex networks have been assessed: the uniformly-random Erdös-Rényi (ER), the small-world Watts-Strogatz (WS), the scale-free Barabási-Albert (BA), and geographical networks (GG). The experimental results indicate that the inference

  14. Characterization and analysis of CCR and CAD gene families at the whole-genome level for lignin synthesis of stone cells in pear (Pyrus bretschneideri) fruit

    PubMed Central

    Cheng, Xi; Li, Manli; Li, Dahui; Zhang, Jinyun; Jin, Qing; Sheng, Lingling; Lin, Yi

    2017-01-01

    ABSTRACT The content of stone cells has significant effects on the flavour and quality of pear fruit. Previous research suggested that lignin deposition is closely related to stone cell formation. In the lignin biosynthetic pathway, cinnamoyl-CoA reductase (CCR) and cinnamyl alcohol dehydrogenase (CAD), dehydrogenase/reductase family members, catalyse the last two steps in monolignol synthesis. However, there is little knowledge of the characteristics of the CCR and CAD families in pear and their involvement in lignin synthesis of stone cells. In this study, 31 CCRs and 26 CADs were identified in the pear genome. Phylogenetic trees for CCRs and CADs were constructed; key amino acid residues were analysed, and three-dimensional structures were predicted. Using quantitative real-time polymerase chain reaction (qRT-PCR), PbCAD2, PbCCR1, -2 and -3 were identified as participating in lignin synthesis of stone cells in pear fruit. Subcellular localization analysis showed that the expressed proteins (PbCAD2, PbCCR1, -2 and -3) are found in the cytoplasm or at the cell membrane. These results reveal the evolutionary features of the CCR and CAD families in pear as well as the genes responsible for regulation of lignin synthesis and stone cell development in pear fruit. PMID:29141952

  15. Efficiency, error and yield in light-directed maskless synthesis of DNA microarrays

    PubMed Central

    2011-01-01

    Background Light-directed in situ synthesis of DNA microarrays using computer-controlled projection from a digital micromirror device--maskless array synthesis (MAS)--has proved to be successful at both commercial and laboratory scales. The chemical synthetic cycle in MAS is quite similar to that of conventional solid-phase synthesis of oligonucleotides, but the complexity of microarrays and unique synthesis kinetics on the glass substrate require a careful tuning of parameters and unique modifications to the synthesis cycle to obtain optimal deprotection and phosphoramidite coupling. In addition, unintended deprotection due to scattering and diffraction introduce insertion errors that contribute significantly to the overall error rate. Results Stepwise phosphoramidite coupling yields have been greatly improved and are now comparable to those obtained in solid phase synthesis of oligonucleotides. Extended chemical exposure in the synthesis of complex, long oligonucleotide arrays result in lower--but still high--final average yields which approach 99%. The new synthesis chemistry includes elimination of the standard oxidation until the final step, and improved coupling and light deprotection. Coupling Insertions due to stray light are the limiting factor in sequence quality for oligonucleotide synthesis for gene assembly. Diffraction and local flare are by far the largest contributors to loss of optical contrast. Conclusions Maskless array synthesis is an efficient and versatile method for synthesizing high density arrays of long oligonucleotides for hybridization- and other molecular binding-based experiments. For applications requiring high sequence purity, such as gene assembly, diffraction and flare remain significant obstacles, but can be significantly reduced with straightforward experimental strategies. PMID:22152062

  16. Fine tuning of the spectral properties of LH2 by single amino acid residues.

    PubMed

    Silber, Martina V; Gabriel, Günther; Strohmann, Brigitte; Garcia-Martin, Adela; Robert, Bruno; Braun, Paula

    2008-05-01

    The peripheral light-harvesting complex, LH2, of Rhodobacter sphaeroides consists of an assembly of membrane-spanning alpha and beta polypeptides which assemble the photoactive bacteriochlorophyll and carotenoid molecules. In this study we systematically investigated bacteriochlorophyll-protein interactions and their effect on functional bacteriochlorophyll assembly by site-directed mutations of the LH2 alpha-subunit. The amino acid residues, isoleucine at position -1 and serine at position -4 were replaced by 12 and 13 other residues, respectively. All residues replacing isoleucine at position -1 supported the functional assembly of LH2. The replacement of isoleucine by glycine, glutamine or asparagine, however, produced LH2 complex with significantly altered spectral properties in comparison to LH2 WT. As indicated by resonance Raman spectroscopy extensive rearrangement of the bacteriochlorophyll-B850 macrocycle(s) took place in LH2 in which isoleucine -1 was replaced by glycine. The replacement results in disruption of the H-bond between the C3 acetyl groups and the aromatic residues +13/+14 without affecting the H-bond involving the C13(1) keto group. In contrast, nearly all amino acid replacements of serine at position -4 resulted in shifting of the bacteriochlorophyll-B850 red most absorption maximum. Interestingly, the extent of shifting closely correlated with the volume of the residue at position -4. These results illustrate that fine tuning of the spectral properties of the bacteriochlorophyll-B850 molecules depend on their packing with single amino acid residues at distinct positions.

  17. Identification of genes and gene clusters involved in mycotoxin synthesis

    USDA-ARS?s Scientific Manuscript database

    Research methods to identify and characterize genes involved in mycotoxin biosynthetic pathways have evolved considerably over the years. Before whole genome sequences were available (e.g. pre-genomics), work focused primarily on chemistry, biosynthetic mutant strains and molecular analysis of sing...

  18. A chain reaction approach to modelling gene pathways.

    PubMed

    Cheng, Gary C; Chen, Dung-Tsa; Chen, James J; Soong, Seng-Jaw; Lamartiniere, Coral; Barnes, Stephen

    2012-08-01

    BACKGROUND: Of great interest in cancer prevention is how nutrient components affect gene pathways associated with the physiological events of puberty. Nutrient-gene interactions may cause changes in breast or prostate cells and, therefore, may result in cancer risk later in life. Analysis of gene pathways can lead to insights about nutrient-gene interactions and the development of more effective prevention approaches to reduce cancer risk. To date, researchers have relied heavily upon experimental assays (such as microarray analysis, etc.) to identify genes and their associated pathways that are affected by nutrient and diets. However, the vast number of genes and combinations of gene pathways, coupled with the expense of the experimental analyses, has delayed the progress of gene-pathway research. The development of an analytical approach based on available test data could greatly benefit the evaluation of gene pathways, and thus advance the study of nutrient-gene interactions in cancer prevention. In the present study, we have proposed a chain reaction model to simulate gene pathways, in which the gene expression changes through the pathway are represented by the species undergoing a set of chemical reactions. We have also developed a numerical tool to solve for the species changes due to the chain reactions over time. Through this approach we can examine the impact of nutrient-containing diets on the gene pathway; moreover, transformation of genes over time with a nutrient treatment can be observed numerically, which is very difficult to achieve experimentally. We apply this approach to microarray analysis data from an experiment which involved the effects of three polyphenols (nutrient treatments), epigallo-catechin-3-O-gallate (EGCG), genistein, and resveratrol, in a study of nutrient-gene interaction in the estrogen synthesis pathway during puberty. RESULTS: In this preliminary study, the estrogen synthesis pathway was simulated by a chain reaction model. By

  19. Rosiglitazone stimulates the release and synthesis of insulin by enhancing GLUT-2, glucokinase and BETA2/NeuroD expression

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kim, Hyo-Sup; Noh, Jung-Hyun; Hong, Seung-Hyun

    2008-03-14

    Peroxisome proliferator-activated receptor (PPAR)-{gamma} is a member of the nuclear receptor superfamily, and its ligands, the thiazolidinediones, might directly stimulate insulin release and insulin synthesis in pancreatic {beta}-cells. In the present study, we examined the effects of rosiglitazone (RGZ) on insulin release and synthesis in pancreatic {beta}-cell (INS-1). Insulin release and synthesis were stimulated by treatment with RGZ for 24 h. RGZ upregulated the expressions of GLUT-2 and glucokinase (GCK). Moreover, it was found that RGZ increased the expression of BETA2/NeuroD gene which could regulate insulin gene expression. These results suggest that RGZ could stimulate the release and synthesis ofmore » insulin through the upregulation of GLUT-2, GCK, and BETA2/NeuroD gene expression.« less

  20. Targeting fumonisin biosynthetic genes

    USDA-ARS?s Scientific Manuscript database

    The fungus Fusarium is an agricultural problem because it can cause disease on most crop plants and can contaminate crops with mycotoxins. There is considerable variation in the presence/absence and genomic location of gene clusters responsible for synthesis of mycotoxins and other secondary metabol...

  1. Understanding α-globin gene regulation and implications for the treatment of β-thalassemia.

    PubMed

    Mettananda, Sachith; Gibbons, Richard J; Higgs, Douglas R

    2016-03-01

    Over the past three decades, a vast amount of new information has been uncovered describing how the globin genes are regulated. This knowledge has provided significant insights into the general understanding of the regulation of human genes. It is now known that molecular defects within and around the α- and β-globin genes, as well as in the distant regulatory elements, can cause thalassemia. Unbalanced production of globin chains owing to defective synthesis of one, and the continued unopposed synthesis of another, is the central causative factor in the cellular pathology and pathophysiology of thalassemia. A large body of clinical, genetic, and experimental evidence suggests that altering globin chain imbalance by reducing the production of α-globin synthesis ameliorates the disease severity in patients with β-thalassemia. With the development of new genetic-based therapeutic tools that have a potential to decrease the expression of a selected gene in a tissue-specific manner, the possibility of decreasing expression of the α-globin gene to improve the clinical severity of β-thalassemia could become a reality. © 2015 New York Academy of Sciences.

  2. Invertebrate Trehalose-6-Phosphate Synthase Gene: Genetic Architecture, Biochemistry, Physiological Function, and Potential Applications.

    PubMed

    Tang, Bin; Wang, Su; Wang, Shi-Gui; Wang, Hui-Juan; Zhang, Jia-Yong; Cui, Shuai-Ying

    2018-01-01

    The non-reducing disaccharide trehalose is widely distributed among various organisms. It plays a crucial role as an instant source of energy, being the major blood sugar in insects. In addition, it helps countering abiotic stresses. Trehalose synthesis in insects and other invertebrates is thought to occur via the trehalose-6-phosphate synthase (TPS) and trehalose-6-phosphate phosphatase (TPP) pathways. In many insects, the TPP gene has not been identified, whereas multiple TPS genes that encode proteins harboring TPS/OtsA and TPP/OtsB conserved domains have been found and cloned in the same species. The function of the TPS gene in insects and other invertebrates has not been reviewed in depth, and the available information is quite fragmented. The present review discusses the current understanding of the trehalose synthesis pathway, TPS genetic architecture, biochemistry, physiological function, and potential sensitivity to insecticides. We note the variability in the number of TPS genes in different invertebrate species, consider whether trehalose synthesis may rely only on the TPS gene, and discuss the results of in vitro TPS overexpression experiment. Tissue expression profile and developmental characteristics of the TPS gene indicate that it is important in energy production, growth and development, metamorphosis, stress recovery, chitin synthesis, insect flight, and other biological processes. We highlight the molecular and biochemical properties of insect TPS that make it a suitable target of potential pest control inhibitors. The application of trehalose synthesis inhibitors is a promising direction in insect pest control because vertebrates do not synthesize trehalose; therefore, TPS inhibitors would be relatively safe for humans and higher animals, making them ideal insecticidal agents without off-target effects.

  3. Invertebrate Trehalose-6-Phosphate Synthase Gene: Genetic Architecture, Biochemistry, Physiological Function, and Potential Applications

    PubMed Central

    Tang, Bin; Wang, Su; Wang, Shi-Gui; Wang, Hui-Juan; Zhang, Jia-Yong; Cui, Shuai-Ying

    2018-01-01

    The non-reducing disaccharide trehalose is widely distributed among various organisms. It plays a crucial role as an instant source of energy, being the major blood sugar in insects. In addition, it helps countering abiotic stresses. Trehalose synthesis in insects and other invertebrates is thought to occur via the trehalose-6-phosphate synthase (TPS) and trehalose-6-phosphate phosphatase (TPP) pathways. In many insects, the TPP gene has not been identified, whereas multiple TPS genes that encode proteins harboring TPS/OtsA and TPP/OtsB conserved domains have been found and cloned in the same species. The function of the TPS gene in insects and other invertebrates has not been reviewed in depth, and the available information is quite fragmented. The present review discusses the current understanding of the trehalose synthesis pathway, TPS genetic architecture, biochemistry, physiological function, and potential sensitivity to insecticides. We note the variability in the number of TPS genes in different invertebrate species, consider whether trehalose synthesis may rely only on the TPS gene, and discuss the results of in vitro TPS overexpression experiment. Tissue expression profile and developmental characteristics of the TPS gene indicate that it is important in energy production, growth and development, metamorphosis, stress recovery, chitin synthesis, insect flight, and other biological processes. We highlight the molecular and biochemical properties of insect TPS that make it a suitable target of potential pest control inhibitors. The application of trehalose synthesis inhibitors is a promising direction in insect pest control because vertebrates do not synthesize trehalose; therefore, TPS inhibitors would be relatively safe for humans and higher animals, making them ideal insecticidal agents without off-target effects. PMID:29445344

  4. Temporal metatranscriptomic patterning in phototrophic Chloroflexi inhabiting a microbial mat in a geothermal spring

    PubMed Central

    Klatt, Christian G; Liu, Zhenfeng; Ludwig, Marcus; Kühl, Michael; Jensen, Sheila I; Bryant, Donald A; Ward, David M

    2013-01-01

    Filamentous anoxygenic phototrophs (FAPs) are abundant members of microbial mat communities inhabiting neutral and alkaline geothermal springs. Natural populations of FAPs related to Chloroflexus spp. and Roseiflexus spp. have been well characterized in Mushroom Spring, where they occur with unicellular cyanobacteria related to Synechococcus spp. strains A and B′. Metatranscriptomic sequencing was applied to the microbial community to determine how FAPs regulate their gene expression in response to fluctuating environmental conditions and resource availability over a diel period. Transcripts for genes involved in the biosynthesis of bacteriochlorophylls (BChls) and photosynthetic reaction centers were much more abundant at night. Both Roseiflexus spp. and Chloroflexus spp. expressed key genes involved in the 3-hydroxypropionate (3-OHP) carbon dioxide fixation bi-cycle during the day, when these FAPs have been thought to perform primarily photoheterotrophic and/or aerobic chemoorganotrophic metabolism. The expression of genes for the synthesis and degradation of storage polymers, including glycogen, polyhydroxyalkanoates and wax esters, suggests that FAPs produce and utilize these compounds at different times during the diel cycle. We summarize these results in a proposed conceptual model for temporal changes in central carbon metabolism and energy production of FAPs living in a natural environment. The model proposes that, at night, Chloroflexus spp. and Roseiflexus spp. synthesize BChl, components of the photosynthetic apparatus, polyhydroxyalkanoates and wax esters in concert with fermentation of glycogen. It further proposes that, in daytime, polyhydroxyalkanoates and wax esters are degraded and used as carbon and electron reserves to support photomixotrophy via the 3-OHP bi-cycle. PMID:23575369

  5. Functional characteristics of spirilloxanthin and keto-bearing Analogues in light-harvesting LH2 complexes from Rhodobacter sphaeroides with a genetically modified carotenoid synthesis pathway.

    PubMed

    Niedzwiedzki, Dariusz M; Dilbeck, Preston L; Tang, Qun; Mothersole, David J; Martin, Elizabeth C; Bocian, David F; Holten, Dewey; Hunter, C Neil

    2015-01-01

    Light-harvesting 2 (LH2) complexes from a genetically modified strain of the purple photosynthetic bacterium Rhodobacter (Rba.) sphaeroides were studied using static and ultrafast optical methods and resonance Raman spectroscopy. Carotenoid synthesis in the Rba. sphaeroides strain was engineered to redirect carotenoid production away from spheroidene into the spirilloxanthin synthesis pathway. The strain assembles LH2 antennas with substantial amounts of spirilloxanthin (total double-bond conjugation length N=13) if grown anaerobically and of keto-bearing long-chain analogs [2-ketoanhydrorhodovibrin (N=13), 2-ketospirilloxanthin (N=14) and 2,2'-diketospirilloxanthin (N=15)] if grown semi-aerobically (with ratios that depend on growth conditions). We present the photophysical, electronic, and vibrational properties of these carotenoids, both isolated in organic media and assembled within LH2 complexes. Measurements of excited-state energy transfer to the array of excitonically coupled bacteriochlorophyll a molecules (B850) show that the mean lifetime of the first singlet excited state (S1) of the long-chain (N≥13) carotenoids does not change appreciably between organic media and the protein environment. In each case, the S1 state appears to lie lower in energy than that of B850. The energy-transfer yield is ~0.4 in LH2 (from the strain grown aerobically or semi-aerobically), which is less than half that achieved for LH2 that contains short-chain (N≤11) analogues. Collectively, the results suggest that the S1 excited state of the long-chain (N≥13) carotenoids participates little if at all in carotenoid-to-BChl a energy transfer, which occurs predominantly via the carotenoid S2 excited state in these antennas. Copyright © 2015 Elsevier B.V. All rights reserved.

  6. Expression of a DNA Replication Gene Cluster in Bacteriophage T4: Genetic Linkage and the Control of Gene Product Interactions

    PubMed Central

    Gerald, W. L.; Karam, J. D.

    1984-01-01

    The results of this study bear on the relationship between genetic linkage and control of interactions between the protein products of different cistrons. In T4 bacteriophage, genes 45 and 44 encode essential components of the phage DNA replication multiprotein complex. T4 gene 45 maps directly upstream of gene 44 relative to the overall direction of reading of this region of the phage chromosome, but it is not known whether these two genes are cotranscribed. It has been shown that a nonsense lesion of T4 gene 45 exerts a cis-dominant inhibitory effect on growth of a missense mutant of gene 44 but not on growth of phage carrying the wild-type gene 44 allele. In previous work, we confirmed these observations on polarity of the gene 45 mutation but detected no polar effects by this lesion on synthesis of either mutant or wild-type gene 44 protein. In the present study, we demonstrate that mRNA for gene 44 protein is separable by gel electrophoresis from gene 45-protein-encoding mRNA. That is, the two proteins are not synthesized from one polycistronic message, and the cis-dominant inhibitory effect of the gene 45 mutation on gene 44 function is probably expressed at a posttranslational stage. We propose that close genetic linkage, whether or not it provides shared transcriptional and translational regulatory signals for certain clusters of functionally related cistrons, may determine the intracellular compartmentalization for synthesis of proteins encoded by these clusters. In prokaryotes, such linkage-dependent compartmentation may minimize the diffusion distances between gene products that are synthesized at low levels and are destined to interact. PMID:6745641

  7. The ergot alkaloid gene cluster: functional analyses and evolutionary aspects.

    PubMed

    Lorenz, Nicole; Haarmann, Thomas; Pazoutová, Sylvie; Jung, Manfred; Tudzynski, Paul

    2009-01-01

    Ergot alkaloids and their derivatives have been traditionally used as therapeutic agents in migraine, blood pressure regulation and help in childbirth and abortion. Their production in submerse culture is a long established biotechnological process. Ergot alkaloids are produced mainly by members of the genus Claviceps, with Claviceps purpurea as best investigated species concerning the biochemistry of ergot alkaloid synthesis (EAS). Genes encoding enzymes involved in EAS have been shown to be clustered; functional analyses of EAS cluster genes have allowed to assign specific functions to several gene products. Various Claviceps species differ with respect to their host specificity and their alkaloid content; comparison of the ergot alkaloid clusters in these species (and of clavine alkaloid clusters in other genera) yields interesting insights into the evolution of cluster structure. This review focuses on recently published and also yet unpublished data on the structure and evolution of the EAS gene cluster and on the function and regulation of cluster genes. These analyses have also significant biotechnological implications: the characterization of non-ribosomal peptide synthetases (NRPS) involved in the synthesis of the peptide moiety of ergopeptines opened interesting perspectives for the synthesis of ergot alkaloids; on the other hand, defined mutants could be generated producing interesting intermediates or only single peptide alkaloids (instead of the alkaloid mixtures usually produced by industrial strains).

  8. Linking genes to diseases with a SNPedia-Gene Wiki mashup

    PubMed Central

    2012-01-01

    Background A variety of topic-focused wikis are used in the biomedical sciences to enable the mass-collaborative synthesis and distribution of diverse bodies of knowledge. To address complex problems such as defining the relationships between genes and disease, it is important to bring the knowledge from many different domains together. Here we show how advances in wiki technology and natural language processing can be used to automatically assemble ‘meta-wikis’ that present integrated views over the data collaboratively created in multiple source wikis. Results We produced a semantic meta-wiki called the Gene Wiki+ that automatically mirrors and integrates data from the Gene Wiki and SNPedia. The Gene Wiki+, available at (http://genewikiplus.org/), captures 8,047 distinct gene-disease relationships. SNPedia accounts for 4,149 of the gene-disease pairs, the Gene Wiki provides 4,377 and only 479 appear independently in both sources. All of this content is available to query and browse and is provided as linked open data. Conclusions Wikis contain increasing amounts of diverse, biological information useful for elucidating the connections between genes and disease. The Gene Wiki+ shows how wiki technology can be used in concert with natural language processing to provide integrated views over diverse underlying data sources. PMID:22541597

  9. Insights into the Regulation of DMSP Synthesis in the Diatom Thalassiosira pseudonana through APR Activity, Proteomics and Gene Expression Analyses on Cells Acclimating to Changes in Salinity, Light and Nitrogen

    PubMed Central

    Kettles, Nicola Louise; Kopriva, Stanislav; Malin, Gill

    2014-01-01

    Despite the importance of dimethylsulphoniopropionate (DMSP) in the global sulphur cycle and climate regulation, the biological pathways underpinning its synthesis in marine phytoplankton remain poorly understood. The intracellular concentration of DMSP increases with increased salinity, increased light intensity and nitrogen starvation in the diatom Thalassiosira pseudonana. We used these conditions to investigate DMSP synthesis at the cellular level via analysis of enzyme activity, gene expression and proteome comparison. The activity of the key sulphur assimilatory enzyme, adenosine 5′-phosphosulphate reductase was not coordinated with increasing intracellular DMSP concentration. Under all three treatments coordination in the expression of sulphur assimilation genes was limited to increases in sulphite reductase transcripts. Similarly, proteomic 2D gel analysis only revealed an increase in phosphoenolpyruvate carboxylase following increases in DMSP concentration. Our findings suggest that increased sulphur assimilation might not be required for increased DMSP synthesis, instead the availability of carbon and nitrogen substrates may be important in the regulation of this pathway. This contrasts with the regulation of sulphur metabolism in higher plants, which generally involves up-regulation of several sulphur assimilatory enzymes. In T. pseudonana changes relating to sulphur metabolism were specific to the individual treatments and, given that little coordination was seen in transcript and protein responses across the three growth conditions, different patterns of regulation might be responsible for the increase in DMSP concentration seen under each treatment. PMID:24733415

  10. Synthesis of galactosyl compounds for targeted gene delivery.

    PubMed

    Ren, T; Zhang, G; Liu, D

    2001-11-01

    Cell-specific DNA delivery offers a great potential for targeted gene therapy. Toward this end, we have synthesized a series of compounds carrying galactose residues as a targeting ligand for asialoglycoprotein receptors of hepatocytes and primary amine groups as a functional domain for DNA binding. Biological activity of these galactosyl compounds in DNA delivery was evaluated in HepG2 and BL-6 cells and compared with respect to the number of galactose residues as well as primary amine groups in each molecule. Transfection experiments using a firefly luciferase gene as a reporter revealed that compounds with multivalent binding properties were more active in DNA delivery. An optimal transfection activity in HepG2 cells requires seven primary amine groups and a minimum of two galactose residues in each molecule. The transfection activity of compounds carrying multi-galactose residues can be inhibited by asialofetuin, a natural substrate for asialoglycoprotein receptors of hepatocytes, suggesting that gene transfer by these galactosyl compounds is asialoglycoprotein receptor-mediated. These results provide direct evidence in support of our new strategy for the use of small and synthetic compounds for cell specific and targeted gene delivery.

  11. Identification of candidate genes affecting Δ9-tetrahydrocannabinol biosynthesis in Cannabis sativa

    PubMed Central

    Marks, M. David; Tian, Li; Wenger, Jonathan P.; Omburo, Stephanie N.; Soto-Fuentes, Wilfredo; He, Ji; Gang, David R.; Weiblen, George D.; Dixon, Richard A.

    2009-01-01

    RNA isolated from the glands of a Δ9-tetrahydrocannabinolic acid (THCA)-producing strain of Cannabis sativa was used to generate a cDNA library containing over 100 000 expressed sequence tags (ESTs). Sequencing of over 2000 clones from the library resulted in the identification of over 1000 unigenes. Candidate genes for almost every step in the biochemical pathways leading from primary metabolites to THCA were identified. Quantitative PCR analysis suggested that many of the pathway genes are preferentially expressed in the glands. Hexanoyl-CoA, one of the metabolites required for THCA synthesis, could be made via either de novo fatty acids synthesis or via the breakdown of existing lipids. qPCR analysis supported the de novo pathway. Many of the ESTs encode transcription factors and two putative MYB genes were identified that were preferentially expressed in glands. Given the similarity of the Cannabis MYB genes to those in other species with known functions, these Cannabis MYBs may play roles in regulating gland development and THCA synthesis. Three candidates for the polyketide synthase (PKS) gene responsible for the first committed step in the pathway to THCA were characterized in more detail. One of these was identical to a previously reported chalcone synthase (CHS) and was found to have CHS activity. All three could use malonyl-CoA and hexanoyl-CoA as substrates, including the CHS, but reaction conditions were not identified that allowed for the production of olivetolic acid (the proposed product of the PKS activity needed for THCA synthesis). One of the PKS candidates was highly and specifically expressed in glands (relative to whole leaves) and, on the basis of these expression data, it is proposed to be the most likely PKS responsible for olivetolic acid synthesis in Cannabis glands. PMID:19581347

  12. Evidence for a Contribution of ALA Synthesis to Plastid-To-Nucleus Signaling

    PubMed Central

    Czarnecki, Olaf; Gläßer, Christine; Chen, Jin-Gui; Mayer, Klaus F. X.; Grimm, Bernhard

    2012-01-01

    The formation of 5-aminolevulinic acid (ALA) in tetrapyrrole biosynthesis is widely controlled by environmental and metabolic feedback cues that determine the influx into the entire metabolic path. Because of its central role as the rate-limiting step, we hypothesized a potential role of ALA biosynthesis in tetrapyrrole-mediated retrograde signaling and exploited the direct impact of ALA biosynthesis on nuclear gene expression (NGE) by using two different approaches. Firstly, the Arabidopsis gun1, hy1 (gun2), hy2 (gun3), gun4 mutants showing uncoupled NGE from the physiological state of chloroplasts were thoroughly examined for regulatory modifications of ALA synthesis and transcriptional control in the nucleus. We found that reduced ALA-synthesizing capacity is common to analyzed gun mutants. Inhibition of ALA synthesis by gabaculine (GAB) that inactivates glutamate-1-semialdehyde aminotransferase and ALA feeding of wild-type and mutant seedlings corroborate the expression data of gun mutants. Transcript level of photosynthetic marker genes were enhanced in norflurazon (NF)-treated seedlings upon additional GAB treatment, while enhanced ALA amounts diminish these RNA levels in NF-treated wild-type in comparison to the solely NF-treated seedlings. Secondly, the impact of posttranslationally down-regulated ALA synthesis on NGE was investigated by global transcriptome analysis of GAB-treated Arabidopsis seedlings and the gun4-1 mutant, which is also characterized by reduced ALA formation. A common set of significantly modulated genes was identified indicating ALA synthesis as a potential signal emitter. The over-represented gene ontology categories of genes with decreased or increased transcript abundance highlight a few biological processes and cellular functions, which are remarkably affected in response to plastid-localized ALA biosynthesis. These results support the hypothesis that ALA biosynthesis correlates with retrograde signaling-mediated control of NGE

  13. Differential regulation of catecholamine synthesis and transport in rat adrenal medulla by fluoxetine treatment.

    PubMed

    Spasojevic, Natasa; Jovanovic, Predrag; Dronjak, Sladjana

    2015-03-01

    We have recently shown that chronic fluoxetine treatment acted significantly increasing plasma norepinephrine and epinephrine concentrations both in control and chronically stressed adult male rats. However, possible effects of fluoxetine on catecholamine synthesis and re-uptake in adrenal medulla have been largely unknown. In the present study the effects of chronic fluoxetine treatment on tyrosine hydroxylase, a rate-limiting enzyme in catecholamine synthesis, as well as a norepinephrine transporter and vesicular monoamine transporter 2 gene expressions in adrenal medulla of animals exposed to chronic unpredictable mild stress (CUMS) for 4 weeks, were investigated. Gene expression analyses were performed using a real-time quantitative reverse transcription-PCR. Chronically stressed animals had increased tyrosine hydroxylase mRNA levels and decreased expression of both transporters. Fluoxetine increased tyrosine hydroxylase and decreased norepinephrine transporter gene expression in both unstressed and CUMS rats. These findings suggest that chronic fluoxetine treatment increased plasma catecholamine levels by affecting opposing changes in catecholamine synthesis and uptake.

  14. In silico metabolic engineering of Clostridium ljungdahlii for synthesis gas fermentation.

    PubMed

    Chen, Jin; Henson, Michael A

    2016-11-01

    Synthesis gas fermentation is one of the most promising routes to convert synthesis gas (syngas; mainly comprised of H 2 and CO) to renewable liquid fuels and chemicals by specialized bacteria. The most commonly studied syngas fermenting bacterium is Clostridium ljungdahlii, which produces acetate and ethanol as its primary metabolic byproducts. Engineering of C. ljungdahlii metabolism to overproduce ethanol, enhance the synthesize of the native byproducts lactate and 2,3-butanediol, and introduce the synthesis of non-native products such as butanol and butyrate has substantial commercial value. We performed in silico metabolic engineering studies using a genome-scale reconstruction of C. ljungdahlii metabolism and the OptKnock computational framework to identify gene knockouts that were predicted to enhance the synthesis of these native products and non-native products, introduced through insertion of the necessary heterologous pathways. The OptKnock derived strategies were often difficult to assess because increase product synthesis was invariably accompanied by decreased growth. Therefore, the OptKnock strategies were further evaluated using a spatiotemporal metabolic model of a syngas bubble column reactor, a popular technology for large-scale gas fermentation. Unlike flux balance analysis, the bubble column model accounted for the complex tradeoffs between increased product synthesis and reduced growth rates of engineered mutants within the spatially varying column environment. The two-stage methodology for deriving and evaluating metabolic engineering strategies was shown to yield new C. ljungdahlii gene targets that offer the potential for increased product synthesis under realistic syngas fermentation conditions. Copyright © 2016 International Metabolic Engineering Society. Published by Elsevier Inc. All rights reserved.

  15. Impact of Unusual Fatty Acid Synthesis on Futile Cycling through β-Oxidation and on Gene Expression in Transgenic Plants1[w

    PubMed Central

    Moire, Laurence; Rezzonico, Enea; Goepfert, Simon; Poirier, Yves

    2004-01-01

    Arabidopsis expressing the castor bean (Ricinus communis) oleate 12-hydroxylase or the Crepis palaestina linoleate 12-epoxygenase in developing seeds typically accumulate low levels of ricinoleic acid and vernolic acid, respectively. We have examined the presence of a futile cycle of fatty acid degradation in developing seeds using the synthesis of polyhydroxyalkanoate (PHA) from the intermediates of the peroxisomal β-oxidation cycle. Both the quantity and monomer composition of the PHA synthesized in transgenic plants expressing the 12-epoxygenase and 12-hydroxylase in developing seeds revealed the presence of a futile cycle of degradation of the corresponding unusual fatty acids, indicating a limitation in their stable integration into lipids. The expression profile of nearly 200 genes involved in fatty acid biosynthesis and degradation has been analyzed through microarray. No significant changes in gene expression have been detected as a consequence of the activity of the 12-epoxygenase or the 12-hydroxylase in developing siliques. Similar results have also been obtained for transgenic plants expressing the Cuphea lanceolata caproyl-acyl carrier protein thioesterase and accumulating high amounts of caproic acid. Only in developing siliques of the tag1 mutant, deficient in the accumulation of triacylglycerols and shown to have a substantial futile cycling of fatty acids toward β-oxidation, have some changes in gene expression been detected, notably the induction of the isocitrate lyase gene. These results indicate that analysis of peroxisomal PHA is a better indicator of the flux of fatty acid through β-oxidation than the expression profile of genes involved in lipid metabolism. PMID:14671017

  16. [Regulation of the expression of coenzyme Q-synthesis complex during ageing].

    PubMed

    Campos-Silva, Carmen; Reyes-Torres, Iván; Rivera, Maximiliano; Meza-Torres, Catherine; Hernández-Camacho, Juan Diego; Rodríguez-Bies, Elisabet; Navas, Plácido; López-Lluch, Guillermo

    Coenzyme Q is an essential component in the activity of the mitochondrial electron transport chain. Its synthesis involves, at least, a complex of ten different proteins. In this study, an attempt is made to determine the evolution of the expression of the genes involved in coenzyme Q synthesis during mouse ageing. The messenger RNA (mRNA) of different organs, such as brain, liver, kidney and skeletal muscle from young (8 months), mature (18 months), and old (24 months) mice was extracted by using Trizol and was then analysed by real time PCR (qPCR) using specific primers for all the known components of the coenzyme Q-synthesis complex (COQ genes). Liver showed the highest age-dependent changes in mRNA levels of the different components of Q-synthesis complex, affecting the extent of the variation as well as the significance of the change. In most of the cases, mRNA levels of the different components were higher in mature animals compared to young and old animals. When mRNAs of young and old animals were compared, only minor reductions of mRNA levels were found. Kidney showed a pattern similar to that found in liver as regards the changes in expression, although with lower increases in mature animals than those observed in the liver. Brain and skeletal muscle showed low variations, with muscle being the tissue with less changes, although a pattern similar to that found in liver and kidney was found, with slight increases in mature animals. The results of this study indicate that ageing is an important factor affecting COQ gene expression, but its effect depends on the organ, and that mature animals show higher levels of mRNA than young and old animals. Taken into consideration the importance of coenzyme Q in cell metabolism and ageing, a more detailed study is needed to understand the gene regulation of the coenzyme Q-synthesis mechanisms during ageing. Copyright © 2017 SEGG. Publicado por Elsevier España, S.L.U. All rights reserved.

  17. Reconstruction of the metabolic network of Pseudomonas aeruginosa to interrogate virulence factor synthesis

    NASA Astrophysics Data System (ADS)

    Bartell, Jennifer A.; Blazier, Anna S.; Yen, Phillip; Thøgersen, Juliane C.; Jelsbak, Lars; Goldberg, Joanna B.; Papin, Jason A.

    2017-03-01

    Virulence-linked pathways in opportunistic pathogens are putative therapeutic targets that may be associated with less potential for resistance than targets in growth-essential pathways. However, efficacy of virulence-linked targets may be affected by the contribution of virulence-related genes to metabolism. We evaluate the complex interrelationships between growth and virulence-linked pathways using a genome-scale metabolic network reconstruction of Pseudomonas aeruginosa strain PA14 and an updated, expanded reconstruction of P. aeruginosa strain PAO1. The PA14 reconstruction accounts for the activity of 112 virulence-linked genes and virulence factor synthesis pathways that produce 17 unique compounds. We integrate eight published genome-scale mutant screens to validate gene essentiality predictions in rich media, contextualize intra-screen discrepancies and evaluate virulence-linked gene distribution across essentiality datasets. Computational screening further elucidates interconnectivity between inhibition of virulence factor synthesis and growth. Successful validation of selected gene perturbations using PA14 transposon mutants demonstrates the utility of model-driven screening of therapeutic targets.

  18. Protein synthesis controls phosphate homeostasis.

    PubMed

    Pontes, Mauricio H; Groisman, Eduardo A

    2018-01-01

    Phosphorus is an essential element assimilated largely as orthophosphate (Pi). Cells respond to Pi starvation by importing Pi from their surroundings. We now report that impaired protein synthesis alone triggers a Pi starvation response even when Pi is plentiful in the extracellular milieu. In the bacterium Salmonella enterica serovar Typhimurium , this response entails phosphorylation of the regulatory protein PhoB and transcription of PhoB-dependent Pi transporter genes and is eliminated upon stimulation of adenosine triphosphate (ATP) hydrolysis. When protein synthesis is impaired due to low cytoplasmic magnesium (Mg 2+ ), Salmonella triggers the Pi starvation response because ribosomes are destabilized, which reduces ATP consumption and thus free cytoplasmic Pi. This response is transient because low cytoplasmic Mg 2+ promotes an uptake in Mg 2+ and a decrease in ATP levels, which stabilizes ribosomes, resulting in ATP consumption and Pi increase, thus ending the response. Notably, pharmacological inhibition of protein synthesis also elicited a Pi starvation response in the bacterium Escherichia coli and the yeast Saccharomyces cerevisiae Our findings identify a regulatory connection between protein synthesis and Pi homeostasis that is widespread in nature. © 2018 Pontes and Groisman; Published by Cold Spring Harbor Laboratory Press.

  19. Enzymes involved in plastid-targeted phosphatidic acid synthesis are essential for Plasmodium yoelii liver stage development

    PubMed Central

    Lindner, Scott E.; Sartain, Mark J.; Hayes, Kiera; Harupa, Anke; Moritz, Robert L.; Kappe, Stefan H. I.; Vaughan, Ashley M.

    2014-01-01

    SUMMARY Malaria parasites scavenge nutrients from their host but also harbor enzymatic pathways for de novo macromolecule synthesis. One such pathway is apicoplast-targeted type II fatty acid synthesis, which is essential for late liver stage development in rodent malaria. It is likely that fatty acids synthesized in the apicoplast are ultimately incorporated into membrane phospholipids necessary for exoerythrocytic merozoite formation. We hypothesized that these synthesized fatty acids are being utilized for apicoplast-targeted phosphatidic acid synthesis, the phospholipid precursor. Phosphatidic acid is typically synthesized in a three-step reaction utilizing three enzymes: glycerol 3-phosphate dehydrogenase, glycerol 3-phosphate acyltransferase and lysophosphatidic acid acyltransferase. The Plasmodium genome is predicted to harbor genes for both apicoplast- and cytosol/endoplasmic reticulum-targeted phosphatidic synthesis. Our research shows that apicoplast-targeted P. yoelii glycerol 3-phosphate dehydrogenase and glycerol 3-phosphate acyltransferase are expressed only during liver stage development and deletion of the encoding genes resulted in late liver stage growth arrest and lack of merozoite differentiation. However, the predicted apicoplast-targeted lysophosphatidic acid acyltransferase gene was refractory to deletion and was expressed solely in the endoplasmic reticulum throughout the parasite lifecycle. Our results suggest that P. yoelii has an incomplete apicoplast-targeted phosphatidic acid synthesis pathway that is essential for liver stage maturation. PMID:24330260

  20. Cloning and expression of BpMYC4 and BpbHLH9 genes and the role of BpbHLH9 in triterpenoid synthesis in birch.

    PubMed

    Yin, Jing; Li, Xin; Zhan, Yaguang; Li, Ying; Qu, Ziyue; Sun, Lu; Wang, Siyao; Yang, Jie; Xiao, Jialei

    2017-11-21

    Birch (Betula platyphylla Suk.) contains triterpenoids with anti-HIV and anti-tumor pharmacological activities. However, the natural abundance of these triterpenoids is low, and their chemical synthesis is costly. Transcription factors have the ability to regulate the metabolite pathways of triterpenoids via multi-gene control, thereby improving metabolite yield. Thus, transcription factors have the potential to facilitate the production of birch triterpenoids. Plant bHLH (basic helix-loop-helix) transcription factors play important roles in stress response and secondary metabolism. In this study, we cloned two genes, BpMYC4 and BpbHLH9, that encode bHLH transcription factors in Betula platyphylla Suk. The open reading frame (ORF) of BpMYC4 was 1452 bp and encoded 483 amino acids, while the ORF of BpbHLH9 was 1140 bp and encoded 379 amino acids. The proteins of BpMYC4 and BpbHLH9 were localized in the cell membrane and nucleus. The tissue-specific expression patterns revealed that BpMYC4 expression in leaves was similar to that in the stem and higher than in the roots. The expression of BpbHLH9 was higher in the leaves than in the root and stem. The expressions of BpMYC4 and BpbHLH9 increased after treatment with abscisic acid, methyl jasmonate, and gibberellin and decreased after treatment with ethephon. The promoters of BpMYC4 and BpbHLH9 were isolated using a genome walking approach, and 900-bp and 1064-bp promoter sequences were obtained for BpMYC4 and BpbHLH9, respectively. The ORF of BpbHLH9 was ligated into yeast expression plasmid pYES3 and introduced into INVScl and INVScl1-pYES2-SS yeast strains. The squalene and total triterpenoid contents in the different INVScl1 transformants decreased in the following order INVScl1-pYES-SS-bHLH9 > INVScl1-pYES3-bHLH9 > INVScl1-pYES2- BpSS > INVScl-pYES2. In BpbHLH9 transgenic birch, the relative expression of the genes that encodes for enzymes critical for triterpenoid synthesis showed a different level of up

  1. GeMS: an advanced software package for designing synthetic genes.

    PubMed

    Jayaraj, Sebastian; Reid, Ralph; Santi, Daniel V

    2005-01-01

    A user-friendly, advanced software package for gene design is described. The software comprises an integrated suite of programs-also provided as stand-alone tools-that automatically performs the following tasks in gene design: restriction site prediction, codon optimization for any expression host, restriction site inclusion and exclusion, separation of long sequences into synthesizable fragments, T(m) and stem-loop determinations, optimal oligonucleotide component design and design verification/error-checking. The output is a complete design report and a list of optimized oligonucleotides to be prepared for subsequent gene synthesis. The user interface accommodates both inexperienced and experienced users. For inexperienced users, explanatory notes are provided such that detailed instructions are not necessary; for experienced users, a streamlined interface is provided without such notes. The software has been extensively tested in the design and successful synthesis of over 400 kb of genes, many of which exceeded 5 kb in length.

  2. A Biotin Biosynthesis Gene Restricted to Helicobacter

    PubMed Central

    Bi, Hongkai; Zhu, Lei; Jia, Jia; Cronan, John E.

    2016-01-01

    In most bacteria the last step in synthesis of the pimelate moiety of biotin is cleavage of the ester bond of pimeloyl-acyl carrier protein (ACP) methyl ester. The paradigm cleavage enzyme is Escherichia coli BioH which together with the BioC methyltransferase allows synthesis of the pimelate moiety by a modified fatty acid biosynthetic pathway. Analyses of the extant bacterial genomes showed that bioH is absent from many bioC-containing bacteria and is replaced by other genes. Helicobacter pylori lacks a gene encoding a homologue of the known pimeloyl-ACP methyl ester cleavage enzymes suggesting that it encodes a novel enzyme that cleaves this intermediate. We isolated the H. pylori gene encoding this enzyme, bioV, by complementation of an E. coli bioH deletion strain. Purified BioV cleaved the physiological substrate, pimeloyl-ACP methyl ester to pimeloyl-ACP by use of a catalytic triad, each member of which was essential for activity. The role of BioV in biotin biosynthesis was demonstrated using a reconstituted in vitro desthiobiotin synthesis system. BioV homologues seem the sole pimeloyl-ACP methyl ester esterase present in the Helicobacter species and their occurrence only in H. pylori and close relatives provide a target for development of drugs to specifically treat Helicobacter infections. PMID:26868423

  3. Reprogramming of gene expression during compression wood formation in pine: Coordinated modulation of S-adenosylmethionine, lignin and lignan related genes

    PubMed Central

    2012-01-01

    Background Transcript profiling of differentiating secondary xylem has allowed us to draw a general picture of the genes involved in wood formation. However, our knowledge is still limited about the regulatory mechanisms that coordinate and modulate the different pathways providing substrates during xylogenesis. The development of compression wood in conifers constitutes an exceptional model for these studies. Although differential expression of a few genes in differentiating compression wood compared to normal or opposite wood has been reported, the broad range of features that distinguish this reaction wood suggest that the expression of a larger set of genes would be modified. Results By combining the construction of different cDNA libraries with microarray analyses we have identified a total of 496 genes in maritime pine (Pinus pinaster, Ait.) that change in expression during differentiation of compression wood (331 up-regulated and 165 down-regulated compared to opposite wood). Samples from different provenances collected in different years and geographic locations were integrated into the analyses to mitigate the effects of multiple sources of variability. This strategy allowed us to define a group of genes that are consistently associated with compression wood formation. Correlating with the deposition of a thicker secondary cell wall that characterizes compression wood development, the expression of a number of genes involved in synthesis of cellulose, hemicellulose, lignin and lignans was up-regulated. Further analysis of a set of these genes involved in S-adenosylmethionine metabolism, ammonium recycling, and lignin and lignans biosynthesis showed changes in expression levels in parallel to the levels of lignin accumulation in cells undergoing xylogenesis in vivo and in vitro. Conclusions The comparative transcriptomic analysis reported here have revealed a broad spectrum of coordinated transcriptional modulation of genes involved in biosynthesis of

  4. A new regulatory mechanism for bacterial lipoic acid synthesis

    PubMed Central

    Zhang, Huimin; Luo, Qixia; Gao, Haichun; Feng, Youjun

    2015-01-01

    Lipoic acid, an essential enzyme cofactor, is required in three domains of life. In the past 60 years since its discovery, most of the pathway for lipoic acid synthesis and metabolism has been elucidated. However, genetic control of lipoic acid synthesis remains unclear. Here, we report integrative evidence that bacterial cAMP-dependent signaling is linked to lipoic acid synthesis in Shewanella species, the certain of unique marine-borne bacteria with special ability of metal reduction. Physiological requirement of protein lipoylation in γ-proteobacteria including Shewanella oneidensis was detected using Western blotting with rabbit anti-lipoyl protein primary antibody. The two genes (lipB and lipA) encoding lipoic acid synthesis pathway were proved to be organized into an operon lipBA in Shewanella, and the promoter was mapped. Electrophoretic mobility shift assays confirmed that the putative CRP-recognizable site (AAGTGTGATCTATCTTACATTT) binds to cAMP-CRP protein with origins of both Escherichia coli and Shewanella. The native lipBA promoter of Shewanella was fused to a LacZ reporter gene to create a chromosome lipBA-lacZ transcriptional fusion in E. coli and S. oneidensis, allowing us to directly assay its expression level by β-galactosidase activity. As anticipated, the removal of E. coli crp gene gave above fourfold increment of lipBA promoter-driven β-gal expression. The similar scenario was confirmed by both the real-time quantitative PCR and the LacZ transcriptional fusion in the crp mutant of Shewanella. Furthermore, the glucose effect on the lipBA expression of Shewanella was evaluated in the alternative microorganism E. coli. As anticipated, an addition of glucose into media effectively induces the transcriptional level of Shewanella lipBA in that the lowered cAMP level relieves the repression of lipBA by cAMP-CRP complex. Therefore, our finding might represent a first paradigm mechanism for genetic control of bacterial lipoic acid synthesis. PMID

  5. A new regulatory mechanism for bacterial lipoic acid synthesis.

    PubMed

    Zhang, Huimin; Luo, Qixia; Gao, Haichun; Feng, Youjun

    2015-01-22

    Lipoic acid, an essential enzyme cofactor, is required in three domains of life. In the past 60 years since its discovery, most of the pathway for lipoic acid synthesis and metabolism has been elucidated. However, genetic control of lipoic acid synthesis remains unclear. Here, we report integrative evidence that bacterial cAMP-dependent signaling is linked to lipoic acid synthesis in Shewanella species, the certain of unique marine-borne bacteria with special ability of metal reduction. Physiological requirement of protein lipoylation in γ-proteobacteria including Shewanella oneidensis was detected using Western blotting with rabbit anti-lipoyl protein primary antibody. The two genes (lipB and lipA) encoding lipoic acid synthesis pathway were proved to be organized into an operon lipBA in Shewanella, and the promoter was mapped. Electrophoretic mobility shift assays confirmed that the putative CRP-recognizable site (AAGTGTGATCTATCTTACATTT) binds to cAMP-CRP protein with origins of both Escherichia coli and Shewanella. The native lipBA promoter of Shewanella was fused to a LacZ reporter gene to create a chromosome lipBA-lacZ transcriptional fusion in E. coli and S. oneidensis, allowing us to directly assay its expression level by β-galactosidase activity. As anticipated, the removal of E. coli crp gene gave above fourfold increment of lipBA promoter-driven β-gal expression. The similar scenario was confirmed by both the real-time quantitative PCR and the LacZ transcriptional fusion in the crp mutant of Shewanella. Furthermore, the glucose effect on the lipBA expression of Shewanella was evaluated in the alternative microorganism E. coli. As anticipated, an addition of glucose into media effectively induces the transcriptional level of Shewanella lipBA in that the lowered cAMP level relieves the repression of lipBA by cAMP-CRP complex. Therefore, our finding might represent a first paradigm mechanism for genetic control of bacterial lipoic acid synthesis. © 2015

  6. Overexpression of ALDH10A8 and ALDH10A9 Genes Provides Insight into Their Role in Glycine Betaine Synthesis and Affects Primary Metabolism in Arabidopsis thaliana.

    PubMed

    Missihoun, Tagnon D; Willée, Eva; Guegan, Jean-Paul; Berardocco, Solenne; Shafiq, Muhammad R; Bouchereau, Alain; Bartels, Dorothea

    2015-09-01

    Betaine aldehyde dehydrogenases oxidize betaine aldehyde to glycine betaine in species that accumulate glycine betaine as a compatible solute under stress conditions. In contrast, the physiological function of betaine aldehyde dehydrogenase genes is at present unclear in species that do not accumulate glycine betaine, such as Arabidopsis thaliana. To address this question, we overexpressed the Arabidopsis ALDH10A8 and ALDH10A9 genes, which were identified to code for betaine aldehyde dehydrogenases, in wild-type A. thaliana. We analysed changes in metabolite contents of transgenic plants in comparison with the wild type. Using exogenous or endogenous choline, our results indicated that ALDH10A8 and ALDH10A9 are involved in the synthesis of glycine betaine in Arabidopsis. Choline availability seems to be a factor limiting glycine betaine synthesis. Moreover, the contents of diverse metabolites including sugars (glucose and fructose) and amino acids were altered in fully developed transgenic plants compared with the wild type. The plant metabolic response to salt and the salt stress tolerance were impaired only in young transgenic plants, which exhibited a delayed growth of the seedlings early after germination. Our results suggest that a balanced expression of the betaine aldehyde dehydrogenase genes is important for early growth of A. thaliana seedlings and for salt stress mitigation in young seedlings. © The Author 2015. Published by Oxford University Press on behalf of Japanese Society of Plant Physiologists. All rights reserved. For permissions, please email: journals.permissions@oup.com.

  7. Defining a new candidate gene for amelogenesis imperfecta: from molecular genetics to biochemistry.

    PubMed

    Urzúa, Blanca; Ortega-Pinto, Ana; Morales-Bozo, Irene; Rojas-Alcayaga, Gonzalo; Cifuentes, Víctor

    2011-02-01

    Amelogenesis imperfecta is a group of genetic conditions that affect the structure and clinical appearance of tooth enamel. The types (hypoplastic, hypocalcified, and hypomature) are correlated with defects in different stages of the process of enamel synthesis. Autosomal dominant, recessive, and X-linked types have been previously described. These disorders are considered clinically and genetically heterogeneous in etiology, involving a variety of genes, such as AMELX, ENAM, DLX3, FAM83H, MMP-20, KLK4, and WDR72. The mutations identified within these causal genes explain less than half of all cases of amelogenesis imperfecta. Most of the candidate and causal genes currently identified encode proteins involved in enamel synthesis. We think it is necessary to refocus the search for candidate genes using biochemical processes. This review provides theoretical evidence that the human SLC4A4 gene (sodium bicarbonate cotransporter) may be a new candidate gene.

  8. Increased sensitivity to protein synthesis inhibitors in cells lacking tmRNA.

    PubMed Central

    de la Cruz, J; Vioque, A

    2001-01-01

    tmRNA (also known as SsrA or 10Sa RNA) is involved in a trans-translation reaction that contributes to the recycling of stalled ribosomes at the 3' end of an mRNA lacking a stop codon or at an internal mRNA cluster of rare codons. Inactivation of the ssrA gene in most bacteria results in viable cells bearing subtle phenotypes, such as temperature-sensitive growth. Herein, we report on the functional characterization of the ssrA gene in the cyanobacterium Synechocystis sp. strain PCC6803. Deletion of the ssrA gene in Synechocystis resulted in viable cells with a growth rate identical to wild-type cells. However, null ssrA cells (deltassrA) were not viable in the presence of the protein synthesis inhibitors chloramphenicol, lincomycin, spiramycin, tylosin, erythromycin, and spectinomycin at low doses that do not significantly affect the growth of wild-type cells. Sensitivity of deltassrA cells similar to wild-type cells was observed with kasugamycin, fusidic acid, thiostrepton, and puromycin. Antibiotics unrelated to protein synthesis, such as ampicillin or rifampicin, had no differential effect on the deltassrA strain. Furthermore, deletion of the ssrA gene is sufficient to impair global protein synthesis when chloramphenicol is added at sublethal concentrations for the wild-type strain. These results indicate that ribosomes stalled by some protein synthesis inhibitors can be recycled by tmRNA. In addition, this suggests that the first elongation cycle with tmRNA, which incorporates a noncoded alanine on the growing peptide chain, may have mechanistic differences with the normal elongation cycles that bypasses the block produced by these specific antibiotics. tmRNA inactivation could be an useful therapeutic target to increase the sensitivity of pathogenic bacteria against antibiotics. PMID:11780628

  9. De novo synthesis and functional analysis of the phosphatase-encoding gene acI-B of uncultured Actinobacteria from Lake Stechlin (NE Germany).

    PubMed

    Srivastava, Abhishek; McMahon, Katherine D; Stepanauskas, Ramunas; Grossart, Hans-Peter

    2015-12-01

    The National Center for Biotechnology Information [http://www.ncbi.nlm.nih.gov/guide/taxonomy/] database enlists more than 15,500 bacterial species. But this also includes a plethora of uncultured bacterial representations. Owing to their metabolism, they directly influence biogeochemical cycles, which underscores the the important status of bacteria on our planet. To study the function of a gene from an uncultured bacterium, we have undertaken a de novo gene synthesis approach. Actinobacteria of the acI-B subcluster are important but yet uncultured members of the bacterioplankton in temperate lakes of the northern hemisphere such as oligotrophic Lake Stechlin (NE Germany). This lake is relatively poor in phosphate (P) and harbors on average ~1.3 x 10 6 bacterial cells/ml, whereby Actinobacteria of the ac-I lineage can contribute to almost half of the entire bacterial community depending on seasonal variability. Single cell genome analysis of Actinobacterium SCGC AB141-P03, a member of the acI-B tribe in Lake Stechlin has revealed several phosphate-metabolizing genes. The genome of acI-B Actinobacteria indicates potential to degrade polyphosphate compound. To test for this genetic potential, we targeted the exoP-annotated gene potentially encoding polyphosphatase and synthesized it artificially to examine its biochemical role. Heterologous overexpression of the gene in Escherichia coli and protein purification revealed phosphatase activity. Comparative genome analysis suggested that homologs of this gene should be also present in other Actinobacteria of the acI lineages. This strategic retention of specialized genes in their genome provides a metabolic advantage over other members of the aquatic food web in a P-limited ecosystem. [Int Microbiol 2016; 19(1):39-47]. Copyright© by the Spanish Society for Microbiology and Institute for Catalan Studies.

  10. [Rhodobaculum claviforme gen. nov., sp. nov., a New Alkaliphilic Nonsulfur Purple Bacterium].

    PubMed

    Bryantseva, I A; Gaisin, V A; Gorlenko, V M

    2015-01-01

    Two alkaliphilic strains of nonsulfur purple bacteria (NPB), B7-4 and B8-2, were isolated from southeast Siberia moderately saline alkaline steppe lakes with pH values above 9.0. The isolates were motile, polymorphous cells (from short rods to long spindly cells) 2.0-3.2 x 9.6-20.0 μm. Intracellular membranes of vesicular type were mostly located at the cell periphery. The microorganisms contained bacteriochlorophyll a and carotenoids of the spheroidene and spirilloxanthin series. The photosynthetic apparatus was represented by LH2 and LH1 light-harvesting complexes. In the presence of organic compounds, the strains grew aerobically in the dark or anaerobically in the light. Capacity for photo- and chemoautotrophic growth was not detected. The cbbl gene encoding RuBisCO was not revealed. Optimal growth of both strains occurred at 2% NaCl (range from 0.5 to 4%), pH 8.0-8.8 (range from 7.5 to 9.7), and 25-35 degrees C. The DNA G+C content was 67.6-69.8 mol %. Pairwise comparison of the nucleotides of the 16S rRNA genes revealed that strains B7-4 and B8-2 belonged to the same species (99.9% homology) and were most closely related to the aerobic alkaliphilic bacteriochlorophyll a-containing anoxygenic phototrophic bacterium (APB) Roseibacula alcaliphilum De (95.2%) and to NPB strains Rhodobaca barguzinensis VKM B-2406(T) (94.2%) and Rbc. bogoriensis LBB1(T) (93.9%). The isolates were closely related to the NPB Rhodobacter veldkampii DSM 11550(T) (94.8%) and to aerobic bacteriochlorophyll a-containing bacteria Roseinatronobacter monicus ROS 35(T) and Roseicitreum antarcticul ZS2-28(T) (93.5 and 93.9%, respectively). New strains were described as a new NPB genus and species of the family Rhodobacteriaceae, Rhodobaculum claviforme gen. nov., sp. nov., with B7-4(T) (VKM B-2708, LMG 28126) as the type strain.

  11. The AAE14 gene encodes the Arabidopsis o-succinylbenzoyl-CoA ligase that is essential for phylloquinone synthesis and photosystem-I function.

    PubMed

    Kim, Hyun Uk; van Oostende, Chloë; Basset, Gilles J C; Browse, John

    2008-04-01

    Phylloquinone is the one-electron carrier at the A(1) site of photosystem I, and is essential for photosynthesis. Arabidopsis mutants deficient in early steps of phylloquinone synthesis do not become autotrophic and are seedling lethals, even when grown on sucrose-supplemented media. Here, we identify acyl-activating enzyme 14 (AAE14, At1g30520) as the o-succinylbenzoyl-coenzyme A (OSB-CoA) ligase acting in phylloquinone synthesis. Three aae14 mutant alleles, identified by reverse genetics, were found to be seedling lethal, to contain no detectable phylloquinone (< 0.1 pmol mg(-1) fresh weight) compared with 10 pmol mg(-1) fresh weight in wild-type leaves, and to accumulate OSB. AAE14 was able to restore menaquinone biosynthesis when expressed in an Escherichia coli mutant disrupted in the menE gene that encodes the bacterial OSB-CoA ligase. Weak expression of an AAE14 transgene in mutant plants (controlled by the uninduced XVE promoter) resulted in chlorotic, slow-growing plants that accumulated an average of 4.7 pmol mg(-1) fresh weight of phylloquinone. Inducing the XVE promoter in these plants, or expressing an AAE14 transgene under the control of the CaMV 35S promoter, led to full complementation of the mutant phenotype. aae14-mutant plants were also able to synthesize phylloquinone when provided with 1,4-dihydroxy-2-naphthoate, an intermediate in phylloquinone synthesis downstream of the OSB-CoA ligase reaction. Expression of an AAE14:GFP reporter construct indicated that the protein accumulated in discrete foci within the chloroplasts. This and other evidence suggests that the enzymes of phylloquinone synthesis from isochorismate may form a complex in the chloroplast stroma to facilitate the efficient channeling of intermediates through the pathway.

  12. Abscisic acid negatively regulates elicitor-induced synthesis of capsidiol in wild tobacco.

    PubMed

    Mialoundama, Alexis Samba; Heintz, Dimitri; Debayle, Delphine; Rahier, Alain; Camara, Bilal; Bouvier, Florence

    2009-07-01

    In the Solanaceae, biotic and abiotic elicitors induce de novo synthesis of sesquiterpenoid stress metabolites known as phytoalexins. Because plant hormones play critical roles in the induction of defense-responsive genes, we have explored the effect of abscisic acid (ABA) on the synthesis of capsidiol, the major wild tobacco (Nicotiana plumbaginifolia) sesquiterpenoid phytoalexin, using wild-type plants versus nonallelic mutants Npaba2 and Npaba1 that are deficient in ABA synthesis. Npaba2 and Npaba1 mutants exhibited a 2-fold higher synthesis of capsidiol than wild-type plants when elicited with either cellulase or arachidonic acid or when infected by Botrytis cinerea. The same trend was observed for the expression of the capsidiol biosynthetic genes 5-epi-aristolochene synthase and 5-epi-aristolochene hydroxylase. Treatment of wild-type plants with fluridone, an inhibitor of the upstream ABA pathway, recapitulated the behavior of Npaba2 and Npaba1 mutants, while the application of exogenous ABA reversed the enhanced synthesis of capsidiol in Npaba2 and Npaba1 mutants. Concomitant with the production of capsidiol, we observed the induction of ABA 8'-hydroxylase in elicited plants. In wild-type plants, the induction of ABA 8'-hydroxylase coincided with a decrease in ABA content and with the accumulation of ABA catabolic products such as phaseic acid and dihydrophaseic acid, suggesting a negative regulation exerted by ABA on capsidiol synthesis. Collectively, our data indicate that ABA is not required per se for the induction of capsidiol synthesis but is essentially implicated in a stress-response checkpoint to fine-tune the amplification of capsidiol synthesis in challenged plants.

  13. Ascorbate synthesis pathway, dual role of ascorbate in bone homeostasis

    USDA-ARS?s Scientific Manuscript database

    Using mouse gene knock-out models, we identify aldehyde reductase (EC 1.1.1.2, Akr1a4 (GR)) and aldose reductase (EC 1.1.1.21, Akr1b3 (AR)) as the enzymes responsible for conversion of D-glucuronate to L-gulonate, a key step in the ascorbate (ASC) synthesis pathway in mice. The gene knock-out (KO) m...

  14. Role of B800 in carotenoid-bacteriochlorophyll energy and electron transfer in LH2 complexes from the purple bacterium Rhodobacter sphaeroides.

    PubMed

    Polívka, Tomas; Niedzwiedzki, Dariusz; Fuciman, Marcel; Sundström, Villy; Frank, Harry A

    2007-06-28

    The role of the B800 in energy and electron transfer in LH2 complexes has been studied using femtosecond time-resolved transient absorption spectroscopy. The B800 site was perturbed by application of lithium dodecyl sulfate (LDS), and comparison of treated and untreated LH2 complexes from Rhodobacter sphaeroides incorporating carotenoids neurosporene, spheroidene, and spheroidenone was used to explore the role of B800 in carotenoid to bacteriochlorophyll-a (BChla) energy transfer and carotenoid radical formation. Efficiencies of the S1-mediated energy transfer in the LDS-treated complexes were 86, 61, and 57% in the LH2 complexes containing neurosporene, spheroidene, and spheroidenone, respectively. Analysis of the carotenoid S1 lifetimes in solution, LDS-treated, and untreated LH2 complexes allowed determination of B800/B850 branching ratio in the S1-mediated energy transfer. It is shown that B800 is a major acceptor, as approximately 60% of the energy from the carotenoid S1 state is accepted by B800. This value is nearly independent of conjugation length of the carotenoid. In addition to its role in energy transfer, the B800 BChla is the only electron acceptor in the event of charge separation between carotenoid and BChla in LH2 complexes, which is demonstrated by prevention of carotenoid radical formation in the LDS-treated LH2 complexes. In the untreated complexes containing neurosporene and spheroidene, the carotenoid radical is formed with a time constant of 300-400 fs. Application of different excitation wavelengths and intensity dependence of the carotenoid radical formation showed that the carotenoid radical can be formed only after excitation of the S2 state of carotenoid, although the S2 state itself is not a precursor of the charge-separated state. Instead, either a hot S1 state or a charge-transfer state lying between S2 and S1 states of the carotenoid are discussed as potential precursors of the charge-separated state.

  15. Effects of Bisphenol-A on proliferation and expression of genes related to synthesis of polyamines, interferon tau and insulin-like growth factor 2 by ovine trophectoderm cells.

    PubMed

    Elmetwally, Mohammed A; Halawa, Amal A; Lenis, Yasser Y; Tang, Wanjin; Wu, Guoyao; Bazer, Fuller W

    2018-04-07

    This study evaluated the effects of bisphenol A (BPA) on proliferation of ovine trophectoderm (oTr1) cells, as well as expression of genes for transport of arginine and synthesis of polyamines. BPA reduced proliferation of oTr1 cells at concentrations of 1 × 10 -6 , 1 × 10 -5 , 1 × 10 -4  M compared to concentrations of 0, 1 × 10 -9 , and 1 × 10 -8  M at 24 and 96 h of culture. Lower concentrations of BPA significantly increased expression of mRNAs for agmatinase (AGMAT), arginine decarboxylase (ADC), ornithine decarboxylase (ODC1) and solute carrier family 7 member 1 (SLC7A1). Similarly, synthesis of polyamines by oTr1 cells was greatest at lower concentrations of BPA and decreased as the dose of BPA increased. Expression of mRNAs for interferon tau (IFNT) and insulin-like growth factor 2 (IGF2) by oTr1 cells was greater than for controls at 1 × 10 -9  M BPA. Overall, the effects of BPA on proliferation and gene expression by oTr1 cells were highly dose-dependent. Copyright © 2018 Elsevier Inc. All rights reserved.

  16. A Gibberellin-Mediated DELLA-NAC Signaling Cascade Regulates Cellulose Synthesis in Rice[OPEN

    PubMed Central

    Huang, Debao; Wang, Shaogan; Zhang, Baocai; Shang-Guan, Keke; Shi, Yanyun; Zhang, Dongmei; Liu, Xiangling; Wu, Kun; Xu, Zuopeng; Fu, Xiangdong; Zhou, Yihua

    2015-01-01

    Cellulose, which can be converted into numerous industrial products, has important impacts on the global economy. It has long been known that cellulose synthesis in plants is tightly regulated by various phytohormones. However, the underlying mechanism of cellulose synthesis regulation remains elusive. Here, we show that in rice (Oryza sativa), gibberellin (GA) signals promote cellulose synthesis by relieving the interaction between SLENDER RICE1 (SLR1), a DELLA repressor of GA signaling, and NACs, the top-layer transcription factors for secondary wall formation. Mutations in GA-related genes and physiological treatments altered the transcription of CELLULOSE SYNTHASE genes (CESAs) and the cellulose level. Multiple experiments demonstrated that transcription factors NAC29/31 and MYB61 are CESA regulators in rice; NAC29/31 directly regulates MYB61, which in turn activates CESA expression. This hierarchical regulation pathway is blocked by SLR1-NAC29/31 interactions. Based on the results of anatomical analysis and GA content examination in developing rice internodes, this signaling cascade was found to be modulated by varied endogenous GA levels and to be required for internode development. Genetic and gene expression analyses were further performed in Arabidopsis thaliana GA-related mutants. Altogether, our findings reveal a conserved mechanism by which GA regulates secondary wall cellulose synthesis in land plants and provide a strategy for manipulating cellulose production and plant growth. PMID:26002868

  17. Cuticle Integrity and Biogenic Amine Synthesis in Caenorhabditis elegans Require the Cofactor Tetrahydrobiopterin (BH4)

    PubMed Central

    Loer, Curtis M.; Calvo, Ana C.; Watschinger, Katrin; Werner-Felmayer, Gabriele; O’Rourke, Delia; Stroud, Dave; Tong, Amy; Gotenstein, Jennifer R.; Chisholm, Andrew D.; Hodgkin, Jonathan; Werner, Ernst R.; Martinez, Aurora

    2015-01-01

    Tetrahydrobiopterin (BH4) is the natural cofactor of several enzymes widely distributed among eukaryotes, including aromatic amino acid hydroxylases (AAAHs), nitric oxide synthases (NOSs), and alkylglycerol monooxygenase (AGMO). We show here that the nematode Caenorhabditis elegans, which has three AAAH genes and one AGMO gene, contains BH4 and has genes that function in BH4 synthesis and regeneration. Knockout mutants for putative BH4 synthetic enzyme genes lack the predicted enzymatic activities, synthesize no BH4, and have indistinguishable behavioral and neurotransmitter phenotypes, including serotonin and dopamine deficiency. The BH4 regeneration enzymes are not required for steady-state levels of biogenic amines, but become rate limiting in conditions of reduced BH4 synthesis. BH4-deficient mutants also have a fragile cuticle and are generally hypersensitive to exogenous agents, a phenotype that is not due to AAAH deficiency, but rather to dysfunction in the lipid metabolic enzyme AGMO, which is expressed in the epidermis. Loss of AGMO or BH4 synthesis also specifically alters the sensitivity of C. elegans to bacterial pathogens, revealing a cuticular function for AGMO-dependent lipid metabolism in host–pathogen interactions. PMID:25808955

  18. Short-term administration of rhGH increases markers of cellular proliferation but not milk protein gene expression in normal lactating women

    PubMed Central

    Maningat, Patricia D.; Sen, Partha; Rijnkels, Monique; Hadsell, Darryl L.; Bray, Molly S.

    2011-01-01

    Growth hormone is one of few pharmacologic agents known to augment milk production in humans. We hypothesized that recombinant human GH (rhGH) increases the expression of cell proliferation and milk protein synthesis genes. Sequential milk and blood samples collected over four days were obtained from five normal lactating women. Following 24 h of baseline milk and blood sampling, rhGH (0.1 mg/kg/day) was administered subcutaneously once daily for 3 days. Gene expression changes were determined by microarray studies utilizing milk fat globule RNA isolated from each milk sample. Following rhGH administration, DNA synthesis and cell cycle genes were induced, while no significant changes were observed in the expression of milk synthesis genes. Expression of glycolysis and citric acid cycle genes were increased by day 4 compared with day 1, while lipid synthesis genes displayed a circadian-like pattern. Cell cycle gene upregulation occurred after a lag of ∼2 days, likely explaining the failure to increase milk production after only 3 days of rhGH treatment. We conclude that rhGH induces expression of cellular proliferation and metabolism genes but does not induce milk protein gene expression, as potential mechanisms for increasing milk production and could account for the known effect of rhGH to increase milk production following 7–10 days. PMID:21205870

  19. Aberrant expression and DNA methylation of lipid metabolism genes in PCOS: a new insight into its pathogenesis.

    PubMed

    Pan, Jie-Xue; Tan, Ya-Jing; Wang, Fang-Fang; Hou, Ning-Ning; Xiang, Yu-Qian; Zhang, Jun-Yu; Liu, Ye; Qu, Fan; Meng, Qing; Xu, Jian; Sheng, Jian-Zhong; Huang, He-Feng

    2018-01-01

    Polycystic ovary syndrome (PCOS), whose etiology remains uncertain, is a highly heterogenous and genetically complex endocrine disorder. The aim of this study was to identify differentially expressed genes (DEGs) in granulosa cells (GCs) from PCOS patients and make epigenetic insights into the pathogenesis of PCOS. Included in this study were 110 women with PCOS and 119 women with normal ovulatory cycles undergoing in vitro fertilization acting as the control group. RNA-seq identified 92 DEGs unique to PCOS GCs in comparison with the control group. Bioinformatic analysis indicated that synthesis of lipids and steroids was activated in PCOS GCs. 5-Methylcytosine analysis demonstrated that there was an approximate 25% reduction in global DNA methylation of GCs in PCOS women (4.44 ± 0.65%) compared with the controls (6.07 ± 0.72%; P  < 0.05). Using MassArray EpiTYPER quantitative DNA methylation analysis, we also found hypomethylation of several gene promoters related to lipid and steroid synthesis, which might result in the aberrant expression of these genes. Our results suggest that hypomethylated genes related to the synthesis of lipid and steroid may dysregulate expression of these genes and promote synthesis of steroid hormones including androgen, which could partially explain mechanisms of hyperandrogenism in PCOS.

  20. Discovery of rare protein-coding genes in model methylotroph Methylobacterium extorquens AM1.

    PubMed

    Kumar, Dhirendra; Mondal, Anupam Kumar; Yadav, Amit Kumar; Dash, Debasis

    2014-12-01

    Proteogenomics involves the use of MS to refine annotation of protein-coding genes and discover genes in a genome. We carried out comprehensive proteogenomic analysis of Methylobacterium extorquens AM1 (ME-AM1) from publicly available proteomics data with a motive to improve annotation for methylotrophs; organisms capable of surviving in reduced carbon compounds such as methanol. Besides identifying 2482(50%) proteins, 29 new genes were discovered and 66 annotated gene models were revised in ME-AM1 genome. One such novel gene is identified with 75 peptides, lacks homolog in other methylobacteria but has glycosyl transferase and lipopolysaccharide biosynthesis protein domains, indicating its potential role in outer membrane synthesis. Many novel genes are present only in ME-AM1 among methylobacteria. Distant homologs of these genes in unrelated taxonomic classes and low GC-content of few genes suggest lateral gene transfer as a potential mode of their origin. Annotations of methylotrophy related genes were also improved by the discovery of a short gene in methylotrophy gene island and redefining a gene important for pyrroquinoline quinone synthesis, essential for methylotrophy. The combined use of proteogenomics and rigorous bioinformatics analysis greatly enhanced the annotation of protein-coding genes in model methylotroph ME-AM1 genome. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. A Gibberellin-Mediated DELLA-NAC Signaling Cascade Regulates Cellulose Synthesis in Rice.

    PubMed

    Huang, Debao; Wang, Shaogan; Zhang, Baocai; Shang-Guan, Keke; Shi, Yanyun; Zhang, Dongmei; Liu, Xiangling; Wu, Kun; Xu, Zuopeng; Fu, Xiangdong; Zhou, Yihua

    2015-06-01

    Cellulose, which can be converted into numerous industrial products, has important impacts on the global economy. It has long been known that cellulose synthesis in plants is tightly regulated by various phytohormones. However, the underlying mechanism of cellulose synthesis regulation remains elusive. Here, we show that in rice (Oryza sativa), gibberellin (GA) signals promote cellulose synthesis by relieving the interaction between SLENDER RICE1 (SLR1), a DELLA repressor of GA signaling, and NACs, the top-layer transcription factors for secondary wall formation. Mutations in GA-related genes and physiological treatments altered the transcription of CELLULOSE SYNTHASE genes (CESAs) and the cellulose level. Multiple experiments demonstrated that transcription factors NAC29/31 and MYB61 are CESA regulators in rice; NAC29/31 directly regulates MYB61, which in turn activates CESA expression. This hierarchical regulation pathway is blocked by SLR1-NAC29/31 interactions. Based on the results of anatomical analysis and GA content examination in developing rice internodes, this signaling cascade was found to be modulated by varied endogenous GA levels and to be required for internode development. Genetic and gene expression analyses were further performed in Arabidopsis thaliana GA-related mutants. Altogether, our findings reveal a conserved mechanism by which GA regulates secondary wall cellulose synthesis in land plants and provide a strategy for manipulating cellulose production and plant growth. © 2015 American Society of Plant Biologists. All rights reserved.

  2. Concepts in Gene Therapy for Cartilage Repair

    PubMed Central

    Steinert, Andre F.; Nöth, Ulrich; Tuan, Rocky S.

    2009-01-01

    Summary Once articular cartilage is injured, it has a very limited capacity for self-repair. Although current surgical therapeutic procedures to cartilage repair are clinically useful, they cannot restore a normal articular surface. Current research offers a growing number of bioactive reagents, including proteins and nucleic acids, that may be used to augment different aspects of the repair process. As these agents are difficult to administer effectively, gene transfer approaches are being developed to provide their sustained synthesis at sites of repair. To augment regeneration of articular cartilage, therapeutic genes can be delivered to the synovium, or directly to the cartilage lesion. Gene delivery to the cells of the synovial lining is generally considered more suitable for chondroprotective approaches, based on the expression of anti-inflammatory mediators. Gene transfer targeted to cartilage defects can be achieved by either direct vector administration to cells located at or surrounding the defects, or by transplantation of genetically modified chondrogenic cells into the defect. Several studies have shown that exogenous cDNAs encoding growth factors can be delivered locally to sites of cartilage damage, where they are expressed at therapeutically relevant levels. Furthermore, data is beginning to emerge indicating, that efficient delivery and expression of these genes is capable of influencing a repair response toward the synthesis of a more hyaline cartilage repair tissue in vivo. This review presents the current status of gene therapy for cartilage healing and highlights some of the remaining challenges. PMID:18313477

  3. Enzymes involved in plastid-targeted phosphatidic acid synthesis are essential for Plasmodium yoelii liver-stage development.

    PubMed

    Lindner, Scott E; Sartain, Mark J; Hayes, Kiera; Harupa, Anke; Moritz, Robert L; Kappe, Stefan H I; Vaughan, Ashley M

    2014-02-01

    Malaria parasites scavenge nutrients from their host but also harbour enzymatic pathways for de novo macromolecule synthesis. One such pathway is apicoplast-targeted type II fatty acid synthesis, which is essential for late liver-stage development in rodent malaria. It is likely that fatty acids synthesized in the apicoplast are ultimately incorporated into membrane phospholipids necessary for exoerythrocytic merozoite formation. We hypothesized that these synthesized fatty acids are being utilized for apicoplast-targeted phosphatidic acid synthesis, the phospholipid precursor. Phosphatidic acid is typically synthesized in a three-step reaction utilizing three enzymes: glycerol 3-phosphate dehydrogenase, glycerol 3-phosphate acyltransferase and lysophosphatidic acid acyltransferase. The Plasmodium genome is predicted to harbour genes for both apicoplast- and cytosol/endoplasmic reticulum-targeted phosphatidic acid synthesis. Our research shows that apicoplast-targeted Plasmodium yoelii glycerol 3-phosphate dehydrogenase and glycerol 3-phosphate acyltransferase are expressed only during liver-stage development and deletion of the encoding genes resulted in late liver-stage growth arrest and lack of merozoite differentiation. However, the predicted apicoplast-targeted lysophosphatidic acid acyltransferase gene was refractory to deletion and was expressed solely in the endoplasmic reticulum throughout the parasite life cycle. Our results suggest that P. yoelii has an incomplete apicoplast-targeted phosphatidic acid synthesis pathway that is essential for liver-stage maturation. © 2013 John Wiley & Sons Ltd.

  4. Helper-Dependent Properties of Friend Spleen Focus-Forming Virus: Effect of the Fv-1 Gene on the Late Stages in Virus Synthesis

    PubMed Central

    Eckner, Robert J.

    1973-01-01

    Co-infection of neonatal BALB/c mice with Friend virus (FV) complex (containing defective spleen focus-forming virus [SFFV] and endogenous N-tropic leukemia-inducing helper virus [LLV-F]) and B-tropic Tennant leukemia virus (TenLV) resulted in the inhibition of LLV-F by the Fv-1b gene and recovery of a TenLV pseudotype of SFFV, abbreviated SFFV(TenLV). The host range of this pseudotype was B-tropic, since SFFV(TenLV) was 10 to 100 times more infectious for B-type (Fv-1bb) than for N-type (Fv-1nn) mice. The similar patterns of neutralization of N-tropic and B-tropic SFFV by type-specific murine antisera suggested that the difference in infectivity between these two SFFV preparations did not reside in envelope determinants. Rather, helper control of SFFV's host range was only apparent and dependent upon the ability of associated virus to provide a helper function for late stages in SFFV synthesis. Early stages in SFFV's infectious cycle were shown to be helper independent. The Fv-1 gene did not act at the level of the cell membrane to effectively restrict SFFV infection, since SFFV-induced transformed cells could be detected in the absence of spleen focus formation and SFFV synthesis. Further, the generation of these transformed cells by SFFV followed a one-hit, dose-response pattern, suggesting that SFFV-induced cell transformation is helper independent. Finally, restriction of helper function by Fv-1 may be an intracellular event, because both SFFV and its associated LLV-F helper share common envelope determinants and presumably adsorb onto and penetrate target cells with equal efficiency. PMID:4127030

  5. Thermo-Regulation of Genes Mediating Motility and Plant Interactions in Pseudomonas syringae

    PubMed Central

    Hockett, Kevin L.; Burch, Adrien Y.; Lindow, Steven E.

    2013-01-01

    Pseudomonas syringae is an important phyllosphere colonist that utilizes flagellum-mediated motility both as a means to explore leaf surfaces, as well as to invade into leaf interiors, where it survives as a pathogen. We found that multiple forms of flagellum-mediated motility are thermo-suppressed, including swarming and swimming motility. Suppression of swarming motility occurs between 28° and 30°C, which coincides with the optimal growth temperature of P. syringae. Both fliC (encoding flagellin) and syfA (encoding a non-ribosomal peptide synthetase involved in syringafactin biosynthesis) were suppressed with increasing temperature. RNA-seq revealed 1440 genes of the P. syringae genome are temperature sensitive in expression. Genes involved in polysaccharide synthesis and regulation, phage and IS elements, type VI secretion, chemosensing and chemotaxis, translation, flagellar synthesis and motility, and phytotoxin synthesis and transport were generally repressed at 30°C, while genes involved in transcriptional regulation, quaternary ammonium compound metabolism and transport, chaperone/heat shock proteins, and hypothetical genes were generally induced at 30°C. Deletion of flgM, a key regulator in the transition from class III to class IV gene expression, led to elevated and constitutive expression of fliC regardless of temperature, but did not affect thermo-regulation of syfA. This work highlights the importance of temperature in the biology of P. syringae, as many genes encoding traits important for plant-microbe interactions were thermo-regulated. PMID:23527276

  6. Multiple Vibrio fischeri genes are involved in biofilm formation and host colonization

    PubMed Central

    Chavez-Dozal, Alba; Hogan, David; Gorman, Clayton; Quintanal-Villalonga, Alvaro; Nishiguchi, Michele K.

    2012-01-01

    Biofilms are increasingly recognized as the predominant form for survival in the environment for most bacteria. The successful colonization of Vibrio fischeri in its squid host Euprymna tasmanica, involves complex microbe-host interactions mediated by specific genes that are essential for biofilm formation and colonization. In the present investigation, structural and regulatory genes were selected to study their role in biofilm formation and host colonization. We have mutated several genes (pilT, pilU, flgF, motY, ibpA and mifB) by an insertional inactivation strategy. Results demonstrate that structural genes responsible for synthesis of type IV pili and flagella are crucial for biofilm formation and host infection. Moreover, regulatory genes affect colony aggregation by various mechanisms including alteration of synthesis of transcriptional factors and regulation of extracellular polysaccharide production. These results reflect the significance of how genetic alterations influence communal behavior, which is important in understanding symbiotic relationships. PMID:22486781

  7. Meta-analysis of gene expression patterns in animal models of prenatal alcohol exposure suggests role for protein synthesis inhibition and chromatin remodeling

    PubMed Central

    Rogic, Sanja; Wong, Albertina; Pavlidis, Paul

    2017-01-01

    Background Prenatal alcohol exposure (PAE) can result in an array of morphological, behavioural and neurobiological deficits that can range in their severity. Despite extensive research in the field and a significant progress made, especially in understanding the range of possible malformations and neurobehavioral abnormalities, the molecular mechanisms of alcohol responses in development are still not well understood. There have been multiple transcriptomic studies looking at the changes in gene expression after PAE in animal models, however there is a limited apparent consensus among the reported findings. In an effort to address this issue, we performed a comprehensive re-analysis and meta-analysis of all suitable, publically available expression data sets. Methods We assembled ten microarray data sets of gene expression after PAE in mouse and rat models consisting of samples from a total of 63 ethanol-exposed and 80 control animals. We re-analyzed each data set for differential expression and then used the results to perform meta-analyses considering all data sets together or grouping them by time or duration of exposure (pre- and post-natal, acute and chronic, respectively). We performed network and Gene Ontology enrichment analysis to further characterize the identified signatures. Results For each sub-analysis we identified signatures of differential expressed genes that show support from multiple studies. Overall, the changes in gene expression were more extensive after acute ethanol treatment during prenatal development than in other models. Considering the analysis of all the data together, we identified a robust core signature of 104 genes down-regulated after PAE, with no up-regulated genes. Functional analysis reveals over-representation of genes involved in protein synthesis, mRNA splicing and chromatin organization. Conclusions Our meta-analysis shows that existing studies, despite superficial dissimilarity in findings, share features that allow us

  8. Differentially expressed genes in the silk gland of silkworm (Bombyx mori) treated with TiO2 NPs.

    PubMed

    Xue, Bin; Li, Fanchi; Hu, Jingsheng; Tian, Jianghai; Li, Jinxin; Cheng, Xiaoyu; Hu, Jiahuan; Li, Bing

    2017-05-05

    Silk gland is a silkworm organ where silk proteins are synthesized and secreted. Dietary supplement of TiO 2 nanoparticles (NPs) promotes silk protein synthesis in silkworms. In this study, digital gene expression (DGE) tag was used to analyze the gene expression profile of the posterior silk gland of silkworms that were fed with TiO 2 NPs. In total, 5,702,823 and 6,150,719 clean tags, 55,096 and 74,715 distinct tags were detected in TiO 2 NPs treated and control groups, respectively. Compared with the control, TiO 2 NPs treated silkworms showed 306 differentially expressed genes, including 137 upregulated genes and 169 downregulated genes. Of these differentially expressed genes, 106 genes were related to silk protein synthesis, among which 97 genes were upregulated and 9 genes were downregulated. Pathway mapping using the Kyoto Encyclopedia of Genes and Genomes (KEGG) showed that 20 pathways were significantly enriched in TiO 2 NPs treated silkworms, and the metabolic pathway-related genes were the most significantly enriched. The DGE results were verified by qRT-PCR analysis of eight differentially expressed genes. The DGE and qRT-PCR results were consistent for all three upregulated genes and three of the five downregulated genes, but the expression trends of the remaining two genes were different between qRT-PCR and DGE analysis. This study enhances our understanding of the mechanism of TiO 2 NPs promoted silk protein synthesis. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. A comparison of the suppression of human transferrin synthesis by lead and lipopolysaccharide.

    PubMed

    Barnum-Huckins, K M; Martinez, A O; Rivera, E V; Adrian, E K; Herbert, D C; Weaker, F J; Walter, C A; Adrian, G S

    1997-03-14

    Transferrin, as the major iron-transport protein in serum and other body fluids, has a central role in managing iron the body receives. Liver is a major site of transferrin synthesis, and in this study we present evidence that liver synthesis of human transferrin is suppressed by both the toxic metal lead and bacterial lipopolysaccharide, an inducer of the hepatic acute phase response. The responses of intact endogenous transferrin in the human hepatoma cell line HepG2 and chimeric human transferrin-chloramphenicol acetyltransferase genes in transgenic mice were examined. In HepG2 cells, 35S-transferrin protein synthesis and mRNA levels were suppressed by 100 microM and 10 microM lead acetate as early as 24 h after the initial treatment. Yet, synthesis of two proteins known to respond in the hepatic acute phase reaction, complement C3 and albumin, was not altered by the lead treatment. In transgenic mouse liver, lead suppressed expression of chimeric human transferrin genes at both the protein and mRNA levels, but LPS only suppressed at the protein level. The study indicates that lead suppresses human transferrin synthesis by a mechanism that differs from the hepatic acute phase response and that lead may also affect iron metabolism in humans by interfering with transferrin levels.

  10. Isolation, structure, synthesis, and activity of a new member of the calcitonin gene-related peptide family from frog skin and molecular cloning of its precursor.

    PubMed

    Seon, A A; Pierre, T N; Redeker, V; Lacombe, C; Delfour, A; Nicolas, P; Amiche, M

    2000-02-25

    Calcitonin gene-related peptide has been extracted from the skin exudate of a single living specimen of the frog Phyllomedusa bicolor and purified to homogeneity by a two-step protocol. A total volume of 250 microl of exudate yielded 380 microg of purified peptide. Mass spectrometric analysis and gas phase sequencing of the purified peptide as well as chemical synthesis and cDNA analysis were consistent with the structure SCDTSTCATQRLADFLSRSGGIGSPDFVPTDVSANSF amide and the presence of a disulfide bridge linking Cys(2) and Cys(7). The skin peptide, named skin calcitonin gene-related peptide, differs significantly from all other members of the calcitonin gene-related peptide family of peptides at nine positions but binds with high affinity to calcitonin gene-related peptide receptors in the rat brain and acts as an agonist in the rat vas deferens bioassay with potencies equal to those of human CGRP. Reverse transcriptase-polymerase chain reaction coupled with cDNA cloning and sequencing demonstrated that skin calcitonin gene-related peptide isolated in the skin is identical to that present in the frog's central and enteric nervous systems. These data, which indicate for the first time the existence of calcitonin gene-related peptide in the frog skin, add further support to the brain-skin-gut triangle hypothesis as a useful tool in the identification and/or isolation of mammalian peptides that are present in the brain and other tissues in only minute quantities.

  11. Neurobiology of autism gene products: towards pathogenesis and drug targets.

    PubMed

    Kleijer, Kristel T E; Schmeisser, Michael J; Krueger, Dilja D; Boeckers, Tobias M; Scheiffele, Peter; Bourgeron, Thomas; Brose, Nils; Burbach, J Peter H

    2014-03-01

    The genetic heterogeneity of autism spectrum disorders (ASDs) is enormous, and the neurobiology of proteins encoded by genes associated with ASD is very diverse. Revealing the mechanisms on which different neurobiological pathways in ASD pathogenesis converge may lead to the identification of drug targets. The main objective is firstly to outline the main molecular networks and neuronal mechanisms in which ASD gene products participate and secondly to answer the question how these converge. Finally, we aim to pinpoint drug targets within these mechanisms. Literature review of the neurobiological properties of ASD gene products with a special focus on the developmental consequences of genetic defects and the possibility to reverse these by genetic or pharmacological interventions. The regulation of activity-dependent protein synthesis appears central in the pathogenesis of ASD. Through sequential consequences for axodendritic function, neuronal disabilities arise expressed as behavioral abnormalities and autistic symptoms in ASD patients. Several known ASD gene products have their effect on this central process by affecting protein synthesis intrinsically, e.g., through enhancing the mammalian target of rapamycin (mTOR) signal transduction pathway or through impairing synaptic function in general. These are interrelated processes and can be targeted by compounds from various directions: inhibition of protein synthesis through Lovastatin, mTOR inhibition using rapamycin, or mGluR-related modulation of synaptic activity. ASD gene products may all feed into a central process of translational control that is important for adequate glutamatergic regulation of dendritic properties. This process can be modulated by available compounds but may also be targeted by yet unexplored routes.

  12. Deletion and Gene Expression Analyses Define the Paxilline Biosynthetic Gene Cluster in Penicillium paxilli

    PubMed Central

    Scott, Barry; Young, Carolyn A.; Saikia, Sanjay; McMillan, Lisa K.; Monahan, Brendon J.; Koulman, Albert; Astin, Jonathan; Eaton, Carla J.; Bryant, Andrea; Wrenn, Ruth E.; Finch, Sarah C.; Tapper, Brian A.; Parker, Emily J.; Jameson, Geoffrey B.

    2013-01-01

    The indole-diterpene paxilline is an abundant secondary metabolite synthesized by Penicillium paxilli. In total, 21 genes have been identified at the PAX locus of which six have been previously confirmed to have a functional role in paxilline biosynthesis. A combination of bioinformatics, gene expression and targeted gene replacement analyses were used to define the boundaries of the PAX gene cluster. Targeted gene replacement identified seven genes, paxG, paxA, paxM, paxB, paxC, paxP and paxQ that were all required for paxilline production, with one additional gene, paxD, required for regular prenylation of the indole ring post paxilline synthesis. The two putative transcription factors, PP104 and PP105, were not co-regulated with the pax genes and based on targeted gene replacement, including the double knockout, did not have a role in paxilline production. The relationship of indole dimethylallyl transferases involved in prenylation of indole-diterpenes such as paxilline or lolitrem B, can be found as two disparate clades, not supported by prenylation type (e.g., regular or reverse). This paper provides insight into the P. paxilli indole-diterpene locus and reviews the recent advances identified in paxilline biosynthesis. PMID:23949005

  13. On Light-Induced Photoconversion of B800 Bacteriochlorophylls in the LH2 Antenna of the Purple Sulfur Bacterium Allochromatium vinosum.

    PubMed

    Kell, Adam; Jassas, Mahboobe; Hacking, Kirsty; Cogdell, Richard J; Jankowiak, Ryszard

    2017-11-02

    The B800-850 LH2 antenna from the photosynthetic purple sulfur bacterium Allochromatium vinosum exhibits an unusual spectral splitting of the B800 absorption band; i.e., two bands are well-resolved at 5 K with maxima at 805 nm (B800 R ) and 792 nm (B800 B ). To provide more insight into the nature of the B800 bacteriochlorophyll (BChl) a molecules, high-resolution hole-burning (HB) spectroscopy is employed. Both white light illumination and selective laser excitations into B800 R or B800 B lead to B800 R → B800 B phototransformation. Selective excitation into B800 B leads to uncorrelated excitation energy transfer (EET) to B800 R and subsequent B800 R → B800 B phototransformation. The B800 B → B800 R EET time is 0.9 ± 0.1 ps. Excitation at 808.4 nm (into the low-energy side of B800 R ) shows that the lower limit of B800 R → B850 EET is about 2 ps, as the B800 R → B800 B phototransformation process could contribute to the corresponding zero-phonon hole width. The phototransformation of B800 R leads to a ∼ 200 cm -1 average blue-shift of transition energies, i.e., B800 R changes into B800 B . We argue that it is unlikely that B800-B850 excitonic interactions give rise to a splitting of the B800 band. We propose that the latter is caused by different protein conformations that can lead to both strong or weak hydrogen bond(s) between B800 pigments and the protein scaffolding. Temperature-dependent absorption spectra of B800, which revealed a well-defined isosbestic point, support a two-site model, likely with strongly and weakly hydrogen-bonded B800 BChls. Thus, BChls contributing to B800 R and B800 B could differ in the position of the proton in the BChl carbonyl-protein hydrogen bond, i.e., proton dynamics along the hydrogen bond may well be the major mechanism of this phototransformation. However, the effective tunneling mass is likely larger than the proton mass.

  14. Short-Term Exercise Training Does Not Stimulate Skeletal Muscle ATP Synthesis in Relatives of Humans With Type 2 Diabetes

    PubMed Central

    Kacerovsky-Bielesz, Gertrud; Chmelik, Marek; Ling, Charlotte; Pokan, Rochus; Szendroedi, Julia; Farukuoye, Michaela; Kacerovsky, Michaela; Schmid, Albrecht I.; Gruber, Stephan; Wolzt, Michael; Moser, Ewald; Pacini, Giovanni; Smekal, Gerhard; Groop, Leif; Roden, Michael

    2009-01-01

    OBJECTIVE We tested the hypothesis that short-term exercise training improves hereditary insulin resistance by stimulating ATP synthesis and investigated associations with gene polymorphisms. RESEARCH DESIGN AND METHODS We studied 24 nonobese first-degree relatives of type 2 diabetic patients and 12 control subjects at rest and 48 h after three bouts of exercise. In addition to measurements of oxygen uptake and insulin sensitivity (oral glucose tolerance test), ectopic lipids and mitochondrial ATP synthesis were assessed using1H and31P magnetic resonance spectroscopy, respectively. They were genotyped for polymorphisms in genes regulating mitochondrial function, PPARGC1A (rs8192678) and NDUFB6 (rs540467). RESULTS Relatives had slightly lower (P = 0.012) insulin sensitivity than control subjects. In control subjects, ATP synthase flux rose by 18% (P = 0.0001), being 23% higher (P = 0.002) than that in relatives after exercise training. Relatives responding to exercise training with increased ATP synthesis (+19%, P = 0.009) showed improved insulin sensitivity (P = 0.009) compared with those whose insulin sensitivity did not improve. A polymorphism in the NDUFB6 gene from respiratory chain complex I related to ATP synthesis (P = 0.02) and insulin sensitivity response to exercise training (P = 0.05). ATP synthase flux correlated with O2uptake and insulin sensitivity. CONCLUSIONS The ability of short-term exercise to stimulate ATP production distinguished individuals with improved insulin sensitivity from those whose insulin sensitivity did not improve. In addition, the NDUFB6 gene polymorphism appeared to modulate this adaptation. This finding suggests that genes involved in mitochondrial function contribute to the response of ATP synthesis to exercise training. PMID:19265027

  15. Global analysis of gene expression profiles in physic nut (Jatropha curcas L.) seedlings exposed to drought stress.

    PubMed

    Zhang, Chao; Zhang, Lin; Zhang, Sheng; Zhu, Shuang; Wu, Pingzhi; Chen, Yaping; Li, Meiru; Jiang, Huawu; Wu, Guojiang

    2015-01-21

    Physic nut (Jatropha curcas L.) is a small perennial tree or large shrub, which is well-adapted to semi-arid regions and is considered to have potential as a crop for biofuel production. It is now regarded as an excellent model for studying biofuel plants. However, our knowledge about the molecular responses of this species to drought stress is currently limited. In this study, genome-wide transcriptional profiles of roots and leaves of 8-week old physic nut seedlings were analyzed 1, 4 and 7 days after withholding irrigation. We observed a total of 1533 and 2900 differentially expressed genes (DEGs) in roots and leaves, respectively. Gene Ontology analysis showed that the biological processes enriched in droughted plants relative to unstressed plants were related to biosynthesis, transport, nucleobase-containing compounds, and cellular protein modification. The genes found to be up-regulated in roots were related to abscisic acid (ABA) synthesis and ABA signal transduction, and to the synthesis of raffinose. Genes related to ABA signal transduction, and to trehalose and raffinose synthesis, were up-regulated in leaves. Endoplasmic reticulum (ER) stress response genes were significantly up-regulated in leaves under drought stress, while a number of genes related to wax biosynthesis were also up-regulated in leaves. Genes related to unsaturated fatty acid biosynthesis were down-regulated and polyunsaturated fatty acids were significantly reduced in leaves 7 days after withholding irrigation. As drought stress increased, genes related to ethylene synthesis, ethylene signal transduction and chlorophyll degradation were up-regulated, and the chlorophyll content of leaves was significantly reduced by 7 days after withholding irrigation. This study provides us with new insights to increase our understanding of the response mechanisms deployed by physic nut seedlings under drought stress. The genes and pathways identified in this study also provide much information of

  16. Map-Based Cloning of Seed Dormancy1-2 Identified a Gibberellin Synthesis Gene Regulating the Development of Endosperm-Imposed Dormancy in Rice.

    PubMed

    Ye, Heng; Feng, Jiuhuan; Zhang, Lihua; Zhang, Jinfeng; Mispan, Muhamad S; Cao, Zhuanqin; Beighley, Donn H; Yang, Jianchang; Gu, Xing-You

    2015-11-01

    Natural variation in seed dormancy is controlled by multiple genes mapped as quantitative trait loci in major crop or model plants. This research aimed to clone and characterize the Seed Dormancy1-2 (qSD1-2) locus associated with endosperm-imposed dormancy and plant height in rice (Oryza sativa). qSD1-2 was delimited to a 20-kb region, which contains OsGA20ox2 and had an additive effect on germination. Naturally occurring or induced loss-of-function mutations of the gibberellin (GA) synthesis gene enhanced seed dormancy and also reduced plant height. Expression of this gene in seeds (including endospermic cells) during early development increased GA accumulation to promote tissue morphogenesis and maturation programs. The mutant allele prevalent in semidwarf cultivars reduced the seed GA content by up to 2-fold at the early stage, which decelerated tissue morphogenesis including endosperm cell differentiation, delayed abscisic acid accumulation by a shift in the temporal distribution pattern, and postponed dehydration, physiological maturity, and germinability development. As the endosperm of developing seeds dominates the moisture equilibrium and desiccation status of the embryo in cereal crops, qSD1-2 is proposed to control primary dormancy by a GA-regulated dehydration mechanism. Allelic distribution of OsGA20ox2, the rice Green Revolution gene, was associated with the indica and japonica subspeciation. However, this research provided no evidence that the primitive indica- and common japonica-specific alleles at the presumably domestication-related locus functionally differentiate in plant height and seed dormancy. Thus, the evolutionary mechanism of this agriculturally important gene remains open for discussion. © 2015 American Society of Plant Biologists. All Rights Reserved.

  17. [Influence of antisense RNA and sequences of viral transactivators traps on RNA synthesis of HTLV-1 virus].

    PubMed

    Borisenko, A S; Kotus, E V; Kaloshin, A A

    2008-01-01

    Significant number of scientific publications devoted to inhibition of viral replication by antisense RNA (asRNA) genes shows that this approach is useful for gene therapy of viral infections. To investigate the possibility of suppression of HTLV-1 virus reproduction by asRNA we constructed recombinant plasmids containing asRNA genes against U3 long terminal repeats region and X gene under the control of promoter of myeloproliferative sarcoma virus (MPSV) or without such promoter. Using stable calcium-phosphate transfection method with subsequent selection in the presence of G-418, RaHOS line-based cell clones carrying both asRNA genes and sequences able to bind HTLV-1 transactivator proteins (i.e. "traps" of viral transactivators, TVT) were obtained. Data from dot-hybridization analysis of viral RNA extracted from RaHOS cell clones showed that TVT sequences are able to suppress the viral RNA synthesis on 90% and asRNA against X gene synthesis--on 50%.

  18. Role of Escherichia coli dnaA gene and its integrative suppression in M13 Coliphage DNA synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mitra, S.; Stallions, D.R.

    An F/sup +/ derivative of Escherichia coli E508 thermosensitive in dnaA function (involved in DNA synthesis initiation), its revertant and an Hfr derivative of E508(ts) in which the temperature-sensitive phenotype is suppressed by integrative suppression have been compared for their ability to support M13 phage DNA synthesis at the nonpermissive temperature. Upon infection at the nonpermissive temperature, both the revertant and the Hfr strain support normal phage replication while the temperature-sensitive mutant does not. However, when infection is carried out at a permissive temperature and the temperature is shifted up after infection, phage synthesis occurs in the temperature-sensitive mutant also,more » but in lesser quantity than in the revertant strain. Analysis of intracellular labeled phage DNA indicates: (a) parental replicative form DNA synthesis is not dependent on dnaA function; (b) progeny replicative form DNA synthesis is strongly inhibited in the temperature-sensitive dnaA mutant at the nonpermissive temperature; (c) progeny single-strand DNA synthesis does not absolutely require dnaA function; (d) progeny single-strand DNA is present in the circular form. The implication of the host DNA replication in M13 DNA synthesis is discussed.« less

  19. Influence of the IL-1Ra gene polymorphism on in vivo synthesis of IL-1Ra and IL-1beta after live yellow fever vaccination.

    PubMed

    Hacker, U T; Erhardt, S; Tschöp, K; Jelinek, T; Endres, S

    2001-09-01

    The inflammatory response in infectious and autoimmune diseases is regulated by the balance between pro- and anti-inflammatory cytokines. The IL-1 complex contains polymorphic genes coding for IL-1alpha, IL-1beta and IL-1Ra. The IL-1Ra (variable number of tanden repeat) VNTR polymorphism has been shown to influence the capacity to produce IL-1beta and IL-1Ra after in vitro stimulation. Allele 2 of this polymorphism is associated with a number of inflammatory diseases. To determine the impact of the IL-1Ra polymorphism on in vivo human cytokine synthesis, we used a yellow fever vaccination model for the induction of cytokine synthesis in healthy volunteers. Two different yellow fever vaccines were used. After administration of the RKI vaccine (34 volunteers), plasma TNF-alpha concentration increased from 13.4 +/- 0.9 pg/ml to 23.3 +/- 1.1 pg/ml (P < 0.001), and plasma IL-1Ra concentration increased from 308 +/- 25 pg/ml to 1019 +/- 111 pg/ml (P < 0.001), on day 2. Using Stamaril vaccine, no increase in the plasma concentrations of either TNF-alpha or IL-1Ra could be detected (n = 17). Only the RKI vaccine induced TNF-alpha synthesis after in vitro stimulation of MNC. Carriers of allele 2 of the IL-1Ra polymorphism had increased baseline concentrations of IL-1Ra (350 +/- 32 pg/ml) compared with non-carriers (222 +/- 18 pg/ml, P < 0.001), and decreased concentrations of IL-1beta (0.9 +/- 0.2 pg/ml for carriers versus 2.8 +/- 0.7 pg/ml for non-carriers, P = 0.017). After yellow fever vaccination (RKI vaccine), no significant differences in the increase of IL-1Ra plasma levels were detected between carriers and non-carriers of allele 2 of the IL-1Ra gene polymorphism. This is the first study to examine the influence of this genetic polymorphism on in vivo-induced human IL-1beta and IL-1Ra synthesis. Baseline concentrations of IL-1Ra and IL-1beta were significantly influenced by the IL-1Ra polymorphism. No influence of the IL-1Ra polymorphism on the in vivo

  20. mTOR signaling regulates myotube hypertrophy by modulating protein synthesis, rDNA transcription, and chromatin remodeling.

    PubMed

    von Walden, Ferdinand; Liu, Chang; Aurigemma, Nicole; Nader, Gustavo A

    2016-10-01

    Ribosome production is an early event during skeletal muscle hypertrophy and precedes muscle protein accretion. Signaling via mTOR is crucial for ribosome production and hypertrophy; however, the mechanisms by which it regulates these processes remain to be identified. Herein, we investigated the activation of mTOR signaling in hypertrophying myotubes and determined that mTOR coordinates various aspects of gene expression important for ribosome production. First, inhibition of translation with cycloheximide had a more potent effect on protein synthesis than rapamycin indicating that mTOR function during hypertrophy is not on general, but rather on specific protein synthesis. Second, blocking Pol II transcription had a similar effect as Rapamycin and, unexpectedly, revealed the necessity of Pol II transcription for Pol I transcription, suggesting that mTOR may regulate ribosome production also by controlling Class II genes at the transcriptional level. Third, Pol I activity is essential for rDNA transcription and, surprisingly, for protein synthesis as selective Pol I inhibition blunted rDNA transcription, protein synthesis, and the hypertrophic response of myotubes. Finally, mTOR has nuclear localization in muscle, which is not sensitive to rapamycin. Inhibition of mTOR signaling by rapamycin disrupted mTOR-rDNA promoter interaction and resulted in altered histone marks indicative of repressed transcription and formation of higher-order chromatin structure. Thus mTOR signaling appears to regulate muscle hypertrophy by affecting protein synthesis, Class I and II gene expression, and chromatin remodeling. Copyright © 2016 the American Physiological Society.

  1. Incomplete synthesis of N-glycans in congenital dyserythropoietic anemia type II caused by a defect in the gene encoding. alpha. -mannosidase II

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fukuda, M.N.; Masri, K.A.; Dell, A.

    1990-10-01

    Congenital dyserythropoietic anemia type II, or hereditary erythroblastic multinuclearity with a positive acidified-serum-lysis test (HEMPAS), is a genetic anemia in humans inherited by an autosomally recessive mode. The enzyme defect in most HEMPAS patients has previously been proposed as a lowered activity of N-acetylglucosaminyltransferase II, resulting in a lack of polylactosamine on proteins and leading to the accumulation of polylactosaminyl lipids. A recent HEMPAS case, G.C., has now been analyzed by cell-surface labeling, fast-atom-bombardment mass spectrometry of glycopeptides, and activity assay of glycosylation enzymes. Significantly decreased glycosylation of polylactosaminoglycan proteins and incompletely processed asparagine-linked oligosaccharides were detected in the erythrocytemore » membranes of G.C. These results suggest that G.C. cells contain a mutation in {alpha}-ManII-encoding gene that results in inefficient expression of {alpha}-ManII mRNA, either through reduced transcription or message instability. This report demonstrates that HEMPAS is caused by a defective gene encoding an enzyme necessary for the synthesis of asparagine-linked oligosaccharides.« less

  2. ''The control of lignin synthesis''

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carlson, John E.

    2005-04-07

    In this project we tested the hypothesis that regulation of the synthesis of lignin in secondary xylem cells in conifer trees involves the transport of glucosylated lignin monomers to the wall of xylem cells, followed by de-glucosylation in the cell wall by monolignol-specific glucosidase enzymes, which activates the monomers for lignin polymerization. The information we gathered is relevant to the fundamental understanding of how trees make wood, and to the applied goal of more environmentally friendly pulp and paper production. We characterized the complete genomic structure of the Coniferin-specific Beta-glucosidase (CBG) gene family in the conifers loblolly pine (Pinus taeda)more » and lodgepole pine (Pinus contorta), and partial genomic sequences were obtained in several other tree species. Both pine species contain multiple CBG genes which raises the possibility of differential regulation, perhaps related to the multiple roles of lignin in development and defense. Subsequent projects will need to include detailed gene expression studies of each gene family member during tree growth and development, and testing the role of each monolignol-specific glucosidase gene in controlling lignin content.« less

  3. A single-molecule view of gene regulation in cancer

    NASA Astrophysics Data System (ADS)

    Larson, Daniel

    2013-03-01

    Single-cell analysis has revealed that transcription is dynamic and stochastic, but tools are lacking that can determine the mechanism operating at a single gene. Here we utilize single-molecule observations of RNA in fixed and living cells to develop a single-cell model of steroid-receptor mediated gene activation. Steroid receptors coordinate a diverse range of responses in higher eukaryotes and are involved in a wide range of human diseases, including cancer. Steroid receptor response elements are present throughout the human genome and modulate chromatin remodeling and transcription in both a local and long-range fashion. As such, steroid receptor-mediated transcription is a paradigm of genetic control in the metazoan nucleus. Moreover, the ligand-dependent nature of these transcription factors makes them appealing targets for therapeutic intervention, necessitating a quantitative understanding of how receptors control output from target genes. We determine that steroids drive mRNA synthesis by frequency modulation of transcription. This digital behavior in single cells gives rise to the well-known analog dose response across the population. To test this model, we developed a light-activation technology to turn on a single gene and follow dynamic synthesis of RNA from the activated locus. The response delay is a measure of time required for chromatin remodeling at a single gene.

  4. Molecular Cloning and Functional Analysis of Gene Clusters for the Biosynthesis of Indole-Diterpenes in Penicillium crustosum and P. janthinellum

    PubMed Central

    Nicholson, Matthew J.; Eaton, Carla J.; Stärkel, Cornelia; Tapper, Brian A.; Cox, Murray P.; Scott, Barry

    2015-01-01

    The penitremane and janthitremane families of indole-diterpenes are abundant natural products synthesized by Penicillium crustosum and P. janthinellum. Using a combination of PCR, cosmid library screening, and Illumina sequencing we have identified gene clusters encoding enzymes for the synthesis of these compounds. Targeted deletion of penP in P. crustosum abolished the synthesis of penitrems A, B, D, E, and F, and led to accumulation of paspaline, a key intermediate for paxilline biosynthesis in P. paxilli. Similarly, deletion of janP and janD in P. janthinellum abolished the synthesis of prenyl-elaborated indole-diterpenes, and led to accumulation in the latter of 13-desoxypaxilline, a key intermediate for the synthesis of the structurally related aflatremanes synthesized by Aspergillus flavus. This study helps resolve the genetic basis for the complexity of indole-diterpene natural products found within the Penicillium and Aspergillus species. All indole-diterpene gene clusters identified to date have a core set of genes for the synthesis of paspaline and a suite of genes encoding multi-functional cytochrome P450 monooxygenases, FAD dependent monooxygenases, and prenyl transferases that catalyse various regio- and stereo- specific oxidations that give rise to the diversity of indole-diterpene products synthesized by this group of fungi. PMID:26213965

  5. Analysis of gene-disruption mutants of a sucrose phosphate synthase gene in rice, OsSPS1, shows the importance of sucrose synthesis in pollen germination.

    PubMed

    Hirose, Tatsuro; Hashida, Yoichi; Aoki, Naohiro; Okamura, Masaki; Yonekura, Madoka; Ohto, Chikara; Terao, Tomio; Ohsugi, Ryu

    2014-08-01

    The molecular function of an isoform of sucrose phosphate synthase (SPS) in rice, OsSPS1, was investigated using gene-disruption mutant lines generated by retrotransposon insertion. The progeny of the heterozygote of disrupted OsSPS1 (SPS1(+/-)) segregated into SPS1(+/+), SPS1(+/-), and SPS1(-/-) at a ratio of 1:1:0. This distorted segregation ratio, together with the expression of OsSPS1 in the developing pollen revealed by quantitative RT-PCR analysis and promoter-beta-glucuronidase (GUS) fusion assay, suggested that the disruption of OsSPS1 results in sterile pollen. This hypothesis was reinforced by reciprocal crosses of SPS1(+/-) plants with wild-type plants in which the disrupted OsSPS1 was not paternally transmitted to the progeny. While the pollen grains of SPS(+/-) plants normally accumulated starch during their development, pollen germination on the artificial media was reduced to half of that observed in the wild-type control. Overall, our data suggests that sucrose synthesis via OsSPS1 is essential in pollen germination in rice. Copyright © 2014 Elsevier Ireland Ltd. All rights reserved.

  6. Abscisic Acid Negatively Regulates Elicitor-Induced Synthesis of Capsidiol in Wild Tobacco1[W

    PubMed Central

    Mialoundama, Alexis Samba; Heintz, Dimitri; Debayle, Delphine; Rahier, Alain; Camara, Bilal; Bouvier, Florence

    2009-01-01

    In the Solanaceae, biotic and abiotic elicitors induce de novo synthesis of sesquiterpenoid stress metabolites known as phytoalexins. Because plant hormones play critical roles in the induction of defense-responsive genes, we have explored the effect of abscisic acid (ABA) on the synthesis of capsidiol, the major wild tobacco (Nicotiana plumbaginifolia) sesquiterpenoid phytoalexin, using wild-type plants versus nonallelic mutants Npaba2 and Npaba1 that are deficient in ABA synthesis. Npaba2 and Npaba1 mutants exhibited a 2-fold higher synthesis of capsidiol than wild-type plants when elicited with either cellulase or arachidonic acid or when infected by Botrytis cinerea. The same trend was observed for the expression of the capsidiol biosynthetic genes 5-epi-aristolochene synthase and 5-epi-aristolochene hydroxylase. Treatment of wild-type plants with fluridone, an inhibitor of the upstream ABA pathway, recapitulated the behavior of Npaba2 and Npaba1 mutants, while the application of exogenous ABA reversed the enhanced synthesis of capsidiol in Npaba2 and Npaba1 mutants. Concomitant with the production of capsidiol, we observed the induction of ABA 8′-hydroxylase in elicited plants. In wild-type plants, the induction of ABA 8′-hydroxylase coincided with a decrease in ABA content and with the accumulation of ABA catabolic products such as phaseic acid and dihydrophaseic acid, suggesting a negative regulation exerted by ABA on capsidiol synthesis. Collectively, our data indicate that ABA is not required per se for the induction of capsidiol synthesis but is essentially implicated in a stress-response checkpoint to fine-tune the amplification of capsidiol synthesis in challenged plants. PMID:19420326

  7. Genes for Drosophila small heat shock proteins are regulated differently by ecdysterone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Amin, J.; Voellmy, R.; Mestril, R.

    Genes for small heat shock proteins (hsp27 to hsp22) are activated in late third-instar larvae of Drosophila melanogaster in the absence of heat stress. This regulation has been stimulated in cultured Drosophila cells in which the genes are activated by the addition of ecdysterone. Sequence elements (HERE) involved in ecdysterone regulation of the hsp27 and hsp23 genes have been defined by transfection studies and have recently been identified as binding sites for ecdysterone receptor. The authors report here that the shp27 and hsp23 genes are regulated differently by ecdysterone. The hsp27 gene is activated rapidly by ecdysterone, even in themore » absence of protein synthesis. In contrast, high-level expression of the hsp23 gene begins only after a lag of about 6 h, is dependent on the continuous presence of ecdysterone, and is sensitive to low concentrations of protein synthesis inhibitors. Transfection experiments with reported constructs show that this difference in regulation is at the transcriptional level. Synthetic hsp27 or hsp23 HERE sequences confer hsp27- or hsp23-type ecdysterone regulation on a basal promoter. These findings indicate that the hsp27 gene is primary, and the hsp23 gene is mainly a secondary, hormone-responsive gene. Ecdysterone receptor is implied to play a role in the regulation of both genes.« less

  8. Computational gene network study on antibiotic resistance genes of Acinetobacter baumannii.

    PubMed

    Anitha, P; Anbarasu, Anand; Ramaiah, Sudha

    2014-05-01

    Multi Drug Resistance (MDR) in Acinetobacter baumannii is one of the major threats for emerging nosocomial infections in hospital environment. Multidrug-resistance in A. baumannii may be due to the implementation of multi-combination resistance mechanisms such as β-lactamase synthesis, Penicillin-Binding Proteins (PBPs) changes, alteration in porin proteins and in efflux pumps against various existing classes of antibiotics. Multiple antibiotic resistance genes are involved in MDR. These resistance genes are transferred through plasmids, which are responsible for the dissemination of antibiotic resistance among Acinetobacter spp. In addition, these resistance genes may also have a tendency to interact with each other or with their gene products. Therefore, it becomes necessary to understand the impact of these interactions in antibiotic resistance mechanism. Hence, our study focuses on protein and gene network analysis on various resistance genes, to elucidate the role of the interacting proteins and to study their functional contribution towards antibiotic resistance. From the search tool for the retrieval of interacting gene/protein (STRING), a total of 168 functional partners for 15 resistance genes were extracted based on the confidence scoring system. The network study was then followed up with functional clustering of associated partners using molecular complex detection (MCODE). Later, we selected eight efficient clusters based on score. Interestingly, the associated protein we identified from the network possessed greater functional similarity with known resistance genes. This network-based approach on resistance genes of A. baumannii could help in identifying new genes/proteins and provide clues on their association in antibiotic resistance. Copyright © 2014 Elsevier Ltd. All rights reserved.

  9. Dynamics of biomass partitioning, stem gene expression, cell wall biosynthesis, and sucrose accumulation during development of Sorghum bicolor.

    PubMed

    McKinley, Brian; Rooney, William; Wilkerson, Curtis; Mullet, John

    2016-11-01

    Biomass accumulated preferentially in leaves of the sweet sorghum Della until floral initiation, then stems until anthesis, followed by panicles until grain maturity, and apical tillers. Sorghum stem RNA-seq transcriptome profiles and composition data were collected for approximately 100 days of development beginning at floral initiation. The analysis identified >200 differentially expressed genes involved in stem growth, cell wall biology, and sucrose accumulation. Genes encoding expansins and xyloglucan endotransglucosylase/hydrolases were differentially expressed in growing stem internodes. Genes encoding enzymes involved in the synthesis of cellulose, lignin, and glucuronoarabinoxylan were expressed at elevated levels in stems until approximately 7 days before anthesis and then down-regulated. CESA genes involved in primary and secondary cell wall synthesis showed different temporal patterns of expression. Following floral initiation, the level of sucrose and other non-structural carbohydrates increased to approximately 50% of the stem's dry weight. Stem sucrose accumulation was inversely correlated with >100-fold down-regulation of SbVIN1, a gene encoding a vacuolar invertase. Accumulation of stem sucrose was also correlated with cessation of leaf and stem growth at anthesis, decreased expression of genes involved in stem cell wall synthesis, and approximately 10-fold lower expression of SbSUS4, a gene encoding sucrose synthase that generates UDP-glucose from sucrose for cell wall biosynthesis. Genes for mixed linkage glucan synthesis (CSLF) and turnover were expressed at high levels in stems throughout development. Overall, the stem transcription profile resource and the genes and regulatory dynamics identified in this study will be useful for engineering sorghum stem composition for improved conversion to biofuels and bio-products. © 2016 The Authors The Plant Journal © 2016 John Wiley & Sons Ltd.

  10. Fibronectin gene expression, synthesis and accumulation during in vitro differentiation of chicken osteoblasts

    NASA Technical Reports Server (NTRS)

    Winnard, R. G.; Gerstenfeld, L. C.; Toma, C. D.; Franceschi, R. T.; Landis, W. J. (Principal Investigator)

    1995-01-01

    A well-defined chicken osteoblast culture system(18) has been used to examine fibronectin (FN) mRNA levels, synthesis, and accumulation during in vitro differentiation and matrix mineralization. Immunofluorescent staining of cells after 6 or 18 days in culture revealed that FN was initially associated with the cell surface and in partial coalignment with cytoskeletal elements while at the latter time most FN was associated with the extracellular matrix as a ubiquitous fibrillar network. Western blot analysis of total cell-associated proteins also detected FN at all culture times. However, when results were normalized to cellular DNA, FN levels increased until 12-16 and remained relatively constant thereafter. Similarly, FN synthesis as measured by [35S]-methionine labeling, and immunoprecipitation was greatest in early cultures (culture day 3) and then declined such that synthesis decreased 60% at day 18 and 94% after 24-31 days. FN mRNA levels as measured by Northern blot analysis were well correlated with FN synthesis. These results clearly show that FN is made by primary osteoblasts during their in vitro maturation. In contrast to other osteoblast markers such as alkaline phosphatase, osteocalcin, and osteopontin, whose expression increases as cells differentiate, FN accumulates in the matrix during periods of early cell growth and attachment and then remains proportional to cell number. Results with FN differ from those obtained with collagen which continues to accumulate in the extracellular matrix during osteoblast maturation. These results are consistent with FN being important for the initial attachment of early osteoblasts or osteoblast precursors to the pericellular matrix.

  11. Nutrient-dependent phosphorylation channels lipid synthesis to regulate PPARα

    PubMed Central

    Jensen-Urstad, Anne P. L.; Song, Haowei; Lodhi, Irfan J.; Funai, Katsuhiko; Yin, Li; Coleman, Trey; Semenkovich, Clay F.

    2013-01-01

    Peroxisome proliferator-activated receptor (PPAR)α is a nuclear receptor that coordinates liver metabolism during fasting. Fatty acid synthase (FAS) is an enzyme that stores excess calories as fat during feeding, but it also activates hepatic PPARα by promoting synthesis of an endogenous ligand. Here we show that the mechanism underlying this paradoxical relationship involves the differential regulation of FAS in at least two distinct subcellular pools: cytoplasmic and membrane-associated. In mouse liver and cultured hepatoma cells, the ratio of cytoplasmic to membrane FAS-specific activity was increased with fasting, indicating higher cytoplasmic FAS activity under conditions associated with PPARα activation. This effect was due to a nutrient-dependent and compartment-selective covalent modification of FAS. Cytoplasmic FAS was preferentially phosphorylated during feeding or insulin treatment at Thr-1029 and Thr-1033, which flank a dehydratase domain catalytic residue. Mutating these sites to alanines promoted PPARα target gene expression. Rapamycin-induced inhibition of mammalian/mechanistic target of rapamycin complex 1 (mTORC1), a mediator of the feeding/insulin signal to induce lipogenesis, reduced FAS phosphorylation, increased cytoplasmic FAS enzyme activity, and increased PPARα target gene expression. Rapamycin-mediated induction of the same gene was abrogated with FAS knockdown. These findings suggest that hepatic FAS channels lipid synthesis through specific subcellular compartments that allow differential gene expression based on nutritional status. PMID:23585690

  12. Low Concentrations of o,p’-DDT Inhibit Gene Expression and Prostaglandin Synthesis by Estrogen Receptor-Independent Mechanism in Rat Ovarian Cells

    PubMed Central

    Liu, Jing; Zhao, Meirong; Zhuang, Shulin; Yang, Yan; Yang, Ye; Liu, Weiping

    2012-01-01

    o,p’-DDT is an infamous xenoestrogen as well as a ubiquitous and persistent pollutant. Biomonitoring studies show that women have been internally exposed to o,p’-DDT at range of 0.3–500 ng/g (8.46×10−10 M−1.41×10−6 M) in blood and other tissues. However, very limited studies have investigated the biological effects and mechanism(s) of o,p’-DDT at levels equal to or lower than current exposure levels in human. In this study, using primary cultures of rat ovarian granulosa cells, we determined that very low doses of o,p’-DDT (10−12−10−8 M) suppressed the expression of ovarian genes and production of prostaglandin E2 (PGE2). In vivo experiments consistently demonstrated that o,p’-DDT at 0.5–1 mg/kg inhibited the gene expression and PGE2 levels in rat ovary. The surprising results from the receptor inhibitors studies showed that these inhibitory effects were exerted independently of either classical estrogen receptors (ERs) or G protein-coupled receptor 30 (GPR30). Instead, o,p’-DDT altered gene expression or hormone action via inhibiting the activation of protein kinase A (PKA), rather than protein kinase C (PKC). We further revealed that o,p’-DDT directly interfered with the PKA catalytic subunit. Our novel findings support the hypothesis that exposure to low concentrations of o,p’-DDT alters gene expression and hormone synthesis through signaling mediators beyond receptor binding, and imply that the current exposure levels of o,p’-DDT observed in the population likely poses a health risk to female reproduction. PMID:23209616

  13. Distribution and evolution of genes responsible for biosynthesis of mycotoxins in Fusarium

    USDA-ARS?s Scientific Manuscript database

    Fusarium secondary metabolites (SMs) include some of the mycotoxins of greatest concern to food and feed safety. In fungi, genes directly involved in synthesis of the same SM are typically located adjacent to one another in gene clusters. To better understand the distribution and evolution of mycoto...

  14. The ambiguous ripening nature of the fig (Ficus carica L.) fruit: a gene-expression study of potential ripening regulators and ethylene-related genes

    PubMed Central

    Freiman, Zohar E.; Rosianskey, Yogev; Dasmohapatra, Rajeswari; Kamara, Itzhak; Flaishman, Moshe A.

    2015-01-01

    The traditional definition of climacteric and non-climacteric fruits has been put into question. A significant example of this paradox is the climacteric fig fruit. Surprisingly, ripening-related ethylene production increases following pre- or postharvest 1-methylcyclopropene (1-MCP) application in an unexpected auto-inhibitory manner. In this study, ethylene production and the expression of potential ripening-regulator, ethylene-synthesis, and signal-transduction genes are characterized in figs ripening on the tree and following preharvest 1-MCP application. Fig ripening-related gene expression was similar to that in tomato and apple during ripening on the tree, but only in the fig inflorescence–drupelet section. Because the pattern in the receptacle is different for most of the genes, the fig drupelets developed inside the syconium are proposed to function as parthenocarpic true fruit, regulating ripening processes for the whole accessory fruit. Transcription of a potential ripening regulator, FcMADS8, increased during ripening on the tree and was inhibited following 1-MCP treatment. Expression patterns of the ethylene-synthesis genes FcACS2, FcACS4, and FcACO3 could be related to the auto-inhibition reaction of ethylene production in 1-MCP-treated fruit. Along with FcMADS8 suppression, gene expression analysis revealed upregulation of FcEBF1, and downregulation of FcEIL3 and several FcERFs by 1-MCP treatment. This corresponded with the high storability of the treated fruit. One FcERF was overexpressed in the 1-MCP-treated fruit, and did not share the increasing pattern of most FcERFs in the tree-ripened fig. This demonstrates the potential of this downstream ethylene-signal-transduction component as an ethylene-synthesis regulator, responsible for the non-climacteric auto-inhibition of ethylene production in fig. PMID:25956879

  15. Transcriptome survey of the lipid metabolic pathways involved in energy production and ecdysteroid synthesis in the salmon louse Caligus rogercresseyi (Crustacea: Copepoda).

    PubMed

    Gonçalves, Ana Teresa; Farlora, Rodolfo; Gallardo-Escárate, Cristian

    2014-10-01

    The goal of this study was to identify and analyze the lipid metabolic pathways involved in energy production and ecdysteroid synthesis in the ectoparasite copepod Caligus rogercresseyi. Massive transcriptome sequencing analysis was performed during the infectious copepodid larval stage, during the attached chalimus larval stage, and also in female and male adults. Thirty genes were selected for describing the pathways, and these were annotated for proteins or enzymes involved in lipid digestion, absorption, and transport; fatty acid degradation; the synthesis and degradation of ketone bodies; and steroid and ecdysteroid syntheses. Differential expression of these genes was analyzed by ontogenic stage and discussed considering each stage's feeding habits and energetic needs. Copepodids showed a low expression of fatty acid digestion genes, reflected by a non-feeding behavior, and the upregulation of genes involved in steroid biosynthesis, which was consistent with a pathway for cholesterol synthesis during ecdysis. The chalimus stage showed an upregulation of genes related to fatty acid digestion, absorption, and transport, as well as to fatty acid degradation and the synthesis of ketone bodies, therefore suggesting that lipids ingested from the mucus and skin of the host fish are metabolized as important sources of energy. Adult females also showed a pattern of high lipid metabolism for energy supply and mobilization in relation to reproduction and vitellogenesis. Adult females and males revealed different lipid metabolism patterns that reflected different energetic needs. This study reports for the first time the probable lipid metabolic pathways involved in the energy production and ecdysteroid synthesis of C. rogercresseyi. Copyright © 2014 Elsevier Inc. All rights reserved.

  16. Adenosine triphosphate inhibits melatonin synthesis in the rat pineal gland.

    PubMed

    Souza-Teodoro, Luis Henrique; Dargenio-Garcia, Letícia; Petrilli-Lapa, Camila Lopes; Souza, Ewerton da Silva; Fernandes, Pedro A C M; Markus, Regina P; Ferreira, Zulma S

    2016-03-01

    Adenosine triphosphate (ATP) is released onto the pinealocyte, along with noradrenaline, from sympathetic neurons and triggers P2Y1 receptors that enhance β-adrenergic-induced N-acetylserotonin (NAS) synthesis. Nevertheless, the biotransformation of NAS into melatonin, which occurs due to the subsequent methylation by acetylserotonin O-methyltransferase (ASMT; EC 2.1.1.4), has not yet been evaluated in the presence of purinergic stimulation. We therefore evaluated the effects of purinergic signaling on melatonin synthesis induced by β-adrenergic stimulation. ATP increased NAS levels, but, surprisingly, inhibited melatonin synthesis in an inverse, concentration-dependent manner. Our results demonstrate that enhanced NAS levels, which depend on phospholipase C (PLC) activity (but not the induction of gene transcription), are a post-translational effect. By contrast, melatonin reduction is related to an ASMT inhibition of expression at both the gene transcription and protein levels. These results were independent of nuclear factor-kappa B (NF-kB) translocation. Neither the P2Y1 receptor activation nor the PLC-mediated pathway was involved in the decrease in melatonin, indicating that ATP regulates pineal metabolism through different mechanisms. Taken together, our data demonstrate that purinergic signaling differentially modulates NAS and melatonin synthesis and point to a regulatory role for ATP as a cotransmitter in the control of ASMT, the rate-limiting enzyme in melatonin synthesis. The endogenous production of melatonin regulates defense responses; therefore, understanding the mechanisms involving ASMT regulation might provide novel insights into the development and progression of neurological disorders since melatonin presents anti-inflammatory, neuroprotective, and neurogenic effects. © 2016 John Wiley & Sons A/S. Published by John Wiley & Sons Ltd.

  17. Discovery of Nuclear-Encoded Genes for the Neurotoxin Saxitoxin in Dinoflagellates

    PubMed Central

    Stüken, Anke; Orr, Russell J. S.; Kellmann, Ralf; Murray, Shauna A.; Neilan, Brett A.; Jakobsen, Kjetill S.

    2011-01-01

    Saxitoxin is a potent neurotoxin that occurs in aquatic environments worldwide. Ingestion of vector species can lead to paralytic shellfish poisoning, a severe human illness that may lead to paralysis and death. In freshwaters, the toxin is produced by prokaryotic cyanobacteria; in marine waters, it is associated with eukaryotic dinoflagellates. However, several studies suggest that saxitoxin is not produced by dinoflagellates themselves, but by co-cultured bacteria. Here, we show that genes required for saxitoxin synthesis are encoded in the nuclear genomes of dinoflagellates. We sequenced >1.2×106 mRNA transcripts from the two saxitoxin-producing dinoflagellate strains Alexandrium fundyense CCMP1719 and A. minutum CCMP113 using high-throughput sequencing technology. In addition, we used in silico transcriptome analyses, RACE, qPCR and conventional PCR coupled with Sanger sequencing. These approaches successfully identified genes required for saxitoxin-synthesis in the two transcriptomes. We focused on sxtA, the unique starting gene of saxitoxin synthesis, and show that the dinoflagellate transcripts of sxtA have the same domain structure as the cyanobacterial sxtA genes. But, in contrast to the bacterial homologs, the dinoflagellate transcripts are monocistronic, have a higher GC content, occur in multiple copies, contain typical dinoflagellate spliced-leader sequences and eukaryotic polyA-tails. Further, we investigated 28 saxitoxin-producing and non-producing dinoflagellate strains from six different genera for the presence of genomic sxtA homologs. Our results show very good agreement between the presence of sxtA and saxitoxin-synthesis, except in three strains of A. tamarense, for which we amplified sxtA, but did not detect the toxin. Our work opens for possibilities to develop molecular tools to detect saxitoxin-producing dinoflagellates in the environment. PMID:21625593

  18. Discovery of nuclear-encoded genes for the neurotoxin saxitoxin in dinoflagellates.

    PubMed

    Stüken, Anke; Orr, Russell J S; Kellmann, Ralf; Murray, Shauna A; Neilan, Brett A; Jakobsen, Kjetill S

    2011-01-01

    Saxitoxin is a potent neurotoxin that occurs in aquatic environments worldwide. Ingestion of vector species can lead to paralytic shellfish poisoning, a severe human illness that may lead to paralysis and death. In freshwaters, the toxin is produced by prokaryotic cyanobacteria; in marine waters, it is associated with eukaryotic dinoflagellates. However, several studies suggest that saxitoxin is not produced by dinoflagellates themselves, but by co-cultured bacteria. Here, we show that genes required for saxitoxin synthesis are encoded in the nuclear genomes of dinoflagellates. We sequenced >1.2×10(6) mRNA transcripts from the two saxitoxin-producing dinoflagellate strains Alexandrium fundyense CCMP1719 and A. minutum CCMP113 using high-throughput sequencing technology. In addition, we used in silico transcriptome analyses, RACE, qPCR and conventional PCR coupled with Sanger sequencing. These approaches successfully identified genes required for saxitoxin-synthesis in the two transcriptomes. We focused on sxtA, the unique starting gene of saxitoxin synthesis, and show that the dinoflagellate transcripts of sxtA have the same domain structure as the cyanobacterial sxtA genes. But, in contrast to the bacterial homologs, the dinoflagellate transcripts are monocistronic, have a higher GC content, occur in multiple copies, contain typical dinoflagellate spliced-leader sequences and eukaryotic polyA-tails. Further, we investigated 28 saxitoxin-producing and non-producing dinoflagellate strains from six different genera for the presence of genomic sxtA homologs. Our results show very good agreement between the presence of sxtA and saxitoxin-synthesis, except in three strains of A. tamarense, for which we amplified sxtA, but did not detect the toxin. Our work opens for possibilities to develop molecular tools to detect saxitoxin-producing dinoflagellates in the environment.

  19. Map-Based Cloning of Seed Dormancy1-2 Identified a Gibberellin Synthesis Gene Regulating the Development of Endosperm-Imposed Dormancy in Rice1

    PubMed Central

    Ye, Heng; Feng, Jiuhuan; Zhang, Lihua; Zhang, Jinfeng; Mispan, Muhamad S.; Cao, Zhuanqin; Beighley, Donn H.; Yang, Jianchang; Gu, Xing-You

    2015-01-01

    Natural variation in seed dormancy is controlled by multiple genes mapped as quantitative trait loci in major crop or model plants. This research aimed to clone and characterize the Seed Dormancy1-2 (qSD1-2) locus associated with endosperm-imposed dormancy and plant height in rice (Oryza sativa). qSD1-2 was delimited to a 20-kb region, which contains OsGA20ox2 and had an additive effect on germination. Naturally occurring or induced loss-of-function mutations of the gibberellin (GA) synthesis gene enhanced seed dormancy and also reduced plant height. Expression of this gene in seeds (including endospermic cells) during early development increased GA accumulation to promote tissue morphogenesis and maturation programs. The mutant allele prevalent in semidwarf cultivars reduced the seed GA content by up to 2-fold at the early stage, which decelerated tissue morphogenesis including endosperm cell differentiation, delayed abscisic acid accumulation by a shift in the temporal distribution pattern, and postponed dehydration, physiological maturity, and germinability development. As the endosperm of developing seeds dominates the moisture equilibrium and desiccation status of the embryo in cereal crops, qSD1-2 is proposed to control primary dormancy by a GA-regulated dehydration mechanism. Allelic distribution of OsGA20ox2, the rice Green Revolution gene, was associated with the indica and japonica subspeciation. However, this research provided no evidence that the primitive indica- and common japonica-specific alleles at the presumably domestication-related locus functionally differentiate in plant height and seed dormancy. Thus, the evolutionary mechanism of this agriculturally important gene remains open for discussion. PMID:26373662

  20. Periodic expression of nuclear and mitochondrial DNA replication genes during the trypanosomatid cell cycle.

    PubMed

    Pasion, S G; Brown, G W; Brown, L M; Ray, D S

    1994-12-01

    In trypanosomatids, DNA replication in the nucleus and in the single mitochondrion (or kinetoplast) initiates nearly simultaneously, suggesting that the DNA synthesis (S) phases of the nucleus and the mitochondrion are coordinately regulated. To investigate the basis for the temporal link between nuclear and mitochondrial DNA synthesis phases the expression of the genes encoding DNA ligase I, the 51 and 28 kDa subunits of replication protein A, dihydrofolate reductase and the mitochondrial type II topoisomerase were analyzed during the cell cycle progression of synchronous cultures of Crithidia fasciculata. These DNA replication genes were all expressed periodically, with peak mRNA levels occurring just prior to or at the peak of DNA synthesis in the synchronized cultures. A plasmid clone (pdN-1) in which TOP2, the gene encoding the mitochondrial topoisomerase, was disrupted by the insertion of a NEO drug-resistance cassette was found to express both a truncated TOP2 mRNA and a truncated topoisomerase polypeptide. The truncated mRNA was also expressed periodically coordinate with the expression of the endogenous TOP2 mRNA indicating that cis elements necessary for periodic expression are contained within cloned sequences. The expression of both TOP2 and nuclear DNA replication genes at the G1/S boundary suggests that regulated expression of these genes may play a role in coordinating nuclear and mitochondrial S phases in trypanosomatids.

  1. Hydrocortisone effect on hyaluronate synthesis in a self-assembled human dermal equivalent.

    PubMed

    Deshpande, Madhura; Papp, Suzanne; Schaffer, Lana; Pouyani, Tara

    2016-10-01

    Human dermal matrix is a 'self-assembled' dermal equivalent containing large amounts of the glycosaminoglycan hyaluronic acid (hyaluronate, hyaluronan, HA). We sought to investigate the actions of the hormone hydrocortisone on hyaluronate synthesis in the human dermal matrix. To this end, human dermal fibroblasts were cultured under serum-free conditions, and in the absence of a three-dimensional matrix, in the presence of varying amounts of hydrocortisone. The resultant human dermal matrices were characterized. We report that low concentrations of hydrocortisone enhance hyaluronate synthesis in the human dermal equivalent and higher concentrations cause inhibition of hyaluronate synthesis. Other glycosaminoglycan (chondroitin sulphate) synthesis is not affected by changing hydrocortisone concentrations up to 500× (200 µg/ml) of the base value. In order to gain preliminary insight into the molecular mechanism of hyaluronate inhibition, a differential gene array analysis was conducted of human dermal matrix grown in the presence of 200 µg/ml hydrocortisone and in a physiological concentration (0.4 µg/ml, normal conditions). The results of these experiments demonstrate the differential expression of 43 genes in the 500× (200 µg/ml) hydrocortisone construct as compared to the construct grown under normal conditions (0.4 µg/ml hydrocortisone). These preliminary experiments suggest that hydrocortisone at higher concentrations may exert its inhibitory effect on hyaluronate synthesis early in the glycolytic pathway, leading to HA biosynthesis by downregulation of phosphoglucomutase and glucose phosphate isomerase, possibly leading to depletion of the cellular pool of UDP-sugar precursors necessary for HA synthesis. Copyright © 2013 John Wiley & Sons, Ltd. Copyright © 2013 John Wiley & Sons, Ltd.

  2. Fluorochemicals used in food packaging inhibit male sex hormone synthesis

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rosenmai, A.K., E-mail: akjro@food.dtu.dk; Nielsen, F.K.; Pedersen, M.

    Polyfluoroalkyl phosphate surfactants (PAPS) are widely used in food contact materials (FCMs) of paper and board and have recently been detected in 57% of investigated materials. Human exposure occurs as PAPS have been measured in blood; however knowledge is lacking on the toxicology of PAPS. The aim of this study was to elucidate the effects of six fluorochemicals on sex hormone synthesis and androgen receptor (AR) activation in vitro. Four PAPS and two metabolites, perfluorooctanoic acid (PFOA) and 8:2 fluorotelomer alcohol (8:2 FTOH) were tested. Hormone profiles, including eight steroid hormones, generally showed that 8:2 diPAPS, 8:2 monoPAPS and 8:2more » FTOH led to decreases in androgens (testosterone, dehydroepiandrosterone, and androstenedione) in the H295R steroidogenesis assay. Decreases were observed for progesterone and 17-OH-progesterone as well. These observations indicated that a step prior to progestagen and androgen synthesis had been affected. Gene expression analysis of StAR, Bzrp, CYP11A, CYP17, CYP21 and CYP19 mRNA showed a decrease in Bzrp mRNA levels for 8:2 monoPAPS and 8:2 FTOH indicating interference with cholesterol transport to the inner mitochondria. Cortisol, estrone and 17β-estradiol levels were in several cases increased with exposure. In accordance with these data CYP19 gene expression increased with 8:2 diPAPS, 8:2 monoPAPS and 8:2 FTOH exposures indicating that this is a contributing factor to the decreased androgen and the increased estrogen levels. Overall, these results demonstrate that fluorochemicals present in food packaging materials and their metabolites can affect steroidogenesis through decreased Bzrp and increased CYP19 gene expression leading to lower androgen and higher estrogen levels. -- Highlights: ► Fluorochemicals found in 57% of paper and board food packaging were tested. ► Collectively six fluorochemicals were tested for antiandrogenic potential in vitro. ► Three out of six tested fluorochemicals

  3. Efficient gene transfer into nondividing cells by adeno-associated virus-based vectors.

    PubMed Central

    Podsakoff, G; Wong, K K; Chatterjee, S

    1994-01-01

    Gene transfer vectors based on adeno-associated virus (AAV) are emerging as highly promising for use in human gene therapy by virtue of their characteristics of wide host range, high transduction efficiencies, and lack of cytopathogenicity. To better define the biology of AAV-mediated gene transfer, we tested the ability of an AAV vector to efficiently introduce transgenes into nonproliferating cell populations. Cells were induced into a nonproliferative state by treatment with the DNA synthesis inhibitors fluorodeoxyuridine and aphidicolin or by contact inhibition induced by confluence and serum starvation. Cells in logarithmic growth or DNA synthesis arrest were transduced with vCWR:beta gal, an AAV-based vector encoding beta-galactosidase under Rous sarcoma virus long terminal repeat promoter control. Under each condition tested, vCWR:beta Gal expression in nondividing cells was at least equivalent to that in actively proliferating cells, suggesting that mechanisms for virus attachment, nuclear transport, virion uncoating, and perhaps some limited second-strand synthesis of AAV vectors were present in nondividing cells. Southern hybridization analysis of vector sequences from cells transduced while in DNA synthetic arrest and expanded after release of the block confirmed ultimate integration of the vector genome into cellular chromosomal DNA. These findings may provide the basis for the use of AAV-based vectors for gene transfer into quiescent cell populations such as totipotent hematopoietic stem cells. Images PMID:8057446

  4. Efficient gene transfer into nondividing cells by adeno-associated virus-based vectors.

    PubMed

    Podsakoff, G; Wong, K K; Chatterjee, S

    1994-09-01

    Gene transfer vectors based on adeno-associated virus (AAV) are emerging as highly promising for use in human gene therapy by virtue of their characteristics of wide host range, high transduction efficiencies, and lack of cytopathogenicity. To better define the biology of AAV-mediated gene transfer, we tested the ability of an AAV vector to efficiently introduce transgenes into nonproliferating cell populations. Cells were induced into a nonproliferative state by treatment with the DNA synthesis inhibitors fluorodeoxyuridine and aphidicolin or by contact inhibition induced by confluence and serum starvation. Cells in logarithmic growth or DNA synthesis arrest were transduced with vCWR:beta gal, an AAV-based vector encoding beta-galactosidase under Rous sarcoma virus long terminal repeat promoter control. Under each condition tested, vCWR:beta Gal expression in nondividing cells was at least equivalent to that in actively proliferating cells, suggesting that mechanisms for virus attachment, nuclear transport, virion uncoating, and perhaps some limited second-strand synthesis of AAV vectors were present in nondividing cells. Southern hybridization analysis of vector sequences from cells transduced while in DNA synthetic arrest and expanded after release of the block confirmed ultimate integration of the vector genome into cellular chromosomal DNA. These findings may provide the basis for the use of AAV-based vectors for gene transfer into quiescent cell populations such as totipotent hematopoietic stem cells.

  5. A Bacillus subtilis Gene Induced by Cold Shock Encodes a Membrane Phospholipid Desaturase

    PubMed Central

    Aguilar, Pablo S.; Cronan, John E.; de Mendoza, Diego

    1998-01-01

    Bacillus subtilis grown at 37°C synthesizes saturated fatty acids with only traces of unsaturated fatty acids (UFAs). However, when cultures growing at 37°C are transferred to 20°C, UFA synthesis is induced. We report the identification and characterization of the gene encoding the fatty acid desaturase of B. subtilis. This gene, called des, was isolated by complementation of Escherichia coli strains with mutations in either of two different genes of UFA synthesis. The des gene encodes a polypeptide of 352 amino acid residues containing the three conserved histidine cluster motifs and two putative membrane-spanning domains characteristic of the membrane-bound desaturases of plants and cyanobacteria. Expression of the des gene in E. coli resulted in desaturation of palmitic acid moieties of the membrane phospholipids to give the novel mono-UFA cis-5-hexadecenoic acid, indicating that the B. subtilis des gene product is a Δ5 acyl-lipid desaturase. The des gene was disrupted, and the resulting null mutant strains were unable to synthesize UFAs upon a shift to low growth temperatures. The des null mutant strain grew as well as its congenic parent at 20 or 37°C but showed severely reduced survival during stationary phase. Analysis of operon fusions in which the des promoter directed the synthesis of a lacZ reporter gene showed that des expression is repressed at 37°C, but a shift of cultures from 37 to 20°C resulted in a 10- to 15-fold increase in transcription. This is the first report of a membrane phospholipid desaturase in a nonphotosynthetic organism and the first direct evidence for cold induction of a desaturase. PMID:9555904

  6. Prokaryotic cDNA Subtraction: A Method to Rapidly Identify Functional Gene Biomarkers

    DTIC Science & Technology

    2008-10-01

    perchlorate-reducing bacteria (PRB) must not only be present, but they must also synthesize the enzymes that catalyze perchlorate reduction. The...synthesis of specific enzymes , termed gene expression, is often regulated by each cell in response to environmental conditions (e.g., influent water...diverse. MBT that target functional genes (e.g., genes that encode biodegradation enzymes ), might prove more useful for determining the capabilities of

  7. Femtosecond spectroscopy of native and carotenoidless purple-bacterial LH2 clarifies functions of carotenoids.

    PubMed

    Theiss, Christoph; Leupold, Dieter; Moskalenko, Andrei A; Razjivin, Andrei P; Eichler, Hans J; Lokstein, Heiko

    2008-06-01

    EET between the two circular bacteriochlorophyll compartments B800 and B850 in native (containing the carotenoid rhodopin) and carotenoidless LH2 isolated from the photosynthetic purple sulfur bacterium Allochromatium minutissimum was investigated by femtosecond time-resolved transient absorption spectroscopy. Both samples were excited with 120-fs laser pulses at 800 nm, and spectral evolution was followed in the 720-955 nm range at different delay times. No dependence of transient absorption in the B800 band on the presence of the carotenoid rhodopin was found. Together with the likewise virtually unchanged absorption spectra in the bacteriochlorophyll Q(y) region, these observations suggest that absence of rhodopin does not significantly alter the structure of the pigment-protein complex including interactions between bacteriochlorophylls. Apparently, rhodopin does also not accelerate B800 to B850 EET in LH2, contrary to what has been suggested previously. Moreover, "carotenoid-catalyzed internal conversion" can also be excluded for the bacteriochlorophylls in LH2 of A. minutissimum. Together with previous results obtained with two-photon fluorescence excitation spectroscopy, it can also be concluded that there is neither EET from rhodopin to B800 nor (back-)EET from B800 to rhodopin.

  8. Concordant gene regulation related to perturbations of three GDP-mannose-related genes.

    PubMed

    Törmä, Anssi; Pitkänen, Juha-Pekka; Huopaniemi, Laura; Mattila, Pirkko; Renkonen, Risto

    2009-02-01

    Glycosylation of proteins is one of the most crucial post-translational modifications. In order to access system-level and state-dependent data related to the regulation of glycosylation events, we cultivated yeast cell strains each harboring a selected conditional knockdown construct for a gene (either SEC53, VRG4 or DPM1) related to GDP-mannose synthesis or its utilization in glycan biosynthesis. In order to carry this out efficiently, we developed automated sampling from bioreactor cultivations, a collection of in silico workflows for data analysis as well as their integration into a large data warehouse. Using the above-mentioned approaches, we could show that conditional knocking down of transcripts related to GDP-mannose synthesis or transportation led to altered levels of over 300 transcripts. These transcripts and their corresponding proteins were characterized by their gene ontology (GO) annotations, and their putative transcriptional regulation was analyzed. Furthermore, novel pathways were generated indicating interactions between GO categories with common proteins, putative transcriptional regulators of such induced GO categories, and the large protein-protein interaction network among the proteins whose transcripts indicated altered expression levels. When these results are always added to an ever-expanding data warehouse as annotations, they will incrementally increase the knowledge of biological systems.

  9. Maize Opaque Endosperm Mutations Create Extensive Changes in Patterns of Gene ExpressionW⃞

    PubMed Central

    Hunter, Brenda G.; Beatty, Mary K.; Singletary, George W.; Hamaker, Bruce R.; Dilkes, Brian P.; Larkins, Brian A.; Jung, Rudolf

    2002-01-01

    Maize starchy endosperm mutants have kernel phenotypes that include a brittle texture, susceptibility to insect pests, and inferior functional characteristics of products made from their flour. At least 18 such mutants have been identified, but only in the cases of opaque2 (o2) and floury2 (fl2), which affect different aspects of storage protein synthesis, is the molecular basis of the mutation known. To better understand the relationship between the phenotypes of these mutants and their biochemical bases, we characterized the protein and amino acid composition, as well as the mRNA transcript profiles, of nearly isogenic inbred lines of W64A o1, o2, o5, o9, o11, Mucuronate (Mc), Defective endosperm B30 (DeB30), and fl2. The largest reductions in zein protein synthesis occur in the W64A o2, DeB30, and fl2 mutants, which have ∼35 to 55% of the wild-type level of storage proteins. Zeins in W64A o5, o9, o11, and Mc are within 80 to 90% of the amount found in the wild type. Only in the cases of o5 and Mc were significant qualitative changes in zein synthesis observed. The pattern of gene expression in normal and mutant genotypes was assayed by profiling endosperm mRNA transcripts at 18 days after pollination with an Affymetrix GeneChip containing >1400 selected maize gene sequences. Compared with W64A sugary1, a mutant defective in starch synthesis, alterations in the gene expression patterns of the opaque mutants are very pleiotropic. Increased expression of genes associated with physiological stress, and the unfolded protein response, are common features of the opaque mutants. Based on global patterns of gene expression, these mutants were categorized in four phenotypic groups as follows: W64A+ and o1; o2; o5/o9/o11; and Mc and fl2. PMID:12368507

  10. Tc-MYBPA an Arabidopsis TT2-like transcription factor and functions in the regulation of proanthocyanidin synthesis in Theobroma cacao.

    PubMed

    Liu, Yi; Shi, Zi; Maximova, Siela N; Payne, Mark J; Guiltinan, Mark J

    2015-06-25

    The flavan-3-ols catechin and epicatechin, and their polymerized oligomers, the proanthocyanidins (PAs, also called condensed tannins), accumulate to levels of up to 15 % of the total weight of dry seeds of Theobroma cacao L. These compounds have been associated with several health benefits in humans. They also play important roles in pest and disease defense throughout the plant. In Arabidopsis, the R2R3 type MYB transcription factor TT2 regulates the major genes leading to the synthesis of PA. To explore the transcriptional regulation of the PA synthesis pathway in cacao, we isolated and characterized an R2R3 type MYB transcription factor MYBPA from cacao. We examined the spatial and temporal gene expression patterns of the Tc-MYBPA gene and found it to be developmentally expressed in a manner consistent with its involvement in PAs and anthocyanin synthesis. Functional complementation of an Arabidopsis tt2 mutant with Tc-MYBPA suggested that it can functionally substitute the Arabidopsis TT2 gene. Interestingly, in addition to PA accumulation in seeds of the Tc-MYBPA expressing plants, we also observed an obvious increase of anthocyanidin accumulation in hypocotyls. We observed that overexpression of the Tc-MYBPA gene resulted in increased expression of several key genes encoding the major structural enzymes of the PA and anthocyanidin pathway, including DFR (dihydroflavanol reductase), LDOX (leucoanthocyanidin dioxygenase) and BAN (ANR, anthocyanidin reductase). We conclude that the Tc-MYBPA gene that encodes an R2R3 type MYB transcription factor is an Arabidopsis TT2 like transcription factor, and may be involved in the regulation of both anthocyanin and PA synthesis in cacao. This research may provide molecular tools for breeding of cacao varieties with improved disease resistance and enhanced flavonoid profiles for nutritional and pharmaceutical applications.

  11. Alkane Biosynthesis Genes in Cyanobacteria and Their Transcriptional Organization

    PubMed Central

    Klähn, Stephan; Baumgartner, Desirée; Pfreundt, Ulrike; Voigt, Karsten; Schön, Verena; Steglich, Claudia; Hess, Wolfgang R.

    2014-01-01

    In cyanobacteria, alkanes are synthesized from a fatty acyl-ACP by two enzymes, acyl–acyl carrier protein reductase and aldehyde deformylating oxygenase. Despite the great interest in the exploitation for biofuel production, nothing is known about the transcriptional organization of their genes or the physiological function of alkane synthesis. The comparison of 115 microarray datasets indicates the relatively constitutive expression of aar and ado genes. The analysis of 181 available genomes showed that in 90% of the genomes both genes are present, likely indicating their physiological relevance. In 61% of them they cluster together with genes encoding acetyl-CoA carboxyl transferase and a short-chain dehydrogenase, strengthening the link to fatty acid metabolism and in 76% of the genomes they are located in tandem, suggesting constraints on the gene arrangement. However, contrary to the expectations for an operon, we found in Synechocystis sp. PCC 6803 specific promoters for the two genes, sll0208 (ado) and sll0209 (aar), which give rise to monocistronic transcripts. Moreover, the upstream located ado gene is driven by a proximal as well as a second, distal, promoter, from which a third transcript, the ~160 nt sRNA SyR9 is transcribed. Thus, the transcriptional organization of the alkane biosynthesis genes in Synechocystis sp. PCC 6803 is of substantial complexity. We verified all three promoters to function independently from each other and show a similar promoter arrangement also in the more distant Nodularia spumigena, Trichodesmium erythraeum, Anabaena sp. PCC 7120, Prochlorococcus MIT9313, and MED4. The presence of separate regulatory elements and the dominance of monocistronic mRNAs suggest the possible autonomous regulation of ado and aar. The complex transcriptional organization of the alkane synthesis gene cluster has possible metabolic implications and should be considered when manipulating the expression of these genes in cyanobacteria. PMID

  12. Transcriptomic analysis of mouse EL4 T cells upon T cell activation and in response to protein synthesis inhibition via cycloheximide treatment.

    PubMed

    Lim, Pek Siew; Hardy, Kristine; Peng, Kaiman; Shannon, Frances M

    2016-03-01

    T cell activation involves the recognition of a foreign antigen complexed to the major histocompatibility complex on the antigen presenting T cell to the T cell receptor. This leads to activation of signaling pathways, which ultimately leads to induction of key cytokine genes responsible for eradication of foreign antigens. We used the mouse EL4 T cell as a model system to study genes that are induced as a result of T cell activation using phorbol myristate acetate (PMA) and calcium ionomycin (I) as stimuli. We were also interested to examine the importance of new protein synthesis in regulating the expression of genes involved in T cell activation. Thus we have pre-treated mouse EL4 T cells with cycloheximide, a protein synthesis inhibitor, and left the cells unstimulated or stimulated with PMA/I for 4 h. We performed microarray expression profiling of these cells to correlate the gene expression with chromatin state of T cells upon T cell activation [1]. Here, we detail further information and analysis of the microarray data, which shows that T cell activation leads to differential expression of genes and inducible genes can be further classified as primary and secondary response genes based on their protein synthesis dependency. The data is available in the Gene Expression Omnibus under accession number GSE13278.

  13. Gene-gene-environment interactions between drugs, transporters, receptors, and metabolizing enzymes: Statins, SLCO1B1, and CYP3A4 as an example.

    PubMed

    Sadee, Wolfgang

    2013-09-01

    Pharmacogenetic biomarker tests include mostly specific single gene-drug pairs, capable of accounting for a portion of interindividual variability in drug response and toxicity. However, multiple genes are likely to contribute, either acting independently or epistatically, with the CYP2C9-VKORC1-warfarin test panel, an example of a clinically used gene-gene-dug interaction. I discuss here further instances of gene-gene-drug interactions, including a proposed dynamic effect on statin therapy by genetic variants in both a transporter (SLCO1B1) and a metabolizing enzyme (CYP3A4) in liver cells, the main target site where statins block cholesterol synthesis. These examples set a conceptual framework for developing diagnostic panels involving multiple gene-drug combinations. Copyright © 2013 Wiley Periodicals, Inc.

  14. Process parameter optimization for hydantoinase-mediated synthesis of optically pure carbamoyl amino acids of industrial value using Pseudomonas aeruginosa resting cells.

    PubMed

    Engineer, Anupama S; Dhakephalkar, Anita P; Gaikaiwari, Raghavendra P; Dhakephalkar, Prashant K

    2013-12-01

    Hydantoinase-mediated enzymatic synthesis of optically pure carbamoyl amino acids was investigated as an environmentally friendly, energy-efficient alternative to the otherwise energy-intensive, polluting chemical synthesis. Hydantoinase-producing bacterial strain was identified as Pseudomonas aeruginosa by 16S rRNA gene sequencing and biochemical profiling using the BIOLOG Microbial Identification System. Hydantoinase activity was assessed using hydantoin analogs and 5-monosubstituted hydantoins as substrates in a colorimetric assay. The hydantoinase gene was PCR amplified using gene-specific primers and sequenced on an automated gene analyzer. Hydantoinase gene sequence of P. aeruginosa MCM B-887 revealed maximum homology of only 87 % with proven hydantoinase gene sequences in GenBank. MCM B-887 resting cells converted >99 % of substrate into N-carbamoyl amino acids under optimized condition at 42 °C, pH 8.0, and 100 mM substrate concentration in <120 min. Hydantoin hydrolyzing activity was D-selective and included broad substrate profile of 5-methyl hydantoin, 5-phenyl hydantoin, 5-hydroxyphenyl hydantoin, o-chlorophenyl hydantoin, as well as hydantoin analogs such as allantoin, dihydrouracil, etc. MCM B-887 resting cells may thus be suitable for bio-transformations leading to the synthesis of optically pure, unnatural carbamoyl amino acids of industrial importance.

  15. Chemical-genetic profile analysis in yeast suggests that a previously uncharacterized open reading frame, YBR261C, affects protein synthesis

    PubMed Central

    Alamgir, Md; Eroukova, Veronika; Jessulat, Matthew; Xu, Jianhua; Golshani, Ashkan

    2008-01-01

    Background Functional genomics has received considerable attention in the post-genomic era, as it aims to identify function(s) for different genes. One way to study gene function is to investigate the alterations in the responses of deletion mutants to different stimuli. Here we investigate the genetic profile of yeast non-essential gene deletion array (yGDA, ~4700 strains) for increased sensitivity to paromomycin, which targets the process of protein synthesis. Results As expected, our analysis indicated that the majority of deletion strains (134) with increased sensitivity to paromomycin, are involved in protein biosynthesis. The remaining strains can be divided into smaller functional categories: metabolism (45), cellular component biogenesis and organization (28), DNA maintenance (21), transport (20), others (38) and unknown (39). These may represent minor cellular target sites (side-effects) for paromomycin. They may also represent novel links to protein synthesis. One of these strains carries a deletion for a previously uncharacterized ORF, YBR261C, that we term TAE1 for Translation Associated Element 1. Our focused follow-up experiments indicated that deletion of TAE1 alters the ribosomal profile of the mutant cells. Also, gene deletion strain for TAE1 has defects in both translation efficiency and fidelity. Miniaturized synthetic genetic array analysis further indicates that TAE1 genetically interacts with 16 ribosomal protein genes. Phenotypic suppression analysis using TAE1 overexpression also links TAE1 to protein synthesis. Conclusion We show that a previously uncharacterized ORF, YBR261C, affects the process of protein synthesis and reaffirm that large-scale genetic profile analysis can be a useful tool to study novel gene function(s). PMID:19055778

  16. Chemical-genetic profile analysis in yeast suggests that a previously uncharacterized open reading frame, YBR261C, affects protein synthesis.

    PubMed

    Alamgir, Md; Eroukova, Veronika; Jessulat, Matthew; Xu, Jianhua; Golshani, Ashkan

    2008-12-03

    Functional genomics has received considerable attention in the post-genomic era, as it aims to identify function(s) for different genes. One way to study gene function is to investigate the alterations in the responses of deletion mutants to different stimuli. Here we investigate the genetic profile of yeast non-essential gene deletion array (yGDA, approximately 4700 strains) for increased sensitivity to paromomycin, which targets the process of protein synthesis. As expected, our analysis indicated that the majority of deletion strains (134) with increased sensitivity to paromomycin, are involved in protein biosynthesis. The remaining strains can be divided into smaller functional categories: metabolism (45), cellular component biogenesis and organization (28), DNA maintenance (21), transport (20), others (38) and unknown (39). These may represent minor cellular target sites (side-effects) for paromomycin. They may also represent novel links to protein synthesis. One of these strains carries a deletion for a previously uncharacterized ORF, YBR261C, that we term TAE1 for Translation Associated Element 1. Our focused follow-up experiments indicated that deletion of TAE1 alters the ribosomal profile of the mutant cells. Also, gene deletion strain for TAE1 has defects in both translation efficiency and fidelity. Miniaturized synthetic genetic array analysis further indicates that TAE1 genetically interacts with 16 ribosomal protein genes. Phenotypic suppression analysis using TAE1 overexpression also links TAE1 to protein synthesis. We show that a previously uncharacterized ORF, YBR261C, affects the process of protein synthesis and reaffirm that large-scale genetic profile analysis can be a useful tool to study novel gene function(s).

  17. Regulation of Polyhydroxybutyrate Synthesis in the Soil Bacterium Bradyrhizobium diazoefficiens

    PubMed Central

    Quelas, J. I.; Mesa, S.; Mongiardini, E. J.; Jendrossek, D.

    2016-01-01

    ABSTRACT Polyhydroxybutyrate (PHB) is a carbon and energy reserve polymer in various prokaryotic species. We determined that, when grown with mannitol as the sole carbon source, Bradyrhizobium diazoefficiens produces a homopolymer composed only of 3-hydroxybutyrate units (PHB). Conditions of oxygen limitation (such as microoxia, oxic stationary phase, and bacteroids inside legume nodules) were permissive for the synthesis of PHB, which was observed as cytoplasmic granules. To study the regulation of PHB synthesis, we generated mutations in the regulator gene phaR and the phasin genes phaP1 and phaP4. Under permissive conditions, mutation of phaR impaired PHB accumulation, and a phaP1 phaP4 double mutant produced more PHB than the wild type, which was accumulated in a single, large cytoplasmic granule. Moreover, PhaR negatively regulated the expression of phaP1 and phaP4 as well as the expression of phaA1 and phaA2 (encoding a 3-ketoacyl coenzyme A [CoA] thiolases), phaC1 and phaC2 (encoding PHB synthases), and fixK2 (encoding a cyclic AMP receptor protein [CRP]/fumarate and nitrate reductase regulator [FNR]-type transcription factor of genes for microoxic lifestyle). In addition to the depressed PHB cycling, phaR mutants accumulated more extracellular polysaccharides and promoted higher plant shoot dry weight and competitiveness for nodulation than the wild type, in contrast to the phaC1 mutant strain, which is defective in PHB synthesis. These results suggest that phaR not only regulates PHB granule formation by controlling the expression of phasins and biosynthetic enzymes but also acts as a global regulator of excess carbon allocation and symbiosis by controlling fixK2. IMPORTANCE In this work, we investigated the regulation of polyhydroxybutyrate synthesis in the soybean-nodulating bacterium Bradyrhizobium diazoefficiens and its influence in bacterial free-living and symbiotic lifestyles. We uncovered a new interplay between the synthesis of this carbon reserve

  18. The SAGA/TREX-2 subunit Sus1 binds widely to transcribed genes and affects mRNA turnover globally.

    PubMed

    García-Molinero, Varinia; García-Martínez, José; Reja, Rohit; Furió-Tarí, Pedro; Antúnez, Oreto; Vinayachandran, Vinesh; Conesa, Ana; Pugh, B Franklin; Pérez-Ortín, José E; Rodríguez-Navarro, Susana

    2018-03-29

    Eukaryotic transcription is regulated through two complexes, the general transcription factor IID (TFIID) and the coactivator Spt-Ada-Gcn5 acetyltransferase (SAGA). Recent findings confirm that both TFIID and SAGA contribute to the synthesis of nearly all transcripts and are recruited genome-wide in yeast. However, how this broad recruitment confers selectivity under specific conditions remains an open question. Here we find that the SAGA/TREX-2 subunit Sus1 associates with upstream regulatory regions of many yeast genes and that heat shock drastically changes Sus1 binding. While Sus1 binding to TFIID-dominated genes is not affected by temperature, its recruitment to SAGA-dominated genes and RP genes is significantly disturbed under heat shock, with Sus1 relocated to environmental stress-responsive genes in these conditions. Moreover, in contrast to recent results showing that SAGA deubiquitinating enzyme Ubp8 is dispensable for RNA synthesis, genomic run-on experiments demonstrate that Sus1 contributes to synthesis and stability of a wide range of transcripts. Our study provides support for a model in which SAGA/TREX-2 factor Sus1 acts as a global transcriptional regulator in yeast but has differential activity at yeast genes as a function of their transcription rate or during stress conditions.

  19. Control of bacteriophage P2 gene expression: analysis of transcription of the ogr gene.

    PubMed Central

    Birkeland, N K; Lindqvist, B H; Christie, G E

    1991-01-01

    The bacteriophage P2 ogr gene encodes an 8.3-kDa protein that is a positive effector of P2 late gene transcription. The ogr gene is preceded by a promoter sequence (Pogr) resembling a normal Escherichia coli promoter and is located just downstream of a late transcription unit. We analyzed the kinetics and regulation of ogr gene transcription by using an ogr-specific antisense RNA probe in an S1 mapping assay. During a normal P2 infection, ogr gene transcription starts from Pogr at an intermediate time between the onset of early and late transcription. At late times after infection the ogr gene is cotranscribed with the late FETUD operon; the ogr gene product thus positively regulates its own synthesis from the P2 late promoter PF. Expression of the P2 late genes also requires P2 DNA replication. Complementation experiments and transcriptional analysis show that a nonreplicating P2 phage expresses the ogr gene from Pogr but is unable to transcribe the late genes. A P2 ogr-defective phage makes an increased level of ogr mRNA, consistent with autogenous control from Pogr. Transcription of the ogr gene in the prophage of a P2 heteroimmune lysogen is stimulated after infection with P2, suggesting that Pogr is under indirect immunity control and is activated by a yet-unidentified P2 early gene product during infection. Images FIG. 4 FIG. 5 FIG. 6 FIG. 7 FIG. 8 PMID:1938896

  20. The Effect of Gravity Fields on Cellular Gene Expression

    NASA Technical Reports Server (NTRS)

    Hughes-Fulford, Millie

    1999-01-01

    Early theoretical analysis predicted that microgravity effects on the isolated cell would be minuscule at the subcellular level; however, these speculations have not proven true in the real world. Astronauts experience a significant bone and muscle loss in as little as 2 weeks of spaceflight and changes are seen at the cellular level soon after exposure to microgravity. Changes in biological systems may be primarily due to the lack of gravity and the resulting loss of mechanical stress on tissues and cells. Recent ground and flight studies examining the effects of gravity or mechanical stress on cells demonstrate marked changes in gene expression when relatively small changes in mechanical forces or gravity fields were made. Several immediate early genes (IEG) like c-fos and c-myc are induced by mechanical stimulation within minutes. In contrast, several investigators report that the absence of mechanical forces during space flight result in decreased sera response element (SRE) activity and attenuation of expression of IEGs such as c-fos, c-jun and cox-2 mRNAs. Clearly, these early changes in gene expression may have long term consequences on mechanically sensitive cells. In our early studies on STS-56, we reported four major changes in the osteoblast; 1) prostaglandin synthesis in flight, 2) changes in cellular morphology, 3) altered actin cytoskeleton and 4) reduced osteoblast growth after four days exposure to microgravity. Initially, it was believed that changes in fibronectin (FN) RNA, FN protein synthesis or subsequent FN matrix formation might account for the changes in cytoskeleton and/ or reduction of growth. However our recent studies on Biorack (STS-76, STS-81 and STS-84), using ground and in-flight 1-G controls, demonstrated that fibronectin synthesis and matrix formation were normal in microgravity. In addition, in our most recent Biorack paper, our laboratory has documented that relative protein synthesis and mRNA synthesis are not changed after 24

  1. Complex Ancestries of Isoprenoid Synthesis in Dinoflagellates.

    PubMed

    Bentlage, Bastian; Rogers, Travis S; Bachvaroff, Tsvetan R; Delwiche, Charles F

    2016-01-01

    Isoprenoid metabolism occupies a central position in the anabolic metabolism of all living cells. In plastid-bearing organisms, two pathways may be present for de novo isoprenoid synthesis, the cytosolic mevalonate pathway (MVA) and nuclear-encoded, plastid-targeted nonmevalonate pathway (DOXP). Using transcriptomic data we find that dinoflagellates apparently make exclusive use of the DOXP pathway. Using phylogenetic analyses of all DOXP genes we inferred the evolutionary origins of DOXP genes in dinoflagellates. Plastid replacements led to a DOXP pathway of multiple evolutionary origins. Dinoflagellates commonly referred to as dinotoms due to their relatively recent acquisition of a diatom plastid, express two completely redundant DOXP pathways. Dinoflagellates with a tertiary plastid of haptophyte origin, by contrast, express a hybrid pathway of dual evolutionary origin. Here, changes in the targeting motif of signal/transit peptide likely allow for targeting the new plastid by the proteins of core isoprenoid metabolism proteins. Parasitic dinoflagellates of the Amoebophyra species complex appear to have lost the DOXP pathway, suggesting that they may rely on their host for sterol synthesis. © 2015 The Author(s) Journal of Eukaryotic Microbiology © 2015 International Society of Protistologists.

  2. Long term betaine supplementation regulates genes involved in lipid and cholesterol metabolism of two muscles from an obese pig breed.

    PubMed

    Albuquerque, A; Neves, José A; Redondeiro, M; Laranjo, M; Félix, M R; Freitas, Amadeu; Tirapicos, José L; Martins, José M

    2017-02-01

    This study evaluates the effects of betaine supplementation (1gkg -1 for 20weeks) on the regulation of genes involved in lipid and cholesterol metabolism of Longissimus lumborum and Biceps femoris from obese Alentejano pigs. Betaine supplementation led to an increase in total cholesterol in both muscles, complementing results previously published indicating a significant increase on the intramuscular lipid content. The expression of twelve genes involved in lipogenesis, lipolysis/FA oxidation, FA transport, and cholesterol metabolism, as well as two transcription factors were also evaluated. Genes related to lipid and cholesterol synthesis plus FA transport were consistently up-regulated in both muscles of betaine fed pigs. On the other hand, genes related to lipolysis/FA oxidation were not affected or down-regulated by betaine supplementation. Our data suggest that the underlying mechanism regulating IMF and cholesterol accumulation in Alentejano pigs supplemented with betaine is associated with the up-regulation of genes involved in lipid synthesis, FA transport, and cholesterol synthesis. Copyright © 2016 Elsevier Ltd. All rights reserved.

  3. Inhibitors of Fatty Acid Synthesis Induce PPARα-Regulated Fatty Acid β-Oxidative Genes: Synergistic Roles of L-FABP and Glucose

    PubMed Central

    Huang, Huan; McIntosh, Avery L.; Martin, Gregory G.; Petrescu, Anca D.; Landrock, Kerstin K.; Landrock, Danilo; Kier, Ann B.; Schroeder, Friedhelm

    2013-01-01

    While TOFA (acetyl CoA carboxylase inhibitor) and C75 (fatty acid synthase inhibitor) prevent lipid accumulation by inhibiting fatty acid synthesis, the mechanism of action is not simply accounted for by inhibition of the enzymes alone. Liver fatty acid binding protein (L-FABP), a mediator of long chain fatty acid signaling to peroxisome proliferator-activated receptor-α (PPARα) in the nucleus, was found to bind TOFA and its activated CoA thioester, TOFyl-CoA, with high affinity while binding C75 and C75-CoA with lower affinity. Binding of TOFA and C75-CoA significantly altered L-FABP secondary structure. High (20 mM) but not physiological (6 mM) glucose conferred on both TOFA and C75 the ability to induce PPARα transcription of the fatty acid β-oxidative enzymes CPT1A, CPT2, and ACOX1 in cultured primary hepatocytes from wild-type (WT) mice. However, L-FABP gene ablation abolished the effects of TOFA and C75 in the context of high glucose. These effects were not associated with an increased cellular level of unesterified fatty acids but rather by increased intracellular glucose. These findings suggested that L-FABP may function as an intracellular fatty acid synthesis inhibitor binding protein facilitating TOFA and C75-mediated induction of PPARα in the context of high glucose at levels similar to those in uncontrolled diabetes. PMID:23533380

  4. γ-Lindane Increases Microcystin Synthesis in Microcystis aeruginosa PCC7806

    PubMed Central

    Ceballos-Laita, Laura; Calvo-Begueria, Laura; Lahoz, Jessica; Bes, María-Teresa; Fillat, María F.; Peleato, María-Luisa

    2015-01-01

    HCH factories, and the waste dumpsites associated to its production, have become a global environmental concern, and their runoff could pollute ground and surface waters with high levels of the pollutant. In this study, the influence of lindane (γ-HCH) on microcystin production has been investigated in Microcystis aeruginosa PCC7806. This toxic cyanobacterium is highly tolerant to γ-lindane (20 mg/L), and produces more toxin (microcystin) in the presence of the pollutant. Microcystis degrades γ-lindane and presence of γ-lindane induces genes involved in its own degradation (nirA). RT-PCRsq has been used to monitor changes in levels of transcripts encoded by the mcy operon (mcyD, mcyH and mcyJ), responsible for the microcystin synthesis machinery, as well as other genes involved in its transcriptional regulation, such as ntcA and fur family members. The presence of lindane in the culture media induces mcyD expression, as well as ntcA gene transcription, while other genes, such as mcyH, (putative ABC transporter), are downregulated. The amount of microcystin found in the cells and the culture media is higher when M. aeruginosa is treated with γ-lindane than in control cells. The results suggest that in a lindane polluted environment, Microcystis toxic strains may enhance their microcystin synthesis. PMID:26404326

  5. Genetic and pharmacological correction of aberrant dopamine synthesis using patient iPSCs with BH4 metabolism disorders.

    PubMed

    Ishikawa, Taizo; Imamura, Keiko; Kondo, Takayuki; Koshiba, Yasushi; Hara, Satoshi; Ichinose, Hiroshi; Furujo, Mahoko; Kinoshita, Masako; Oeda, Tomoko; Takahashi, Jun; Takahashi, Ryosuke; Inoue, Haruhisa

    2016-12-01

    Dopamine (DA) is a neurotransmitter in the brain, playing a central role in several disease conditions, including tetrahydrobiopterin (BH4) metabolism disorders and Parkinson's disease (PD). BH4 metabolism disorders present a variety of clinical manifestations including motor disturbance via altered DA metabolism, since BH4 is a cofactor for tyrosine hydroxylase (TH), a rate-limiting enzyme for DA synthesis. Genetically, BH4 metabolism disorders are, in an autosomal recessive pattern, caused by a variant in genes encoding enzymes for BH4 synthesis or recycling, including 6-pyruvoyltetrahydropterin synthase (PTPS) or dihydropteridine reductase (DHPR), respectively. Although BH4 metabolism disorders and its metabolisms have been studied, it is unclear how gene variants cause aberrant DA synthesis in patient neurons. Here, we generated induced pluripotent stem cells (iPSCs) from BH4 metabolism disorder patients with PTPS or DHPR variants, corrected the gene variant in the iPSCs using the CRISPR/Cas9 system, and differentiated the BH4 metabolism disorder patient- and isogenic control iPSCs into midbrain DA neurons. We found that by the gene correction, the BH4 amount, TH protein level and extracellular DA level were restored in DA neuronal culture using PTPS deficiency iPSCs. Furthermore, the pharmacological correction by BH4 precursor sepiapterin treatment also improved the phenotypes of PTPS deficiency. These results suggest that patient iPSCs with BH4 metabolism disorders provide an opportunity for screening substances for treating aberrant DA synthesis-related disorders. © The Author 2016. Published by Oxford University Press.

  6. Oxygen tension limits nitric oxide synthesis by activated macrophages.

    PubMed Central

    McCormick, C C; Li, W P; Calero, M

    2000-01-01

    Previous studies have established that constitutive calcium-dependent ('low-output') nitric oxide synthase (NOS) is regulated by oxygen tension. We have investigated the role of oxygen tension in the synthesis of NO by the 'high-output' calcium-independent NOS in activated macrophages. Hypoxia increased macrophage NOS gene expression in the presence of one additional activator, such as lipopolysaccharide or interferon-gamma, but not in the presence of both. Hypoxia markedly reduced the synthesis of NO by activated macrophages (as measured by accumulation of nitrite and citrulline), such that, at 1% oxygen tension, NO accumulation was reduced by 80-90%. The apparent K(m) for oxygen calculated from cells exposed to a range of oxygen tensions was found to be 10.8%, or 137 microM, O(2) This value is considerably higher than the oxygen tension in tissues, and is virtually identical to that reported recently for purified recombinant macrophage NOS. The decrease in NO synthesis did not appear to be due to diminished arginine or cofactor availability, since arginine transport and NO synthesis during recovery in normoxia were normal. Analysis of NO synthesis during hypoxia as a function of extracellular arginine indicated that an altered V(max), but not K(m)(Arg), accounted for the observed decrease in NO synthesis. We conclude that oxygen tension regulates the synthesis of NO in macrophages by a mechanism similar to that described previously for the calcium-dependent low-output NOS. Our data suggest that oxygen tension may be an important physiological regulator of macrophage NO synthesis in vivo. PMID:10970783

  7. Genes, stress, and depression.

    PubMed

    Wurtman, Richard J

    2005-05-01

    A relationship between genetic makeup and susceptibility to major depressive disorder (MDD) has long been suspected on the basis of family and twin studies. A metaanalysis of reports on the basis of twin studies has estimated MDD's degree of heritability to be 0.33 (confidence interval, 0.26-0.39). Among families exhibiting an increased prevalence of MDD, risk of developing the illness was enhanced in members exposed to a highly stressful environment. Aberrant genes can predispose to depression in a number of ways, for example, by diminishing production of growth factors that act during brain development. An aberrant gene could also increase or decrease a neurotransmitter's release into synapses, its actions, or its duration of activity. The gene products of greatest interest at present are those involved in the synthesis and actions of serotonin; among them, the serotonin-uptake protein localized within the terminals and dendrites of serotonin-releasing neurons. It has been found that the Vmax of platelet serotonin uptake is low in some patients with MDD; also, Vmax is highly correlated in twins. Antidepressant drugs such as the selective serotonin reuptake inhibitors act on this uptake protein. The specific genetic locus causing serotonin uptake to be lower in some patients with major depression involves a polymorphic region (5-HTTLPR) in the promoter region of the gene for the uptake protein. The gene itself exists as several alleles, the short "S" allele and the long "L" allele. The S variant is associated with less, and the L variant with more, of the uptake protein. The effect of stressful life events on depressive symptoms in young adults was found to be significantly stronger among SS or SL subjects than among LL subjects. Neuroimaging studies showed that people with the SS or SL alleles exhibited a greater activation of the amygdala in response to fearful stimuli than those with LL. It has been reported recently that mutations in the gene that controls

  8. Comparative Genomics of Pneumocystis Species Suggests the Absence of Genes for myo-Inositol Synthesis and Reliance on Inositol Transport and Metabolism

    PubMed Central

    Sesterhenn, Thomas M.; Collins, Margaret S.; Welge, Jeffrey A.

    2014-01-01

    ABSTRACT In the context of deciphering the metabolic strategies of the obligate pathogenic fungi in the genus Pneumocystis, the genomes of three species (P. carinii, P. murina, and P. jirovecii) were compared among themselves and with the free-living, phylogenetically related fission yeast (Schizosaccharomyces pombe). The underrepresentation of amino acid metabolism pathways compared to those in S. pombe, as well as the incomplete steroid biosynthesis pathway, were confirmed for P. carinii and P. jirovecii and extended to P. murina. All three Pneumocystis species showed overrepresentation of the inositol phosphate metabolism pathway compared to that in the fission yeast. In addition to those known in S. pombe, four genes, encoding inositol-polyphosphate multikinase (EC 2.7.1.151), inositol-pentakisphosphate 2-kinase (EC 2.7.1.158), phosphoinositide 5-phosphatase (EC 3.1.3.36), and inositol-1,4-bisphosphate 1-phosphatase (EC 3.1.3.57), were identified in the two rodent Pneumocystis genomes, P. carinii and P. murina. The P. jirovecii genome appeared to contain three of these genes but lacked phosphoinositide 5-phosphatase. Notably, two genes encoding enzymes essential for myo-inositol synthesis, inositol-1-phosphate synthase (INO1) and inositol monophosphatase (INM1), were absent from all three genomes, suggesting that Pneumocystis species are inositol auxotrophs. In keeping with the need to acquire exogenous inositol, two genes with products homologous to fungal inositol transporters, ITR1 and ITR2, were identified in P. carinii and P. murina, while P. jirovecii contained only the ITR1 homolog. The ITR and inositol metabolism genes in P. murina and P. carinii were expressed during fulminant infection as determined by reverse transcriptase real-time PCR of cDNA from infected lung tissue. Supplementation of in vitro culture with inositol yielded significant improvement of the viability of P. carinii for days 7 through 14. PMID:25370490

  9. Determination of transcriptional units and gene products from the ftsA region of Escherichia coli.

    PubMed Central

    Lutkenhaus, J F; Wu, H C

    1980-01-01

    Lambda transducing phage gamma 16-2 carries the genes envA, ftsZ, ftsA, ddl, and murC and directs the synthesis of six unique proteins in ultraviolet-irradiated cells. Various derivatives of gamma 16-2 carrying smaller segments of the bacterial deoxyribonucleic acid have also been analyzed for their capacity to direct protein synthesis in ultraviolet-irradiated cells. These results, in combination with genetic results, have allowed the gene product of each of these genes to be assigned. In addition, an unidentified gene was located counterclockwise to murC between murC and murF. Analysis of the direction of transcription indicates that murC, ddl, ftsA, and ftsZ are transcribed clockwise on the Escherichia coli genetic map, and envA is transcribed counterclockwise. In addition, it is shown that each of the genes envA, ftsZ, and ftsA can be expressed independently. Images PMID:6447690

  10. Genomic Landscape of Intrahost Variation in Group A Streptococcus: Repeated and Abundant Mutational Inactivation of the fabT Gene Encoding a Regulator of Fatty Acid Synthesis

    PubMed Central

    Eraso, Jesus M.; Olsen, Randall J.; Beres, Stephen B.; Kachroo, Priyanka; Porter, Adeline R.; Nasser, Waleed; Bernard, Paul E.; DeLeo, Frank R.

    2016-01-01

    To obtain new information about Streptococcus pyogenes intrahost genetic variation during invasive infection, we sequenced the genomes of 2,954 serotype M1 strains recovered from a nonhuman primate experimental model of necrotizing fasciitis. A total of 644 strains (21.8%) acquired polymorphisms relative to the input parental strain. The fabT gene, encoding a transcriptional regulator of fatty acid biosynthesis genes, contained 54.5% of these changes. The great majority of polymorphisms were predicted to deleteriously alter FabT function. Transcriptome-sequencing (RNA-seq) analysis of a wild-type strain and an isogenic fabT deletion mutant strain found that between 3.7 and 28.5% of the S. pyogenes transcripts were differentially expressed, depending on the growth temperature (35°C or 40°C) and growth phase (mid-exponential or stationary phase). Genes implicated in fatty acid synthesis and lipid metabolism were significantly upregulated in the fabT deletion mutant strain. FabT also directly or indirectly regulated central carbon metabolism genes, including pyruvate hub enzymes and fermentation pathways and virulence genes. Deletion of fabT decreased virulence in a nonhuman primate model of necrotizing fasciitis. In addition, the fabT deletion strain had significantly decreased survival in human whole blood and during phagocytic interaction with polymorphonuclear leukocytes ex vivo. We conclude that FabT mutant progeny arise during infection, constitute a metabolically distinct subpopulation, and are less virulent in the experimental models used here. PMID:27600505

  11. Memory responses of jasmonic acid-associated Arabidopsis genes to a repeated dehydration stress.

    PubMed

    Liu, Ning; Staswick, Paul E; Avramova, Zoya

    2016-11-01

    Dehydration stress activates numerous genes co-regulated by diverse signaling pathways. Upon repeated exposures, however, a subset of these genes does not respond maintaining instead transcription at their initial pre-stressed levels ('revised-response' genes). Most of these genes are involved in jasmonic acid (JA) biosynthesis, JA-signaling and JA-mediated stress responses. How these JA-associated genes are regulated to provide different responses to similar dehydration stresses is an enigma. Here, we investigate molecular mechanisms that contribute to this transcriptional behavior. The memory-mechanism is stress-specific: one exposure to dehydration stress or to abscisic acid (ABA) is required to prevent transcription in the second. Both ABA-mediated and JA-mediated pathways are critical for the activation of these genes, but the two signaling pathways interact differently during a single or multiple encounters with dehydration stress. Synthesis of JA during the first (S1) but not the second dehydration stress (S2) accounts for the altered transcriptional responses. We propose a model for these memory responses, wherein lack of MYC2 and of JA synthesis in S2 is responsible for the lack of expression of downstream genes. The similar length of the memory displayed by different memory-type genes suggests biological relevance for transcriptional memory as a gene-regulating mechanism during recurring bouts of drought. © 2016 John Wiley & Sons Ltd.

  12. Bisphenol A Exposure May Induce Hepatic Lipid Accumulation via Reprogramming the DNA Methylation Patterns of Genes Involved in Lipid Metabolism

    NASA Astrophysics Data System (ADS)

    Ke, Zhang-Hong; Pan, Jie-Xue; Jin, Lu-Yang; Xu, Hai-Yan; Yu, Tian-Tian; Ullah, Kamran; Rahman, Tanzil Ur; Ren, Jun; Cheng, Yi; Dong, Xin-Yan; Sheng, Jian-Zhong; Huang, He-Feng

    2016-08-01

    Accumulating evidence suggests a role of bisphenol A (BPA) in metabolic disorders. However, the underlying mechanism is still unclear. Using a mouse BPA exposure model, we investigated the effects of long-term BPA exposure on lipid metabolism and the underlying mechanisms. The male mice exposed to BPA (0.5 μg BPA /kg/day, a human relevant dose) for 10 months exhibited significant hepatic accumulation of triglycerides and cholesterol. The liver cells from the BPA-exposed mice showed significantly increased expression levels of the genes related to lipid synthesis. These liver cells showed decreased DNA methylation levels of Srebf1 and Srebf2, and increased expression levels of Srebf1 and Srebf2 that may upregulate the genes related to lipid synthesis. The expression levels of DNA methyltransferases were decreased in BPA-exposed mouse liver. Hepa1-6 cell line treated with BPA showed decreased expression levels of DNA methyltransferases and increased expression levels of genes involved in lipid synthesis. DNA methyltransferase knockdown in Hepa1-6 led to hypo-methylation and increased expression levels of genes involved in lipid synthesis. Our results suggest that long-term BPA exposure could induce hepatic lipid accumulation, which may be due to the epigenetic reprogramming of the genes involved in lipid metabolism, such as the alterations of DNA methylation patterns.

  13. Bisphenol A Exposure May Induce Hepatic Lipid Accumulation via Reprogramming the DNA Methylation Patterns of Genes Involved in Lipid Metabolism

    PubMed Central

    Ke, Zhang-Hong; Pan, Jie-Xue; Jin, Lu-Yang; Xu, Hai-Yan; Yu, Tian-Tian; Ullah, Kamran; Rahman, Tanzil Ur; Ren, Jun; Cheng, Yi; Dong, Xin-Yan; Sheng, Jian-Zhong; Huang, He-Feng

    2016-01-01

    Accumulating evidence suggests a role of bisphenol A (BPA) in metabolic disorders. However, the underlying mechanism is still unclear. Using a mouse BPA exposure model, we investigated the effects of long-term BPA exposure on lipid metabolism and the underlying mechanisms. The male mice exposed to BPA (0.5 μg BPA /kg/day, a human relevant dose) for 10 months exhibited significant hepatic accumulation of triglycerides and cholesterol. The liver cells from the BPA-exposed mice showed significantly increased expression levels of the genes related to lipid synthesis. These liver cells showed decreased DNA methylation levels of Srebf1 and Srebf2, and increased expression levels of Srebf1 and Srebf2 that may upregulate the genes related to lipid synthesis. The expression levels of DNA methyltransferases were decreased in BPA-exposed mouse liver. Hepa1-6 cell line treated with BPA showed decreased expression levels of DNA methyltransferases and increased expression levels of genes involved in lipid synthesis. DNA methyltransferase knockdown in Hepa1-6 led to hypo-methylation and increased expression levels of genes involved in lipid synthesis. Our results suggest that long-term BPA exposure could induce hepatic lipid accumulation, which may be due to the epigenetic reprogramming of the genes involved in lipid metabolism, such as the alterations of DNA methylation patterns. PMID:27502578

  14. No changes in heme synthesis in human Friedreich´s ataxia erythroid progenitor cells.

    PubMed

    Steinkellner, Hannes; Singh, Himanshu Narayan; Muckenthaler, Martina U; Goldenberg, Hans; Moganty, Rajeswari R; Scheiber-Mojdehkar, Barbara; Sturm, Brigitte

    2017-07-20

    Friedreich's ataxia (FRDA) is a neurodegenerative disease caused by reduced expression of the protein frataxin. Frataxin is thought to play a role in iron-sulfur cluster biogenesis and heme synthesis. In this study, we used erythroid progenitor stem cells obtained from FRDA patients and healthy donors to investigate the putative role, if any, of frataxin deficiency in heme synthesis. We used electrochemiluminescence and qRT-PCR for frataxin protein and mRNA quantification. We used atomic absorption spectrophotometry for iron levels and a photometric assay for hemoglobin levels. Protoporphyrin IX and Ferrochelatase were analyzed using auto-fluorescence. An "IronChip" microarray analysis followed by a protein-protein interaction analysis was performed. FRDA patient cells showed no significant changes in iron levels, hemoglobin synthesis, protoporphyrin IX levels, and ferrochelatase activity. Microarray analysis presented 11 genes that were significantly changed in all patients compared to controls. The genes are especially involved in oxidative stress, iron homeostasis and angiogenesis. The mystery about the involvement of frataxin on iron metabolism raises the question why frataxin deficiency in primary FRDA cells did not lead to changes in biochemical parameters of heme synthesis. It seems that alternative pathways can circumvent the impact of frataxin deficiency on heme synthesis. We show for the first time in primary FRDA patient cells that reduced frataxin levels are still sufficient for heme synthesis and possibly other mechanisms can overcome reduced frataxin levels in this process. Our data strongly support the fact that so far no anemia in FRDA patients was reported. Copyright © 2017 Elsevier B.V. All rights reserved.

  15. Gene expression in Pseudomonas aeruginosa exposed to hydroxyl-radicals.

    PubMed

    Aharoni, Noa; Mamane, Hadas; Biran, Dvora; Lakretz, Anat; Ron, Eliora Z

    2018-05-01

    Recent studies have shown the efficiency of hydroxyl radicals generated via ultraviolet (UV)-based advanced oxidation processes (AOPs) combined with hydrogen peroxide (UV/H 2 O 2 ) as a treatment process in water. The effects of AOP treatments on bacterial gene expression was examined using Pseudomonas aeruginosa strain PAO1 as a model-organism bacterium. Many bacterial genes are not expressed all the time, but their expression is regulated. The regulation is at the beginning of the gene, in a genetic region called "promoter" and affects the level of transcription (synthesis of messenger RNA) and translation (synthesis of protein). The level of expression of the regulated genes can change as a function of environmental conditions, and they can be expressed more (induced, upregulated) or less (downregulated). Exposure of strain PAO1 to UV/H 2 O 2 treatment resulted in a major change in gene expression, including elevated expression of several genes. One interesting gene is PA3237, which was significantly upregulated under UV/H 2 O 2 as compared to UV or H 2 O 2 treatments alone. The induction of this gene is probably due to formation of radicals, as it is abolished in the presence of the radical scavenger tert-butanol (TBA) and is seen even when the bacteria are added after the treatment (post-treatment exposure). Upregulation of the PA3237 promoter could also be detected using a reporter gene, suggesting the use of such genetic constructs to develop biosensors for monitoring AOPs in water-treatment plants. Currently biosensors for AOPs do not exist, consequently impairing the ability to monitor these processes on-line according to radical exposure in natural waters. Copyright © 2018 Elsevier Ltd. All rights reserved.

  16. PAH1-encoded Phosphatidate Phosphatase Plays a Role in the Growth Phase- and Inositol-mediated Regulation of Lipid Synthesis in Saccharomyces cerevisiae*

    PubMed Central

    Pascual, Florencia; Soto-Cardalda, Aníbal; Carman, George M.

    2013-01-01

    In the yeast Saccharomyces cerevisiae, the synthesis of phospholipids in the exponential phase of growth occurs at the expense of the storage lipid triacylglycerol. As exponential phase cells progress into the stationary phase, the synthesis of triacylglycerol occurs at the expense of phospholipids. Early work indicates a role of the phosphatidate phosphatase (PAP) in this metabolism; the enzyme produces the diacylglycerol needed for the synthesis of triacylglycerol and simultaneously controls the level of phosphatidate for the synthesis of phospholipids. Four genes (APP1, DPP1, LPP1, and PAH1) encode PAP activity in yeast, and it has been unclear which gene is responsible for the synthesis of triacylglycerol throughout growth. An analysis of lipid synthesis and composition, as well as PAP activity in various PAP mutant strains, showed the essential role of PAH1 in triacylglycerol synthesis throughout growth. Pah1p is a phosphorylated enzyme whose in vivo function is dependent on its dephosphorylation by the Nem1p-Spo7p protein phosphatase complex. nem1Δ mutant cells exhibited defects in triacylglycerol synthesis and lipid metabolism that mirrored those imparted by the pah1Δ mutation, substantiating the importance of Pah1p dephosphorylation throughout growth. An analysis of cells bearing PPAH1-lacZ and PPAH1-DPP1 reporter genes showed that PAH1 expression was induced throughout growth and that the induction in the stationary phase was stimulated by inositol supplementation. A mutant analysis indicated that the Ino2p/Ino4p/Opi1p regulatory circuit and transcription factors Gis1p and Rph1p mediated this regulation. PMID:24196957

  17. Effects of branched-chain volatile fatty acids on lactation performance and mRNA expression of genes related to fatty acid synthesis in mammary gland of dairy cows.

    PubMed

    Liu, Q; Wang, C; Guo, G; Huo, W J; Zhang, S L; Pei, C X; Zhang, Y L; Wang, H

    2018-02-12

    Branched-chain volatile fatty acids (BCVFA) supplements could promote lactation performance and milk quality by improving ruminal fermentation and milk fatty acid synthesis. This study was conducted to evaluate the effects of BCVFA supplementation on milk performance, ruminal fermentation, nutrient digestibility and mRNA expression of genes related to fatty acid synthesis in mammary gland of dairy cows. A total of 36 multiparous Chinese Holstein cows averaging 606±4.7 kg of BW, 65±5.2 day in milk (DIM) with daily milk production of 30.6±0.72 kg were assigned to one of four groups blocked by lactation number, milk yield and DIM. The treatments were control, low-BCVFA (LBCVFA), medium-BCVFA (MBCVFA) and high-BCVFA (HBCVFA) with 0, 30, 60 and 90 g BCVFA per cow per day, respectively. Experimental periods were 105 days with 15 days of adaptation and 90 days of data collection. Dry matter (DM) intake tended to increase, but BW changes were similar among treatments. Yields of actual milk, 4% fat corrected milk, milk fat and true protein linearly increased, but feed conversion ratio (FCR) linearly decreased with increasing BCVFA supplementation. Milk fat content linearly increased, but true protein content tended to increase. Contents of C4:0, C6:0, C8:0, C10:0, C12:0, C14:0 and C15:0 fatty acids in milk fat linearly increased, whereas other fatty acids were not affected with increasing BCVFA supplementation. Ruminal pH, ammonia N concentration and propionate molar proportion linearly decreased, but total VFA production and molar proportions of acetate and butyrate linearly increased with increasing BCVFA supplementation. Consequently, acetate to propionate ratios linearly increased. Digestibilities of DM, organic matter, CP, NDF and ADF also linearly increased. In addition, mRNA expressions of peroxisome proliferator-activated receptor γ, sterol regulatory element-binding factor 1 and fatty acid-binding protein 3 linearly increased, mRNA expressions of acetyl

  18. Iron Induction of Ferritin Synthesis in Soybean Cell Suspensions

    PubMed Central

    Proudhon, Dominique; Briat, Jean-François; Lescure, Anne-Marie

    1989-01-01

    In animal cells specialized for iron storage, iron-induced accumulation of ferritin is known to result from a shift of stored mRNA from the ribonucleoprotein fraction to polysomes. Previous reports with bean leaves suggested that in plants iron induction of ferritin synthesis would result from a regulation at the transcriptional level (F van der Mark, F Bienfait, H van der Ende [1983] Biochem Biophys Res Commun 115:463-469). Soybean (Glycine max, cv Mandarin) cell suspension cultures have been used here to support these findings. Ferritin induction is obtained by addition of Fe-citrate to the culture medium. A good correlation is found between cellular iron content and the amount of ferritin accumulation. This protein accumulation corresponds to an increase of in vitro translatable ferritin mRNA. Addition of 4 micrograms actinomycin D per milliliter to the cultures inhibits completely in vivo RNA synthesis, whereas protein synthesis was poorly affected, at least for 24 hours. During the same time, this concentration of actinomycin D strongly inhibits the iron-induced synthesis of ferritin. These results show that in soybean cell cultures, the mechanism of regulation of ferritin synthesis in response to iron does not result from recruitment of preexisting mRNA. They confirm that in plant systems, ferritin synthesis results from increased transcription of the corresponding genes. Images Figure 2 Figure 3 Figure 5 PMID:16666812

  19. Iron induction of ferritin synthesis in soybean cell suspensions.

    PubMed

    Proudhon, D; Briat, J F; Lescure, A M

    1989-06-01

    In animal cells specialized for iron storage, iron-induced accumulation of ferritin is known to result from a shift of stored mRNA from the ribonucleoprotein fraction to polysomes. Previous reports with bean leaves suggested that in plants iron induction of ferritin synthesis would result from a regulation at the transcriptional level (F van der Mark, F Bienfait, H van der Ende [1983] Biochem Biophys Res Commun 115:463-469). Soybean (Glycine max, cv Mandarin) cell suspension cultures have been used here to support these findings. Ferritin induction is obtained by addition of Fe-citrate to the culture medium. A good correlation is found between cellular iron content and the amount of ferritin accumulation. This protein accumulation corresponds to an increase of in vitro translatable ferritin mRNA. Addition of 4 micrograms actinomycin D per milliliter to the cultures inhibits completely in vivo RNA synthesis, whereas protein synthesis was poorly affected, at least for 24 hours. During the same time, this concentration of actinomycin D strongly inhibits the iron-induced synthesis of ferritin. These results show that in soybean cell cultures, the mechanism of regulation of ferritin synthesis in response to iron does not result from recruitment of preexisting mRNA. They confirm that in plant systems, ferritin synthesis results from increased transcription of the corresponding genes.

  20. Expression of growth-related genes in young and older human skeletal muscle following an acute stimulation of protein synthesis.

    PubMed

    Drummond, Micah J; Miyazaki, Mitsunori; Dreyer, Hans C; Pennings, Bart; Dhanani, Shaheen; Volpi, Elena; Esser, Karyn A; Rasmussen, Blake B

    2009-04-01

    Muscle growth is associated with an activation of the mTOR signaling pathway and satellite cell regulators. The purpose of this study was to determine whether 17 selected genes associated with mTOR/muscle protein synthesis and the satellite cells/myogenic program are differentially expressed in young and older human skeletal muscle at rest and in response to a potent anabolic stimulus [resistance exercise + essential amino acid ingestion (RE+EAA)]. Twelve male subjects (6 young, 6 old) completed a bout of heavy resistance exercise. Muscle biopsies were obtained before and at 3 and 6 h post RE+EAA. Subjects ingested leucine-enriched essential amino acids at 1 h postexercise. mRNA expression was determined using qRT-PCR. At rest, hVps34 mRNA was elevated in the older subjects (P < 0.05) while there was a tendency for levels of myoD, myogenin, and TSC2 mRNA to be higher than young. The anabolic stimulus (RE+EAA) altered mRNAs associated with mTOR regulation. Notably, REDD2 decreased in both age groups (P < 0.05) but the expression of Rheb mRNA increased only in the young. Finally, cMyc mRNA was elevated (P < 0.05) in both young and old at 6 h post RE+EAA. Furthermore, RE+EAA also increased expression of several mRNAs associated with satellite function in the young (P < 0.05), while expression of these mRNAs did not change in the old. We conclude that several anabolic genes in muscle are more responsive in young men post RE+EAA. Our data provide new insights into the regulation of genes important for transcription and translation in young and old human skeletal muscle post RE+EAA.

  1. Changes in gene expression linked to methamphetamine-induced dopaminergic neurotoxicity.

    PubMed

    Xie, Tao; Tong, Liqiong; Barrett, Tanya; Yuan, Jie; Hatzidimitriou, George; McCann, Una D; Becker, Kevin G; Donovan, David M; Ricaurte, George A

    2002-01-01

    The purpose of these studies was to examine the role of gene expression in methamphetamine (METH)-induced dopamine (DA) neurotoxicity. First, the effects of the mRNA synthesis inhibitor, actinomycin-D, and the protein synthesis inhibitor, cycloheximide, were examined. Both agents afforded complete protection against METH-induced DA neurotoxicity and did so independently of effects on core temperature, DA transporter function, or METH brain levels, suggesting that gene transcription and mRNA translation play a role in METH neurotoxicity. Next, microarray technology, in combination with an experimental approach designed to facilitate recognition of relevant gene expression patterns, was used to identify gene products linked to METH-induced DA neurotoxicity. This led to the identification of several genes in the ventral midbrain associated with the neurotoxic process, including genes for energy metabolism [cytochrome c oxidase subunit 1 (COX1), reduced nicotinamide adenine dinucleotide ubiquinone oxidoreductase chain 2, and phosphoglycerate mutase B], ion regulation (members of sodium/hydrogen exchanger and sodium/bile acid cotransporter family), signal transduction (adenylyl cyclase III), and cell differentiation and degeneration (N-myc downstream-regulated gene 3 and tau protein). Of these differentially expressed genes, we elected to further examine the increase in COX1 expression, because of data implicating energy utilization in METH neurotoxicity and the known role of COX1 in energy metabolism. On the basis of time course studies, Northern blot analyses, in situ hybridization results, and temperature studies, we now report that increased COX1 expression in the ventral midbrain is linked to METH-induced DA neuronal injury. The precise role of COX1 and other genes in METH neurotoxicity remains to be elucidated.

  2. Genes and proteins of the alternative steroid backdoor pathway for dihydrotestosterone synthesis are expressed in the human ovary and seem enhanced in the polycystic ovary syndrome.

    PubMed

    Marti, Nesa; Galván, José A; Pandey, Amit V; Trippel, Mafalda; Tapia, Coya; Müller, Michel; Perren, Aurel; Flück, Christa E

    2017-02-05

    Recently, dihydrotestosterone biosynthesis through the backdoor pathway has been implicated for the human testis in addition to the classic pathway for testosterone (T) synthesis. In the human ovary, androgen precursors are crucial for estrogen synthesis and hyperandrogenism in pathologies such as the polycystic ovary syndrome is partially due to ovarian overproduction. However, a role for the backdoor pathway is only established for the testis and the adrenal, but not for the human ovary. To investigate whether the backdoor pathway exists in normal and PCOS ovaries, we performed specific gene and protein expression studies on ovarian tissues. We found aldo-keto reductases (AKR1C1-1C4), 5α-reductases (SRD5A1/2) and retinol dehydrogenase (RoDH) expressed in the human ovary, indicating that the ovary might produce dihydrotestosterone via the backdoor pathway. Immunohistochemical studies showed specific localization of these proteins to the theca cells. PCOS ovaries show enhanced expression, what may account for the hyperandrogenism. Copyright © 2016 Elsevier Ireland Ltd. All rights reserved.

  3. The Impact of Oxytocin Gene Knockout on Sexual Behavior and Gene Expression Related to Neuroendocrine Systems in the Brain of Female Mice.

    PubMed

    Zimmermann-Peruzatto, Josi Maria; Lazzari, Virgínia Meneghini; Agnes, Grasiela; Becker, Roberta Oriques; de Moura, Ana Carolina; Guedes, Renata Padilha; Lucion, Aldo Bolten; Almeida, Silvana; Giovenardi, Márcia

    2017-07-01

    Social relations are built and maintained from the interaction among individuals. The oxytocin (OT), vasopressin (VP), estrogen, dopamine, and their receptors are involved in the modulation of sexual behavior in females. This study aimed to analyze the impact of OT gene knockout (OTKO) on sexual behavior and the gene expression of oxytocin (OTR), estrogen alpha (ERα), estrogen beta (ERβ), vasopressin (V 1a R), and dopamine (D 2 R) receptors in the olfactory bulb (OB), prefrontal cortex (PFC), hippocampus (HPC), and hypothalamus (HPT), as well as in the synthesis of VP in the HPT of female mice. Wild-type (WT) littermates were used for comparisons. The C DNAs were synthesized by polymerase chain reaction and the gene expression was calculated with the 2 -ΔΔCt formula. Our results showed that the absence of OT caused an increase in the frequency and duration of non-receptive postures and a decrease in receptive postures in the OTKO. OTKO females showed a significant decrease in the gene expression of OTR in the HPC, V 1a R in the HPT, and ERα and ERβ in the PFC. There was no significant difference in the gene expression of D 2 R of OTKO. However, OTKO showed an increased gene expression of V 1a R in the HPC. There is no significant difference in VP mRNA synthesis in the HPT between OTKO and WT. Our findings demonstrate that the absence of OT leads to significant changes in the expression of the studied genes (OTR, ERα, ERβ, V 1a R), and these changes may contribute to the decreased sexual behavior observed in OTKO females.

  4. DNA polymorphism analysis of Brucella lipopolysaccharide genes reveals marked differences in O-polysaccharide biosynthetic genes between smooth and rough Brucella species and novel species-specific markers

    PubMed Central

    2009-01-01

    Background The lipopolysaccharide is a major antigen and virulence factor of Brucella, an important bacterial pathogen. In smooth brucellae, lipopolysaccharide is made of lipid A-core oligosaccharide and N-formylperosamine O-polysaccharide. B. ovis and B. canis (rough species) lack the O-polysaccharide. Results The polymorphism of O-polysaccharide genes wbkE, manAO-Ag, manBO-Ag, manCO-Ag, wbkF and wbkD) and wbo (wboA and wboB), and core genes manBcore and wa** was analyzed. Although most genes were highly conserved, species- and biovar-specific restriction patterns were found. There were no significant differences in putative N-formylperosamyl transferase genes, suggesting that Brucella A and M serotypes are not related to specific genes. In B. pinnipedialis and B. ceti (both smooth), manBO-Ag carried an IS711, confirming its dispensability for perosamine synthesis. Significant differences between smooth and rough species were found in wbkF and wbkD, two adjacent genes putatively related to bactoprenol priming for O-polysaccharide polymerization. B. ovis wbkF carried a frame-shift and B. canis had a long deletion partially encompassing both genes. In smooth brucellae, this region contains two direct repeats suggesting the deletion mechanism. Conclusion The results define species and biovar markers, confirm the dispensability of manBO-Ag for O-polysaccharide synthesis and contribute to explain the lipopolysaccharide structure of rough and smooth Brucella species. PMID:19439075

  5. [Expression of saponin biosynthesis related genes in different tissues of Panax quinquefolius].

    PubMed

    Wang, Kang-Yu; Liu, Wei-Can; Zhang, Mei-Ping; Zhao, Ming-Zhu; Wang, Yan-Fang; Li, Li; Sun, Chun-Yu; Hu, Ke-Xin; Cong, Yue-Yi; Wang, Yi

    2018-01-01

    The relationship between saponin content of Panax quinquefolius in different parts of the organization and expression of ginsenoside biosynthesis related gene was obtained by the correlation analysis between saponin content and gene expression. The 14 tissue parts of P. quinquefolius were studied, six saponins in P. quinquefolius. Samples (ginsenoside Rg₁, Re, Rb₁, Rc, Rb₂ and Rd), group saponins and total saponins were determined by high performance liquid chromatography and vanillin-sulfuric acid colorimetric method. Simultaneously, the expression levels of 7 ginsenoside biosynthesis related genes ( SQS, OSC, DS, β-AS, SQE, P450 and FPS ) in different tissues of P. quinquefolius were determined by Real-time fluorescence quantitative PCR. Although 7 kinds of ginsenoside biosynthesis related enzyme gene in the P. quinquefolius involved in ginsenoside synthesis, the expression of β-AS and P450 genes had no significant effect on the content of monosodium saponins, grouping saponins and total saponins, FPS, SQS, OSC, DS and SQE had significant or extremely significant on the contents of single saponins Re, Rg1, Rb1, Rd, group saponin PPD and PPT, total saponin TMS and total saponin TS ( P <0.05 or P <0.01). The biosynthesis of partial saponins, grouping saponins and total saponins in P. quinquefolius was affected by the interaction of multiple enzyme genes in the saponin synthesis pathway, the content of saponins in different tissues of P. quinquefolius was determined by the differences in the expression of key enzymes in the biosynthetic pathway. Therefore, this study further clarified that FPS, SQS, OSC, DS and SQE was the key enzyme to control the synthesis of saponins in P. quinquefolius by correlation analysis, the biosynthesis of ginsenosides in P. quinquefolius was regulated by these five kind of enzymes in cluster co-expression of interaction mode. Copyright© by the Chinese Pharmaceutical Association.

  6. Global analysis of gene expression profiles in developing physic nut (Jatropha curcas L.) seeds.

    PubMed

    Jiang, Huawu; Wu, Pingzhi; Zhang, Sheng; Song, Chi; Chen, Yaping; Li, Meiru; Jia, Yongxia; Fang, Xiaohua; Chen, Fan; Wu, Guojiang

    2012-01-01

    Physic nut (Jatropha curcas L.) is an oilseed plant species with high potential utility as a biofuel. Furthermore, following recent sequencing of its genome and the availability of expressed sequence tag (EST) libraries, it is a valuable model plant for studying carbon assimilation in endosperms of oilseed plants. There have been several transcriptomic analyses of developing physic nut seeds using ESTs, but they have provided limited information on the accumulation of stored resources in the seeds. We applied next-generation Illumina sequencing technology to analyze global gene expression profiles of developing physic nut seeds 14, 19, 25, 29, 35, 41, and 45 days after pollination (DAP). The acquired profiles reveal the key genes, and their expression timeframes, involved in major metabolic processes including: carbon flow, starch metabolism, and synthesis of storage lipids and proteins in the developing seeds. The main period of storage reserves synthesis in the seeds appears to be 29-41 DAP, and the fatty acid composition of the developing seeds is consistent with relative expression levels of different isoforms of acyl-ACP thioesterase and fatty acid desaturase genes. Several transcription factor genes whose expression coincides with storage reserve deposition correspond to those known to regulate the process in Arabidopsis. The results will facilitate searches for genes that influence de novo lipid synthesis, accumulation and their regulatory networks in developing physic nut seeds, and other oil seeds. Thus, they will be helpful in attempts to modify these plants for efficient biofuel production.

  7. Dynamic Analysis of Gene Expression in Rice Superior and Inferior Grains by RNA-Seq

    PubMed Central

    Sun, Hongzheng; Peng, Ting; Zhao, Yafan; Du, Yanxiu; Zhang, Jing; Li, Junzhou; Xin, Zeyu; Zhao, Quanzhi

    2015-01-01

    Poor grain filling of inferior grains located on lower secondary panicle branch causes great drop in rice yield and quality. Dynamic gene expression patterns between superior and inferior grains were examined from the view of the whole transcriptome by using RNA-Seq method. In total, 19,442 genes were detected during rice grain development. Genes involved in starch synthesis, grain storage and grain development were interrogated in particular in superior and inferior grains. Of the genes involved in sucrose to starch transformation process, most were expressed at lower level in inferior grains at early filling stage compared to that of superior grains. But at late filling stage, the expression of those genes was higher in inferior grains and lower in superior grains. The same trends were observed in the expression of grain storage protein genes. While, evidence that genes involved in cell cycle showed higher expression in inferior grains during whole period of grain filling indicated that cell proliferation was active till the late filling stage. In conclusion, delayed expression of most starch synthesis genes in inferior grains and low capacity of sink organ might be two important factors causing low filling rate of inferior grain at early filling stage, and shortage of carbohydrate supply was a limiting factor at late filling stage. PMID:26355995

  8. Chloroplast chlB gene is required for light-independent chlorophyll accumulation in Chlamydomonas reinhardtii.

    PubMed

    Liu, X Q; Xu, H; Huang, C

    1993-10-01

    Light-independent chlorophyll synthesis occurs in some algae, lower plants, and gymnosperms, but not in angiosperms. We have identified a new chloroplast gene, chlB, that is required for the light-independent accumulation of chlorophyll in the green alga Chlamydomonas reinhardtii. The chlB gene was cloned, sequenced, and then disrupted by performing particle gun-mediated chloroplast transformation. The resulting homoplasmic mutant was unable to accumulate chlorophyll in the dark and thus exhibited a 'yellow-in-the-dark' phenotype. The chlB gene encodes a polypeptide of 688 amino acid residues, and is distinct from two previously characterized chloroplast genes (chlN and chlL) also required for light-independent chlorophyll accumulation in C. reinhardtii. Three unidentified open reading frames in chloroplast genomes of liverwort, black pine, and Chlamydomonas moewusii were also identified as chlB genes, based on their striking sequence similarities to the C. reinhardtii chlB gene. A chlB-like gene is absent in chloroplast genomes of tobacco and rice, consistent with the lack of light-independent chlorophyll synthesis in these plants. Polypeptides encoded by the chloroplast chlB genes also show significant sequence similarities with the bchB gene product of Rhodobacter capsulatus. Comparisons among the chloroplast chlB and the bacterial bchB gene products revealed five highly conserved sequence areas that are interspersed by four stretches of highly variable and probably insertional sequences.

  9. Rational Design of High-Number dsDNA Fragments Based on Thermodynamics for the Construction of Full-Length Genes in a Single Reaction.

    PubMed

    Birla, Bhagyashree S; Chou, Hui-Hsien

    2015-01-01

    Gene synthesis is frequently used in modern molecular biology research either to create novel genes or to obtain natural genes when the synthesis approach is more flexible and reliable than cloning. DNA chemical synthesis has limits on both its length and yield, thus full-length genes have to be hierarchically constructed from synthesized DNA fragments. Gibson Assembly and its derivatives are the simplest methods to assemble multiple double-stranded DNA fragments. Currently, up to 12 dsDNA fragments can be assembled at once with Gibson Assembly according to its vendor. In practice, the number of dsDNA fragments that can be assembled in a single reaction are much lower. We have developed a rational design method for gene construction that allows high-number dsDNA fragments to be assembled into full-length genes in a single reaction. Using this new design method and a modified version of the Gibson Assembly protocol, we have assembled 3 different genes from up to 45 dsDNA fragments at once. Our design method uses the thermodynamic analysis software Picky that identifies all unique junctions in a gene where consecutive DNA fragments are specifically made to connect to each other. Our novel method is generally applicable to most gene sequences, and can improve both the efficiency and cost of gene assembly.

  10. Synthesis Gas (Syngas)-Derived Medium-Chain-Length Polyhydroxyalkanoate Synthesis in Engineered Rhodospirillum rubrum

    PubMed Central

    Heinrich, Daniel; Raberg, Matthias; Fricke, Philipp; Kenny, Shane T.; Morales-Gamez, Laura; Babu, Ramesh P.; O'Connor, Kevin E.

    2016-01-01

    ABSTRACT The purple nonsulfur alphaproteobacterium Rhodospirillum rubrum S1 was genetically engineered to synthesize a heteropolymer of mainly 3-hydroxydecanoic acid and 3-hydroxyoctanoic acid [P(3HD-co-3HO)] from CO- and CO2-containing artificial synthesis gas (syngas). For this, genes from Pseudomonas putida KT2440 coding for a 3-hydroxyacyl acyl carrier protein (ACP) thioesterase (phaG), a medium-chain-length (MCL) fatty acid coenzyme A (CoA) ligase (PP_0763), and an MCL polyhydroxyalkanoate (PHA) synthase (phaC1) were cloned and expressed under the control of the CO-inducible promoter PcooF from R. rubrum S1 in a PHA-negative mutant of R. rubrum. P(3HD-co-3HO) was accumulated to up to 7.1% (wt/wt) of the cell dry weight by a recombinant mutant strain utilizing exclusively the provided gaseous feedstock syngas. In addition to an increased synthesis of these medium-chain-length PHAs (PHAMCL), enhanced gene expression through the PcooF promoter also led to an increased molar fraction of 3HO in the synthesized copolymer compared with the Plac promoter, which regulated expression on the original vector. The recombinant strains were able to partially degrade the polymer, and the deletion of phaZ2, which codes for a PHA depolymerase most likely involved in intracellular PHA degradation, did not reduce mobilization of the accumulated polymer significantly. However, an amino acid exchange in the active site of PhaZ2 led to a slight increase in PHAMCL accumulation. The accumulated polymer was isolated; it exhibited a molecular mass of 124.3 kDa and a melting point of 49.6°C. With the metabolically engineered strains presented in this proof-of-principle study, we demonstrated the synthesis of elastomeric second-generation biopolymers from renewable feedstocks not competing with human nutrition. IMPORTANCE Polyhydroxyalkanoates (PHAs) are natural biodegradable polymers (biopolymers) showing properties similar to those of commonly produced petroleum-based nondegradable

  11. Identification of Francisella novicida mutants that fail to induce prostaglandin E2 synthesis by infected macrophages

    PubMed Central

    Woolard, Matthew D.; Barrigan, Lydia M.; Fuller, James R.; Buntzman, Adam S.; Bryan, Joshua; Manoil, Colin; Kawula, Thomas H.; Frelinger, Jeffrey A.

    2013-01-01

    Francisella tularensis is the causative agent of tularemia. We have previously shown that infection with F. tularensis Live Vaccine Strain (LVS) induces macrophages to synthesize prostaglandin E2 (PGE2). Synthesis of PGE2 by F. tularensis infected macrophages results in decreased T cell proliferation in vitro and increased bacterial survival in vivo. Although we understand some of the biological consequences of F. tularensis induced PGE2 synthesis by macrophages, we do not understand the cellular pathways (neither host nor bacterial) that result in up-regulation of the PGE2 biosynthetic pathway in F. tularensis infected macrophages. We took a genetic approach to begin to understand the molecular mechanisms of bacterial induction of PGE2 synthesis from infected macrophages. To identify F. tularensis genes necessary for the induction of PGE2 in primary macrophages, we infected cells with individual mutants from the closely related strain F. tularensis subspecies novicida U112 (U112) two allele mutant library. Twenty genes were identified that when disrupted resulted in U112 mutant strains unable to induce the synthesis of PGE2 by infected macrophages. Fourteen of the genes identified are located within the Francisella pathogenicity island (FPI). Genes in the FPI are required for F. tularensis to escape from the phagosome and replicate in the cytosol, which might account for the failure of U112 with transposon insertions within the FPI to induce PGE2. This implies that U112 mutant strains that do not grow intracellularly would also not induce PGE2. We found that U112 clpB::Tn grows within macrophages yet fails to induce PGE2, while U112 pdpA::Tn does not grow yet does induce PGE2. We also found that U112 iglC::Tn neither grows nor induces PGE2. These findings indicate that there is dissociation between intracellular growth and the ability of F. tularensis to induce PGE2 synthesis. These mutants provide a critical entrée into the pathways used in the host for PGE2

  12. Examination of tetrahydrobiopterin pathway genes in autism.

    PubMed

    Schnetz-Boutaud, N C; Anderson, B M; Brown, K D; Wright, H H; Abramson, R K; Cuccaro, M L; Gilbert, J R; Pericak-Vance, M A; Haines, J L

    2009-11-01

    Autism is a complex disorder with a high degree of heritability and significant phenotypic and genotypic heterogeneity. Although candidate gene studies and genome-wide screens have failed to identify major causal loci associated with autism, numerous studies have proposed association with several variations in genes in the dopaminergic and serotonergic pathways. Because tetrahydrobiopterin (BH4) is the essential cofactor in the synthesis of these two neurotransmitters, we genotyped 25 SNPs in nine genes of the BH4 pathway in a total of 403 families. Significant nominal association was detected in the gene for 6-pyruvoyl-tetrahydropterin synthase, PTS (chromosome 11), with P = 0.009; this result was not restricted to an affected male-only subset. Multilocus interaction was detected in the BH4 pathway alone, but not across the serotonin, dopamine and BH4 pathways.

  13. Metabolic genes in cancer: their roles in tumor progression and clinical implications

    PubMed Central

    Furuta, Eiji; Okuda, Hiroshi; Kobayashi, Aya; Watabe, Kounosuke

    2010-01-01

    Re-programming of metabolic pathways is a hallmark of physiological changes in cancer cells. The expression of certain genes that directly control the rate of key metabolic pathways including glycolysis, lipogenesis and nucleotide synthesis are drastically altered at different stages of tumor progression. These alterations are generally considered as an adaptation of tumor cells; however, they also contribute to the progression of tumor cells to become more aggressive phenotypes. This review summarizes the recent information about the mechanistic link of these genes to oncogenesis and their potential utility as diagnostic markers as well as for therapeutic targets. We particularly focus on three groups of genes; GLUT1, G6PD, TKTL1 and PGI/AMF in glycolytic pathway, ACLY, ACC1 and FAS in lipogenesis and RRM1, RRM2 and TYMS for nucleotide synthesis. All these genes are highly up-regulated in a variety of tumor cells in cancer patients, and they play active roles in tumor progression rather than expressing merely as a consequence of phenotypic change of the cancer cells. Molecular dissection of their orchestrated networks and understanding the exact mechanism of their expression will provide a window of opportunity to target these genes for specific cancer therapy. We also reviewed existing database of gene microarray to validate the utility of these genes for cancer diagnosis. PMID:20122995

  14. Deep-sea vent phage DNA polymerase specifically initiates DNA synthesis in the absence of primers.

    PubMed

    Zhu, Bin; Wang, Longfei; Mitsunobu, Hitoshi; Lu, Xueling; Hernandez, Alfredo J; Yoshida-Takashima, Yukari; Nunoura, Takuro; Tabor, Stanley; Richardson, Charles C

    2017-03-21

    A DNA polymerase is encoded by the deep-sea vent phage NrS-1. NrS-1 has a unique genome organization containing genes that are predicted to encode a helicase and a single-stranded DNA (ssDNA)-binding protein. The gene for an unknown protein shares weak homology with the bifunctional primase-polymerases (prim-pols) from archaeal plasmids but is missing the zinc-binding domain typically found in primases. We show that this gene product has efficient DNA polymerase activity and is processive in DNA synthesis in the presence of the NrS-1 helicase and ssDNA-binding protein. Remarkably, this NrS-1 DNA polymerase initiates DNA synthesis from a specific template DNA sequence in the absence of any primer. The de novo DNA polymerase activity resides in the N-terminal domain of the protein, whereas the C-terminal domain enhances DNA binding.

  15. Induction of phytic acid synthesis by abscisic acid in suspension-cultured cells of rice.

    PubMed

    Matsuno, Koya; Fujimura, Tatsuhito

    2014-03-01

    A pathway of phytic acid (PA) synthesis in plants has been revealed via investigations of low phytic acid mutants. However, the regulation of this pathway is not well understood because it is difficult to control the environments of cells in the seeds, where PA is mainly synthesized. We modified a rice suspension culture system in order to study the regulation of PA synthesis. Rice cells cultured with abscisic acid (ABA) accumulate PA at higher levels than cells cultured without ABA, and PA accumulation levels increase with ABA concentration. On the other hand, higher concentrations of sucrose or inorganic phosphorus do not affect PA accumulation. Mutations in the genes RINO1, OsMIK, OsIPK1 and OsLPA1 have each been reported to confer low phytic acid phenotypes in seeds. Each of these genes is upregulated in cells cultured with ABA. OsITPK4 and OsITPK6 are upregulated in cells cultured with ABA and in developing seeds. These results suggest that the regulation of PA synthesis is similar between developing seeds and cells in this suspension culture system. This system will be a powerful tool for elucidating the regulation of PA synthesis. Copyright © 2014 Elsevier Ireland Ltd. All rights reserved.

  16. Docosahexaenoic Acid (DHA) and Hepatic Gene Transcription1,3

    PubMed Central

    Jump, Donald B.; Botolin, Daniela; Wang, Yun; Xu, Jinghua; Demeure, Olivier; Christian, Barbara

    2008-01-01

    The type and quantity of dietary fat ingested contributes to the onset and progression of chronic diseases, like diabetes and atherosclerosis. The liver plays a central role in whole body lipid metabolism and responds rapidly to changes in dietary fat composition. Polyunsaturated fatty acids (PUFA) play a key role in membrane composition and function, metabolism and the control of gene expression. Certain PUFA, like the n-3 PUFA, enhance hepatic fatty acid oxidation and inhibit fatty acid synthesis and VLDL secretion, in part, by regulating gene expression. Our studies have established that key transcription factors, like PPARα, SREBP-1, ChREBP and MLX, are regulated by n-3 PUFA, which in turn control levels of proteins involved in lipid and carbohydrate metabolism. Of the n-3 PUFA, 22:6,n-3 has recently been established as a key controller of hepatic lipid synthesis. 22:6,n-3 controls the 26S proteasomal degradation of the nuclear form of SREBP-1. SREBP-1 is a major transcription factor that controls the expression of multiple genes involved fatty acid synthesis and desaturation. 22:6,n-3 suppresses nuclear SREBP-1 which, in turn suppresses lipogenesis. This mechanism is achieved, in part, through control of the phosphorylation status of protein kinases. This review will examine both the general features of PUFA-regulated hepatic gene transcription and highlight the unique mechanisms by which 22:6,n-3 impacts gene expression. The outcome of this analysis will reveal that changes in hepatic 22:6,n-3 content has a major impact on hepatic lipid and carbohydrate metabolism. Moreover, the mechanisms involve 22:6,n-3 control of several well-known signaling pathways, such as Akt, Erk1/2, Gsk3β and PKC (novel or atypical). 22:6,n-3 control of these same signaling pathways in non-hepatic tissues may help explain the diverse actions of n-3 PUFA on such complex physiological processes as visual acuity and learning. PMID:18343222

  17. The pnk/pnl gene (ORF 86) of Autographa californica nucleopolyhedrovirus is a non-essential, immediate early gene.

    PubMed

    Durantel, D; Croizier, L; Ayres, M D; Croizier, G; Possee, R D; López-Ferber, M

    1998-03-01

    Autographa californica nucleopolyhedrovirus (AcMNPV) ORF 86, located within the HindIII C fragment, potentially encodes a protein which shares sequence similarity with two T4 bacteriophage gene products, RNA ligase and polynucleotide kinase. This AcMNPV gene has been designated pnk/pnl but has yet to be assigned a function in virus replication. It has been classified as an immediate early virus gene, since the promoter was active in uninfected insect cells and mRNA transcripts were detectable from 4 to 48 h post-infection and in the presence of cycloheximide or aphidicolin in virus-infected cells. The extremities of the transcript have been mapped by primer extension and 3' RACE-PCR to positions -18 from the translational start codon and +15 downstream of the stop codon. The function of pnk/pnl was investigated by producing a recombinant virus (Acdel86lacZ) with the coding region replaced with that of lacZ. This virus replicated normally in Spodoptera frugiperda (Sf 21) cells, indicating that pnk/pnl is not essential for propagation in these cells. Virus protein production in Acdel86lacZ-infected Sf 21 cells also appeared to be unaffected, with normal synthesis of the IE-1, GP64, VP39 and polyhedrin proteins. Shut-down of host protein synthesis was not abolished in recombinant infection. When other baculovirus genomes were examined for the presence of pnk/pnl by restriction enzyme digestion and PCR, a deletion was found in AcMNPV 1.2, Galleria mellonella NPV (GmMNPV) and Bombyx mori NPV (BmNPV), suggesting that in many isolates this gene has either never been acquired or has been lost during genome evolution. This is one of the first baculovirus immediate early genes that appears to be nonessential for virus survival.

  18. Gene 1.7 of bacteriophage T7 confers sensitivity of phage growth to dideoxythymidine.

    PubMed

    Tran, Ngoc Q; Rezende, Lisa F; Qimron, Udi; Richardson, Charles C; Tabor, Stanley

    2008-07-08

    Bacteriophage T7 DNA polymerase efficiently incorporates dideoxynucleotides into DNA, resulting in chain termination. Dideoxythymidine (ddT) present in the medium at levels not toxic to Escherichia coli inhibits phage T7. We isolated 95 T7 phage mutants that were resistant to ddT. All contained a mutation in T7 gene 1.7, a nonessential gene of unknown function. When gene 1.7 was expressed from a plasmid, T7 phage resistant to ddT still arose; analysis of 36 of these mutants revealed that all had a single mutation in gene 5, which encodes T7 DNA polymerase. This mutation changes tyrosine-526 to phenylalanine, which is known to increase dramatically the ability of T7 DNA polymerase to discriminate against dideoxynucleotides. DNA synthesis in cells infected with wild-type T7 phage was inhibited by ddT, suggesting that it resulted in chain termination of DNA synthesis in the presence of gene 1.7 protein. Overexpression of gene 1.7 from a plasmid rendered E. coli cells sensitive to ddT, indicating that no other T7 proteins are required to confer sensitivity to ddT.

  19. Gene essentiality, conservation index and co-evolution of genes in cyanobacteria.

    PubMed

    Tiruveedula, Gopi Siva Sai; Wangikar, Pramod P

    2017-01-01

    Cyanobacteria, a group of photosynthetic prokaryotes, dominate the earth with ~ 1015 g wet biomass. Despite diversity in habitats and an ancient origin, cyanobacterial phylum has retained a significant core genome. Cyanobacteria are being explored for direct conversion of solar energy and carbon dioxide into biofuels. For this, efficient cyanobacterial strains will need to be designed via metabolic engineering. This will require identification of target knockouts to channelize the flow of carbon toward the product of interest while minimizing deletions of essential genes. We propose "Gene Conservation Index" (GCI) as a quick measure to predict gene essentiality in cyanobacteria. GCI is based on phylogenetic profile of a gene constructed with a reduced dataset of cyanobacterial genomes. GCI is the percentage of organism clusters in which the query gene is present in the reduced dataset. Of the 750 genes deemed to be essential in the experimental study on S. elongatus PCC 7942, we found 494 to be conserved across the phylum which largely comprise of the essential metabolic pathways. On the contrary, the conserved but non-essential genes broadly comprise of genes required under stress conditions. Exceptions to this rule include genes such as the glycogen synthesis and degradation enzymes, deoxyribose-phosphate aldolase (DERA), glucose-6-phosphate 1-dehydrogenase (zwf) and fructose-1,6-bisphosphatase class1, which are conserved but non-essential. While the essential genes are to be avoided during gene knockout studies as potentially lethal deletions, the non-essential but conserved set of genes could be interesting targets for metabolic engineering. Further, we identify clusters of co-evolving genes (CCG), which provide insights that may be useful in annotation. Principal component analysis (PCA) plots of the CCGs are demonstrated as data visualization tools that are complementary to the conventional heatmaps. Our dataset consists of phylogenetic profiles for 23

  20. Inhibitors of Fatty Acid Synthesis Induce PPAR α -Regulated Fatty Acid β -Oxidative Genes: Synergistic Roles of L-FABP and Glucose.

    PubMed

    Huang, Huan; McIntosh, Avery L; Martin, Gregory G; Petrescu, Anca D; Landrock, Kerstin K; Landrock, Danilo; Kier, Ann B; Schroeder, Friedhelm

    2013-01-01

    While TOFA (acetyl CoA carboxylase inhibitor) and C75 (fatty acid synthase inhibitor) prevent lipid accumulation by inhibiting fatty acid synthesis, the mechanism of action is not simply accounted for by inhibition of the enzymes alone. Liver fatty acid binding protein (L-FABP), a mediator of long chain fatty acid signaling to peroxisome proliferator-activated receptor- α (PPAR α ) in the nucleus, was found to bind TOFA and its activated CoA thioester, TOFyl-CoA, with high affinity while binding C75 and C75-CoA with lower affinity. Binding of TOFA and C75-CoA significantly altered L-FABP secondary structure. High (20 mM) but not physiological (6 mM) glucose conferred on both TOFA and C75 the ability to induce PPAR α transcription of the fatty acid β -oxidative enzymes CPT1A, CPT2, and ACOX1 in cultured primary hepatocytes from wild-type (WT) mice. However, L-FABP gene ablation abolished the effects of TOFA and C75 in the context of high glucose. These effects were not associated with an increased cellular level of unesterified fatty acids but rather by increased intracellular glucose. These findings suggested that L-FABP may function as an intracellular fatty acid synthesis inhibitor binding protein facilitating TOFA and C75-mediated induction of PPAR α in the context of high glucose at levels similar to those in uncontrolled diabetes.

  1. Novel functions for glycosyltransferases Jhp0562 and GalT in Lewis antigen synthesis and variation in Helicobacter pylori.

    PubMed

    Pohl, Mary Ann; Kienesberger, Sabine; Blaser, Martin J

    2012-04-01

    Lewis (Le) antigens are fucosylated oligosaccharides present in the Helicobacter pylori lipopolysaccharide. Expression of these antigens is believed to be important for H. pylori colonization, since Le antigens also are expressed on the gastric epithelia in humans. A galactosyltransferase encoded by β-(1,3)galT is essential for production of type 1 (Le(a) and Le(b)) antigens. The upstream gene jhp0562, which is present in many but not all H. pylori strains, is homologous to β-(1,3)galT but is of unknown function. Because H. pylori demonstrates extensive intragenomic recombination, we hypothesized that these two genes could undergo DNA rearrangement. A PCR screen and subsequent sequence analyses revealed that the two genes can recombine at both the 5' and 3' ends. Chimeric β-(1,3)galT-like alleles can restore function in a β-(1,3)galT null mutant, but neither native nor recombinant jhp0562 can. Mutagenesis of jhp0562 revealed that it is essential for synthesis of both type 1 and type 2 Le antigens. Transcriptional analyses of both loci showed β-(1,3)galT expression in all wild-type (WT) and mutant strains tested, whereas jhp0562 was not expressed in jhp0562 null mutants, as expected. Since jhp0562 unexpectedly displayed functions in both type 1 and type 2 Le synthesis, we asked whether galT, part of the type 2 synthesis pathway, had analogous functions in type 1 synthesis. Mutagenesis and complementation analysis confirmed that galT is essential for Le(b) production. In total, these results demonstrate that galT and jhp0562 have functions that cross the expected Le synthesis pathways and that jhp0562 provides a substrate for intragenomic recombination to generate diverse Le synthesis enzymes.

  2. Novel Functions for Glycosyltransferases Jhp0562 and GalT in Lewis Antigen Synthesis and Variation in Helicobacter pylori

    PubMed Central

    Kienesberger, Sabine; Blaser, Martin J.

    2012-01-01

    Lewis (Le) antigens are fucosylated oligosaccharides present in the Helicobacter pylori lipopolysaccharide. Expression of these antigens is believed to be important for H. pylori colonization, since Le antigens also are expressed on the gastric epithelia in humans. A galactosyltransferase encoded by β-(1,3)galT is essential for production of type 1 (Lea and Leb) antigens. The upstream gene jhp0562, which is present in many but not all H. pylori strains, is homologous to β-(1,3)galT but is of unknown function. Because H. pylori demonstrates extensive intragenomic recombination, we hypothesized that these two genes could undergo DNA rearrangement. A PCR screen and subsequent sequence analyses revealed that the two genes can recombine at both the 5′ and 3′ ends. Chimeric β-(1,3)galT-like alleles can restore function in a β-(1,3)galT null mutant, but neither native nor recombinant jhp0562 can. Mutagenesis of jhp0562 revealed that it is essential for synthesis of both type 1 and type 2 Le antigens. Transcriptional analyses of both loci showed β-(1,3)galT expression in all wild-type (WT) and mutant strains tested, whereas jhp0562 was not expressed in jhp0562 null mutants, as expected. Since jhp0562 unexpectedly displayed functions in both type 1 and type 2 Le synthesis, we asked whether galT, part of the type 2 synthesis pathway, had analogous functions in type 1 synthesis. Mutagenesis and complementation analysis confirmed that galT is essential for Leb production. In total, these results demonstrate that galT and jhp0562 have functions that cross the expected Le synthesis pathways and that jhp0562 provides a substrate for intragenomic recombination to generate diverse Le synthesis enzymes. PMID:22290141

  3. Expression of ABA synthesis and metabolism genes under different irrigation strategies and atmospheric VPDs is associated with stomatal conductance in grapevine (Vitis vinifera L. cv Cabernet Sauvignon).

    PubMed

    Speirs, Jim; Binney, Allan; Collins, Marisa; Edwards, Everard; Loveys, Brian

    2013-04-01

    The influence of different levels of irrigation and of variation in atmospheric vapour pressure deficit (VPD) on the synthesis, metabolism, and transport of abscisic acid (ABA) and the effects on stomatal conductance were examined in field-grown Cabernet Sauvignon grapevines. Xylem sap, leaf tissue, and root tissue were collected at regular intervals during two seasons in conjunction with measurements of leaf water potential (Ψleaf) and stomatal conductance (gs). The different irrigation levels significantly altered the Ψleaf and gs of the vines across both seasons. ABA abundance in the xylem sap was correlated with gs. The expression of genes associated with ABA synthesis, NCED1 and NCED2, was higher in the roots than in the leaves throughout and highest in the roots in mid January, a time when soil moisture declined and VPD was at its highest. Their expression in roots was also inversely related to the levels of irrigation and correlated with ABA abundance in the roots, xylem sap, and leaves. Three genes encoding ABA 8'-hydroxylases were isolated and their identities confirmed by expression in yeast cells. The expression of one of these, Hyd1, was elevated in leaves when VPD was below 2.0-2.5 kPa and minimal at higher VPD levels. The results provide evidence that ABA plays an important role in linking stomatal response to soil moisture status and that changes in ABA catabolism at or near its site of action allows optimization of gas exchange to current environmental conditions.

  4. Expression of ABA synthesis and metabolism genes under different irrigation strategies and atmospheric VPDs is associated with stomatal conductance in grapevine (Vitis vinifera L. cv Cabernet Sauvignon)

    PubMed Central

    Speirs, Jim; Binney, Allan; Collins, Marisa; Edwards, Everard; Loveys, Brian

    2013-01-01

    The influence of different levels of irrigation and of variation in atmospheric vapour pressure deficit (VPD) on the synthesis, metabolism, and transport of abscisic acid (ABA) and the effects on stomatal conductance were examined in field-grown Cabernet Sauvignon grapevines. Xylem sap, leaf tissue, and root tissue were collected at regular intervals during two seasons in conjunction with measurements of leaf water potential (Ψleaf) and stomatal conductance (gs). The different irrigation levels significantly altered the Ψleaf and gs of the vines across both seasons. ABA abundance in the xylem sap was correlated with gs. The expression of genes associated with ABA synthesis, NCED1 and NCED2, was higher in the roots than in the leaves throughout and highest in the roots in mid January, a time when soil moisture declined and VPD was at its highest. Their expression in roots was also inversely related to the levels of irrigation and correlated with ABA abundance in the roots, xylem sap, and leaves. Three genes encoding ABA 8’-hydroxylases were isolated and their identities confirmed by expression in yeast cells. The expression of one of these, Hyd1, was elevated in leaves when VPD was below 2.0–2.5 kPa and minimal at higher VPD levels. The results provide evidence that ABA plays an important role in linking stomatal response to soil moisture status and that changes in ABA catabolism at or near its site of action allows optimization of gas exchange to current environmental conditions. PMID:23630325

  5. [Selection of reference genes of Siraitia grosvenorii by real-time PCR].

    PubMed

    Tu, Dong-ping; Mo, Chang-ming; Ma, Xiao-jun; Zhao, Huan; Tang, Qi; Huang, Jie; Pan, Li-mei; Wei, Rong-chang

    2015-01-01

    Siraitia grosvenorii is a traditional Chinese medicine also as edible food. This study selected six candidate reference genes by real-time quantitative PCR, the expression stability of the candidate reference genes in the different samples was analyzed by using the software and methods of geNorm, NormFinder, BestKeeper, Delta CT method and RefFinder, reference genes for S. grosvenorii were selected for the first time. The results showed that 18SrRNA expressed most stable in all samples, was the best reference gene in the genetic analysis. The study has a guiding role for the analysis of gene expression using qRT-PCR methods, providing a suitable reference genes to ensure the results in the study on differential expressed gene in synthesis and biological pathways, also other genes of S. grosvenorii.

  6. Nontoxic strains of cyanobacteria are the result of major gene deletion events induced by a transposable element.

    PubMed

    Christiansen, Guntram; Molitor, Carole; Philmus, Benjamin; Kurmayer, Rainer

    2008-08-01

    Blooms that are formed by cyanobacteria consist of toxic and nontoxic strains. The mechanisms that result in the occurrence of nontoxic strains are enigmatic. All the nontoxic strains of the filamentous cyanobacterium Planktothrix that were isolated from 9 European countries were found to have lost 90% of a large microcystin synthetase (mcy) gene cluster that encoded the synthesis of the toxic peptide microcystin (MC). Those strains still contain the flanking regions of the mcy gene cluster along with remnants of the transposable elements that are found in between. The majority of the strains still contain a gene coding for a distinct thioesterase type II (mcyT), which is putatively involved in MC synthesis. The insertional inactivation of mcyT in an MC-producing strain resulted in the reduction of MC synthesis by 94 +/- 2% (1 standard deviation). Nontoxic strains that occur in shallow lakes throughout Europe form a monophyletic lineage. A second lineage consists of strains that contain the mcy gene cluster but differ in their photosynthetic pigment composition, which is due to the occurrence of strains that contain phycocyanin or large amounts of phycoerythrin in addition to phycocyanin. Strains containing phycoerythrin typically occur in deep-stratified lakes. The rare occurrence of gene cluster deletion, paired with the evolutionary diversification of the lineages of strains that lost or still contain the mcy gene cluster, needs to be invoked in order to explain the absence or dominance of toxic cyanobacteria in various habitats.

  7. Peripheral Injection of SB203580 Inhibits the Inflammatory-Dependent Synthesis of Proinflammatory Cytokines in the Hypothalamus

    PubMed Central

    Herman, Andrzej P.; Krawczyńska, Agata; Antushevich, Hanna

    2014-01-01

    The study was designed to determine the effects of peripheral injection of SB203580 on the synthesis of interleukin- (IL-) 1β, IL-6, and tumor necrosis factor (TNF) α in the hypothalamus of ewes during prolonged inflammation. Inflammation was induced by the administration of lipopolysaccharide (LPS) (400 ng/kg) over 7 days. SB203580 is a selective ATP-competitive inhibitor of the p38 mitogen-activated protein kinase (MAPK), which is involved in the regulation of proinflammatory cytokines IL-1β, IL-6 and TNFα synthesis. Intravenous injection of SB203580 successfully inhibited (P < 0.01) synthesis of IL-1β and reduced (P < 0.01) the production of IL-6 in the hypothalamus. The p38 MAPK inhibitor decreased (P < 0.01) gene expression of TNFα but its effect was not observed at the level of TNFα protein synthesis. SB203580 also reduced (P < 0.01) LPS-stimulated IL-1 receptor type 1 gene expression. The conclusion that inhibition of p38 MAPK blocks LPS-induced proinflammatory cytokine synthesis seems to initiate new perspectives in the treatment of chronic inflammatory diseases also within the central nervous system. However, potential proinflammatory effects of SB203580 treatment suggest that all therapies using p38 MAPK inhibitors should be introduced very carefully with analysis of all expected and unexpected consequences of treatment. PMID:24995301

  8. Structural genes for thiamine biosynthetic enzymes (thiCEFGH) in Escherichia coli K-12.

    PubMed Central

    Vander Horn, P B; Backstrom, A D; Stewart, V; Begley, T P

    1993-01-01

    Escherichia coli K-12 synthesizes thiamine pyrophosphate (vitamin B1) de novo. Two precursors [4-methyl-5-(beta-hydroxyethyl)thiazole monophosphate and 4-amino-5-hydroxymethyl-2-methylpyrimidine pyrophosphate] are coupled to form thiamine monophosphate, which is then phosphorylated to make thiamine pyrophosphate. Previous studies have identified two classes of thi mutations, clustered at 90 min on the genetic map, which result in requirements for the thiazole or the hydroxymethylpryimidine. We report here our initial molecular genetic analysis of the thi cluster. We cloned the thi cluster genes and examined their organization, structure, and function by a combination of phenotypic testing, complementation analysis, polypeptide expression, and DNA sequencing. We found five tightly linked genes, designated thiCEFGH. The thiC gene product is required for the synthesis of the hydroxymethylpyrimidine. The thiE, thiF, thiG, and thiH gene products are required for synthesis of the thiazole. These mutants did not respond to 1-deoxy-D-threo-2-pentulose, indicating that they are blocked in the conversion of this precursor compound to the thiazole itself. Images PMID:8432721

  9. Control of RNA synthesis in Escherichia coli after a shift to higher temperature.

    PubMed Central

    Ryals, J; Little, R; Bremer, H

    1982-01-01

    Parameters of RNA synthesis were measured after a temperature upshift in a pair of Escherichia coli B/r strains that are isogenic except for having relA and relA+ loci, to examine the cause for a reported anomaly in the correlation between guanosine tetraphosphate (ppGpp) and stable RNA (rRNA, tRNA) synthesis under such conditions. Two main results were: (i) the specific stable RNA gene activity (stable RNA per total RNA synthesis) correlated in the conventionally expected fashion with the level of ppGpp but was obscured by a nonspecific increase in the RNA chain elongation rate due to the higher temperature; (ii) the temperature upshift caused a transient reduction in the RNA polymerase activity (transcribing per total enzyme) that accounts for the previously observed oscillating RNA synthesis rate after a temperature shift. PMID:6179925

  10. Simple Monitoring of Gene Targeting Efficiency in Human Somatic Cell Lines Using the PIGA Gene

    PubMed Central

    Karnan, Sivasundaram; Konishi, Yuko; Ota, Akinobu; Takahashi, Miyuki; Damdindorj, Lkhagvasuren; Hosokawa, Yoshitaka; Konishi, Hiroyuki

    2012-01-01

    Gene targeting in most of human somatic cell lines has been labor-intensive because of low homologous recombination efficiency. The development of an experimental system that permits a facile evaluation of gene targeting efficiency in human somatic cell lines is the first step towards the improvement of this technology and its application to a broad range of cell lines. In this study, we utilized phosphatidylinositol glycan anchor biosynthesis class A (PIGA), a gene essential for the synthesis of glycosylphosphatidyl inositol (GPI) anchors, as a reporter of gene targeting events in human somatic cell lines. Targeted disruption of PIGA was quantitatively detected with FLAER, a reagent that specifically binds to GPI anchors. Using this PIGA-based reporter system, we successfully detected adeno-associated virus (AAV)-mediated gene targeting events both with and without promoter-trap enrichment of gene-targeted cell population. The PIGA-based reporter system was also capable of reproducing previous findings that an AAV-mediated gene targeting achieves a remarkably higher ratio of homologous versus random integration (H/R ratio) of targeting vectors than a plasmid-mediated gene targeting. The PIGA-based system also detected an approximately 2-fold increase in the H/R ratio achieved by a small negative selection cassette introduced at the end of the AAV-based targeting vector with a promoter-trap system. Thus, our PIGA-based system is useful for monitoring AAV-mediated gene targeting and will assist in improving gene targeting technology in human somatic cell lines. PMID:23056640

  11. Global Analysis of Gene Expression Profiles in Developing Physic Nut (Jatropha curcas L.) Seeds

    PubMed Central

    Jiang, Huawu; Wu, Pingzhi; Zhang, Sheng; Song, Chi; Chen, Yaping; Li, Meiru; Jia, Yongxia; Fang, Xiaohua; Chen, Fan; Wu, Guojiang

    2012-01-01

    Background Physic nut (Jatropha curcas L.) is an oilseed plant species with high potential utility as a biofuel. Furthermore, following recent sequencing of its genome and the availability of expressed sequence tag (EST) libraries, it is a valuable model plant for studying carbon assimilation in endosperms of oilseed plants. There have been several transcriptomic analyses of developing physic nut seeds using ESTs, but they have provided limited information on the accumulation of stored resources in the seeds. Methodology/Principal Findings We applied next-generation Illumina sequencing technology to analyze global gene expression profiles of developing physic nut seeds 14, 19, 25, 29, 35, 41, and 45 days after pollination (DAP). The acquired profiles reveal the key genes, and their expression timeframes, involved in major metabolic processes including: carbon flow, starch metabolism, and synthesis of storage lipids and proteins in the developing seeds. The main period of storage reserves synthesis in the seeds appears to be 29–41 DAP, and the fatty acid composition of the developing seeds is consistent with relative expression levels of different isoforms of acyl-ACP thioesterase and fatty acid desaturase genes. Several transcription factor genes whose expression coincides with storage reserve deposition correspond to those known to regulate the process in Arabidopsis. Conclusions/Significance The results will facilitate searches for genes that influence de novo lipid synthesis, accumulation and their regulatory networks in developing physic nut seeds, and other oil seeds. Thus, they will be helpful in attempts to modify these plants for efficient biofuel production. PMID:22574177

  12. Adenovirus-mediated suppression of HMGI(Y) protein synthesis as potential therapy of human malignant neoplasias

    PubMed Central

    Scala, Stefania; Portella, Giuseppe; Fedele, Monica; Chiappetta, Gennaro; Fusco, Alfredo

    2000-01-01

    High mobility group I (HMGI) proteins are overexpressed in several human malignant tumors. We previously demonstrated that inhibition of HMGI synthesis prevents thyroid cell transformation. Here, we report that an adenovirus carrying the HMGI(Y) gene in an antisense orientation (Ad-Yas) induced programmed cell death of two human thyroid anaplastic carcinoma cell lines (ARO and FB-1), but not normal thyroid cells. The Ad-Yas virus led to death of lung, colon, and breast carcinoma cells. A control adenovirus carrying the lacZ gene did not inhibit the growth of either normal or neoplastic cells. Ad-Yas treatment of tumors induced in athymic mice by ARO cells caused a drastic reduction in tumor size. Therefore, suppression of HMGI(Y) protein synthesis by an HMGI(Y) antisense adenoviral vector may be a useful treatment strategy in a variety of human malignant neoplasias, in which HMGI(Y) gene overexpression is a general event. PMID:10759549

  13. Development of studies of TPO gene and its application in nuclear medicine.

    PubMed

    Xing, Y; Kuang, A

    2003-08-01

    Thyroperoxidase (TPO) is a glycosylated protein bound to the apical plasma membrane of thyrocytes. It is the key enzyme in the synthesis of thyroid hormones. Its gene structure and transcriptional regulation have been studied in detail. This article reviews the structure, function and transcriptional regulation of the TPO gene, and the relationship between TPO, thyroid diseases and radioactive iodide therapy.

  14. Harvesting of novel polyhydroxyalkanaote (PHA) synthase encoding genes from a soil metagenome library using phenotypic screening.

    PubMed

    Schallmey, Marcus; Ly, Anh; Wang, Chunxia; Meglei, Gabriela; Voget, Sonja; Streit, Wolfgang R; Driscoll, Brian T; Charles, Trevor C

    2011-08-01

    We previously reported the construction of metagenomic libraries in the IncP cosmid vector pRK7813, enabling heterologous expression of these broad-host-range libraries in multiple bacterial hosts. Expressing these libraries in Sinorhizobium meliloti, we have successfully complemented associated phenotypes of polyhydroxyalkanoate synthesis mutants. DNA sequence analysis of three clones indicates that the complementing genes are homologous to, but substantially different from, known polyhydroxyalkanaote synthase-encoding genes. Thus we have demonstrated the ability to isolate diverse genes for polyhydroxyalkanaote synthesis by functional complementation of defined mutants. Such genes might be of use in the engineering of more efficient systems for the industrial production of bioplastics. The use of functional complementation will also provide a vehicle to probe the genetics of polyhydroxyalkanaote metabolism and its relation to carbon availability in complex microbial assemblages. 2011 Federation of European Microbiological Societies. Published by Blackwell Publishing Ltd. All rights reserved.

  15. Mitochondrial and cytoplasmic isoleucyl-, glutamyl- and arginyl-tRNA synthetases of yeast are encoded by separate genes.

    PubMed

    Tzagoloff, A; Shtanko, A

    1995-06-01

    Three complementation groups of a pet mutant collection have been found to be composed of respiratory-deficient deficient mutants with lesions in mitochondrial protein synthesis. Recombinant plasmids capable of restoring respiration were cloned by transformation of representatives of each complementation group with a yeast genomic library. The plasmids were used to characterize the complementing genes and to institute disruption of the chromosomal copies of each gene in respiratory-proficient yeast. The sequences of the cloned genes indicate that they code for isoleucyl-, arginyl- and glutamyl-tRNA synthetases. The properties of the mutants used to obtain the genes and of strains with the disrupted genes indicate that all three aminoacyl-tRNA synthetases function exclusively in mitochondrial proteins synthesis. The ISM1 gene for mitochondrial isoleucyl-tRNA synthetase has been localized to chromosome XVI next to UME5. The MSR1 gene for the arginyl-tRNA synthetase was previously located on yeast chromosome VIII. The third gene MSE1 for the mitochondrial glutamyl-tRNA synthetase has not been localized. The identification of three new genes coding for mitochondrial-specific aminoacyl-tRNA synthetases indicates that in Saccharomyces cerevisiae at least 11 members of this protein family are encoded by genes distinct from those coding for the homologous cytoplasmic enzymes.

  16. Homologs of the Xenopus developmental gene DG42 are present in zebrafish and mouse and are involved in the synthesis of Nod-like chitin oligosaccharides during early embryogenesis.

    PubMed

    Semino, C E; Specht, C A; Raimondi, A; Robbins, P W

    1996-05-14

    The Xenopus developmental gene DG42 is expressed during early embryonic development, between the midblastula and neurulation stages. The deduced protein sequence of Xenopus DG42 shows similarity to Rhizobium Nod C, Streptococcus Has A, and fungal chitin synthases. Previously, we found that the DG42 protein made in an in vitro transcription/translation system catalyzed synthesis of an array of chitin oligosaccharides. Here we show that cell extracts from early Xenopus and zebrafish embryos also synthesize chitooligosaccharides. cDNA fragments homologous to DG42 from zebrafish and mouse were also cloned and sequenced. Expression of these homologs was similar to that described for Xenopus based on Northern and Western blot analysis. The Xenopus anti-DG42 antibody recognized a 63-kDa protein in extracts from zebrafish embryos that followed a similar developmental expression pattern to that previously described for Xenopus. The chitin oligosaccharide synthase activity found in extracts was inactivated by a specific DG42 antibody; synthesis of hyaluronic acid (HA) was not affected under the conditions tested. Other experiments demonstrate that expression of DG42 under plasmid control in mouse 3T3 cells gives rise to chitooligosaccharide synthase activity without an increase in HA synthase level. A possible relationship between our results and those of other investigators, which show stimulation of HA synthesis by DG42 in mammalian cell culture systems, is provided by structural analyses to be published elsewhere that suggest that chitin oligosaccharides are present at the reducing ends of HA chains. Since in at least one vertebrate system hyaluronic acid formation can be inhibited by a pure chitinase, it seems possible that chitin oligosaccharides serve as primers for hyaluronic acid synthesis.

  17. Rice gene SDL/RNRS1, encoding the small subunit of ribonucleotide reductase, is required for chlorophyll synthesis and plant growth development.

    PubMed

    Qin, Ran; Zeng, Dongdong; Liang, Rong; Yang, Chengcong; Akhter, Delara; Alamin, Md; Jin, Xiaoli; Shi, Chunhai

    2017-09-05

    A new mutant named sdl (stripe and drooping leaf) was characterized from indica cultivar Zhenong 34 by ethylmethane sulfonate (EMS) mutagenesis. The mutant sdl exhibited development defects including stripe and drooping leaf, dwarfism and deformed floral organs. The gene SDL was found allelic to RNRS1 by map-based cloning, which was homologous to Arabidopsis TSO2 encoding the small subunit of ribonucleotide reductase. The gDNA sequencing results of sdl in mutant showed that there was a repetitive sequence insertion of 138-bp at the 475 th bp in the exon. The redundant sequence was conserved in SDL homologous proteins, which contained the active site (tyrosine), as well as two amino acids glutamate and histidine involved in the binding of iron. There were fewer chloroplasts and grana lamellas in sdl leaf compared with those of wild-type. Additionally, the stripe leaves of sdl seedlings were highly sensitive to temperature, since the chlorophyll content was increased with the temperature rising. The drooping leaf of sdl might be resulted from the disappearance of vascular bundles and mesophyll cells in both leaf midrib and lateral veins. Fittingly to the phenotypes of mutant sdl, the expression levels of genes associated with photosynthesis and chlorophyll synthesis were found to be down- or up-regulated at different temperatures in mutant sdl. Also, the transcriptional levels of genes related to plant height and floral organ formation showed obvious differences between wild-type and sdl. The "SDL/RNRS1" was, hence, required for the chlorophyll biosynthesis and also played pleiotropic roles in the regulation of plant development. Copyright © 2017. Published by Elsevier B.V.

  18. Bacteriagenic silver nanoparticles: synthesis, mechanism, and applications.

    PubMed

    Singh, Richa; Shedbalkar, Utkarsha U; Wadhwani, Sweety A; Chopade, Balu A

    2015-06-01

    Silver nanoparticles (AgNPs) have received tremendous attention due to their significant antimicrobial properties. Large numbers of reports are available on the physical, chemical, and biological syntheses of colloidal AgNPs. Since there is a great need to develop ecofriendly and sustainable methods, biological systems like bacteria, fungi, and plants are being employed to synthesize these nanoparticles. The present review focuses specifically on bacteria-mediated synthesis of AgNPs, its mechanism, and applications. Bacterial synthesis of extra- and intracellular AgNPs has been reported using biomass, supernatant, cell-free extract, and derived components. The extracellular mode of synthesis is preferred over the intracellular mode owing to easy recovery of nanoparticles. Silver-resistant genes, c-type cytochromes, peptides, cellular enzymes like nitrate reductase, and reducing cofactors play significant roles in AgNP synthesis in bacteria. Organic materials released by bacteria act as natural capping and stabilizing agents for AgNPs, thereby preventing their aggregation and providing stability for a longer time. Regulation over reaction conditions has been suggested to control the morphology, dispersion, and yield of nanoparticles. Bacterial AgNPs have anticancer and antioxidant properties. Moreover, the antimicrobial activity of AgNPs in combination with antibiotics signifies their importance in combating the multidrug-resistant pathogenic microorganisms. Multiple microbicidal mechanisms exhibited by AgNPs, depending upon their size and shape, make them very promising as novel nanoantibiotics.

  19. WRINKLED1 Rescues Feedback Inhibition of Fatty Acid Synthesis in Hydroxylase-Expressing Seeds1[OPEN

    PubMed Central

    Browse, John

    2016-01-01

    Previous attempts at engineering Arabidopsis (Arabidopsis thaliana) to produce seed oils containing hydroxy fatty acids (HFA) have resulted in low yields of HFA compared with the native castor (Ricinus communis) plant and caused undesirable effects, including reduced total oil content. Recent studies have led to an understanding of problems involved in the accumulation of HFA in oils of transgenic plants, which include metabolic bottlenecks and a decrease in the rate of fatty acid synthesis. Focusing on engineering the triacylglycerol assembly mechanisms led to modest increases in the HFA content of seed oil, but much room for improvement still remains. We hypothesized that engineering fatty acid synthesis in the plastids to increase flux would facilitate enhanced total incorporation of fatty acids, including HFA, into seed oil. The transcription factor WRINKLED1 (WRI1) positively regulates the expression of genes involved in fatty acid synthesis and controls seed oil levels. We overexpressed Arabidopsis WRI1 in seeds of a transgenic line expressing the castor fatty acid hydroxylase. The proportion of HFA in the oil, the total HFA per seed, and the total oil content of seeds increased to an average of 20.9%, 1.26 µg, and 32.2%, respectively, across five independent lines, compared with 17.6%, 0.83 µg, and 27.9%, respectively, for isogenic segregants. WRI1 and WRI1-regulated genes involved in fatty acid synthesis were up-regulated, providing for a corresponding increase in the rate of fatty acid synthesis. PMID:27208047

  20. Identification and Expression Profiles of Sex Pheromone Biosynthesis and Transport Related Genes in Spodoptera litura

    PubMed Central

    Zhang, Ya-Nan; Zhu, Xiu-Yun; Fang, Li-Ping; He, Peng; Wang, Zhi-Qiang; Chen, Geng; Sun, Liang; Ye, Zhan-Feng; Deng, Dao-Gui; Li, Jin-Bu

    2015-01-01

    Although the general pathway of sex pheromone synthesis in moth species has been established, the molecular mechanisms remain poorly understood. The common cutworm Spodoptera litura is an important agricultural pest worldwide and causes huge economic losses annually. The female sex pheromone of S. litura comprises Z9,E11-14:OAc, Z9,E12-14:OAc, Z9-14:OAc, and E11-14:OAc. By sequencing and analyzing the transcriptomic data of the sex pheromone glands, we identified 94 candidate genes related to pheromone biosynthesis (55 genes) or chemoreception (39 genes). Gene expression patterns and phylogenetic analysis revealed that two desaturase genes (SlitDes5 and SlitDes11) and one fatty acyl reductase gene (SlitFAR3) showed pheromone gland (PG) biased or specific expression, and clustered with genes known to be involved in pheromone synthesis in other moth species. Furthermore, 4 chemoreception related genes (SlitOBP6, SlitOBP11, SlitCSP3, and SlitCSP14) also showed higher expression in the PG, and could be additional candidate genes involved in sex pheromone transport. This study provides the first solid background information that should facilitate further elucidation of sex pheromone biosynthesis and transport, and indicates potential targets to disrupt sexual communication in S. litura for a novel pest management strategy. PMID:26445454

  1. Identification of differentially expressed genes in cucumber (Cucumis sativus L.) root under waterlogging stress by digital gene expression profile.

    PubMed

    Qi, Xiao-Hua; Xu, Xue-Wen; Lin, Xiao-Jian; Zhang, Wen-Jie; Chen, Xue-Hao

    2012-03-01

    High-throughput tag-sequencing (Tag-seq) analysis based on the Solexa Genome Analyzer platform was applied to analyze the gene expression profiling of cucumber plant at 5 time points over a 24h period of waterlogging treatment. Approximately 5.8 million total clean sequence tags per library were obtained with 143013 distinct clean tag sequences. Approximately 23.69%-29.61% of the distinct clean tags were mapped unambiguously to the unigene database, and 53.78%-60.66% of the distinct clean tags were mapped to the cucumber genome database. Analysis of the differentially expressed genes revealed that most of the genes were down-regulated in the waterlogging stages, and the differentially expressed genes mainly linked to carbon metabolism, photosynthesis, reactive oxygen species generation/scavenging, and hormone synthesis/signaling. Finally, quantitative real-time polymerase chain reaction using nine genes independently verified the tag-mapped results. This present study reveals the comprehensive mechanisms of waterlogging-responsive transcription in cucumber. Copyright © 2011 Elsevier Inc. All rights reserved.

  2. Promoter-Terminator Gene Loops Affect Alternative 3'-End Processing in Yeast.

    PubMed

    Lamas-Maceiras, Mónica; Singh, Badri Nath; Hampsey, Michael; Freire-Picos, María A

    2016-04-22

    Many eukaryotic genes undergo alternative 3'-end poly(A)-site selection producing transcript isoforms with 3'-UTRs of different lengths and post-transcriptional fates. Gene loops are dynamic structures that juxtapose the 3'-ends of genes with their promoters. Several functions have been attributed to looping, including memory of recent transcriptional activity and polarity of transcription initiation. In this study, we investigated the relationship between gene loops and alternative poly(A)-site. Using the KlCYC1 gene of the yeast Kluyveromyces lactis, which includes a single promoter and two poly(A) sites separated by 394 nucleotides, we demonstrate in two yeast species the formation of alternative gene loops (L1 and L2) that juxtapose the KlCYC1 promoter with either proximal or distal 3'-end processing sites, resulting in the synthesis of short and long forms of KlCYC1 mRNA. Furthermore, synthesis of short and long mRNAs and formation of the L1 and L2 loops are growth phase-dependent. Chromatin immunoprecipitation experiments revealed that the Ssu72 RNA polymerase II carboxyl-terminal domain phosphatase, a critical determinant of looping, peaks in early log phase at the proximal poly(A) site, but as growth phase advances, it extends to the distal site. These results define a cause-and-effect relationship between gene loops and alternative poly(A) site selection that responds to different physiological signals manifested by RNA polymerase II carboxyl-terminal domain phosphorylation status. © 2016 by The American Society for Biochemistry and Molecular Biology, Inc.

  3. The QQS orphan gene regulates carbon and nitrogen partitioning across species via NF-YC interactions

    USDA-ARS?s Scientific Manuscript database

    The allocation of carbon and nitrogen resources to the synthesis of plant proteins, carbohydrates, and lipids is complex and under the control of many genes; much remains to be understood about this process. QQS (Qua Quine Starch, At3g30720), an orphan gene unique to Arabidopsis thaliana, regulates...

  4. Peach MYB7 activates transcription of the proanthocyanidin pathway gene encoding leucoanthocyanidin reductase, but not anthocyanidin reductase

    PubMed Central

    Zhou, Hui; Lin-Wang, Kui; Liao, Liao; Gu, Chao; Lu, Ziqi; Allan, Andrew C.; Han, Yuepeng

    2015-01-01

    Proanthocyanidins (PAs) are a group of natural phenolic compounds that have a great effect on both flavor and nutritious value of fruit. It has been shown that PA synthesis is regulated by R2R3-MYB transcription factors (TFs) via activation of PA-specific pathway genes encoding leucoanthocyanidin reductase and anthocyanidin reductase. Here, we report the isolation and characterization of a MYB gene designated PpMYB7 in peach. The peach PpMYB7 represents a new group of R2R3-MYB genes regulating PA synthesis in plants. It is able to activate transcription of PpLAR1 but not PpANR, and has a broader selection of potential bHLH partners compared with PpMYBPA1. Transcription of PpMYB7 can be activated by the peach basic leucine-zipper 5 TF (PpbZIP5) via response to ABA. Our study suggests a transcriptional network regulating PA synthesis in peach, with the results aiding the understanding of the functional divergence between R2R3-MYB TFs in plants. PMID:26579158

  5. The 9-1-1 DNA Clamp Is Required for Immunoglobulin Gene Conversion▿

    PubMed Central

    Saberi, Alihossein; Nakahara, Makoto; Sale, Julian E.; Kikuchi, Koji; Arakawa, Hiroshi; Buerstedde, Jean-Marie; Yamamoto, Kenichi; Takeda, Shunichi; Sonoda, Eiichiro

    2008-01-01

    Chicken DT40 cells deficient in the 9-1-1 checkpoint clamp exhibit hypersensitivity to a variety of DNA-damaging agents. Although recent work suggests that, in addition to its role in checkpoint activation, this complex may play a role in homologous recombination and translesion synthesis, the cause of this hypersensitivity has not been studied thoroughly. The immunoglobulin locus of DT40 cells allows monitoring of homologous recombination and translesion synthesis initiated by activation-induced deaminase (AID)-dependent abasic sites. We show that both the RAD9−/− and RAD17−/− mutants exhibit substantially reduced immunoglobulin gene conversion. However, the level of nontemplated immunoglobulin point mutation increased in these mutants, a finding that is reminiscent of the phenotype resulting from the loss of RAD51 paralogs or Brca2. This suggests that the 9-1-1 complex does not play a central role in translesion synthesis in this context. Despite reduced immunoglobulin gene conversion, the RAD9−/− and RAD17−/− cells do not exhibit a prominent defect in double-strand break-induced gene conversion or a sensitivity to camptothecin. This suggests that the roles of Rad9 and Rad17 may be confined to a subset of homologous recombination reactions initiated by replication-stalling lesions rather than those associated with double-strand break repair. PMID:18662998

  6. Hydrocortisone and triiodothyronine regulate hyaluronate synthesis in a tissue-engineered human dermal equivalent through independent pathways.

    PubMed

    Deshpande, Madhura; Papp, Suzanne; Schaffer, Lana; Pouyani, Tara

    2015-02-01

    Hydrocortisone (HC) and triiodothyronine (T3) have both been shown to be capable of independently inhibiting hyaluronate (HA, hyaluronic acid) synthesis in a self-assembled human dermal equivalent (human dermal matrix). We sought to investigate the action of these two hormones in concert on extracellular matrix formation and HA inhibition in the tissue engineered human dermal matrix. To this end, neonatal human dermal fibroblasts were cultured in defined serum-free medium for 21 days in the presence of each hormone alone, or in combination, in varying concentrations. Through a process of self-assembly, a substantial dermal extracellular matrix formed that was characterized. The results of these studies demonstrate that combinations of the hormones T3 and hydrocortisone showed significantly higher levels of hyaluronate inhibition as compared to each hormone alone in the human dermal matrix. In order to gain preliminary insight into the genes regulating HA synthesis in this system, a differential gene array analysis was conducted in which the construct prepared in the presence of 200 μg/mL HC and 0.2 nM T3 was compared to the normal construct (0.4 μg/mL HC and 20 pM T3). Using a GLYCOv4 gene chip containing approximately 1260 human genes, we observed differential expression of 131 genes. These data suggest that when these two hormones are used in concert a different mechanism of inhibition prevails and a combination of degradation and inhibition of HA synthesis may be responsible for HA regulation in the human dermal matrix. Copyright © 2014 The Society for Biotechnology, Japan. Published by Elsevier B.V. All rights reserved.

  7. Intestinal triacylglycerol synthesis in fat absorption and systemic energy metabolism

    PubMed Central

    Yen, Chi-Liang Eric; Nelson, David W.; Yen, Mei-I

    2015-01-01

    The intestine plays a prominent role in the biosynthesis of triacylglycerol (triglyceride; TAG). Digested dietary TAG is repackaged in the intestine to form the hydrophobic core of chylomicrons, which deliver metabolic fuels, essential fatty acids, and other lipid-soluble nutrients to the peripheral tissues. By controlling the flux of dietary fat into the circulation, intestinal TAG synthesis can greatly impact systemic metabolism. Genes encoding many of the enzymes involved in TAG synthesis have been identified. Among TAG synthesis enzymes, acyl-CoA:monoacylglycerol acyltransferase 2 and acyl-CoA:diacylglycerol acyltransferase (DGAT)1 are highly expressed in the intestine. Their physiological functions have been examined in the context of whole organisms using genetically engineered mice and, in the case of DGAT1, specific inhibitors. An emerging theme from recent findings is that limiting the rate of TAG synthesis in the intestine can modulate gut hormone secretion, lipid metabolism, and systemic energy balance. The underlying mechanisms and their implications for humans are yet to be explored. Pharmacological inhibition of TAG hydrolysis in the intestinal lumen has been employed to combat obesity and associated disorders with modest efficacy and unwanted side effects. The therapeutic potential of inhibiting specific enzymes involved in intestinal TAG synthesis warrants further investigation. PMID:25231105

  8. Erythrophagocytosis induces heat shock protein synthesis by human monocytes-macrophages.

    PubMed

    Clerget, M; Polla, B S

    1990-02-01

    Exposure of cells to elevated temperatures and other environmental stresses results in the expression of specific genes encoding the so-called heat shock proteins (HSPs). Since exogenous H2O2 induces in human monocytes the synthesis of HSPs, and previous induction of HSPs protects these cells from oxidative injury, we investigated whether HSP synthesis was also induced during generation of reactive oxygen species by the phagocyte itself during phagocytosis. As a model system, we analyzed the effects of erythrophagocytosis on protein synthesis by the human premonocytic line U937, in which phagocytosis is induced during differentiation with 1,25-dihydroxyvitamin D3. Exposure to whole erythrocytes, but not to erythrocyte ghosts, induced in the phagocytic cells only the synthesis of the 70- and 83- to 90-kDa HSPs and a 32-kDa oxidation-related stress protein identical by partial peptide mapping to heme oxygenase. The radioprotective aminothiol N-(2'-mercaptoethyl)-1,3-propanediamine (WR-1065), which can substitute for glutathione as hydrogen donor, prevented this induction. These results suggest that oxygen free radicals generated in the presence of hemoglobin-derived iron and consecutive glutathione depletion are involved in induction of stress protein synthesis during erythrophagocytosis. HSPs synthesized during phagocytosis may play a role in the phagocyte's defense mechanisms and in protective immunity.

  9. VCP and ATL1 regulate endoplasmic reticulum and protein synthesis for dendritic spine formation

    PubMed Central

    Shih, Yu-Tzu; Hsueh, Yi-Ping

    2016-01-01

    Imbalanced protein homeostasis, such as excessive protein synthesis and protein aggregation, is a pathogenic hallmark of a range of neurological disorders. Here, using expression of mutant proteins, a knockdown approach and disease mutation knockin mice, we show that VCP (valosin-containing protein), together with its cofactor P47 and the endoplasmic reticulum (ER) morphology regulator ATL1 (Atlastin-1), regulates tubular ER formation and influences the efficiency of protein synthesis to control dendritic spine formation in neurons. Strengthening the significance of protein synthesis in dendritic spinogenesis, the translation blocker cyclohexamide and the mTOR inhibitor rapamycin reduce dendritic spine density, while a leucine supplement that increases protein synthesis ameliorates the dendritic spine defects caused by Vcp and Atl1 deficiencies. Because VCP and ATL1 are the causative genes of several neurodegenerative and neurodevelopmental disorders, we suggest that impaired ER formation and inefficient protein synthesis are significant in the pathogenesis of multiple neurological disorders. PMID:26984393

  10. VCP and ATL1 regulate endoplasmic reticulum and protein synthesis for dendritic spine formation.

    PubMed

    Shih, Yu-Tzu; Hsueh, Yi-Ping

    2016-03-17

    Imbalanced protein homeostasis, such as excessive protein synthesis and protein aggregation, is a pathogenic hallmark of a range of neurological disorders. Here, using expression of mutant proteins, a knockdown approach and disease mutation knockin mice, we show that VCP (valosin-containing protein), together with its cofactor P47 and the endoplasmic reticulum (ER) morphology regulator ATL1 (Atlastin-1), regulates tubular ER formation and influences the efficiency of protein synthesis to control dendritic spine formation in neurons. Strengthening the significance of protein synthesis in dendritic spinogenesis, the translation blocker cyclohexamide and the mTOR inhibitor rapamycin reduce dendritic spine density, while a leucine supplement that increases protein synthesis ameliorates the dendritic spine defects caused by Vcp and Atl1 deficiencies. Because VCP and ATL1 are the causative genes of several neurodegenerative and neurodevelopmental disorders, we suggest that impaired ER formation and inefficient protein synthesis are significant in the pathogenesis of multiple neurological disorders.

  11. Minigene-like inhibition of protein synthesis mediated by hungry codons near the start codon

    PubMed Central

    Jacinto-Loeza, Eva; Vivanco-Domínguez, Serafín; Guarneros, Gabriel; Hernández-Sánchez, Javier

    2008-01-01

    Rare AGA or AGG codons close to the initiation codon inhibit protein synthesis by a tRNA-sequestering mechanism as toxic minigenes do. To further understand this mechanism, a parallel analysis of protein synthesis and peptidyl-tRNA accumulation was performed using both a set of lacZ constructs where AGAAGA codons were moved codon by codon from +2, +3 up to +7, +8 positions and a series of 3–8 codon minigenes containing AGAAGA codons before the stop codon. β-Galactosidase synthesis from the AGAAGA lacZ constructs (in a Pth defective in vitro system without exogenous tRNA) diminished as the AGAAGA codons were closer to AUG codon. Likewise, β-galactosidase expression from the reporter +7 AGA lacZ gene (plus tRNA, 0.25 μg/μl) waned as the AGAAGAUAA minigene shortened. Pth counteracted both the length-dependent minigene effect on the expression of β-galactosidase from the +7 AGA lacZ reporter gene and the positional effect from the AGAAGA lacZ constructs. The +2, +3 AGAAGA lacZ construct and the shortest +2, +3 AGAAGAUAA minigene accumulated the highest percentage of peptidyl-tRNAArg4. These observations lead us to propose that hungry codons at early positions, albeit with less strength, inhibit protein synthesis by a minigene-like mechanism involving accumulation of peptidyl-tRNA. PMID:18583364

  12. Synthesis Gas (Syngas)-Derived Medium-Chain-Length Polyhydroxyalkanoate Synthesis in Engineered Rhodospirillum rubrum.

    PubMed

    Heinrich, Daniel; Raberg, Matthias; Fricke, Philipp; Kenny, Shane T; Morales-Gamez, Laura; Babu, Ramesh P; O'Connor, Kevin E; Steinbüchel, Alexander

    2016-10-15

    The purple nonsulfur alphaproteobacterium Rhodospirillum rubrum S1 was genetically engineered to synthesize a heteropolymer of mainly 3-hydroxydecanoic acid and 3-hydroxyoctanoic acid [P(3HD-co-3HO)] from CO- and CO 2 -containing artificial synthesis gas (syngas). For this, genes from Pseudomonas putida KT2440 coding for a 3-hydroxyacyl acyl carrier protein (ACP) thioesterase (phaG), a medium-chain-length (MCL) fatty acid coenzyme A (CoA) ligase (PP_0763), and an MCL polyhydroxyalkanoate (PHA) synthase (phaC1) were cloned and expressed under the control of the CO-inducible promoter P cooF from R. rubrum S1 in a PHA-negative mutant of R. rubrum P(3HD-co-3HO) was accumulated to up to 7.1% (wt/wt) of the cell dry weight by a recombinant mutant strain utilizing exclusively the provided gaseous feedstock syngas. In addition to an increased synthesis of these medium-chain-length PHAs (PHA MCL ), enhanced gene expression through the P cooF promoter also led to an increased molar fraction of 3HO in the synthesized copolymer compared with the P lac promoter, which regulated expression on the original vector. The recombinant strains were able to partially degrade the polymer, and the deletion of phaZ2, which codes for a PHA depolymerase most likely involved in intracellular PHA degradation, did not reduce mobilization of the accumulated polymer significantly. However, an amino acid exchange in the active site of PhaZ2 led to a slight increase in PHA MCL accumulation. The accumulated polymer was isolated; it exhibited a molecular mass of 124.3 kDa and a melting point of 49.6°C. With the metabolically engineered strains presented in this proof-of-principle study, we demonstrated the synthesis of elastomeric second-generation biopolymers from renewable feedstocks not competing with human nutrition. Polyhydroxyalkanoates (PHAs) are natural biodegradable polymers (biopolymers) showing properties similar to those of commonly produced petroleum-based nondegradable polymers. The

  13. Distribution and expression characteristics of triterpenoids and OSC genes in white birch (Betula platyphylla suk.).

    PubMed

    Yin, Jing; Ren, Chun-Lin; Zhan, Ya-Guang; Li, Chun-Xiao; Xiao, Jia-Lei; Qiu, Wei; Li, Xin-Yu; Peng, Hong-Mei

    2012-03-01

    Betulin and oleanolic acids (pentacyclic triterpenoid secondary metabolites) have broad pharmacological activities and can be potentially used for the development of anti-cancer and anti-AIDS drugs. In this study, we detected the accumulation and the distribution characteristics of betulin and oleanolic acid in various organs of white birch at different ages. We also determined the expression of 4 OSC genes (LUS, β-AS, CAS1 and CAS2) involved in the triterpenoid synthesis pathways by real time RT-PCR. The result showed that the 1-year old birch can synthesize betulin and oleanolic acid. In addition, betulin and oleanolic acids were mainly distributed in the bark, while the content in the root skin and leaf was very low. The content of betulin and oleanolic acid in birch varied in different seasons. The content of betulin and oleanolic acid and their corresponding LUS and β-AS gene expression were very low in 1-year old birch. With increasing age of birch, betulin content was increased, while oleanolic acid was decreased. Similar changes were also observed for their corresponding synthesis genes LUS and β-AS. In the leaf of 1-year old plant, the highest expression of CAS1 and CAS2 occurred at end of September, while expression of LUS and the β-AS was low from June to October. In the stem skin,high expression of β-AS and the LUS genes occurred from the end of July to September. In the root, high expression of the β-AS gene was observed at the end of October. These results indicated that triterpenoid gene expression was similar to the triterpene accumulation. Expression of LUS gene and β-AS gene in birch with different ages were corresponding to the betulinic and oleanolic acid accumulation. Expression of CAS1 and CAS2 genes were elevated with increasing age of birch. This study provides molecular mechanisms of triterpenes synthesis in birch plants.

  14. The Dickeya dadantii biofilm matrix consists of cellulose nanofibres, and is an emergent property dependent upon the type III secretion system and the cellulose synthesis operon.

    PubMed

    Jahn, Courtney E; Selimi, Dija A; Barak, Jeri D; Charkowski, Amy O

    2011-10-01

    Dickeya dadantii is a plant-pathogenic bacterium that produces cellulose-containing biofilms, called pellicles, at the air-liquid interface of liquid cultures. D. dadantii pellicle formation appears to be an emergent property dependent upon at least three gene clusters, including cellulose synthesis, type III secretion system (T3SS) and flagellar genes. The D. dadantii cellulose synthesis operon is homologous to that of Gluconacetobacter xylinus, which is used for industrial cellulose production, and the cellulose nanofibres produced by D. dadantii were similar in diameter and branching pattern to those produced by G. xylinus. Salmonella enterica, an enterobacterium closely related to D. dadantii, encodes a second type of cellulose synthesis operon, and it produced biofilm strands that differed in width and branching pattern from those of D. dadantii and G. xylinus. Unlike any previously described cellulose fibre, the D. dadantii cellulose nanofibres were decorated with bead-like structures. Mutation of the cellulose synthesis operon genes resulted in loss of cellulose synthesis and production of a cellulase-resistant biofilm. Mutation of other genes required for pellicle formation, including those encoding FliA (a sigma factor that regulates flagella production), HrpL (a sigma factor that regulates the T3SS), and AdrA, a GGDEF protein, affected both biofilm and cell morphology. Mutation of the cellulose synthase bcsA or of bcsC resulted in decreased accumulation of the T3SS-secreted protein HrpN.

  15. No association between polymorphisms in the DDC gene and paranoid schizophrenia in a northern Chinese population.

    PubMed

    Zhang, Boyu; Jia, Yanbin; Yuan, Yanbo; Yu, Xin; Xu, Qi; Shen, Yucun; Shen, Yan

    2004-09-01

    Several lines of evidence suggest that dysfunctions of neurotransmitters are associated with schizophrenia. DOPA decarboxylase (DDC) is an enzyme involved directly in the synthesis of dopamine and serotonin, and indirectly in the synthesis of noradrenaline. Therefore, the DDC gene can be considered a candidate gene for schizophrenia. We performed an association study between three single nucleotide polymorphisms in the DDC gene and paranoid schizophrenia. However, in our study no significant differences were found in the genotype distributions and allele frequencies between 80 paranoid schizophrenics and 108 controls for any of the polymorphisms. Neither did the haplotypes of the single nucleotide polymorphisms show any association with paranoid schizophrenia. Therefore, we conclude that the polymorphisms studied do not play a major role in paranoid schizophrenia pathogenesis in the population investigated.

  16. Two Alternative Pathways for the Synthesis of the Rare Compatible Solute Mannosylglucosylglycerate in Petrotoga mobilis▿

    PubMed Central

    Fernandes, Chantal; Mendes, Vitor; Costa, Joana; Empadinhas, Nuno; Jorge, Carla; Lamosa, Pedro; Santos, Helena; da Costa, Milton S.

    2010-01-01

    The compatible solute mannosylglucosylglycerate (MGG), recently identified in Petrotoga miotherma, also accumulates in Petrotoga mobilis in response to hyperosmotic conditions and supraoptimal growth temperatures. Two functionally connected genes encoding a glucosyl-3-phosphoglycerate synthase (GpgS) and an unknown glycosyltransferase (gene Pmob_1143), which we functionally characterized as a mannosylglucosyl-3-phosphoglycerate synthase and designated MggA, were identified in the genome of Ptg. mobilis. This enzyme used the product of GpgS, glucosyl-3-phosphoglycerate (GPG), as well as GDP-mannose to produce mannosylglucosyl-3-phosphoglycerate (MGPG), the phosphorylated precursor of MGG. The MGPG dephosphorylation was determined in cell extracts, and the native enzyme was partially purified and characterized. Surprisingly, a gene encoding a putative glucosylglycerate synthase (Ggs) was also identified in the genome of Ptg. mobilis, and an active Ggs capable of producing glucosylglycerate (GG) from ADP-glucose and d-glycerate was detected in cell extracts and the recombinant enzyme was characterized, as well. Since GG has never been identified in this organism nor was it a substrate for the MggA, we anticipated the existence of a nonphosphorylating pathway for MGG synthesis. We putatively identified the corresponding gene, whose product had some sequence homology with MggA, but it was not possible to recombinantly express a functional enzyme from Ptg. mobilis, which we named mannosylglucosylglycerate synthase (MggS). In turn, a homologous gene from Thermotoga maritima was successfully expressed, and the synthesis of MGG was confirmed from GDP-mannose and GG. Based on the measurements of the relevant enzyme activities in cell extracts and on the functional characterization of the key enzymes, we propose two alternative pathways for the synthesis of the rare compatible solute MGG in Ptg. mobilis. PMID:20061481

  17. Enhanced rhamnolipid production in Burkholderia thailandensis transposon knockout strains deficient in polyhydroxyalkanoate (PHA) synthesis.

    PubMed

    Funston, Scott J; Tsaousi, Konstantina; Smyth, Thomas J; Twigg, Matthew S; Marchant, Roger; Banat, Ibrahim M

    2017-12-01

    Microbially produced rhamnolipids have significant commercial potential; however, the main bacterial producer, Pseudomonas aeruginosa, is an opportunistic human pathogen, which limits biotechnological exploitation. The non-pathogenic species Burkholderia thailandensis produces rhamnolipids; however, yield is relatively low. The aim of this study was to determine whether rhamnolipid production could be increased in Burkholderia thailandensis through mutation of genes responsible for the synthesis of the storage material polyhydroxyalkanoate (PHA), thereby increasing cellular resources for the production of rhamnolipids. Potential PHA target genes were identified in B. thailandensis through comparison with known function genes in Pseudomonas aeruginosa. Multiple knockout strains for the phbA, phbB and phbC genes were obtained and their growth characteristics and rhamnolipid and PHA production determined. The wild-type strain and an rhamnolipid (RL)-deficient strain were used as controls. Three knockout strains (ΔphbA1, ΔphbB1 and ΔphbC1) with the best enhancement of rhamnolipid production were selected for detailed study. ΔphbB1 produced the highest level of purified RL (3.78 g l -1 ) compared to the wild-type strain (1.28 g l -1 ). In ΔphbB1, the proportion of mono-rhamnolipid was also increased compared to the wild-type strain. The production of PHA was reduced by at least 80% in all three phb mutant strains, although never completely eliminated. These results suggest that, in contrast to Pseudomonas aeruginosa, knockout of the PHA synthesis pathway in Burkholderia thailandensis could be used to increase rhamnolipid production. The evidence of residual PHA production in the phb mutant strains suggests B. thailandensis possesses a secondary unelucidated PHA synthesis pathway.

  18. Defects of Lipid Synthesis Are Linked to the Age-Dependent Demyelination Caused by Lamin B1 Overexpression

    PubMed Central

    Rolyan, Harshvardhan; Tyurina, Yulia Y.; Hernandez, Marylens; Amoscato, Andrew A.; Sparvero, Louis J.; Nmezi, Bruce C.; Lu, Yue; Estécio, Marcos R. H.; Lin, Kevin; Chen, Junda; He, Rong-Rong; Gong, Pin; Rigatti, Lora H.; Dupree, Jeffrey; Bayır, Hülya; Kagan, Valerian E.; Casaccia, Patrizia

    2015-01-01

    Lamin B1 is a component of the nuclear lamina and plays a critical role in maintaining nuclear architecture, regulating gene expression and modulating chromatin positioning. We have previously shown that LMNB1 gene duplications cause autosomal dominant leukodystrophy (ADLD), a fatal adult onset demyelinating disease. The mechanisms by which increased LMNB1 levels cause ADLD are unclear. To address this, we used a transgenic mouse model where Lamin B1 overexpression is targeted to oligodendrocytes. These mice showed severe vacuolar degeneration of the spinal cord white matter together with marked astrogliosis, microglial infiltration, and secondary axonal damage. Oligodendrocytes in the transgenic mice revealed alterations in histone modifications favoring a transcriptionally repressed state. Chromatin changes were accompanied by reduced expression of genes involved in lipid synthesis pathways, many of which are known to play important roles in myelin regulation and are preferentially expressed in oligodendrocytes. Decreased lipogenic gene expression resulted in a significant reduction in multiple classes of lipids involved in myelin formation. Many of these gene expression changes and lipid alterations were observed even before the onset of the phenotype, suggesting a causal role. Our findings establish, for the first time, a link between LMNB1 and lipid synthesis in oligodendrocytes, and provide a mechanistic framework to explain the age dependence and white matter involvement of the disease phenotype. These results have implications for disease pathogenesis and may also shed light on the regulation of lipid synthesis pathways in myelin maintenance and turnover. SIGNIFICANCE STATEMENT Autosomal dominant leukodystrophy (ADLD) is fatal neurological disorder caused by increased levels of the nuclear protein, Lamin B1. The disease is characterized by an age-dependent loss of myelin, the fatty sheath that covers nerve fibers. We have studied a mouse model where Lamin B

  19. RNA-primed complementary-sense DNA synthesis of the geminivirus African cassava mosaic virus.

    PubMed Central

    Saunders, K; Lucy, A; Stanley, J

    1992-01-01

    The plant DNA virus African cassava mosaic virus (ACMV) is believed to replicate by a rolling circle mechanism. To investigate complementary-sense DNA (lagging strand) synthesis, we have analysed the heterogenous form of complementary-sense DNA (H3 DNA) from infected Nicotiana benthamiana by two-dimensional agarose gel electrophoresis and blot hybridisation. The presence of an RNA moeity is demonstrated by comparison of results for nucleic acids resolved on neutral/alkaline and neutral/formamide gels, suggesting that complementary-sense DNA synthesis on the virus-sense single-stranded DNA template is preceded by the synthesis of an RNA primer. Hybridisation with probes to specific parts of ACMV DNA A genome indicates that synthesis of the putative RNA primer initiates between nucleotides 2581-221, a region that includes intergenic sequences that have been implicated in geminivirus DNA replication and the control of gene expression. Images PMID:1475192

  20. Biotin and Lipoic Acid: Synthesis, Attachment and Regulation

    PubMed Central

    Cronan, John E.

    2014-01-01

    Summary Two vitamins, biotin and lipoic acid, are essential in all three domains of life. Both coenzymes function only when covalently attached to key metabolic enzymes. There they act as “swinging arms” that shuttle intermediates between two active sites (= covalent substrate channeling) of key metabolic enzymes. Although biotin was discovered over 100 years ago and lipoic acid 60 years ago, it was not known how either coenzyme is made until recently. In Escherichia coli the synthetic pathways for both coenzymes have now been worked out for the first time. The late steps of biotin synthesis, those involved in assembling the fused rings, were well-described biochemically years ago, although recent progress has been made on the BioB reaction, the last step of the pathway in which the biotin sulfur moiety is inserted. In contrast, the early steps of biotin synthesis, assembly of the fatty acid-like “arm” of biotin were unknown. It has now been demonstrated that the arm is made by using disguised substrates to gain entry into the fatty acid synthesis pathway followed by removal of the disguise when the proper chain length is attained. The BioC methyltransferase is responsible for introducing the disguise and the BioH esterase for its removal. In contrast to biotin, which is attached to its cognate proteins as a finished molecule, lipoic acid is assembled on its cognate proteins. An octanoyl moiety is transferred from the octanoyl-ACP of fatty acid synthesis to a specific lysine residue of a cognate protein by the LipB octanoyl transferase followed by sulfur insertion at carbons C6 and C8 by the LipA lipoyl synthetase. Assembly on the cognate proteins regulates the amount of lipoic acid synthesized and thus there is no transcriptional control of the synthetic genes. In contrast transcriptional control of the biotin synthetic genes is wielded by a remarkably sophisticated, yet simple, system, exerted through BirA a dual function protein that both represses

  1. RNA splicing regulates the temporal order of TNF-induced gene expression.

    PubMed

    Hao, Shengli; Baltimore, David

    2013-07-16

    When cells are induced to express inflammatory genes by treatment with TNF, the mRNAs for the induced genes appear in three distinct waves, defining gene groups I, II, and III, or early, intermediate, and late genes. To examine the basis for these different kinetic classes, we have developed a PCR-based procedure to distinguish pre-mRNAs from mRNAs. It shows that the three groups initiate transcription virtually simultaneously but that delays in splicing characterize groups II and III. We also examined the elongation times, concluding that pre-mRNA synthesis is coordinate but splicing differences directly regulate the timing of mRNA production.

  2. Problems associated with gene transfer and opportunities for microgravity environments

    NASA Astrophysics Data System (ADS)

    Tennessen, Daniel J.

    1997-01-01

    The method of crop improvement by gene transfer is becoming increasingly routine with transgenic foods and ornamental crops now being marketed to consumers. However, biological processes of plants, and the physical barriers of current protocols continue to limit the application of gene transfer in many commercial crops. The goal of this paper is to outline the current limitations of gene transfer and to hypothesize possible opportunities for use of microgravity to overcome such limitations. The limitations detailed in this paper include host-range specificity of Agrobacterium mediated transformation, probability of gene insertion, position effects of the inserted genes, gene copy number, stability of foreign gene expression in host plants, and regeneration of recalcitrant plant species. Microgravity offers an opportunity for gene transfer where cell growth kinetics, DNA synthesis, and genetic recombination rates can be altered. Such biological conditions may enhance the ability for recombination of reporter genes and other genes of interest to agriculture. Proposed studies would be useful for understanding instability of foreign gene expression and may lead to stable transformed plants. Other aspects of gene transfer in microgravity are discussed.

  3. Effects of nutritional state, aging and high chronic intake of sucrose on brain protein synthesis in rats: modulation of it by rutin and other micronutrients.

    PubMed

    Gatineau, Eva; Cluzet, Stéphanie; Krisa, Stéphanie; Papet, Isabelle; Migne, Carole; Remond, Didier; Dardevet, Dominique; Polakof, Sergio; Richard, Tristan; Mosoni, Laurent

    2018-05-23

    Little is still known about brain protein synthesis. In order to increase our knowledge of it, we aimed to modulate brain protein synthesis rates through aging, variations in nutritional state (fed state vs. fasted state), high sucrose diet and micronutrient supplementation. Four groups of 16 month-old male rats were fed for five months with a diet containing either 13% or 62% sucrose (wheat starch was replaced with sucrose), supplemented or not with rutin (5 g kg-1 diet), vitamin E (4×), A (2×), D (5×), selenium (10×) and zinc (+44%) and compared with an adult control group. We measured cerebellum protein synthesis and hippocampus gene expression of antioxidant enzymes, inflammatory cytokines and transcription factors. We showed that cerebellum protein synthesis was unchanged by the nutritional state, decreased during aging (-8%), and restored to the adult level by micronutrient supplementation. Sucrose diet did not change protein synthesis but reduced the protein content. Micronutrient supplementation had no effect in sucrose fed rats. Hippocampus gene expressions were affected by age (an increase of TNF-α), sucrose treatment (an increase of IL-1β and IL-6), and micronutrient supplementation (a decrease of heme oxygenase, catalase, glutathione peroxidase, TNF-α, and Nrf2). We noted that cerebellum protein synthesis and hippocampus TNF-α gene expression were modulated by the same factors: they were affected by aging and micronutrient supplementation and unchanged by feeding and by high sucrose diet.

  4. Synthesis and Characterization of a Magnetically Active 19F Molecular Beacon.

    PubMed

    Dempsey, Megan E; Marble, Hetal D; Shen, Tun-Li; Fawzi, Nicolas L; Darling, Eric M

    2018-02-21

    Gene expression is used extensively to describe cellular characteristics and behaviors; however, most methods of assessing gene expression are unsuitable for living samples, requiring destructive processes such as fixation or lysis. Recently, molecular beacons have become a viable tool for live-cell imaging of mRNA molecules in situ. Historically, beacon-mediated imaging has been limited to fluorescence-based approaches. We propose the design and synthesis of a novel molecular beacon for magnetic resonance detection of any desired target nucleotide sequence. The biologically compatible synthesis incorporates commonly used bioconjugation reactions in aqueous conditions and is accessible for laboratories without extensive synthesis capabilities. The resulting beacon uses fluorine ( 19 F) as a reporter, which is broadened, or turned "off", via paramagnetic relaxation enhancement from a stabilized nitroxide radical spin label when the beacon is not bound to its nucleic acid target. Therefore, the 19 F NMR signal of the beacon is quenched in its hairpin conformation when the spin label and the 19 F substituent are held in proximity, but the signal is recovered upon beacon hybridization to its specific complementary nucleotide sequence by physical separation of the radical from the 19 F reporter. This study establishes a path for magnetic resonance-based assessment of specific mRNA expression, providing new possibilities for applying molecular beacon technology in living systems.

  5. Synthesis and Turnover of Embryonic Sea Urchin Ciliary Proteins during Selective Inhibition of Tubulin Synthesis and Assembly

    PubMed Central

    Stephens, Raymond E.

    1997-01-01

    When ciliogenesis first occurs in sea urchin embryos, the major building block proteins, tubulin and dynein, exist in substantial pools, but most 9+2 architectural proteins must be synthesized de novo. Pulse-chase labeling with [3H]leucine demonstrates that these proteins are coordinately up-regulated in response to deciliation so that regeneration ensues and the tubulin and dynein pools are replenished. Protein labeling and incorporation into already-assembled cilia is high, indicating constitutive ciliary gene expression and steady-state turnover. To determine whether either the synthesis of tubulin or the size of its available pool is coupled to the synthesis or turnover of the other 9+2 proteins in some feedback manner, fully-ciliated mid- or late-gastrula stage Strongylocentrotus droebachiensis embryos were pulse labeled in the presence of colchicine or taxol at concentrations that block ciliary growth. As a consequence of tubulin autoregulation mediated by increased free tubulin, no labeling of ciliary tubulin occurred in colchicine-treated embryos. However, most other proteins were labeled and incorporated into steady-state cilia at near-control levels in the presence of colchicine or taxol. With taxol, tubulin was labeled as well. An axoneme-associated 78 kDa cognate of the molecular chaperone HSP70 correlated with length during regeneration; neither colchicine nor taxol influenced the association of this protein in steady-state cilia. These data indicate that 1) ciliary protein synthesis and turnover is independent of tubulin synthesis or tubulin pool size; 2) steady-state incorporation of labeled proteins cannot be due to formation or elongation of cilia; 3) substantial tubulin exchange takes place in fully-motile cilia; and 4) chaperone presence and association in steady-state cilia is independent of background ciliogenesis, tubulin synthesis, and tubulin assembly state. PMID:9362062

  6. [Insertional mutation in the AZOBR_p60120 gene is accompanied by defects in the synthesis of lipopolysaccharide and calcofluor-binding polysaccharides in the bacterium Azospirillum brasilense Sp245].

    PubMed

    Katsy, E I; Prilipov, A G

    2015-03-01

    In the bacterium Azospirillum brasilense Sp245, extracellular calcofluor-binding polysaccharides (Cal+ phenotype) and two types of lipopolysaccharides, LPSI and LPSII, were previously identified. These lipopolysaccharides share the same repeating O-polysaccharide unit but have different antigenic structures and different charges of their O-polysaccharides and/or core oligosaccharides. Several dozens of predicted genes involved in the biosynthesis of polysaccharides have been localized in the AZOBR_p6 plasmid of strain Sp245 (GenBank accession no. HE577333). In the present work, it was demonstrated that an artificial transposon Omegon-Km had inserted into the central region of the AZOBR_p60120 gene in the A. brasilense Sp245 LPSI- Cal- KM252 mutant. In A. brasilense strain Sp245, this plasmid gene encodes a putative glycosyltransferase containing conserved domains characteristic of the enzymes participating in the synthesis of O-polysaccharides and capsular polysaccharides (accession no. YP004987664). In mutant KM252, a respective predicted protein is expected to be completely inactivated. As a result of the analysis of the EcoRI fragment of the AZOBR_p6 plasmid, encompassing the AZOBR_p60120 gene and a number of other loci, novel data on the structure of AZOBR_p6 were obtained: an approximately 5-kb gap (GenBank accession no. KM189439) was closed in the nucleotide sequence of this plasmid.

  7. Choline transporter-like protein 4 (CTL4) links to non-neuronal acetylcholine synthesis

    PubMed Central

    Song, Pingfang; Rekow, Stephen S.; Singleton, Corey-Ayne; Sekhon, Harmanjatinder S.; Dissen, Gregory A.; Zhou, Minerva; Campling, Barbara; Lindstrom, Jon; Spindel, Eliot R.

    2013-01-01

    Synthesis of acetylcholine (ACh) by non-neuronal cells is now well established and plays diverse physiologic roles. In neurons, the Na+-dependent, high affinity choline transporter (CHT1) is absolutely required for ACh synthesis. By contrast, some non-neuronal cells synthesize ACh in the absence of CHT1 indicating a fundamental difference in ACh synthesis compared to neurons. The aim of this study was to identify choline transporters, other than CHT1, that play a role in non-neuronal ACh synthesis. ACh synthesis was studied in lung and colon cancer cell lines focusing on the choline transporter-like proteins, a five gene family (CTL1-5). Supporting a role for CTLs in choline transport in lung cancer cells, choline transport was Na+-independent and CTL1-5 were expressed in all cells examined. CTL1,2,&5 were expressed at highest levels and knockdown of CTL1,2&5 decreased choline transport in H82 lung cancer cells. Knockdowns of CTL1,2,3&5 had no effect on ACh synthesis in H82 cells. By contrast, knockdown of CTL4 significantly decreased ACh secretion by both lung and colon cancer cells. Conversely, increasing expression of CTL4 increased ACh secretion. These results indicate that CTL4 mediates ACh synthesis in non-neuronal cell lines and presents a mechanism to target non-neuronal ACh synthesis without affecting neuronal ACh synthesis. PMID:23651124

  8. Modulation of gene expression in heart and liver of hibernating black bears (Ursus americanus)

    PubMed Central

    2011-01-01

    Background Hibernation is an adaptive strategy to survive in highly seasonal or unpredictable environments. The molecular and genetic basis of hibernation physiology in mammals has only recently been studied using large scale genomic approaches. We analyzed gene expression in the American black bear, Ursus americanus, using a custom 12,800 cDNA probe microarray to detect differences in expression that occur in heart and liver during winter hibernation in comparison to summer active animals. Results We identified 245 genes in heart and 319 genes in liver that were differentially expressed between winter and summer. The expression of 24 genes was significantly elevated during hibernation in both heart and liver. These genes are mostly involved in lipid catabolism and protein biosynthesis and include RNA binding protein motif 3 (Rbm3), which enhances protein synthesis at mildly hypothermic temperatures. Elevated expression of protein biosynthesis genes suggests induction of translation that may be related to adaptive mechanisms reducing cardiac and muscle atrophies over extended periods of low metabolism and immobility during hibernation in bears. Coordinated reduction of transcription of genes involved in amino acid catabolism suggests redirection of amino acids from catabolic pathways to protein biosynthesis. We identify common for black bears and small mammalian hibernators transcriptional changes in the liver that include induction of genes responsible for fatty acid β oxidation and carbohydrate synthesis and depression of genes involved in lipid biosynthesis, carbohydrate catabolism, cellular respiration and detoxification pathways. Conclusions Our findings show that modulation of gene expression during winter hibernation represents molecular mechanism of adaptation to extreme environments. PMID:21453527

  9. Microarray Analyses of Gene Expression during Adventitious Root Development in Pinus contorta1[w

    PubMed Central

    Brinker, Monika; van Zyl, Leonel; Liu, Wenbin; Craig, Deborah; Sederoff, Ronald R.; Clapham, David H.; von Arnold, Sara

    2004-01-01

    In order to investigate the gene expression pattern during adventitious root development, RNA of Pinus contorta hypocotyls, pulse-treated with the auxin indole-3-butyric acid and harvested at distinct developmental time points of root development, was hybridized to microarrays containing 2,178 cDNAs from Pinus taeda. Over the period of observation of root development, the transcript levels of 220 genes changed significantly. During the root initiation phase, genes involved in cell replication and cell wall weakening and a transcript encoding a PINHEAD/ZWILLE-like protein were up-regulated, while genes related to auxin transport, photosynthesis, and cell wall synthesis were down-regulated. In addition, there were changes in transcript abundance of genes related to water stress. During the root meristem formation phase the transcript abundances of genes involved in auxin transport, auxin responsive transcription, and cell wall synthesis, and of a gene encoding a B-box zinc finger-like protein, increased, while those encoding proteins involved in cell wall weakening decreased. Changes of transcript abundance of genes related to water stress during the root meristem formation and root formation phase indicate that the plant roots had become functional in water transport. Simultaneously, genes involved in auxin transport were up-regulated, while genes related to cell wall modification were down-regulated. Finally, during the root elongation phase down-regulation of transcripts encoding proteins involved in cell replication and stress occurred. Based on the observed changes in transcript abundances, we suggest hypotheses about the relative importance of various physiological processes during the auxin-induced development of roots in P. contorta. PMID:15247392

  10. klf2a couples mechanotransduction and zebrafish valve morphogenesis through fibronectin synthesis

    PubMed Central

    Steed, Emily; Faggianelli, Nathalie; Roth, Stéphane; Ramspacher, Caroline; Concordet, Jean-Paul; Vermot, Julien

    2016-01-01

    The heartbeat and blood flow signal to endocardial cell progenitors through mechanosensitive proteins that modulate the genetic program controlling heart valve morphogenesis. To date, the mechanism by which mechanical forces coordinate tissue morphogenesis is poorly understood. Here we use high-resolution imaging to uncover the coordinated cell behaviours leading to heart valve formation. We find that heart valves originate from progenitors located in the ventricle and atrium that generate the valve leaflets through a coordinated set of endocardial tissue movements. Gene profiling analyses and live imaging reveal that this reorganization is dependent on extracellular matrix proteins, in particular on the expression of fibronectin1b. We show that blood flow and klf2a, a major endocardial flow-responsive gene, control these cell behaviours and fibronectin1b synthesis. Our results uncover a unique multicellular layering process leading to leaflet formation and demonstrate that endocardial mechanotransduction and valve morphogenesis are coupled via cellular rearrangements mediated by fibronectin synthesis. PMID:27221222

  11. Identification of genes associated with low furanocoumarin content in grapefruit

    USDA-ARS?s Scientific Manuscript database

    Some furanocoumarins in grapefruit (Citrus paradisi) are associated with the so-called grapefruit juice effect. Previous phytochemical quantification and genetic analysis suggested that the synthesis of these furanocoumarins may be controlled by a single gene in the pathway. In this study, cDNA-ampl...

  12. Zonal differences in DNA synthesis activity and cytochrome P450 gene expression in livers of male F344 rats treated with five nongenotoxic carcinogens

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Zhi-Ying; White, C.C.; He, Cheng-Yi

    1995-12-31

    Both increased cell proliferation and {open_quotes}altered{close_quotes}CYP gene expression are prominent phenomena associated with liver tumor promotion by nongenotoxic carcinogen treatment. BRDU-labeled parenchymal nuclei were observed primarily in the periportal area of groups of rats, independent of nongenotoxic carcinogen treatment. Treatment with each of the 5 nongenotoxic carcinogens resulted in profound alterations in CPY gene expression at both the transcriptional and translational levels. Expression of CYP1A1, 1A1/2, 3A1, 2B1/2, and 4A immunoproteins demonstrated nongenotoxic carcinogen-specific patterns in both magnitude and zonal distribution. In agreement with the CYP immunoprotein data, treatment with each of the five nongenotoxic carcinogens resulted in a uniquemore » composition of mRNAs of CYP2B1, 2B2, 2C6, 2C11, 3A1, 3A2, and 4A1, which were variably increased or decreased relative to the untreated control livers, depending on the treatment. Similarly, the rate and pattern of CYP enzyme-mediated hydroxylation toward testosterone, 17{beta}-estradiol, corticosterone, and lauric acid were greatly altered by nongenotoxic carcinogen treatment. Because many endogenous substrates are modulators of DNA and RNA synthesis, intracellular kinetics of endogenous substrates of CYP enzymes in the corresponding hepatocytes could contribute, at least in part, to the differences in gene expression, differentiation, and cell proliferation among the hepatocytes in the cell plate. 64 refs., 11 figs., 2 tabs.« less

  13. Molecular characterization of two isoforms of a farnesyl pyrophosphate synthase gene in wheat and their roles in sesquiterpene synthesis and inducible defence against aphid infestation.

    PubMed

    Zhang, Yan; Li, Zhi-Xia; Yu, Xiu-Dao; Fan, Jia; Pickett, John A; Jones, Huw D; Zhou, Jing-Jiang; Birkett, Michael A; Caulfield, John; Napier, Johnathan A; Zhao, Guang-Yao; Cheng, Xian-Guo; Shi, Yi; Bruce, Toby J A; Xia, Lan-Qin

    2015-05-01

    Aphids are important pests of wheat (Triticum aestivum) that affect crop production globally. Herbivore-induced emission of sesquiterpenes can repel pests, and farnesyl pyrophosphate synthase (FPS) is a key enzyme involved in sesquiterpene biosynthesis. However, fps orthologues in wheat and their functional roles in sesquiterpene synthesis and defence against aphid infestation are unknown. Here, two fps isoforms, Tafps1 and Tafps2, were identified in wheat. Quantitative real-time polymerase chain reaction (qRT-PCR) and in vitro catalytic activity analyses were conducted to investigate expression patterns and activity. Heterologous expression of these isoforms in Arabidopsis thaliana, virus-induced gene silencing (VIGS) in wheat and aphid behavioural assays were performed to understand the functional roles of these two isoforms. We demonstrated that Tafps1 and Tafps2 played different roles in induced responses to aphid infestation and in sesquiterpene synthesis. Heterologous expression in A. thaliana resulted in repulsion of the peach aphid (Myzus persicae). Wheat plants with these two isoforms transiently silenced were significantly attractive to grain aphid (Sitobion avenae). Our results provide new insights into induced defence against aphid herbivory in wheat, in particular, the different roles of the two Tafps isoforms in both sesquiterpene biosynthesis and defence against aphid infestation. © 2015 The Authors. New Phytologist © 2015 New Phytologist Trust.

  14. Light-Induced Expression of a MYB Gene Regulates Anthocyanin Biosynthesis in Red Apples1

    PubMed Central

    Takos, Adam M.; Jaffé, Felix W.; Jacob, Steele R.; Bogs, Jochen; Robinson, Simon P.; Walker, Amanda R.

    2006-01-01

    Anthocyanins are secondary metabolites found in higher plants that contribute to the colors of flowers and fruits. In apples (Malus domestica Borkh.), several steps of the anthocyanin pathway are coordinately regulated, suggesting control by common transcription factors. A gene encoding an R2R3 MYB transcription factor was isolated from apple (cv Cripps' Pink) and designated MdMYB1. Analysis of the deduced amino acid sequence suggests that this gene encodes an ortholog of anthocyanin regulators in other plants. The expression of MdMYB1 in both Arabidopsis (Arabidopsis thaliana) plants and cultured grape cells induced the ectopic synthesis of anthocyanin. In the grape (Vitis vinifera) cells MdMYB1 stimulated transcription from the promoters of two apple genes encoding anthocyanin biosynthetic enzymes. In ripening apple fruit the transcription of MdMYB1 was correlated with anthocyanin synthesis in red skin sectors of fruit. When dark-grown fruit were exposed to sunlight, MdMYB1 transcript levels increased over several days, correlating with anthocyanin synthesis in the skin. MdMYB1 gene transcripts were more abundant in red skin apple cultivars compared to non-red skin cultivars. Several polymorphisms were identified in the promoter of MdMYB1. A derived cleaved amplified polymorphic sequence marker designed to one of these polymorphisms segregated with the inheritance of skin color in progeny from a cross of an unnamed red skin selection (a sibling of Cripps' Pink) and the non-red skin cultivar Golden Delicious. We conclude that MdMYB1 coordinately regulates genes in the anthocyanin pathway and the expression level of this regulator is the genetic basis for apple skin color. PMID:17012405

  15. Molecular Cloning of Human Gene(s) Directing the Synthesis of Nervous System Cholinesterases

    DTIC Science & Technology

    1987-09-01

    and shed light on the unknown physiological function of these serine hydrolases in proliferating and differentiating cells. In pheochromocytoma cells...Reiness, C.G., Reichardt, L.F. and Hall, Z.W. (1981) Cellular localization of the molecular forms of acetylcholinesterase in rat pheochromocytoma PC12...melanogaster: Structural gene for acetylcholinesterase with an unusual 5’ leader. The EMBO J., 2949-2954. 99. Merken, L., Simons, M.J., Swillens, S

  16. A Genome-Wide Identification of the WRKY Family Genes and a Survey of Potential WRKY Target Genes in Dendrobium officinale.

    PubMed

    He, Chunmei; Teixeira da Silva, Jaime A; Tan, Jianwen; Zhang, Jianxia; Pan, Xiaoping; Li, Mingzhi; Luo, Jianping; Duan, Jun

    2017-08-23

    The WRKY family, one of the largest families of transcription factors, plays important roles in the regulation of various biological processes, including growth, development and stress responses in plants. In the present study, 63 DoWRKY genes were identified from the Dendrobium officinale genome. These were classified into groups I, II, III and a non-group, each with 14, 28, 10 and 11 members, respectively. ABA-responsive, sulfur-responsive and low temperature-responsive elements were identified in the 1-k upstream regulatory region of DoWRKY genes. Subsequently, the expression of the 63 DoWRKY genes under cold stress was assessed, and the expression profiles of a large number of these genes were regulated by low temperature in roots and stems. To further understand the regulatory mechanism of DoWRKY genes in biological processes, potential WRKY target genes were investigated. Among them, most stress-related genes contained multiple W-box elements in their promoters. In addition, the genes involved in polysaccharide synthesis and hydrolysis contained W-box elements in their 1-k upstream regulatory regions, suggesting that DoWRKY genes may play a role in polysaccharide metabolism. These results provide a basis for investigating the function of WRKY genes and help to understand the downstream regulation network in plants within the Orchidaceae.

  17. In vitro expression of Escherichia coli ribosomal protein genes: autogenous inhibition of translation.

    PubMed Central

    Yates, J L; Arfsten, A E; Nomura, M

    1980-01-01

    Escherichia coli ribosomal protein L1 (0.5 micro M) was found to inhibit the synthesis of both proteins of the L11 operon, L11 and L1, but not the synthesis of other proteins directed by lambda rifd 18 DNA. Similarly, S4 (1 micro M) selectively inhibited the synthesis of three proteins of the alpha operon, S13, S11, and S4, directed by lambda spcI DNA or a restriction enzyme fragment obtained from this DNA. S8 (3.6 micro M) also showed preferential inhibitory effects on the synthesis of some proteins encoded in the spc operon, L24 and L5 (and probably S14 and S8), directed by lambda spcl DNA or a restriction enzyme fragment carrying the genes for these proteins. The inhibitory effect of L1 was observed only with L1 and not with other proteins examined, including S4 and S8. Similarly, the effect of S4 was not observed with L1 or S8, and that of S8 was not seen with L1 or S4. Inhibition was shown to take place at the level of translation rather than transcription. Thus, at least some ribosomal proteins (L1 S4, and S8) have the ability to cause selective translational inhibition of the synthesis of certain ribosomal proteins whose genes are in the same operon as their own. These results support the hypothesis that certain free ribosomal proteins not assembled into ribosomes act as "autogenous" feedback inhibitors to regulate the synthesis of ribosomal proteins. Images PMID:6445562

  18. Identification of Genes in the Phenylalanine Metabolic Pathway by Ectopic Expression of a MYB Transcription Factor in Tomato Fruit[W

    PubMed Central

    Dal Cin, Valeriano; Tieman, Denise M.; Tohge, Takayuki; McQuinn, Ryan; de Vos, Ric C.H.; Osorio, Sonia; Schmelz, Eric A.; Taylor, Mark G.; Smits-Kroon, Miriam T.; Schuurink, Robert C.; Haring, Michel A.; Giovannoni, James; Fernie, Alisdair R.; Klee, Harry J.

    2011-01-01

    Altering expression of transcription factors can be an effective means to coordinately modulate entire metabolic pathways in plants. It can also provide useful information concerning the identities of genes that constitute metabolic networks. Here, we used ectopic expression of a MYB transcription factor, Petunia hybrida ODORANT1, to alter Phe and phenylpropanoid metabolism in tomato (Solanum lycopersicum) fruits. Despite the importance of Phe and phenylpropanoids to plant and human health, the pathway for Phe synthesis has not been unambiguously determined. Microarray analysis of ripening fruits from transgenic and control plants permitted identification of a suite of coregulated genes involved in synthesis and further metabolism of Phe. The pattern of coregulated gene expression facilitated discovery of the tomato gene encoding prephenate aminotransferase, which converts prephenate to arogenate. The expression and biochemical data establish an arogenate pathway for Phe synthesis in tomato fruits. Metabolic profiling and 13C flux analysis of ripe fruits further revealed large increases in the levels of a specific subset of phenylpropanoid compounds. However, while increased levels of these human nutrition-related phenylpropanoids may be desirable, there were no increases in levels of Phe-derived flavor volatiles. PMID:21750236

  19. A Tomato Peroxidase Involved in the Synthesis of Lignin and Suberin1

    PubMed Central

    Quiroga, Mónica; Guerrero, Consuelo; Botella, Miguel A.; Barceló, Araceli; Amaya, Iraida; Medina, María I.; Alonso, Francisco J.; de Forchetti, Silvia Milrad; Tigier, Horacio; Valpuesta, Victoriano

    2000-01-01

    The last step in the synthesis of lignin and suberin has been proposed to be catalyzed by peroxidases, although other proteins may also be involved. To determine which peroxidases are involved in the synthesis of lignin and suberin, five peroxidases from tomato (Lycopersicon esculentum) roots, representing the majority of the peroxidase activity in this organ, have been partially purified and characterized kinetically. The purified peroxidases with isoelectric point (pI) values of 3.6 and 9.6 showed the highest catalytic efficiency when the substrate used was syringaldazine, an analog of lignin monomer. Using a combination of transgenic expression and antibody recognition, we now show that the peroxidase pI 9.6 is probably encoded by TPX1, a tomato peroxidase gene we have previously isolated. In situ RNA hybridization revealed that TPX1 expression is restricted to cells undergoing synthesis of lignin and suberin. Salt stress has been reported to induce the synthesis of lignin and/or suberin. This stress applied to tomato caused changes in the expression pattern of TPX1 and induced the TPX1 protein. We propose that the TPX1 product is involved in the synthesis of lignin and suberin. PMID:10759507

  20. Small-interfering RNAs from natural antisense transcripts derived from a cellulose synthase gene modulate cell wall biosynthesis in barley

    PubMed Central

    Held, Michael A.; Penning, Bryan; Brandt, Amanda S.; Kessans, Sarah A.; Yong, Weidong; Scofield, Steven R.; Carpita, Nicholas C.

    2008-01-01

    Small-interfering RNAs (siRNAs) from natural cis-antisense pairs derived from the 3′-coding region of the barley (Hordeum vulgare) CesA6 cellulose synthase gene substantially increase in abundance during leaf elongation. Strand-specific RT-PCR confirmed the presence of an antisense transcript of HvCesA6 that extends ≥1230 bp from the 3′ end of the CesA-coding sequence. The increases in abundance of the CesA6 antisense transcript and the 21-nt and 24-nt siRNAs derived from the transcript are coincident with the down-regulation of primary wall CesAs, several Csl genes, and GT8 glycosyl transferase genes, and are correlated with the reduction in rates of cellulose and (1 → 3),(1 → 4)-β-D-glucan synthesis. Virus induced gene silencing using unique target sequences derived from HvCesA genes attenuated expression not only of the HvCesA6 gene, but also of numerous nontarget Csls and the distantly related GT8 genes and reduced the incorporation of D-14C-Glc into cellulose and into mixed-linkage (1 → 3),(1 → 4)-β-D-glucans of the developing leaves. Unique target sequences for CslF and CslH conversely silenced the same genes and lowered rates of cellulose and (1 → 3),(1 → 4)-β-D-glucan synthesis. Our results indicate that the expression of individual members of the CesA/Csl superfamily and glycosyl transferases share common regulatory control points, and siRNAs from natural cis-antisense pairs derived from the CesA/Csl superfamily could function in this global regulation of cell-wall synthesis. PMID:19075248

  1. Repressed expression of a gene for a basic helix-loop-helix protein causes a white flower phenotype in carnation

    PubMed Central

    Totsuka, Akane; Okamoto, Emi; Miyahara, Taira; Kouno, Takanobu; Cano, Emilio A.; Sasaki, Nobuhiro; Watanabe, Aiko; Tasaki, Keisuke; Nishihara, Masahiro; Ozeki, Yoshihiro

    2018-01-01

    In a previous study, two genes responsible for white flower phenotypes in carnation were identified. These genes encoded enzymes involved in anthocyanin synthesis, namely, flavanone 3-hydroxylase (F3H) and dihydroflavonol 4-reductase (DFR), and showed reduced expression in the white flower phenotypes. Here, we identify another candidate gene for white phenotype in carnation flowers using an RNA-seq analysis followed by RT-PCR. This candidate gene encodes a transcriptional regulatory factor of the basic helix-loop-helix (bHLH) type. In the cultivar examined here, both F3H and DFR genes produced active enzyme proteins; however, expression of DFR and of genes for enzymes involved in the downstream anthocyanin synthetic pathway from DFR was repressed in the absence of bHLH expression. Occasionally, flowers of the white flowered cultivar used here have red speckles and stripes on the white petals. We found that expression of bHLH occurred in these red petal segments and induced expression of DFR and the following downstream enzymes. Our results indicate that a member of the bHLH superfamily is another gene involved in anthocyanin synthesis in addition to structural genes encoding enzymes. PMID:29681756

  2. Identification of Conflicting Selective Effects on Highly Expressed Genes

    PubMed Central

    Higgs, Paul G.; Hao, Weilong; Golding, G. Brian

    2007-01-01

    Many different selective effects on DNA and proteins influence the frequency of codons and amino acids in coding sequences. Selection is often stronger on highly expressed genes. Hence, by comparing high- and low-expression genes it is possible to distinguish the factors that are selected by evolution. It has been proposed that highly expressed genes should (i) preferentially use codons matching abundant tRNAs (translational efficiency), (ii) preferentially use amino acids with low cost of synthesis, (iii) be under stronger selection to maintain the required amino acid content, and (iv) be selected for translational robustness. These effects act simultaneously and can be contradictory. We develop a model that combines these factors, and use Akaike’s Information Criterion for model selection. We consider pairs of paralogues that arose by whole-genome duplication in Saccharmyces cerevisiae. A codon-based model is used that includes asymmetric effects due to selection on highly expressed genes. The largest effect is translational efficiency, which is found to strongly influence synonymous, but not non-synonymous rates. Minimization of the cost of amino acid synthesis is implicated. However, when a more general measure of selection for amino acid usage is used, the cost minimization effect becomes redundant. Small effects that we attribute to selection for translational robustness can be identified as an improvement in the model fit on top of the effects of translational efficiency and amino acid usage. PMID:19430600

  3. Abscisic acid regulates pinoresinol-lariciresinol reductase gene expression and secoisolariciresinol accumulation in developing flax (Linum usitatissimum L.) seeds.

    PubMed

    Renouard, Sullivan; Corbin, Cyrielle; Lopez, Tatiana; Montguillon, Josiane; Gutierrez, Laurent; Lamblin, Frédéric; Lainé, Eric; Hano, Christophe

    2012-01-01

    Secoisolariciresinol diglucoside (SDG), the main phytoestrogenic lignan of Linum usitatissimum, is accumulated in the seed coat of flax during its development and pinoresinol-lariciresinol reductase (PLR) is a key enzyme in flax for its synthesis. The promoter of LuPLR1, a flax gene encoding a pinoresinol lariciresinol reductase, contains putative regulatory boxes related to transcription activation by abscisic acid (ABA). Gel mobility shift experiments evidenced an interaction of nuclear proteins extracted from immature flax seed coat with a putative cis-acting element involved in ABA response. As ABA regulates a number of physiological events during seed development and maturation we have investigated its involvement in the regulation of this lignan synthesis by different means. ABA and SDG accumulation time courses in the seed as well as LuPLR1 expression were first determined in natural conditions. These results showed that ABA timing and localization of accumulation in the flax seed coat could be correlated with the LuPLR1 gene expression and SDG biosynthesis. Experimental modulations of ABA levels were performed by exogenous application of ABA or fluridone, an inhibitor of ABA synthesis. When submitted to exogenous ABA, immature seeds synthesized 3-times more SDG, whereas synthesis of SDG was reduced in immature seeds treated with fluridone. Similarly, the expression of LuPLR1 gene in the seed coat was up-regulated by exogenous ABA and down-regulated when fluridone was applied. These results demonstrate that SDG biosynthesis in the flax seed coat is positively controlled by ABA through the transcriptional regulation of LuPLR1 gene.

  4. Temporal and tissue-specific regulation of a Brassica napus stearoyl-acyl carrier protein desaturase gene.

    PubMed Central

    Slocombe, S P; Piffanelli, P; Fairbairn, D; Bowra, S; Hatzopoulos, P; Tsiantis, M; Murphy, D J

    1994-01-01

    The nucleotide sequence of a Brassica napus stearoyl-acyl carrier protein desaturase gene (Bn10) is presented. This gene is one member of a family of four closely related genes expressed in oilseed rape. The expression of the promoter of this gene in transgenic tobacco was found to be temporally regulated in the developing seed tissues. However, the promoter was also particularly active in other oleogenic tissues such as the tapetum and pollen grains. This raises the interesting question of whether seed-expressed lipid synthesis genes are regulated by separate tissue-specific determinants or by a single factor common to all oleogenic tissues. Parts of the plants undergoing rapid development such as the components of immature flowers and seedlings also exhibited high levels of promoter activity. These tissues are likely to have an elevated requirement for membrane lipid synthesis. Stearoyl-acyl carrier protein desaturase transcript levels have previously been shown to be temporally regulated in the B. napus embryo (S.P. Slocombe, I. Cummins, R.P. Jarvis, D.J. Murphy [1992] Plant Mol Biol 20: 151-155). Evidence is presented demonstrating the induction of desaturase mRNA by abscisic acid in the embryo. PMID:8016261

  5. Cloning of developmentally regulated flagellin genes from Caulobacter crescentus via immunoprecipitation of polyribosomes.

    PubMed Central

    Milhausen, M; Gill, P R; Parker, G; Agabian, N

    1982-01-01

    Immunoprecipitation of Caulobacter crescentus polyribosomes with antiflagellin antibody provided RNA for the synthesis of cDNA probes that were used to identify three specific EcoRI restriction fragments (6.8, 10, and 22 kilobases) in genomic digests of Caulobacter DNA. The RNA was present only in polyribosomes isolated from a time interval in the Caulobacter cell cycle that was coincident with flagellin polypeptide synthesis. The structural gene for Mr 27,500 flagellin polypeptide was assigned to a region of the 10-kilobase EcoRI restriction fragment by DNA sequence analysis. Analysis of mutants defective in motility further established a correlation between the Mr 27,500 flagellin gene and the flaE gene locus [Johnson, R. C. & Ely, B. (1979) J. Bacteriol. 137, 627-634]. The other EcoRI fragments that hybridize with the immunoprecipitated polyribosome-derived cDNA probe are also temporally regulated and have features that suggest they encode other polypeptides associated with the flagellum. Modifications were required to adapt the procedure of immunoprecipitation of polyribosomes for use with Caulobacter and should be applicable to the production of specific structural gene probes from other prokaryotic systems. Images PMID:6294658

  6. The in vitro maintenance of clock genes expression within the rat pineal gland under standard and norepinephrine-synchronized stimulation.

    PubMed

    Andrade-Silva, Jéssica; Cipolla-Neto, José; Peliciari-Garcia, Rodrigo A

    2014-01-01

    Although the norepinephrine (NE) synchronization protocol was proved to be an important procedure for further modulating in vitro pineal melatonin synthesis, the maintenance of clock genes under the same conditions remained to be investigated. The aim of this study was to investigate the maintenance of the clock genes expression in pineal gland cultures under standard and NE-synchronized stimulation. The glands were separated into three experimental groups: Control, Standard (acute NE-stimulation), and NE-synchronized. The expression of Bmal1, Per2, Cry2, Rev-erbα, the clock controlled gene Dbp and Arylalkylamine-N-acetyltransferase were investigated, as well as melatonin content. No oscillations were observed in the expression of the investigated genes from the control group. Under Standard NE stimulation, the clock genes did not exhibit a rhythmic pattern of expression. However, in the NE-synchronized condition, a rhythmic expression pattern was observed in all cases. An enhancement in pineal gland responsiveness to NE stimulation, reflected in an advanced synthesis of melatonin was also observed. Our results reinforce our previous hypothesis that NE synchronization of pineal gland culture mimics the natural rhythmic release of NE in the gland, increasing melatonin synthesis and keeping the pineal circadian clock synchronized, ensuring the fine adjustments that are relied in the clockwork machinery. Copyright © 2014 Elsevier Ireland Ltd and the Japan Neuroscience Society. All rights reserved.

  7. Targeting gene expression selectively in cancer cells by using the progression-elevated gene-3 promoter.

    PubMed

    Su, Zhao-Zhong; Sarkar, Devanand; Emdad, Luni; Duigou, Gregory J; Young, Charles S H; Ware, Joy; Randolph, Aaron; Valerie, Kristoffer; Fisher, Paul B

    2005-01-25

    One impediment to effective cancer-specific gene therapy is the rarity of regulatory sequences targeting gene expression selectively in tumor cells. Although many tissue-specific promoters are recognized, few cancer-selective gene promoters are available. Progression-elevated gene-3 (PEG-3) is a rodent gene identified by subtraction hybridization that displays elevated expression as a function of transformation by diversely acting oncogenes, DNA damage, and cancer cell progression. The promoter of PEG-3, PEG-Prom, displays robust expression in a broad spectrum of human cancer cell lines with marginal expression in normal cellular counterparts. Whereas GFP expression, when under the control of a CMV promoter, is detected in both normal and cancer cells, when GFP is expressed under the control of the PEG-Prom, cancer-selective expression is evident. Mutational analysis identifies the AP-1 and PEA-3 transcription factors as primary mediators of selective, cancer-specific expression of the PEG-Prom. Synthesis of apoptosis-inducing genes, under the control of the CMV promoter, inhibits the growth of both normal and cancer cells, whereas PEG-Prom-mediated expression of these genes kills only cancer cells and spares normal cells. The efficacy of the PEG-Prom as part of a cancer gene therapeutic regimen is further documented by in vivo experiments in which PEG-Prom-controlled expression of an apoptosis-inducing gene completely inhibited prostate cancer xenograft growth in nude mice. These compelling observations indicate that the PEG-Prom, with its cancer-specific expression, provides a means of selectively delivering genes to cancer cells, thereby providing a crucial component in developing effective cancer gene therapies.

  8. Adeno-associated virus rep protein synthesis during productive infection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Redemann, B.E.; Mendelson, E.; Carter, B.J.

    1989-02-01

    Adeno-associated virus (AAV) Rep proteins mediate viral DNA replication and can regulate expression from AAV genes. The authors studied the kinetics of synthesis of the four Rep proteins, Rep78, Rep68, Rep52, and Rep40, during infection of human 293 or KB cells with AAV and helper adenovirus by in vivo labeling with (/sup 35/S)methionine, immunoprecipitation, and immunoblotting analyses. Rep78 and Rep52 were readily detected concomitantly with detection of viral monomer duplex DNA replicating about 10 to 12 h after infection, and Rep68 and Rep40 were detected 2 h later. Rep78 and Rep52 were more abundant than Rep68 and Rep40 owing tomore » a higher synthesis rate throughout the infectious cycle. In some experiments, very low levels of Rep78 could be detected as early as 4 h after infection. The synthesis rates of Rep proteins were maximal between 14 and 24 h and then decreased later after infection. Isotopic pulse-chase experiments showed that each of the Rep proteins was synthesized independently and was stable for at least 15 h. A slower-migrating, modified form of Rep78 was identified late after infection. AAV capsid protein synthesis was detected at 10 to 12 h after infection and also exhibited synthesis kinetics similar to those of the Rep proteins. AAV DNA replication showed at least two clearly defined stages. Bulk duplex replicating DNA accumulation began around 10 to 12 h and reached a maximum level at about 20 h when Rep and capsid protein synthesis was maximal. Progeny single-stranded DNA accumulation began about 12 to 13 h, but most of this DNA accumulated after 24 h when Rep and capsid protein synthesis had decreased.« less

  9. Herpes Simplex Virus DNA Packaging without Measurable DNA Synthesis

    PubMed Central

    Church, Geoffrey A.; Dasgupta, Anindya; Wilson, Duncan W.

    1998-01-01

    Herpes simplex virus (HSV) type 1 DNA synthesis and packaging occur within the nuclei of infected cells; however, the extent to which the two processes are coupled remains unclear. Correct packaging is thought to be dependent upon DNA debranching or other repair processes, and such events commonly involve new DNA synthesis. Furthermore, the HSV UL15 gene product, essential for packaging, nevertheless localizes to sites of active DNA replication and may link the two events. It has previously been difficult to determine whether packaging requires concomitant DNA synthesis due to the complexity of these processes and of the viral life cycle; however, we have recently described a model system which simplifies the study of HSV assembly. Cells infected with HSV strain tsProt.A accumulate unpackaged capsids at the nonpermissive temperature of 39°C. Following release of the temperature block, these capsids proceed to package viral DNA in a single, synchronous wave. Here we report that, when DNA replication was inhibited prior to release of the temperature block, DNA packaging and later events in viral assembly nevertheless occurred at near-normal levels. We conclude that, under our conditions, HSV DNA packaging does not require detectable levels of DNA synthesis. PMID:9525593

  10. A Novel c.125 T>G (p.Val42Gly) Mutation in The Human INS Gene Leads to Neonatal Diabetes Mellitus via a Decrease in Insulin Synthesis.

    PubMed

    Sun, Fei; Du, Wenhua; Ma, Junhua; Gu, Mingjun; Wang, Jingnan; Zhu, Hongling; Song, Huaidong; Gao, Guanqi

    2018-06-11

    Neonatal diabetes mellitus is likely caused by monogenic mutations, several of which have been identified. INS mutations have a broad spectrum of clinical presentations, ranging from severe neonatal onset to mild adult onset, which suggests that the products of different mutant INS alleles behave differently and utilize distinct mechanisms to induce diabetes. In this study, a neonatal diabetes mellitus patient's INS gene was sequenced, and functional experiments were conducted. The neonatal diabetes mellitus patient's genomic DNA was extracted, and the patient's KCNJ11, ABCC8, and INS genes were sequenced. A novel mutation was identified in INS, and the open reading frame of this human mutant INS gene was inserted into the pMSCV-PIG plasmid. The constructed pMSCV-PIG plasmid was combined with VSV-g and Gag-pol and transfected into 293T cells to package the lentivirus. To stably overexpress the mutant gene, INS-1 cells were infected with the virus. The levels of insulin in the cell culture medium and cytoplasm were determined by ELISA and immunocytochemistry, respectively. A heterozygous mutation, c.125T>G (p. Val42Gly), was identified in a neonatal diabetes mellitus patient's INS gene. The human mutant INS open reading frame was overexpressed in INS-1 cells, and the mutant insulin was undetectable in the cell culture medium and cytoplasm. The novel heterozygous activating mutation c.125 T>G (p.Val42Gly) impairs the synthesis of insulin by pancreatic beta cells, resulting in diabetes. © Georg Thieme Verlag KG Stuttgart · New York.

  11. Transcription factor CitERF71 activates the terpene synthase gene CitTPS16 involved in the synthesis of E-geraniol in sweet orange fruit.

    PubMed

    Li, Xiang; Xu, Yaying; Shen, Shuling; Yin, Xueren; Klee, Harry; Zhang, Bo; Chen, Kunsong; Hancock, Robert

    2017-10-13

    The unique flavor of Citrus fruit depends on complex combinations of soluble sugars, organic acids, and volatile compounds. The monoterpene E-geraniol is an important volatile, contributing to flavor in sweet orange (Citrus sinensis Osbeck). Moreover, antifungal activity of E-geraniol has also been observed. However, the terpene synthase (TPS) responsible for its synthesis has not been identified in sweet orange. Terpene synthase 16 (CitTPS16) was shown to catalyze synthesis of E-geraniol in vitro, and transient overexpression of CitTPS16 in fruits and leaves of Newhall sweet orange resulted in E-geraniol accumulation in vivo. Having identified the responsible enzyme, we next examined transcriptional regulation of CitTPS16 in the fruit. Among cloned members of the AP2/ERF transcription factor gene family, CitERF71 showed a similar expression pattern to CitTPS16. Moreover, CitERF71 was able to activate the CitTPS16 promoter based on results from transient dual-luciferase assays and yeast one-hybrid assays. EMSAs showed that CitERF71 directly binds to ACCCGCC and GGCGGG motifs in the CitTPS16 promoter. These results indicate an important role for CitERF71 in transcriptional regulation of CitTP16 and, therefore, in controlling production of E-geraniol in Citrus fruit. © The Author 2017. Published by Oxford University Press on behalf of the Society for Experimental Biology.

  12. Synthesis-on” and “synthesis-off” modes of carbon arc operation during synthesis of carbon nanotubes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yatom, Shurik; Selinsky, Rachel S.; Koel, Bruce E.

    Arc discharge synthesis of single-walled carbon nanotubes (SWCNTs) remains largely uncontrollable, due to incomplete understanding of the synthetic process itself. Here, we show that synthesis of SWCNTs by a carbon arc may not constitute a single continuous process, but may instead consist of two distinct modes. One of these, a “synthesis-on” mode, produces the majority of the nanomaterials. During the synthesis-on mode, proportionally more carbon nanotubes are collected than in another mode, a “synthesis-off” mode. Both synthesis-on and synthesis-off modes for a typical arc configuration, employing a hollow anode filled with a mixture of powdered metal catalyst and graphite, weremore » characterized by using in situ electrical, imaging, and spectroscopic diagnostics, along with ex situ imaging and spectroscopy. The synthesis-on mode duration is rare compared to the total arc run-time, helping to explain the poor selectivity found in the final collected products, a known inadequacy of arc synthesis. Finally, the rarity of the synthesis on mode occurence may be due to the synthesis off mode being more favorable energetically.« less

  13. Synthesis-on” and “synthesis-off” modes of carbon arc operation during synthesis of carbon nanotubes

    DOE PAGES

    Yatom, Shurik; Selinsky, Rachel S.; Koel, Bruce E.; ...

    2017-09-09

    Arc discharge synthesis of single-walled carbon nanotubes (SWCNTs) remains largely uncontrollable, due to incomplete understanding of the synthetic process itself. Here, we show that synthesis of SWCNTs by a carbon arc may not constitute a single continuous process, but may instead consist of two distinct modes. One of these, a “synthesis-on” mode, produces the majority of the nanomaterials. During the synthesis-on mode, proportionally more carbon nanotubes are collected than in another mode, a “synthesis-off” mode. Both synthesis-on and synthesis-off modes for a typical arc configuration, employing a hollow anode filled with a mixture of powdered metal catalyst and graphite, weremore » characterized by using in situ electrical, imaging, and spectroscopic diagnostics, along with ex situ imaging and spectroscopy. The synthesis-on mode duration is rare compared to the total arc run-time, helping to explain the poor selectivity found in the final collected products, a known inadequacy of arc synthesis. Finally, the rarity of the synthesis on mode occurence may be due to the synthesis off mode being more favorable energetically.« less

  14. [Expression of acylamidase gene in Rhodococcus erythropolis strains].

    PubMed

    Lavrov, K V; Novikov, A D; Riabchenko, L E; Ianenko, A S

    2014-09-01

    The expression of a new acylamidase gene from R. erythropolis 37 was studied in Rhodococcus erythropolis strains. This acylamidase, as a result of its unique substrate specificity, can hydrolyse N-substituted amides (4'-nitroacetanilide, N-isopropylacrylamide, N'N-dimethylaminopropylacrylamide). A new expression system based on the use of the promoter region of nitrilhydratase genes from R. rhodochrous M8 was created to achieve constitutive synthesis of acylamidase in R. erythropolis cells. A fourfold improvement in the acylamidase activity of recombinant R. erythropolis cells as compared with the parent wild-type strain was obtained through the use of the new expression system.

  15. Intestinal triacylglycerol synthesis in fat absorption and systemic energy metabolism.

    PubMed

    Yen, Chi-Liang Eric; Nelson, David W; Yen, Mei-I

    2015-03-01

    The intestine plays a prominent role in the biosynthesis of triacylglycerol (triglyceride; TAG). Digested dietary TAG is repackaged in the intestine to form the hydrophobic core of chylomicrons, which deliver metabolic fuels, essential fatty acids, and other lipid-soluble nutrients to the peripheral tissues. By controlling the flux of dietary fat into the circulation, intestinal TAG synthesis can greatly impact systemic metabolism. Genes encoding many of the enzymes involved in TAG synthesis have been identified. Among TAG synthesis enzymes, acyl-CoA:monoacylglycerol acyltransferase 2 and acyl-CoA:diacylglycerol acyltransferase (DGAT)1 are highly expressed in the intestine. Their physiological functions have been examined in the context of whole organisms using genetically engineered mice and, in the case of DGAT1, specific inhibitors. An emerging theme from recent findings is that limiting the rate of TAG synthesis in the intestine can modulate gut hormone secretion, lipid metabolism, and systemic energy balance. The underlying mechanisms and their implications for humans are yet to be explored. Pharmacological inhibition of TAG hydrolysis in the intestinal lumen has been employed to combat obesity and associated disorders with modest efficacy and unwanted side effects. The therapeutic potential of inhibiting specific enzymes involved in intestinal TAG synthesis warrants further investigation. Copyright © 2015 by the American Society for Biochemistry and Molecular Biology, Inc.

  16. From gene engineering to gene modulation and manipulation: can we prevent or detect gene doping in sports?

    PubMed

    Fischetto, Giuseppe; Bermon, Stéphane

    2013-10-01

    During the last 2 decades, progress in deciphering the human gene map as well as the discovery of specific defective genes encoding particular proteins in some serious human diseases have resulted in attempts to treat sick patients with gene therapy. There has been considerable focus on human recombinant proteins which were gene-engineered and produced in vitro (insulin, growth hormone, insulin-like growth factor-1, erythropoietin). Unfortunately, these substances and methods also became improper tools for unscrupulous athletes. Biomedical research has focused on the possible direct insertion of gene material into the body, in order to replace some defective genes in vivo and/or to promote long-lasting endogenous synthesis of deficient proteins. Theoretically, diabetes, anaemia, muscular dystrophies, immune deficiency, cardiovascular diseases and numerous other illnesses could benefit from such innovative biomedical research, though much work remains to be done. Considering recent findings linking specific genotypes and physical performance, it is tempting to submit the young athletic population to genetic screening or, alternatively, to artificial gene expression modulation. Much research is already being conducted in order to achieve a safe transfer of genetic material to humans. This is of critical importance since uncontrolled production of the specifically coded protein, with serious secondary adverse effects (polycythaemia, acute cardiovascular problems, cancer, etc.), could occur. Other unpredictable reactions (immunogenicity of vectors or DNA-vector complex, autoimmune anaemia, production of wild genetic material) also remain possible at the individual level. Some new substances (myostatin blockers or anti-myostatin antibodies), although not gene material, might represent a useful and well-tolerated treatment to prevent progression of muscular dystrophies. Similarly, other molecules, in the roles of gene or metabolic activators [5-aminoimidazole-4

  17. Host Pah1p phosphatidate phosphatase limits viral replication by regulating phospholipid synthesis

    PubMed Central

    Zhang, Zhenlu; He, Guijuan; Catanzaro, Nicholas; Wu, Zujian; Xie, Lianhui

    2018-01-01

    Replication of positive-strand RNA viruses [(+)RNA viruses] takes place in membrane-bound viral replication complexes (VRCs). Formation of VRCs requires virus-mediated manipulation of cellular lipid synthesis. Here, we report significantly enhanced brome mosaic virus (BMV) replication and much improved cell growth in yeast cells lacking PAH1 (pah1Δ), the sole yeast ortholog of human LIPIN genes. PAH1 encodes Pah1p (phosphatidic acid phosphohydrolase), which converts phosphatidate (PA) to diacylglycerol that is subsequently used for the synthesis of the storage lipid triacylglycerol. Inactivation of Pah1p leads to altered lipid composition, including high levels of PA, total phospholipids, ergosterol ester, and free fatty acids, as well as expansion of the nuclear membrane. In pah1Δ cells, BMV replication protein 1a and double-stranded RNA localized to the extended nuclear membrane, there was a significant increase in the number of VRCs formed, and BMV genomic replication increased by 2-fold compared to wild-type cells. In another yeast mutant that lacks both PAH1 and DGK1 (encodes diacylglycerol kinase converting diacylglycerol to PA), which has a normal nuclear membrane but maintains similar lipid compositional changes as in pah1Δ cells, BMV replicated as efficiently as in pah1Δ cells, suggesting that the altered lipid composition was responsible for the enhanced BMV replication. We further showed that increased levels of total phospholipids play an important role because the enhanced BMV replication required active synthesis of phosphatidylcholine, the major membrane phospholipid. Moreover, overexpression of a phosphatidylcholine synthesis gene (CHO2) promoted BMV replication. Conversely, overexpression of PAH1 or plant PAH1 orthologs inhibited BMV replication in yeast or Nicotiana benthamiana plants. Competing with its host for limited resources, BMV inhibited host growth, which was markedly alleviated in pah1Δ cells. Our work suggests that Pah1p promotes

  18. Inhibition of ethylene synthesis reduces salt-tolerance in tomato wild relative species Solanum chilense.

    PubMed

    Gharbi, Emna; Martínez, Juan-Pablo; Benahmed, Hela; Lepoint, Gilles; Vanpee, Brigitte; Quinet, Muriel; Lutts, Stanley

    2017-03-01

    Exposure to salinity induces a burst in ethylene synthesis in the wild tomato halophyte plant species Solanum chilense. In order to gain information on the role of ethylene in salt adaptation, plants of Solanum chilense (accession LA4107) and of cultivated glycophyte Solanum lycopersicum (cv. Ailsa Craig) were cultivated for 7days in nutrient solution containing 0 or 125mM NaCl in the presence or absence of the inhibitor of ethylene synthesis (aminovinylglycine (AVG) 2μM). Salt-induced ethylene synthesis in S. chilense occurred concomitantly with an increase in stomatal conductance, an efficient osmotic adjustment and the maintenance of carbon isotope discrimination value (Δ 13 C). In contrast, in S. lycopersicum, salt stress decreased stomatal conductance and Δ 13 C values while osmotic potential remained higher than in S. chilense. Inhibition of stress-induced ethylene synthesis by AVG decreased stomatal conductance and Δ 13 C in S. chilense and compromised osmotic adjustment. Solanum chilense behaved as an includer and accumulated high amounts of Na in the shoot but remained able to maintain K nutrition in the presence of NaCl. This species however did not stimulate the expression of genes coding for high-affinity K transport but genes coding for ethylene responsive factor ERF5 and JREF1 were constitutively more expressed in S. chilense than in S. lycopersicum. It is concluded that ethylene plays a key role in salt tolerance of S. chilense. Copyright © 2016. Published by Elsevier GmbH.

  19. Genomics of local adaptation with gene flow.

    PubMed

    Tigano, Anna; Friesen, Vicki L

    2016-05-01

    Gene flow is a fundamental evolutionary force in adaptation that is especially important to understand as humans are rapidly changing both the natural environment and natural levels of gene flow. Theory proposes a multifaceted role for gene flow in adaptation, but it focuses mainly on the disruptive effect that gene flow has on adaptation when selection is not strong enough to prevent the loss of locally adapted alleles. The role of gene flow in adaptation is now better understood due to the recent development of both genomic models of adaptive evolution and genomic techniques, which both point to the importance of genetic architecture in the origin and maintenance of adaptation with gene flow. In this review, we discuss three main topics on the genomics of adaptation with gene flow. First, we investigate selection on migration and gene flow. Second, we discuss the three potential sources of adaptive variation in relation to the role of gene flow in the origin of adaptation. Third, we explain how local adaptation is maintained despite gene flow: we provide a synthesis of recent genomic models of adaptation, discuss the genomic mechanisms and review empirical studies on the genomics of adaptation with gene flow. Despite predictions on the disruptive effect of gene flow in adaptation, an increasing number of studies show that gene flow can promote adaptation, that local adaptations can be maintained despite high gene flow, and that genetic architecture plays a fundamental role in the origin and maintenance of local adaptation with gene flow. © 2016 John Wiley & Sons Ltd.

  20. Multiplex sequencing of plant chloroplast genomes using Solexa sequencing-by-synthesis technology

    Treesearch

    Richard Cronn; Aaron Liston; Matthew Parks; David S. Gernandt; Rongkun Shen; Todd Mockler

    2008-01-01

    Organellar DNA sequences are widely used in evolutionary and population genetic studies; however, the conservative nature of chloroplast gene and genome evolution often limits phylogenetic resolution and statistical power. To gain maximal access to the historical record contained within chloroplast genomes, we have adapted multiplex sequencing-by-synthesis (MSBS) to...

  1. GC/MS-based metabolomic studies reveal key roles of glycine in regulating silk synthesis in silkworm, Bombyx mori.

    PubMed

    Chen, Quanmei; Liu, Xinyu; Zhao, Ping; Sun, Yanhui; Zhao, Xinjie; Xiong, Ying; Xu, Guowang; Xia, Qingyou

    2015-02-01

    Metabolic profiling of silkworm, especially the factors that affect silk synthesis at the metabolic level, is little known. Herein, metabolomic method based on gas chromatography-mass spectrometry was applied to identify key metabolic changes in silk synthesis deficient silkworms. Forty-six differential metabolites were identified in Nd group with the defect of silk synthesis. Significant changes in the levels of glycine and uric acid (up-regulation), carbohydrates and free fatty acids (down-regulation) were observed. The further metabolomics of silk synthesis deficient silkworms by decreasing silk proteins synthesis using knocking out fibroin heavy chain gene or extirpating silk glands operation showed that the changes of the metabolites were almost consistent with those of the Nd group. Furthermore, the increased silk yields by supplying more glycine or its related metabolite confirmed that glycine is a key metabolite to regulate silk synthesis. These findings provide important insights into the regulation between metabolic profiling and silk synthesis. Copyright © 2014 Elsevier Ltd. All rights reserved.

  2. Colocalization and Membrane Association of Murine Hepatitis Virus Gene 1 Products and De Novo-Synthesized Viral RNA in Infected Cells

    PubMed Central

    Shi, Stephanie T.; Schiller, Jennifer J.; Kanjanahaluethai, Amornrat; Baker, Susan C.; Oh, Jong-Won; Lai, Michael M. C.

    1999-01-01

    Murine hepatitis virus (MHV) gene 1, the 22-kb polymerase (pol) gene, is first translated into a polyprotein and subsequently processed into multiple proteins by viral autoproteases. Genetic complementation analyses suggest that the majority of the gene 1 products are required for viral RNA synthesis. However, there is no physical evidence supporting the association of any of these products with viral RNA synthesis. We have now performed immunofluorescent-staining studies with four polyclonal antisera to localize various MHV-A59 gene 1 products in virus-infected cells. Immunoprecipitation experiments showed that these antisera detected proteins representing the two papain-like proteases and the 3C-like protease encoded by open reading frame (ORF) 1a, the putative polymerase (p100) and a p35 encoded by ORF 1b, and their precursors. De novo-synthesized viral RNA was labeled with bromouridine triphosphate in lysolecithin-permeabilized MHV-infected cells. Confocal microscopy revealed that all of the viral proteins detected by these antisera colocalized with newly synthesized viral RNA in the cytoplasm, particularly in the perinuclear region of infected cells. Several cysteine and serine protease inhibitors, i.e., E64d, leupeptin, and zinc chloride, inhibited viral RNA synthesis without affecting the localization of viral proteins, suggesting that the processing of the MHV gene 1 polyprotein is tightly associated with viral RNA synthesis. Dual labeling with antibodies specific for cytoplasmic membrane structures showed that MHV gene 1 products and RNA colocalized with the Golgi apparatus in HeLa cells. However, in murine 17CL-1 cells, the viral proteins and viral RNA did not colocalize with the Golgi apparatus but, instead, partially colocalized with the endoplasmic reticulum. Our results provide clear physical evidence that several MHV gene 1 products, including the proteases and the polymerase, are associated with the viral RNA replication-transcription machinery

  3. Effects of glucose on lactose synthesis in mammary epithelial cells from dairy cow.

    PubMed

    Lin, Ye; Sun, Xiaoxu; Hou, Xiaoming; Qu, Bo; Gao, Xuejun; Li, Qingzhang

    2016-05-26

    Lactose, as the primary osmotic component in milk, is the major determinant of milk volume. Glucose is the primary precursor of lactose. However, the effect of glucose on lactose synthesis in dairy cow mammary glands and the mechanism governing this process are poorly understood. Here we showed that glucose has the ability to induce lactose synthesis in dairy cow mammary epithelial cells, as well as increase cell viability and proliferation. A concentration of 12 mM glucose was the optimum concentration to induce cell growth and lactose synthesis in cultured dairy cow mammary epithelial cells. In vitro, 12 mM glucose enhanced lactose content, along with the expression of genes involved in glucose transportation and the lactose biosynthesis pathway, including GLUT1, SLC35A2, SLC35B1, HK2, β4GalT-I, and AKT1. In addition, we found that AKT1 knockdown inhibited cell growth and lactose synthesis as well as expression of GLUT1, SLC35A2, SLC35B1, HK2, and β4GalT-I. Glucose induces cell growth and lactose synthesis in dairy cow mammary epithelial cells. Protein kinase B alpha acts as a regulator of metabolism in dairy cow mammary gland to mediate the effects of glucose on lactose synthesis.

  4. Direct modulation of T-box riboswitch-controlled transcription by protein synthesis inhibitors

    PubMed Central

    Stamatopoulou, Vassiliki; Apostolidi, Maria; Li, Shuang; Lamprinou, Katerina; Papakyriakou, Athanasios

    2017-01-01

    Abstract Recently, it was discovered that exposure to mainstream antibiotics activate numerous bacterial riboregulators that control antibiotic resistance genes including metabolite-binding riboswitches and other transcription attenuators. However, the effects of commonly used antibiotics, many of which exhibit RNA-binding properties, on the widespread T-box riboswitches, remain unknown. In Staphylococcus aureus, a species-specific glyS T-box controls the supply of glycine for both ribosomal translation and cell wall synthesis, making it a promising target for next-generation antimicrobials. Here, we report that specific protein synthesis inhibitors could either significantly increase T-box-mediated transcription antitermination, while other compounds could suppress it, both in vitro and in vivo. In-line probing of the full-length T-box combined with molecular modelling and docking analyses suggest that the antibiotics that promote transcription antitermination stabilize the T-box:tRNA complex through binding specific positions on stem I and the Staphylococcal-specific stem Sa. By contrast, the antibiotics that attenuate T-box transcription bind to other positions on stem I and do not interact with stem Sa. Taken together, our results reveal that the transcription of essential genes controlled by T-box riboswitches can be directly modulated by commonly used protein synthesis inhibitors. These findings accentuate the regulatory complexities of bacterial response to antimicrobials that involve multiple riboregulators. PMID:28973457

  5. Biological Differences between Hanwoo longissimus dorsi and semimembranosus Muscles in Collagen Synthesis of Fibroblasts.

    PubMed

    Subramaniyan, Sivakumar Allur; Hwang, Inho

    2017-01-01

    Variations in physical toughness between muscles and animals are a function of growth rate and extend of collagen type I and III. The current study was designed to investigate the ability of growth rate, collagen concentration, collagen synthesizing and degrading genes on two different fibroblast cells derived from Hanwoo m. longissimus dorsi (LD) and semimembranosus (SM) muscles. Fibroblast cell survival time was determined for understanding about the characteristics of proliferation rate between the two fibroblasts. We examined the collagen concentration and protein expression of collagen type I and III between the two fibroblasts. The mRNA expression of collagen synthesis and collagen degrading genes to elucidate the molecular mechanisms on toughness and tenderness through collagen production between the two fibroblast cells. From our results the growth rate, collagen content and protein expression of collagen type I and III were significantly higher in SM than LD muscle fibroblast. The mRNA expressions of collagen synthesized genes were increased whereas the collagen degrading genes were decreased in SM than LD muscle. Results from confocal microscopical investigation showed increased fluorescence of collagen type I and III appearing stronger in SM than LD muscle fibroblast. These results implied that the locomotion muscle had higher fibroblast growth rate, leads to produce more collagen, and cause tougher than positional muscle. This in vitro study mirrored that background toughness of various muscles in live animal is likely associated with fibroblast growth pattern, collagen synthesis and its gene expression.

  6. Macrophage mediated PCI enhanced gene-directed enzyme prodrug therapy

    NASA Astrophysics Data System (ADS)

    Christie, Catherine E.; Zamora, Genesis; Kwon, Young J.; Berg, Kristian; Madsen, Steen J.; Hirschberg, Henry

    2015-03-01

    Photochemical internalization (PCI) is a photodynamic therapy-based approach for improving the delivery of macromolecules and genes into the cell cytosol. Prodrug activating gene therapy (suicide gene therapy) employing the transduction of the E. coli cytosine deaminase (CD) gene into tumor cells, is a promising method. Expression of this gene within the target cell produces an enzyme that converts the nontoxic prodrug, 5-FC, to the toxic metabolite, 5-fluorouracil (5-FU). 5-FC may be particularly suitable for brain tumors, because it can readily cross the bloodbrain barrier (BBB). In addition the bystander effect, where activated drug is exported from the transfected cancer cells into the tumor microenvironment, plays an important role by inhibiting growth of adjacent tumor cells. Tumor-associated macrophages (TAMs) are frequently found in and around glioblastomas. Monocytes or macrophages (Ma) loaded with drugs, nanoparticles or photosensitizers could therefore be used to target tumors by local synthesis of chemo attractive factors. The basic concept is to combine PCI, to enhance the ex vivo transfection of a suicide gene into Ma, employing specially designed core/shell NP as gene carrier.

  7. Lysosomes are involved in induction of steroidogenic acute regulatory protein (StAR) gene expression and progesterone synthesis through low-density lipoprotein in cultured bovine granulosa cells.

    PubMed

    Zhang, Jin-You; Wu, Yi; Zhao, Shuan; Liu, Zhen-Xing; Zeng, Shen-Ming; Zhang, Gui-Xue

    2015-09-15

    Progesterone is an important steroid hormone in the regulation of the bovine estrous cycle. The steroidogenic acute regulatory protein (StAR) is an indispensable component for transporting cholesterol to the inner mitochondrial membrane, which is one of the rate-limiting steps for progesterone synthesis. Low-density lipoprotein (LDL) supplies cholesterol precursors for progesterone formation, and the lysosomal degradation pathway of LDL is essential for progesterone biosynthesis in granulosa cells after ovulation. However, it is currently unknown how LDL and lysosomes coordinate the expression of the StAR gene and progesterone production in bovine granulosa cells. Here, we investigated the role of lysosomes in LDL-treated bovine granulosa cells. Our results reported that LDL induced expression of StAR messenger RNA and protein as well as expression of cholesterol side-chain cleavage cytochrome P-450 (CYP11A1) messenger RNA and progesterone production in cultured bovine granulosa cells. The number of lysosomes in the granulosa cells was also significantly increased by LDL; whereas the lysosomal inhibitor, chloroquine, strikingly abolished these LDL-induced effects. Our results indicate that LDL promotes StAR expression, synthesis of progesterone, and formation of lysosomes in bovine granulosa cells, and lysosomes participate in the process by releasing free cholesterol from hydrolyzed LDL. Copyright © 2015 Elsevier Inc. All rights reserved.

  8. Polycation-based gene therapy: current knowledge and new perspectives.

    PubMed

    Tiera, Marcio J; Shi, Qin; Winnik, Françoise M; Fernandes, Julio C

    2011-08-01

    At present, gene transfection insufficient efficiency is a major drawback of non-viral gene therapy. The 2 main types of delivery systems deployed in gene therapy are based on viral or non-viral gene carriers. Several non-viral modalities can transfer foreign genetic material into the human body. To do so, polycation-based gene delivery methods must achieve sufficient efficiency in the transportation of therapeutic genes across various extracellular and intracellular barriers. These barriers include interactions with blood components, vascular endothelial cells and uptake by the reticuloendothelial system. Furthermore, the degradation of therapeutic DNA by serum nucleases is a potential obstacle for functional delivery to target cells. Cationic polymers constitute one of the most promising approaches to the use of viral vectors for gene therapy. A better understanding of the mechanisms by which DNA can escape from endosomes and traffic to enter the nucleus has triggered new strategies of synthesis and has revitalized research into new polycation-based systems. The objective of this review is to address the state of the art in gene therapy with synthetic and natural polycations and the latest advances to improve gene transfer efficiency in cells.

  9. Lead suppresses chimeric human transferrin gene expression in transgenic mouse liver.

    PubMed

    Adrian, G S; Rivera, E V; Adrian, E K; Lu, Y; Buchanan, J; Herbert, D C; Weaker, F J; Walter, C A; Bowman, B H

    1993-01-01

    The major iron-transport protein in serum is transferrin (TF) which also has the capacity to transport other metals. This report presents evidence that synthesis of human TF can be regulated by the metal lead. Transgenic mice carrying chimeric human TF-chloramphenicol acetyl transferase (CAT) genes received lead or sodium salts by intraperitoneal injections or in drinking water. Transgene expression in liver was suppressed 31 to 50% by the lead treatment. Lead regulates human TF transgenes at the mRNA level since liver CAT enzyme activity, CAT protein, and TF-CAT mRNA levels were all suppressed. The dosages of lead did not alter synthesis of the other liver proteins, mouse TF and albumin, as measured by Northern blot analysis of total liver RNA and rocket immunoelectrophoresis of mouse sera. Moderate levels of lead exposure were sufficient to evoke the human TF transgene response; blood lead levels in mice that received lead acetate in drinking water ranged from 30 micrograms/dl to 56 micrograms/dl. In addition to suppressing expression of TF-CAT genes in transgenic mice, lead also suppressed synthesis of TF protein in cultured human hepatoma HepG2 cells. The regulation of human TF apparently differs from the regulation of mouse TF which is unresponsive to lead exposure.

  10. Salmon silk genes contribute to the elucidation of the flavone pathway in maize (Zea mays L.).

    PubMed

    McMullen, M D; Kross, H; Snook, M E; Cortés-Cruz, M; Houchins, K E; Musket, T A; Coe, E H

    2004-01-01

    We utilized maize (Zea mays L.) lines expressing the salmon silk (sm) phenotype, quantitative trait locus analysis, and analytical chemistry of flavone compounds to establish the order of undefined steps in the synthesis of the flavone maysin in maize silks. In addition to the previously described sm1 gene, we identified a second sm locus, which we designate sm2, located on the long arm of maize chromosome 2. Our data indicate that the sm1 gene encodes or controls a glucose modification enzyme and sm2 encodes or controls a rhamnosyl transferase. The order of intermediates in the late steps of maysin synthesis was established as luteolin --> isoorientin --> rhamnosylisoorientin --> maysin. Copyright 2004 The American Genetic Association

  11. A Heme-responsive Regulator Controls Synthesis of Staphyloferrin B in Staphylococcus aureus*♦

    PubMed Central

    Laakso, Holly A.; Marolda, Cristina L.; Pinter, Tyler B.; Stillman, Martin J.; Heinrichs, David E.

    2016-01-01

    Staphylococcus aureus possesses a multitude of mechanisms by which it can obtain iron during growth under iron starvation conditions. It expresses an effective heme acquisition system (the iron-regulated surface determinant system), it produces two carboxylate-type siderophores staphyloferrin A and staphyloferrin B (SB), and it expresses transporters for many other siderophores that it does not synthesize. The ferric uptake regulator protein regulates expression of genes encoding all of these systems. Mechanisms of fine-tuning expression of iron-regulated genes, beyond simple iron regulation via ferric uptake regulator, have not been uncovered in this organism. Here, we identify the ninth gene of the sbn operon, sbnI, as encoding a ParB/Spo0J-like protein that is required for expression of genes in the sbn operon from sbnD onward. Expression of sbnD–I is drastically decreased in an sbnI mutant, and the mutant does not synthesize detectable SB during early phases of growth. Thus, SB-mediated iron acquisition is impaired in an sbnI mutant strain. We show that the protein forms dimers and tetramers in solution and binds to DNA within the sbnC coding region. Moreover, we show that SbnI binds heme and that heme-bound SbnI does not bind DNA. Finally, we show that providing exogenous heme to S. aureus growing in an iron-free medium results in delayed synthesis of SB. This is the first study in S. aureus that identifies a DNA-binding regulatory protein that senses heme to control gene expression for siderophore synthesis. PMID:26534960

  12. Synthetic Gene Network with Positive Feedback Loop Amplifies Cellulase Gene Expression in Neurospora crassa.

    PubMed

    Matsu-Ura, Toru; Dovzhenok, Andrey A; Coradetti, Samuel T; Subramanian, Krithika R; Meyer, Daniel R; Kwon, Jaesang J; Kim, Caleb; Salomonis, Nathan; Glass, N Louise; Lim, Sookkyung; Hong, Christian I

    2018-05-18

    Second-generation or lignocellulosic biofuels are a tangible source of renewable energy, which is critical to combat climate change by reducing the carbon footprint. Filamentous fungi secrete cellulose-degrading enzymes called cellulases, which are used for production of lignocellulosic biofuels. However, inefficient production of cellulases is a major obstacle for industrial-scale production of second-generation biofuels. We used computational simulations to design and implement synthetic positive feedback loops to increase gene expression of a key transcription factor, CLR-2, that activates a large number of cellulases in a filamentous fungus, Neurospora crassa. Overexpression of CLR-2 reveals previously unappreciated roles of CLR-2 in lignocellulosic gene network, which enabled simultaneous induction of approximately 50% of 78 lignocellulosic degradation-related genes in our engineered Neurospora strains. This engineering results in dramatically increased cellulase activity due to cooperative orchestration of multiple enzymes involved in the cellulose degradation pathway. Our work provides a proof of principle in utilizing mathematical modeling and synthetic biology to improve the efficiency of cellulase synthesis for second-generation biofuel production.

  13. Synthesis of the human insulin gene. Part III. Chemical synthesis of 5'-phosphomonoester group containing deoxyribooligonucleotides by the modified phosphotriester method. Its application in the synthesis of seventeen fragments constituting human insulin C-chain DNA.

    PubMed Central

    Hsiung, H M; Sung, W L; Brousseau, R; Wu, R; Narang, S A

    1980-01-01

    A method for phosphorylating a protected deoxyribooligonucleotide containing phosphotriester linkages is described. The modified phosphotriester method of chemical synthesis is further refined in terms of (i) better final deblocking conditions and (ii) new chromatography solvent systems containing acetone-water-ethyl acetate to yield pure oligomers. The effectiveness of these improvements has been demonstrated in the rapid and efficient synthesis of seventeen fragments constituting the sequence of human insulin C-chain DNA. Images PMID:7008029

  14. Protein phosphatase 2ACα gene knock-out results in cortical atrophy through activating hippo cascade in neuronal progenitor cells.

    PubMed

    Liu, Bo; Sun, Li-Hua; Huang, Yan-Fei; Guo, Li-Jun; Luo, Li-Shu

    2018-02-01

    Protein phosphatase 2ACα (PP2ACα), a vital member of the protein phosphatase family, has been studied primarily as a regulator for the development, growth and protein synthesis of a lot of cell types. Dysfunction of PP2ACα protein results in neurodegenerative disease; however, this finding has not been directly confirmed in the mouse model with PP2ACα gene knock-out. Therefore, in this study presented here, we generated the PP2ACα gene knock-out mouse model by the Cre-loxP targeting gene system, with the purpose to directly observe the regulatory role of PP2ACα gene in the development of mouse's cerebral cortex. We observe that knocking-out PP2ACα gene in the central nervous system (CNS) results in cortical neuronal shrinkage, synaptic plasticity impairments, and learning/memory deficits. Further study reveals that PP2ACα gene knock-out initiates Hippo cascade in cortical neuroprogenitor cells (NPCs), which blocks YAP translocation into the nuclei of NPCs. Notably, p73, directly targeted by Hippo cascade, can bind to the promoter of glutaminase2 (GLS2) that plays a dominant role in the enzymatic regulation of glutamate/glutamine cycle. Finally, we find that PP2ACα gene knock-out inhibits the glutamine synthesis through up-regulating the activity of phosphorylated-p73 in cortical NPCs. Taken together, it concludes that PP2ACα critically supports cortical neuronal growth and cognitive function via regulating the signaling transduction of Hippo-p73 cascade. And PP2ACα indirectly modulates the glutamine synthesis of cortical NPCs through targeting p73 that plays a direct transcriptional regulatory role in the gene expression of GLS2. Copyright © 2017 Elsevier Ltd. All rights reserved.

  15. Gene network polymorphism is the raw material of natural selection: the selfish gene network hypothesis.

    PubMed

    Boldogköi, Zsolt

    2004-09-01

    Population genetics, the mathematical theory of modern evolutionary biology, defines evolution as the alteration of the frequency of distinct gene variants (alleles) differing in fitness over the time. The major problem with this view is that in gene and protein sequences we can find little evidence concerning the molecular basis of phenotypic variance, especially those that would confer adaptive benefit to the bearers. Some novel data, however, suggest that a large amount of genetic variation exists in the regulatory region of genes within populations. In addition, comparison of homologous DNA sequences of various species shows that evolution appears to depend more strongly on gene expression than on the genes themselves. Furthermore, it has been demonstrated in several systems that genes form functional networks, whose products exhibit interrelated expression profiles. Finally, it has been found that regulatory circuits of development behave as evolutionary units. These data demonstrate that our view of evolution calls for a new synthesis. In this article I propose a novel concept, termed the selfish gene network hypothesis, which is based on an overall consideration of the above findings. The major statements of this hypothesis are as follows. (1) Instead of individual genes, gene networks (GNs) are responsible for the determination of traits and behaviors. (2) The primary source of microevolution is the intraspecific polymorphism in GNs and not the allelic variation in either the coding or the regulatory sequences of individual genes. (3) GN polymorphism is generated by the variation in the regulatory regions of the component genes and not by the variance in their coding sequences. (4) Evolution proceeds through continuous restructuring of the composition of GNs rather than fixing of specific alleles or GN variants.

  16. Bacterial synthesis of N-hydroxycinnamoyl phenethylamines and tyramines.

    PubMed

    Sim, Geun Young; Yang, So-Mi; Kim, Bong Gyu; Ahn, Joong-Hoon

    2015-10-13

    Hydroxycinnamic acids (HCAs) including cinnamic acid, p-coumaric acid, caffeic acid, and ferulic acid, are C6-C3 phenolic compounds that are synthesized via the phenylpropanoid pathway. HCAs serve as precursors for the synthesis of lignins, flavonoids, anthocyanins, stilbenes and other phenolic compounds. HCAs can also be conjugated with diverse compounds including quinic acid, hydroxyl acids, and amines. Hydroxycinnamoyl (HC) amine conjugates such as N-HC tyramines and N-HC phenethylamines have been considered as potential starting materials to develop antiviral and anticancer drugs. We synthesized N-HC tyramines and N-HC phenethylamines using three different approaches in Escherichia coli. Five N-HC phenethylamines and eight N-HC tyramines were synthesized by feeding HCAs and phenethylamine or tyramine to E. coli harboring 4CL (encoding 4-coumarate CoA:ligase) and either SHT (encoding phenethylamine N-HC transferase) or THT (encoding tyramine N-HC transferase). Also, N-(p-coumaroyl) phenethylamine and N-(p-coumaroyl) tyramine were synthesized from p-coumaric acid using E. coli harboring an additional gene, PDC (encoding phenylalanine decarboxylase) or TDC (encoding tyrosine decarboxylase). Finally, we synthesized N-(p-coumaroyl) phenethylamine and N-(p-coumaroyl) tyramine from glucose by reconstructing the metabolic pathways for their synthesis in E. coli. Productivity was maximized by optimizing the cell concentration and incubation temperature. We reconstructed the metabolic pathways for synthesis of N-HC tyramines and N-HC phenethylamines by expressing several genes including 4CL, TST or SHT, PDC or TDC, and TAL (encoding tyrosine ammonia lyase) and engineering the shikimate metabolic pathway to increase endogenous tyrosine concentration in E. coli. Approximately 101.9 mg/L N-(p-coumaroyl) phenethylamine and 495.4 mg/L N-(p-coumaroyl) tyramine were synthesized from p-coumaric acid. Furthermore, 152.5 mg/L N-(p-coumaroyl) phenethylamine and 94.7 mg/L N

  17. Chilling-induced tomato flavor loss is associated with altered volatile synthesis and transient changes in DNA methylation

    PubMed Central

    Zhang, Bo; Tieman, Denise M.; Jiao, Chen; Xu, Yimin; Chen, Kunsong; Fei, Zhangjun; Giovannoni, James J.; Klee, Harry J.

    2016-01-01

    Commercial tomatoes are widely perceived by consumers as lacking flavor. A major part of that problem is a postharvest handling system that chills fruit. Low-temperature storage is widely used to slow ripening and reduce decay. However, chilling results in loss of flavor. Flavor-associated volatiles are sensitive to temperatures below 12 °C, and their loss greatly reduces flavor quality. Here, we provide a comprehensive view of the effects of chilling on flavor and volatiles associated with consumer liking. Reduced levels of specific volatiles are associated with significant reductions in transcripts encoding key volatile synthesis enzymes. Although expression of some genes critical to volatile synthesis recovers after a return to 20 °C, some genes do not. RNAs encoding transcription factors essential for ripening, including RIPENING INHIBITOR (RIN), NONRIPENING, and COLORLESS NONRIPENING are reduced in response to chilling and may be responsible for reduced transcript levels in many downstream genes during chilling. Those reductions are accompanied by major changes in the methylation status of promoters, including RIN. Methylation changes are transient and may contribute to the fidelity of gene expression required to provide maximal beneficial environmental response with minimal tangential influence on broader fruit developmental biology. PMID:27791156

  18. Chilling-induced tomato flavor loss is associated with altered volatile synthesis and transient changes in DNA methylation.

    PubMed

    Zhang, Bo; Tieman, Denise M; Jiao, Chen; Xu, Yimin; Chen, Kunsong; Fei, Zhangjun; Giovannoni, James J; Klee, Harry J

    2016-11-01

    Commercial tomatoes are widely perceived by consumers as lacking flavor. A major part of that problem is a postharvest handling system that chills fruit. Low-temperature storage is widely used to slow ripening and reduce decay. However, chilling results in loss of flavor. Flavor-associated volatiles are sensitive to temperatures below 12 °C, and their loss greatly reduces flavor quality. Here, we provide a comprehensive view of the effects of chilling on flavor and volatiles associated with consumer liking. Reduced levels of specific volatiles are associated with significant reductions in transcripts encoding key volatile synthesis enzymes. Although expression of some genes critical to volatile synthesis recovers after a return to 20 °C, some genes do not. RNAs encoding transcription factors essential for ripening, including RIPENING INHIBITOR (RIN), NONRIPENING, and COLORLESS NONRIPENING are reduced in response to chilling and may be responsible for reduced transcript levels in many downstream genes during chilling. Those reductions are accompanied by major changes in the methylation status of promoters, including RIN Methylation changes are transient and may contribute to the fidelity of gene expression required to provide maximal beneficial environmental response with minimal tangential influence on broader fruit developmental biology.

  19. Regulatory interplay of Cockayne syndrome B ATPase and stress-response gene ATF3 following genotoxic stress.

    PubMed

    Kristensen, Ulrik; Epanchintsev, Alexey; Rauschendorf, Marc-Alexander; Laugel, Vincent; Stevnsner, Tinna; Bohr, Vilhelm A; Coin, Frédéric; Egly, Jean-Marc

    2013-06-18

    Cockayne syndrome type B ATPase (CSB) belongs to the SwItch/Sucrose nonfermentable family. Its mutations are linked to Cockayne syndrome phenotypes and classically are thought to be caused by defects in transcription-coupled repair, a subtype of DNA repair. Here we show that after UV-C irradiation, immediate early genes such as activating transcription factor 3 (ATF3) are overexpressed. Although the ATF3 target genes, including dihydrofolate reductase (DHFR), were unable to recover RNA synthesis in CSB-deficient cells, transcription was restored rapidly in normal cells. There the synthesis of DHFR mRNA restarts on the arrival of RNA polymerase II and CSB and the subsequent release of ATF3 from its cAMP response element/ATF target site. In CSB-deficient cells ATF3 remains bound to the promoter, thereby preventing the arrival of polymerase II and the restart of transcription. Silencing of ATF3, as well as stable introduction of wild-type CSB, restores RNA synthesis in UV-irradiated CSB cells, suggesting that, in addition to its role in DNA repair, CSB activity likely is involved in the reversal of inhibitory properties on a gene-promoter region. We present strong experimental data supporting our view that the transcriptional defects observed in UV-irradiated CSB cells are largely the result of a permanent transcriptional repression of a certain set of genes in addition to some defect in DNA repair.

  20. Gene regulation of UDP-galactose synthesis and transport: Potential rate limiting processes in initiation of milk production in humans

    USDA-ARS?s Scientific Manuscript database

    Lactose synthesis is believed to be rate-limiting for milk production. However, understanding the molecular events controlling lactose synthesis in humans is still rudimentary. We have utilized our established model of the RNA isolated from breast milk fat globule from 7 healthy exclusively breastfe...

  1. Contribution of the Pmra Promoter to Expression of Genes in the Escherichia coli mra Cluster of Cell Envelope Biosynthesis and Cell Division Genes

    PubMed Central

    Mengin-Lecreulx, Dominique; Ayala, Juan; Bouhss, Ahmed; van Heijenoort, Jean; Parquet, Claudine; Hara, Hiroshi

    1998-01-01

    Recently, a promoter for the essential gene ftsI, which encodes penicillin-binding protein 3 of Escherichia coli, was precisely localized 1.9 kb upstream from this gene, at the beginning of the mra cluster of cell division and cell envelope biosynthesis genes (H. Hara, S. Yasuda, K. Horiuchi, and J. T. Park, J. Bacteriol. 179:5802–5811, 1997). Disruption of this promoter (Pmra) on the chromosome and its replacement by the lac promoter (Pmra::Plac) led to isopropyl-β-d-thiogalactopyranoside (IPTG)-dependent cells that lysed in the absence of inducer, a defect which was complemented only when the whole region from Pmra to ftsW, the fifth gene downstream from ftsI, was provided in trans on a plasmid. In the present work, the levels of various proteins involved in peptidoglycan synthesis and cell division were precisely determined in cells in which Pmra::Plac promoter expression was repressed or fully induced. It was confirmed that the Pmra promoter is required for expression of the first nine genes of the mra cluster: mraZ (orfC), mraW (orfB), ftsL (mraR), ftsI, murE, murF, mraY, murD, and ftsW. Interestingly, three- to sixfold-decreased levels of MurG and MurC enzymes were observed in uninduced Pmra::Plac cells. This was correlated with an accumulation of the nucleotide precursors UDP–N-acetylglucosamine and UDP–N-acetylmuramic acid, substrates of these enzymes, and with a depletion of the pool of UDP–N-acetylmuramyl pentapeptide, resulting in decreased cell wall peptidoglycan synthesis. Moreover, the expression of ftsZ, the penultimate gene from this cluster, was significantly reduced when Pmra expression was repressed. It was concluded that the transcription of the genes located downstream from ftsW in the mra cluster, from murG to ftsZ, is also mainly (but not exclusively) dependent on the Pmra promoter. PMID:9721276

  2. Bacillus subtilis sporulation: regulation of gene expression and control of morphogenesis.

    PubMed Central

    Errington, J

    1993-01-01

    Bacillus subtilis sporulation is an adaptive response to nutritional stress and involves the differential development of two cells. In the last 10 years or so, virtually all of the regulatory genes controlling sporulation, and many genes directing the structural and morphological changes that accompany sporulation, have been cloned and characterized. This review describes our current knowledge of the program of gene expression during sporulation and summarizes what is known about the functions of the genes that determine the specialized biochemical and morphological properties of sporulating cells. Most steps in the genetic program are controlled by transcription factors that have been characterized in vitro. Two sporulation-specific sigma factors, sigma E and sigma F, appear to segregate at septation, effectively determining the differential development of the mother cell and prespore. Later, each sigma is replaced by a second cell-specific sigma factor, sigma K in the mother cell and sigma G in the prespore. The synthesis of each sigma factor is tightly regulated at both the transcriptional and posttranslational levels. Usually this regulation involves an intercellular interaction that coordinates the developmental programmes of the two cells. At least two other transcription factors fine tune the timing and levels of expression of genes in the sigma E and sigma K regulons. The controlled synthesis of the sigma factors and other transcription factors leads to a spatially and temporally ordered program of gene expression. The gene products made during each successive stage of sporulation help to bring about a sequence of gross morphological changes and biochemical adaptations. The formation of the asymmetric spore septum, engulfment of the prespore by the mother cell, and formation of the spore core, cortex, and coat are described. The importance of these structures in the development of the resistance, dormancy, and germination properties of the spore is assessed

  3. Discovery of Antibiotics-derived Polymers for Gene Delivery using Combinatorial Synthesis and Cheminformatics Modeling

    PubMed Central

    Potta, Thrimoorthy; Zhen, Zhuo; Grandhi, Taraka Sai Pavan; Christensen, Matthew D.; Ramos, James; Breneman, Curt M.; Rege, Kaushal

    2014-01-01

    We describe the combinatorial synthesis and cheminformatics modeling of aminoglycoside antibiotics-derived polymers for transgene delivery and expression. Fifty-six polymers were synthesized by polymerizing aminoglycosides with diglycidyl ether cross-linkers. Parallel screening resulted in identification of several lead polymers that resulted in high transgene expression levels in cells. The role of polymer physicochemical properties in determining efficacy of transgene expression was investigated using Quantitative Structure-Activity Relationship (QSAR) cheminformatics models based on Support Vector Regression (SVR) and ‘building block’ polymer structures. The QSAR model exhibited high predictive ability, and investigation of descriptors in the model, using molecular visualization and correlation plots, indicated that physicochemical attributes related to both, aminoglycosides and diglycidyl ethers facilitated transgene expression. This work synergistically combines combinatorial synthesis and parallel screening with cheminformatics-based QSAR models for discovery and physicochemical elucidation of effective antibiotics-derived polymers for transgene delivery in medicine and biotechnology. PMID:24331709

  4. Synthesis and Characterization of Photoactivatable Doxycycline Analogues Bearing Two-Photon-Sensitive Photoremovable Groups Suitable for Light-Induced Gene Expression.

    PubMed

    Goegan, Bastien; Terzi, Firat; Bolze, Frédéric; Cambridge, Sidney; Specht, Alexandre

    2018-06-18

    We report the synthesis and photolytic properties of caged 9-aminodoxycycline derivatives modified with 2-{4'-bis-[2-(2methoxyethoxy)ethyl]-4-nitrobiphenyl-3-yl}prop-1-oxy (EANBP) and PEG7-ylated (7-diethylamino-2-oxo-2H-chromen-4-yl)methyl (PEG7-DEACM) groups. 9-Aminodoxycycline is a tetracycline analogue capable of activating transcription through the inducible TetOn transgene expression system and can be regioselectively coupled to two-photon-sensitive photo-removable protecting groups by carbamoylation. The EANBP-based caged 9-aminodoxycycline showed complex photochemical reactions but did release 10 % of 9-aminodoxycycline. However, 9-(PEG7-DEACMamino)doxycycline exhibited excellent photolysis efficiency at 405 nm with quantitative release of 9-aminodoxycycline and a 0.21 uncaging quantum yield. Thanks to the good two-photon sensitivity of the DEACM chromophore, 9-aminodoxycycline release by two-photon photolysis is possible, with calculated action cross-sections of up to 4.0 GM at 740 nm. Therefore, 9-(PEG7-DEACMamino)doxycycline represents a very attractive tool for the development of a light-induced gene expression method in living cells. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Transcriptomic analysis reveals differential gene expression in response to aluminium in common bean (Phaseolus vulgaris) genotypes

    PubMed Central

    Eticha, Dejene; Zahn, Marc; Bremer, Melanie; Yang, Zhongbao; Rangel, Andrés F.; Rao, Idupulapati M.; Horst, Walter J.

    2010-01-01

    Background and Aims Aluminium (Al) resistance in common bean is known to be due to exudation of citrate from the root after a lag phase, indicating the induction of gene transcription and protein synthesis. The aims of this study were to identify Al-induced differentially expressed genes and to analyse the expression of candidate genes conferring Al resistance in bean. Methods The suppression subtractive hybridization (SSH) method was used to identify differentially expressed genes in an Al-resistant bean genotype (‘Quimbaya’) during the induction period. Using quantitative real-time PCR the expression patterns of selected genes were compared between an Al-resistant and an Al-sensitive genotype (‘VAX 1’) treated with Al for up to 24 h. Key Results Short-term Al treatment resulted in up-regulation of stress-induced genes and down-regulation of genes involved in metabolism. However, the expressions of genes encoding enzymes involved in citrate metabolism were not significantly affected by Al. Al treatment dramatically increased the expression of common bean expressed sequence tags belonging to the citrate transporter gene family MATE (multidrug and toxin extrusion family protein) in both the Al-resistant and -sensitive genotype in close agreement with Al-induced citrate exudation. Conclusions The expression of a citrate transporter MATE gene is crucial for citrate exudation in common bean. However, although the expression of the citrate transporter is a prerequisite for citrate exudation, genotypic Al resistance in common bean particularly depends on the capacity to sustain the synthesis of citrate for maintaining the cytosolic citrate pool that enables exudation. PMID:20237115

  6. Controlling microbial PHB synthesis via CRISPRi.

    PubMed

    Li, Dan; Lv, Li; Chen, Jin-Chun; Chen, Guo-Qiang

    2017-07-01

    Microbial polyhydroxyalkanoates (PHA) are a family of biopolyesters with properties similar to petroleum plastics such as polyethylene (PE) or polypropylene (PP). Polyhydroxybutyrate (PHB) is the most common PHA known so far. Clustered regularly interspaced short palindromic repeats interference (CRISPRi), a technology recently developed to control gene expression levels in eukaryotic and prokaryotic genomes, was employed to regulate PHB synthase activity influencing PHB synthesis. Recombinant Escherichia coli harboring an operon of three PHB synthesis genes phaCAB cloned from Ralstonia eutropha, was transformed with various single guided RNA (sgRNA with its guide sequence of 20-23 bases) able to bind to various locations of the PHB synthase PhaC, respectively. Depending on the binding location and the number of sgRNA on phaC, CRISPRi was able to control the phaC transcription and thus PhaC activity. It was found that PHB content, molecular weight, and polydispersity were approximately in direct and reverse proportion to the PhaC activity, respectively. The higher the PhaC activity, the more the intracellular PHB accumulation, yet the less the PHB molecular weights and the wider the polydispersity. This study allowed the PHB contents to be controlled in the ranges of 1.47-75.21% cell dry weights, molecular weights from 2 to 6 millions Dalton and polydispersity of 1.2 to 1.43 in 48 h shake flask studies. This result will be very important for future development of ultrahigh molecular weight PHA useful to meet high strength application requirements.

  7. Increased de novo ceramide synthesis and accumulation in failing myocardium

    PubMed Central

    Ji, Ruiping; Akashi, Hirokazu; Drosatos, Konstantinos; Liao, Xianghai; Jiang, Hongfeng; Kennel, Peter J.; Brunjes, Danielle L.; Castillero, Estibaliz; Zhang, Xiaokan; Deng, Lily Y.; Homma, Shunichi; George, Isaac J.; Takayama, Hiroo; Naka, Yoshifumi; Goldberg, Ira J.

    2017-01-01

    Abnormal lipid metabolism may contribute to myocardial injury and remodeling. To determine whether accumulation of very long–chain ceramides occurs in human failing myocardium, we analyzed myocardial tissue and serum from patients with severe heart failure (HF) undergoing placement of left ventricular assist devices and controls. Lipidomic analysis revealed increased total and very long–chain ceramides in myocardium and serum of patients with advanced HF. After unloading, these changes showed partial reversibility. Following myocardial infarction (MI), serine palmitoyl transferase (SPT), the rate-limiting enzyme of the de novo pathway of ceramide synthesis, and ceramides were found increased. Blockade of SPT by the specific inhibitor myriocin reduced ceramide accumulation in ischemic cardiomyopathy and decreased C16, C24:1, and C24 ceramides. SPT inhibition also reduced ventricular remodeling, fibrosis, and macrophage content following MI. Further, genetic deletion of the SPTLC2 gene preserved cardiac function following MI. Finally, in vitro studies revealed that changes in ceramide synthesis are linked to hypoxia and inflammation. In conclusion, cardiac ceramides accumulate in the failing myocardium, and increased levels are detectable in circulation. Inhibition of de novo ceramide synthesis reduces cardiac remodeling. Thus, increased de novo ceramide synthesis contributes to progressive pathologic cardiac remodeling and dysfunction. PMID:28469091

  8. Effects of castration on expression of lipid metabolism genes in the liver of korean cattle.

    PubMed

    Baik, Myunggi; Nguyen, Trang Hoa; Jeong, Jin Young; Piao, Min Yu; Kang, Hyeok Joong

    2015-01-01

    Castration induces the accumulation of body fat and deposition of intramuscular fat in Korean cattle, resulting in improved beef quality. However, little is known about the metabolic adaptations in the liver following castration. To understand changes in lipid metabolism following castration, hepatic expression levels of lipid metabolism genes were compared between Korean bulls and steers. Steers had higher (p<0.001) hepatic lipids contents and higher (p<0.01) mRNA levels of lipogenic acetyl-CoA carboxylase. This differential gene expression may, in part, contribute to increased hepatic lipid content following the castration of bulls. However, we found no differences in the hepatic expression levels of genes related to triglyceride synthesis (mitochondrial glycerol-3-phosphate acyltransferase, diacylglycerol O-acyltransferase 1 and 2) and fatty acid (FA) oxidation (carnitine palmitoyltransferase 1A, C-4 to C-12 straight chain acyl-CoA dehydrogenase, very long chain acyl-CoA dehydrogenase) between bulls and steers. No differences in gene expression for very-low-density lipoprotein (VLDL) secretion, including apolipoprotein B mRNA and microsomal triglyceride transfer protein (MTTP) protein, were observed in the liver although MTTP mRNA levels were higher in steers compared to bulls. In conclusion, FA synthesis may contribute to increased hepatic lipid deposition in steers following castration. However, hepatic lipid metabolism, including triglyceride synthesis, FA oxidation, and VLDL secretion, was not significantly altered by castration. Our results suggest that hepatic lipid metabolism does not significantly contribute to increased body fat deposition in steers following castration.

  9. Effects of Castration on Expression of Lipid Metabolism Genes in the Liver of Korean Cattle

    PubMed Central

    Baik, Myunggi; Nguyen, Trang Hoa; Jeong, Jin Young; Piao, Min Yu; Kang, Hyeok Joong

    2015-01-01

    Castration induces the accumulation of body fat and deposition of intramuscular fat in Korean cattle, resulting in improved beef quality. However, little is known about the metabolic adaptations in the liver following castration. To understand changes in lipid metabolism following castration, hepatic expression levels of lipid metabolism genes were compared between Korean bulls and steers. Steers had higher (p<0.001) hepatic lipids contents and higher (p<0.01) mRNA levels of lipogenic acetyl-CoA carboxylase. This differential gene expression may, in part, contribute to increased hepatic lipid content following the castration of bulls. However, we found no differences in the hepatic expression levels of genes related to triglyceride synthesis (mitochondrial glycerol-3-phosphate acyltransferase, diacylglycerol O-acyltransferase 1 and 2) and fatty acid (FA) oxidation (carnitine palmitoyltransferase 1A, C-4 to C-12 straight chain acyl-CoA dehydrogenase, very long chain acyl-CoA dehydrogenase) between bulls and steers. No differences in gene expression for very-low-density lipoprotein (VLDL) secretion, including apolipoprotein B mRNA and microsomal triglyceride transfer protein (MTTP) protein, were observed in the liver although MTTP mRNA levels were higher in steers compared to bulls. In conclusion, FA synthesis may contribute to increased hepatic lipid deposition in steers following castration. However, hepatic lipid metabolism, including triglyceride synthesis, FA oxidation, and VLDL secretion, was not significantly altered by castration. Our results suggest that hepatic lipid metabolism does not significantly contribute to increased body fat deposition in steers following castration. PMID:25557684

  10. Hypolipidemic effect of dietary pea proteins: Impact on genes regulating hepatic lipid metabolism.

    PubMed

    Rigamonti, Elena; Parolini, Cinzia; Marchesi, Marta; Diani, Erika; Brambilla, Stefano; Sirtori, Cesare R; Chiesa, Giulia

    2010-05-01

    Controversial data on the lipid-lowering effect of dietary pea proteins have been provided and the mechanisms behind this effect are not completely understood. The aim of the study was to evaluate a possible hypolipidemic activity of a pea protein isolate and to determine whether pea proteins could affect the hepatic lipid metabolism through regulation of genes involved in cholesterol and fatty acid homeostasis. Rats were fed Nath's hypercholesterolemic diets for 28 days, the protein sources being casein or a pea protein isolate from Pisum sativum. After 14 and 28 days of dietary treatment, rats fed pea proteins had markedly lower plasma cholesterol and triglyceride levels than rats fed casein (p<0.05). Pea protein-fed rats displayed higher hepatic mRNA levels of LDL receptor versus those fed casein (p<0.05). Hepatic mRNA concentration of genes involved in fatty acids synthesis, such as fatty acid synthase and stearoyl-CoA desaturase, was lower in pea protein-fed rats than in rats fed casein (p<0.05). In conclusion, the present study demonstrates a marked cholesterol and triglyceride-lowering activity of pea proteins in rats. Moreover, pea proteins appear to affect cellular lipid homeostasis by upregulating genes involved in hepatic cholesterol uptake and by downregulating fatty acid synthesis genes.

  11. The transition from linear to highly branched poly(β-amino ester)s: Branching matters for gene delivery

    PubMed Central

    Zhou, Dezhong; Cutlar, Lara; Gao, Yongsheng; Wang, Wei; O’Keeffe-Ahern, Jonathan; McMahon, Sean; Duarte, Blanca; Larcher, Fernando; Rodriguez, Brian J.; Greiser, Udo; Wang, Wenxin

    2016-01-01

    Nonviral gene therapy holds great promise but has not delivered treatments for clinical application to date. Lack of safe and efficient gene delivery vectors is the major hurdle. Among nonviral gene delivery vectors, poly(β-amino ester)s are one of the most versatile candidates because of their wide monomer availability, high polymer flexibility, and superior gene transfection performance both in vitro and in vivo. However, to date, all research has been focused on vectors with a linear structure. A well-accepted view is that dendritic or branched polymers have greater potential as gene delivery vectors because of their three-dimensional structure and multiple terminal groups. Nevertheless, to date, the synthesis of dendritic or branched polymers has been proven to be a well-known challenge. We report the design and synthesis of highly branched poly(β-amino ester)s (HPAEs) via a one-pot “A2 + B3 + C2”–type Michael addition approach and evaluate their potential as gene delivery vectors. We find that the branched structure can significantly enhance the transfection efficiency of poly(β-amino ester)s: Up to an 8521-fold enhancement in transfection efficiency was observed across 12 cell types ranging from cell lines, primary cells, to stem cells, over their corresponding linear poly(β-amino ester)s (LPAEs) and the commercial transfection reagents polyethyleneimine, SuperFect, and Lipofectamine 2000. Moreover, we further demonstrate that HPAEs can correct genetic defects in vivo using a recessive dystrophic epidermolysis bullosa graft mouse model. Our findings prove that the A2 + B3 + C2 approach is highly generalizable and flexible for the design and synthesis of HPAEs, which cannot be achieved by the conventional polymerization approach; HPAEs are more efficient vectors in gene transfection than the corresponding LPAEs. This provides valuable insight into the development and applications of nonviral gene delivery and demonstrates great prospect for their

  12. Integrated microdroplet-based system for enzyme synthesis and sampling

    NASA Astrophysics Data System (ADS)

    Lapierre, Florian; Best, Michel; Stewart, Robert; Oakeshott, John; Peat, Thomas; Zhu, Yonggang

    2013-12-01

    Microdroplet-based microfluidic devices are emerging as powerful tools for a wide range of biochemical screenings and analyses. Monodispersed aqueous microdroplets from picoliters to nanoliters in volume are generated inside microfluidic channels within an immiscible oil phase. This results in the formation of emulsions which can contain various reagents for chemical reactions and can be considered as discrete bioreactors. In this paper an integrated microfluidic platform for the synthesis, screening and sorting of libraries of an organophosphate degrading enzyme is presented. The variants of the selected enzyme are synthesized from a DNA source using in-vitro transcription and translation method. The synthesis occurs inside water-in-oil emulsion droplets, acting as bioreactors. Through a fluorescence based detection system, only the most efficient enzymes are selected. All the necessary steps from the enzyme synthesis to selection of the best genes (producing the highest enzyme activity) are thus integrated inside a single and unique device. In the second part of the paper, an innovative design of the microfluidic platform is presented, integrating an electronic prototyping board for ensuring the communication between the various components of the platform (camera, syringe pumps and high voltage power supply), resulting in a future handheld, user-friendly, fully automated device for enzyme synthesis, screening and selection. An overview on the capabilities as well as future perspectives of this new microfluidic platform is provided.

  13. Selenium nanoparticles: potential in cancer gene and drug delivery.

    PubMed

    Maiyo, Fiona; Singh, Moganavelli

    2017-05-01

    In recent decades, colloidal selenium nanoparticles have emerged as exceptional selenium species with reported chemopreventative and therapeutic properties. This has sparked widespread interest in their use as a carrier of therapeutic agents with results displaying synergistic effects of selenium with its therapeutic cargo and improved anticancer activity. Functionalization remains a critical step in selenium nanoparticles' development for application in gene or drug delivery. In this review, we highlight recent developments in the synthesis and functionalization strategies of selenium nanoparticles used in cancer drug and gene delivery systems. We also provide an update of recent preclinical studies utilizing selenium nanoparticles in cancer therapeutics.

  14. The sociobiology of genes: the gene's eye view as a unifying behavioural-ecological framework for biological evolution.

    PubMed

    De Tiège, Alexis; Van de Peer, Yves; Braeckman, Johan; Tanghe, Koen B

    2017-11-22

    Although classical evolutionary theory, i.e., population genetics and the Modern Synthesis, was already implicitly 'gene-centred', the organism was, in practice, still generally regarded as the individual unit of which a population is composed. The gene-centred approach to evolution only reached a logical conclusion with the advent of the gene-selectionist or gene's eye view in the 1960s and 1970s. Whereas classical evolutionary theory can only work with (genotypically represented) fitness differences between individual organisms, gene-selectionism is capable of working with fitness differences among genes within the same organism and genome. Here, we explore the explanatory potential of 'intra-organismic' and 'intra-genomic' gene-selectionism, i.e., of a behavioural-ecological 'gene's eye view' on genetic, genomic and organismal evolution. First, we give a general outline of the framework and how it complements the-to some extent-still 'organism-centred' approach of classical evolutionary theory. Secondly, we give a more in-depth assessment of its explanatory potential for biological evolution, i.e., for Darwin's 'common descent with modification' or, more specifically, for 'historical continuity or homology with modular evolutionary change' as it has been studied by evolutionary developmental biology (evo-devo) during the last few decades. In contrast with classical evolutionary theory, evo-devo focuses on 'within-organism' developmental processes. Given the capacity of gene-selectionism to adopt an intra-organismal gene's eye view, we outline the relevance of the latter model for evo-devo. Overall, we aim for the conceptual integration between the gene's eye view on the one hand, and more organism-centred evolutionary models (both classical evolutionary theory and evo-devo) on the other.

  15. Engineering cyanogen synthesis and turnover in cassava (Manihot esculenta).

    PubMed

    Siritunga, Dimuth; Sayre, Richard

    2004-11-01

    Cassava is the major root crop for a quarter billion subsistence farmers in sub-Saharan Africa. It is valued for its ability to grow in adverse environments and the food security it provides. Cassava contains potentially toxic levels of cyanogenic glycosides (linamarin) which protect the plant from herbivory and theft. The cyanogens, including linamarin and its deglycosylated product, acetone cyanohydrin, can be efficiently removed from the root by various processing procedures. Short-cuts in processing, which may occur during famines, can result in only partial removal of cyanogens. Residual cyanogens in cassava foods may cause neurological disorders or paralysis, particularly in nutritionally compromised individuals. To address this problem and to further understand the function of cyanogenic glycosides in cassava, we have generated transgenic cassava in which cyanogenic glycoside synthesis has been selectively inhibited in leaves and roots by antisense expression of CYP79D1/D2 gene fragments. The CYP79D1/D2 genes encode two highly similar cytochrome P450s that catalyze the first-dedicated step in cyanogenic glycoside synthesis. Transgenic plants in which the expression of these genes was selectively inhibited in leaves had substantially reduced (60- 94% reduction) linamarin leaf levels. Surprisingly, these plants also had a greater than a 99% reduction in root linamarin content. In contrast, transgenic plants in which the CYP79D1/D2 transcripts were reduced to non-detectable levels in roots had normal root linamarin levels. These results demonstrate that linamarin synthesized in leaves is transported to the roots and accounts for nearly all of the root linamarin content. Importantly, transgenic plants having reduced leaf and root linamarin content were unable to grow in the absence of reduced nitrogen (NH3) . Cassava roots have previously been demonstrated to have an active cyanide assimilation pathway leading to the synthesis of amino acids. We propose that

  16. New Late Gene, dar, Involved in DNA Replication of Bacteriophage T4 I. Isolation, Characterization, and Genetic Location.

    PubMed

    Wu, J R; Yeh, Y C

    1975-05-01

    Suppressors of gene 59-defective mutants were isolated by screening spontaneous, temperature-sensitive (ts) revertants of the amber mutant, amC5, in gene 59. Six ts revertants were isolated. No gene 59-defective ts recombinant was obtained by crossing each ts revertant with the wild type, T4D. However, suppressors of gene 59-defective mutants were obtained from two of these ts revertants. These suppressor mutants are referred to as dar (DNA arrested restoration). dar mutants specifically restored the abnormalities, both in DNA synthesis and burst size, caused by gene 59-defective mutants to normal levels. It is unlikely that dar mutants are nonsense suppressors since theý failed to suppress amber mutations in 11 other genes investigated. The genetic expression of dar is controlled by gene 55; therefore, dar is a late gene. The genetic location of dar has been mapped between genes 24 and 25, a region contiguous to late genes. dar appears to be another nonessential gene of T4 since burst sizes of dar were almost identical to those of the wild type. Mutations in dar did not affect genetic recombination and repair of UV-damaged DNA, but caused a sensitivity to hydroxyurea in progeny formation. The effect of the dar mutation on host DNA degradation cannot account for its hydroxyurea sensitivity. dar mutant alleles were recessive to the wild-type allele as judged by restoration of arrested DNA synthesis. The possible mechanisms for the suppression of defects in gene 59 are discussed.

  17. The Battle of RNA Synthesis: Virus versus Host.

    PubMed

    Harwig, Alex; Landick, Robert; Berkhout, Ben

    2017-10-21

    Transcription control is the foundation of gene regulation. Whereas a cell is fully equipped for this task, viruses often depend on the host to supply tools for their transcription program. Over the course of evolution and adaptation, viruses have found diverse ways to optimally exploit cellular host processes such as transcription to their own benefit. Just as cells are increasingly understood to employ nascent RNAs in transcription regulation, recent discoveries are revealing how viruses use nascent RNAs to benefit their own gene expression. In this review, we first outline the two different transcription programs used by viruses, i.e., transcription (DNA-dependent) and RNA-dependent RNA synthesis. Subsequently, we use the distinct stages (initiation, elongation, termination) to describe the latest insights into nascent RNA-mediated regulation in the context of each relevant stage.

  18. Expression analysis of cellulose synthase and main cytoskeletal protein genes in flax (Linum usitatissimum L.).

    PubMed

    Galinousky, Dmitry; Padvitski, Tsimafei; Bayer, Galina; Pirko, Yaroslav; Pydiura, Nikolay; Anisimova, Natallia; Nikitinskaya, Tatyana; Khotyleva, Liubov; Yemets, Alla; Kilchevsky, Aleksandr; Blume, Yaroslav

    2017-08-09

    Fiber flax is an important source of natural fiber and a comprehensive model for the plant fiber biogenesis studies. Cellulose-synthase (CesA) and cytoskeletal genes are known to be important for the cell wall biogenesis in general and for the biogenesis of flax fibers in particular. Currently, knowledge about activity of these genes during the plant growth is limited. In this study, we have investigated flax fiber biogenesis by measuring expression of CesA and cytoskeletal genes at two stages of the flax development (seedlings and stems at the rapid growth stage) in several flax subspecies (elongatum, mediterraneum, crepitans). RT-qPCR has been used to quantify the expression of LusСesA1, LusСesA4, LusСesA7, LusСesA6, Actin, and α-Tubulin genes in plant samples. We report that CesA genes responsible for the secondary cell wall synthesis (LusCesA4, LusCesA7) have different expression pattern compared with CesA genes responsible for the primary cell wall synthesis (LusCesA1, LusCesA6): an average expression of LusCesA4 and LusCesA7 genes is relatively high in seedlings and further increases in stems at the rapid growth stage, whereas an average expression of LusCesA1 and LusCesA6 genes decreases. Interestingly, LusCesA1 is the only studied gene with different expression dynamics between the flax subspecies: its expression decreases by 5.2-10.7 folds in elongatum and mediterraneum but does not change in crepitans subspecies when the rapid growth stage and seedlings are compared. The expression of cytoskeleton genes (coding actin and α-tubulin) is relatively stable and significantly higher than the expression of cellulose-synthase genes in all the studied samples. © 2017 International Federation for Cell Biology.

  19. Overproduction of α-Lipoic Acid by Gene Manipulated Escherichia coli

    PubMed Central

    Sun, Yirong; Zhang, Wenbin; Ma, Jincheng; Pang, Hongshen; Wang, Haihong

    2017-01-01

    Alpha-lipoic acid (LA) is an important enzyme cofactor widely used by organisms and is also a natural antioxidant for the treatment of pathologies driven by low levels of endogenous antioxidants. In order to establish a safer and more efficient process for LA production, we developed a new biological method for LA synthesis based on the emerging knowledge of lipoic acid biosynthesis. We first cloned the lipD gene, which encodes the lipoyl domain of the E2 subunit of pyruvate dehydrogenase, allowing high levels of LipD production. Plasmids containing genes for the biosynthesis of LA were subsequently constructed utilizing various vectors and promotors to produce high levels of LA. These plasmids were transformed into the Escherichia coli strain BL21. Octanoic acid (OA) was used as the substrate for LA synthesis. One transformant, YS61, which carried lipD, lplA, and lipA, produced LA at levels over 200-fold greater than the wild-type strain, showing that LA could be produced efficiently in E. coli using genetic engineering methods. PMID:28068366

  20. Identification of a methyltransferase catalyzing the final step of methyl anthranilate synthesis in cultivated strawberry

    USDA-ARS?s Scientific Manuscript database

    Methyl anthranilate (MA) contributes an attractive fruity note to the complex flavor and aroma of strawberry (Fragaria spp.), yet it is rarely found in modern cultivars. The genetic basis for its biosynthesis has not been elucidated. Understanding the specific genes required for its synthesis could ...