46 CFR 161.012-7 - Construction.
Code of Federal Regulations, 2011 CFR
2011-10-01
... 46 Shipping 6 2011-10-01 2011-10-01 false Construction. 161.012-7 Section 161.012-7 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-7 Construction. (a...
46 CFR 161.012-7 - Construction.
Code of Federal Regulations, 2014 CFR
2014-10-01
... 46 Shipping 6 2014-10-01 2014-10-01 false Construction. 161.012-7 Section 161.012-7 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-7 Construction. (a...
46 CFR 161.012-7 - Construction.
Code of Federal Regulations, 2012 CFR
2012-10-01
... 46 Shipping 6 2012-10-01 2012-10-01 false Construction. 161.012-7 Section 161.012-7 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-7 Construction. (a...
46 CFR 161.012-7 - Construction.
Code of Federal Regulations, 2013 CFR
2013-10-01
... 46 Shipping 6 2013-10-01 2013-10-01 false Construction. 161.012-7 Section 161.012-7 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-7 Construction. (a...
46 CFR 164.012-10 - Requirements.
Code of Federal Regulations, 2011 CFR
2011-10-01
... 46 Shipping 6 2011-10-01 2011-10-01 false Requirements. 164.012-10 Section 164.012-10 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL MATERIALS Interior Finishes for Merchant Vessels § 164.012-10 Requirements. (a) For...
46 CFR 164.012-10 - Requirements.
Code of Federal Regulations, 2010 CFR
2010-10-01
... 46 Shipping 6 2010-10-01 2010-10-01 false Requirements. 164.012-10 Section 164.012-10 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL MATERIALS Interior Finishes for Merchant Vessels § 164.012-10 Requirements. (a) For...
46 CFR 164.012-10 - Requirements.
Code of Federal Regulations, 2014 CFR
2014-10-01
... 46 Shipping 6 2014-10-01 2014-10-01 false Requirements. 164.012-10 Section 164.012-10 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL MATERIALS Interior Finishes for Merchant Vessels § 164.012-10 Requirements. (a) For...
48 CFR 35.012 - Patent rights.
Code of Federal Regulations, 2010 CFR
2010-10-01
... 48 Federal Acquisition Regulations System 1 2010-10-01 2010-10-01 false Patent rights. 35.012 Section 35.012 Federal Acquisition Regulations System FEDERAL ACQUISITION REGULATION SPECIAL CATEGORIES OF CONTRACTING RESEARCH AND DEVELOPMENT CONTRACTING 35.012 Patent rights. For a discussion of patent rights, see...
48 CFR 35.012 - Patent rights.
Code of Federal Regulations, 2012 CFR
2012-10-01
... 48 Federal Acquisition Regulations System 1 2012-10-01 2012-10-01 false Patent rights. 35.012 Section 35.012 Federal Acquisition Regulations System FEDERAL ACQUISITION REGULATION SPECIAL CATEGORIES OF CONTRACTING RESEARCH AND DEVELOPMENT CONTRACTING 35.012 Patent rights. For a discussion of patent rights, see...
48 CFR 35.012 - Patent rights.
Code of Federal Regulations, 2013 CFR
2013-10-01
... 48 Federal Acquisition Regulations System 1 2013-10-01 2013-10-01 false Patent rights. 35.012 Section 35.012 Federal Acquisition Regulations System FEDERAL ACQUISITION REGULATION SPECIAL CATEGORIES OF CONTRACTING RESEARCH AND DEVELOPMENT CONTRACTING 35.012 Patent rights. For a discussion of patent rights, see...
48 CFR 35.012 - Patent rights.
Code of Federal Regulations, 2014 CFR
2014-10-01
... 48 Federal Acquisition Regulations System 1 2014-10-01 2014-10-01 false Patent rights. 35.012 Section 35.012 Federal Acquisition Regulations System FEDERAL ACQUISITION REGULATION SPECIAL CATEGORIES OF CONTRACTING RESEARCH AND DEVELOPMENT CONTRACTING 35.012 Patent rights. For a discussion of patent rights, see...
48 CFR 35.012 - Patent rights.
Code of Federal Regulations, 2011 CFR
2011-10-01
... 48 Federal Acquisition Regulations System 1 2011-10-01 2011-10-01 false Patent rights. 35.012 Section 35.012 Federal Acquisition Regulations System FEDERAL ACQUISITION REGULATION SPECIAL CATEGORIES OF CONTRACTING RESEARCH AND DEVELOPMENT CONTRACTING 35.012 Patent rights. For a discussion of patent rights, see...
46 CFR 161.012-9 - Performance.
Code of Federal Regulations, 2010 CFR
2010-10-01
... 46 Shipping 6 2010-10-01 2010-10-01 false Performance. 161.012-9 Section 161.012-9 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-9 Performance. (a) If...
46 CFR 161.012-9 - Performance.
Code of Federal Regulations, 2011 CFR
2011-10-01
... 46 Shipping 6 2011-10-01 2011-10-01 false Performance. 161.012-9 Section 161.012-9 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-9 Performance. (a) If...
46 CFR 161.012-9 - Performance.
Code of Federal Regulations, 2013 CFR
2013-10-01
... 46 Shipping 6 2013-10-01 2013-10-01 false Performance. 161.012-9 Section 161.012-9 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-9 Performance. (a) If...
46 CFR 161.012-9 - Performance.
Code of Federal Regulations, 2014 CFR
2014-10-01
... 46 Shipping 6 2014-10-01 2014-10-01 false Performance. 161.012-9 Section 161.012-9 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-9 Performance. (a) If...
46 CFR 161.012-9 - Performance.
Code of Federal Regulations, 2012 CFR
2012-10-01
... 46 Shipping 6 2012-10-01 2012-10-01 false Performance. 161.012-9 Section 161.012-9 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-9 Performance. (a) If...
46 CFR 161.012-7 - Construction.
Code of Federal Regulations, 2010 CFR
2010-10-01
... 46 Shipping 6 2010-10-01 2010-10-01 false Construction. 161.012-7 Section 161.012-7 Shipping COAST GUARD, DEPARTMENT OF HOMELAND SECURITY (CONTINUED) EQUIPMENT, CONSTRUCTION, AND MATERIALS: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-7 Construction. (a) Each light must be designed to be attached to...
46 CFR 164.012-12 - Recognized laboratory.
Code of Federal Regulations, 2010 CFR
2010-10-01
... 46 Shipping 6 2010-10-01 2010-10-01 false Recognized laboratory. 164.012-12 Section 164.012-12...: SPECIFICATIONS AND APPROVAL MATERIALS Interior Finishes for Merchant Vessels § 164.012-12 Recognized laboratory. A recognized laboratory is one which is operated as a nonprofit public service and is regularly...
46 CFR 164.012-12 - Recognized laboratory.
Code of Federal Regulations, 2011 CFR
2011-10-01
... 46 Shipping 6 2011-10-01 2011-10-01 false Recognized laboratory. 164.012-12 Section 164.012-12...: SPECIFICATIONS AND APPROVAL MATERIALS Interior Finishes for Merchant Vessels § 164.012-12 Recognized laboratory. A recognized laboratory is one which is operated as a nonprofit public service and is regularly...
46 CFR 161.012-11 - Approval tests.
Code of Federal Regulations, 2014 CFR
2014-10-01
... 46 Shipping 6 2014-10-01 2014-10-01 false Approval tests. 161.012-11 Section 161.012-11 Shipping...: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-11 Approval tests. (a) The approval tests described in this section must be conducted for each light submitted for Coast...
46 CFR 161.012-11 - Approval tests.
Code of Federal Regulations, 2010 CFR
2010-10-01
... 46 Shipping 6 2010-10-01 2010-10-01 false Approval tests. 161.012-11 Section 161.012-11 Shipping...: SPECIFICATIONS AND APPROVAL ELECTRICAL EQUIPMENT Personal Flotation Device Lights § 161.012-11 Approval tests. (a) The approval tests described in this section must be conducted for each light submitted for Coast...
30 CFR 220.012 - Overhead allowance.
Code of Federal Regulations, 2010 CFR
2010-07-01
... 30 Mineral Resources 2 2010-07-01 2010-07-01 false Overhead allowance. 220.012 Section 220.012 Mineral Resources MINERALS MANAGEMENT SERVICE, DEPARTMENT OF THE INTERIOR MINERALS REVENUE MANAGEMENT ACCOUNTING PROCEDURES FOR DETERMINING NET PROFIT SHARE PAYMENT FOR OUTER CONTINENTAL SHELF OIL AND GAS LEASES...
Aoun, Georges; Saadeh, Maria; Berberi, Antoine
2015-08-01
Denture hygiene is an important factor in the prevention and treatment of denture stomatitis (DS). This study aimed to evaluate the efficacy of two different mouthwashes (chlorhexidine digluconate 0.12% and hexetidine 0.1%) in eliminating Candida albicans on dentures. A total of 60 denture wearers (20 men, 40 women; age range 40-80 years) with clinical evidence of DS were randomly divided into 2 test groups and 1 control group. The dentures of each test group were treated by immersion in one of the two mouthwashes while those of the control group were immersed in distilled water. Swab samples from the palatal surfaces of the upper dentures were collected before and after of cleaner use and examined mycologically. Reduction in the number of colony-forming units of Candida albicans after immersion of the dentures with chlorhexidine digluconate 0.12% was significantly greater than that of the group using hexetidine 0.1% and those of the control group. Hexetidine 0.1% solution tested for the first time as a product of disinfection of the acrylic dentures showed average results after immersion of 8 night hours for 4 days and was less effective than chlorhexidine digluconate 0.12%.
NASA Astrophysics Data System (ADS)
Lemang, M.; Rodriguez, Ph.; Nemouchi, F.; Juhel, M.; Grégoire, M.; Mangelinck, D.
2018-02-01
Phosphorus diffusion and its distribution during the solid-state reactions between Ni0.9Pt0.1 and implanted Si substrates are studied. Silicidation is achieved through a first rapid thermal annealing followed by a selective etching and a direct surface annealing. The redistribution of phosphorus in silicide layers is investigated after the first annealing for different temperatures and after the second annealing. Phosphorus concentration profiles obtained thanks to time of flight secondary ion mass spectrometry and atom probe tomography characterizations for partial and total reactions of the deposited 7 nm thick Ni0.9Pt0.1 film are presented. Phosphorus segregation is observed at the Ni0.9Pt0.1 surface and at Ni2Si interfaces during Ni2Si formation and at the NiSi surface and the NiSi/Si interface after NiSi formation. The phosphorus is evidenced in low concentrations in the Ni2Si and NiSi layers. Once NiSi is formed, a bump in the phosphorus concentration is highlighted in the NiSi layer before the NiSi/Si interface. Based on these profiles, a model for the phosphorus redistribution is proposed to match this bump to the former Ni2Si/Si interface. It also aims to bind the phosphorus segregation and its low concentration in different silicides to a low solubility of phosphorus in Ni2Si and in NiSi and a fast diffusion of phosphorus at their grain boundaries. This model is also substantiated by a simulation using a finite difference method in one dimension.
NASA Astrophysics Data System (ADS)
Oh, Eun Jee; Heo, Nam Hoe; Koo, Yang Mo
2017-11-01
The correlation between final thickness reduction and development of Goss texture has been investigated in a C- and Al-free Fe-3%Si electrical steel. During final annealing, the annealing texture is transited from {110}⊥ND to {100}⊥ND texture with increasing final thickness reduction. This is due to the decrease in primary grain size after pre-annealing with increasing final thickness reduction which accelerates the selective growth rate of the {100} grains at the expense of the other {hkl} grains. At an optimal final thickness reduction of 75.8%, the high magnetic induction of 1.95 Tesla, which arises from the sharp {110}<001> Goss texture and is comparable to that of conventional grain-oriented electrical steels, is obtained from the C- and Al-free Fe-3%Si-0.1%Mn electrical steel. Such a high magnetic property is produced through the surface-energy-induced selective grain growth of the Goss grains under the lower surface-segregated condition of sulfur which makes the surface energy of the {110} plane lowest among the {hkl} planes.
(113) Facets of Si-Ge/Si Islands; Atomic Scale Simulation
NASA Astrophysics Data System (ADS)
Kassem, Hassan
We have studied, by computer simulation, some static and vibrationnal proprieties of SiGe/Si islands. We have used a Valence Force Field combined to Monte Carlo technique to study the growth of Ge and SiGe on (001)Si substrates. We have focalised on the case of large pyramidal islands presenting (113) facets on the free (001)Si surface with various non uniform composition inside the islands. The deformation inside the islands and Raman spectroscopy are discussed.
Code of Federal Regulations, 2011 CFR
2011-07-01
... 40 Protection of Environment 24 2011-07-01 2011-07-01 false Trichoderma asperellum strain ICC 012... RESIDUES IN FOOD Exemptions From Tolerances § 180.1294 Trichoderma asperellum strain ICC 012; exemption from the requirement of a tolerance. Trichoderma asperellum strain ICC 012 is exempted from the...
Code of Federal Regulations, 2010 CFR
2010-07-01
... 40 Protection of Environment 23 2010-07-01 2010-07-01 false Trichoderma asperellum strain ICC 012... RESIDUES IN FOOD Exemptions From Tolerances § 180.1294 Trichoderma asperellum strain ICC 012; exemption from the requirement of a tolerance. Trichoderma asperellum strain ICC 012 is exempted from the...
Code of Federal Regulations, 2012 CFR
2012-07-01
... 40 Protection of Environment 25 2012-07-01 2012-07-01 false Trichoderma asperellum strain ICC 012... RESIDUES IN FOOD Exemptions From Tolerances § 180.1294 Trichoderma asperellum strain ICC 012; exemption from the requirement of a tolerance. Trichoderma asperellum strain ICC 012 is exempted from the...
Code of Federal Regulations, 2014 CFR
2014-07-01
... 40 Protection of Environment 24 2014-07-01 2014-07-01 false Trichoderma asperellum strain ICC 012... RESIDUES IN FOOD Exemptions From Tolerances § 180.1294 Trichoderma asperellum strain ICC 012; exemption from the requirement of a tolerance. Trichoderma asperellum strain ICC 012 is exempted from the...
Code of Federal Regulations, 2013 CFR
2013-07-01
... 40 Protection of Environment 25 2013-07-01 2013-07-01 false Trichoderma asperellum strain ICC 012... RESIDUES IN FOOD Exemptions From Tolerances § 180.1294 Trichoderma asperellum strain ICC 012; exemption from the requirement of a tolerance. Trichoderma asperellum strain ICC 012 is exempted from the...
46 CFR 164.012-13 - Examinations, tests, and inspections.
Code of Federal Regulations, 2010 CFR
2010-10-01
... 46 Shipping 6 2010-10-01 2010-10-01 false Examinations, tests, and inspections. 164.012-13 Section...-13 Examinations, tests, and inspections. (a) Manufacturer's inspection and tests. Manufacturers of... upon request. (b) Laboratory inspection and tests. Such examinations, inspections and tests as are...
Nitriding kinetics of Si-SiC powder mixtures as simulations of reaction bonded Si3N4-SiC composites
NASA Technical Reports Server (NTRS)
Lightfoot, A.; Sheldon, B. W.; Flint, J. H.; Haggerty, J. S.
1989-01-01
The nitriding kinetics of Si and Si plus SiC powder mixtures were studied to simulate the fabrication of RBSN-SiC ceramic matrix composites. Very clean, assynthesized, and solvent-exposed powders were studied; C-rich and Si-rich SiC 0.04-0.05 micron diameter powders were mixed in varying concentrations with SiH4-derived 0.2-0.3 micron diameter Si powder. Complete nitridation is achieved with C-rich SiC powders in 140 min at 1250 C, and in the centers of Si-rich SiC powders in 15 min. The effects on the incubation periods, fast reaction periods, and slow reaction periods that characterize these nitriding processes were studied to explain unusual reverse reaction gradients and other effects of contamination.
NASA Astrophysics Data System (ADS)
Liu, Xiaohui; Qi, Yuhan; Zheng, Daye; Zhou, Chen; He, Lixin; Huang, Fang
2018-02-01
The mass dependence of diffusion coefficient (D) can be described in the form of Di/Dj = (mj/mi)β, where m denotes masses of isotope i and j, and β is an empirical parameter as used to quantify the diffusive transport of isotopes. Recent advances in computation techniques allow theoretically calculation of β values. Here, we apply first-principles Born-Oppenheimer molecular dynamics (MD) and pseudo-isotope method (taking mj/mi = 1/24, 6/24, 48/24, 120/24) to estimate β for MgSiO3 and Mg2SiO4 melts. Our calculation shows that β values for Mg calculated with 24Mg and different pseudo Mg isotopes are identical, indicating the reliability of the pseudo-isotope method. For MgSiO3 melt, β is 0.272 ± 0.005 at 4000 K and 0 GPa, higher than the value calculated using classical MD simulations (0.135). For Mg2SiO4 melt, β is 0.184 ± 0.006 at 2300 K, 0.245 ± 0.007 at 3000 K, and 0.257 ± 0.012 at 4000 K. Notably, β values of MgSiO3 and Mg2SiO4 melts are significantly higher than the value in basalt-rhyolite melts determined by chemical diffusion experiments (0.05). Our results suggest that β values are not sensitive to the temperature if it is well above the liquidus, but can be significantly smaller when the temperature is close to the liquidus. The small difference of β between silicate liquids with simple compositions of MgSiO3 and Mg2SiO4 suggests that the β value may depend on the chemical composition of the melts. This study shows that first-principles MD provide a promising tool to estimate β of silicate melts.
NASA Astrophysics Data System (ADS)
Galdin, Sylvie; Dollfus, Philippe; Hesto, Patrice
1994-03-01
A theoretical study of a Si/Si1-xGex/Si heterojunction bipolar transistor using Monte Carlo simulations is reported. The geometry and composition of the emitter-base junction are optimized using one-dimensional simulations with a view to improving electron transport in the base. It is proposed to introduce a thin Si-P spacer layer, between the Si-N emitter and the SiGe-P base, which allows launching hot electrons into the base despite the lack of natural conduction-band discontinuity between Si and strain SiGe. The high-frequency behavior of the complete transistor is then studied using 2D modeling. A method of microwave analysis using small signal Monte Carlo simulations that consists of expanding the terminal currents in Fourier series is presented. A cutoff frequency fT of 68 GHz has been extracted. Finally, the occurrence of a parasitic electron barrier at the collector-base junction is responsible for the fT fall-off at high collector current density. This parasitic barrier is lowered through the influence of the collector potential.
Reichert, Aline; Gadow, Rainer; Mayr, Hermann O.; Suedkamp, Norbert P.; Weichand, Partick; Bernstein, Anke
2018-01-01
Particle-induced periprosthetic osteolysis and subsequent aseptic implant loosening are a major cause of compromising the long-term results of total joint replacements. To date, no implant has been able to mirror radically the tribological factors (friction/lubrication/wear) of in vivo tribological pairings. Carbon-Fibre Reinforced SiC-Composites (C/SiSiC), a material primarily developed for brake technology, has the opportunity to fulfil this requirement. Until now, the material itself has not been used in medicine. The aim of this investigation was to test the suitability of C/SiSiC ceramics as a new material for bearing couples in endoprosthetics. After the preparation of the composites flexural strength was determined as well as the Young’s-modulus and the coefficient of friction. To investigate in vitro biological properties, MG 63 and primary human osteoblasts were cultured on C/SiSiC composites. To review the proliferation, the cytotoxicity standardized tests were used. The cell morphology was observed by light microscopy, ESEM, confocal and 3D-laserscanning microscopy. C/SiSiC possesses a high resistance to wear. Cells exhibited no significant alterations in morphology. Vitality was not impaired by contact with the ceramic composite. There was no higher cytotoxicity to observe. Regarding these results, C/SiSiC ceramics seem to be biologically and mechanically appropriate for orthopaedic applications. PMID:29470416
Superconducting FeSe0.1Te0.9 thin films integrated on Si-based substrates
NASA Astrophysics Data System (ADS)
Huang, Jijie; Chen, Li; Li, Leigang; Qi, Zhimin; Sun, Xing; Zhang, Xinghang; Wang, Haiyan
2018-05-01
With the goal of integrating superconducting iron chalcogenides with Si-based electronics, superconducting FeSe0.1Te0.9 thin films were directly deposited on Si and SiOx/Si substrates without any buffer layer by a pulsed laser deposition (PLD) method. Microstructural characterization showed excellent film quality with mostly c-axis growth on both types of substrates. Superconducting properties (such as superconducting transition temperature T c and upper critical field H c2) were measured to be comparable to that of the films on single crystal oxide substrates. The work demonstrates the feasibility of integrating superconducting iron chalcogenide (FeSe0.1Te0.9) thin films with Si-based microelectronics.
Simulating Biological and Non-Biological Motion
ERIC Educational Resources Information Center
Bruzzo, Angela; Gesierich, Benno; Wohlschlager, Andreas
2008-01-01
It is widely accepted that the brain processes biological and non-biological movements in distinct neural circuits. Biological motion, in contrast to non-biological motion, refers to active movements of living beings. Aim of our experiment was to investigate the mechanisms underlying mental simulation of these two movement types. Subjects had to…
41 CFR 105-57.012 - Actions prohibited by the employer.
Code of Federal Regulations, 2010 CFR
2010-07-01
... Administration 57-ADMINISTRATION WAGE GARNISHMENT § 105-57.012 Actions prohibited by the employer. An employer may not discharge, refuse to employ, or take disciplinary action against the debtor due to the... 41 Public Contracts and Property Management 3 2010-07-01 2010-07-01 false Actions prohibited by...
NIMROD simulations of HIT-SI plasmas
NASA Astrophysics Data System (ADS)
Akcay, Cihan; Jarboe, Thomas; Nelson, Brian; Kim, Charlson
2011-10-01
HIT-SI (Steady Inductive Helicity Injected Torus) is a current drive experiment that uses two semi-toroidal helicity injectors driven at 5-15 kHz to generate steady inductive helicity injection (SIHI). All the plasma-facing walls of the experiment are coated with an insulating material to guarantee an inductive discharge. NIMROD is a 3-D extended MHD code that can only model toroidally-uniform geometries. The helicity injectors of the experiment are simulated as flux and voltage boundary conditions with odd toroidal symmetry. A highly resistive, thin edge-layer approximates the insulating walls. The simulations are initial-value calculations that use a zero β resistive MHD (rMHD) model with uniform density. The Prandtl number (Pr = 10), and Lundquist number (S = 5 - 50) closely match the experimental values. rMHD calculations at S ~ 10 show no growth of an n = 0 mode and only a few kA of toroidal current whereas HIT-SI has demonstrated toroidal currents greater than 50 kA with a current amplification of 3. At higher S (>= 20) the simulations exhibit significant n = 0 magnetic energy growth and a current amplification exceeding unity: Itor/Iinj >= 1 . While HIT-SI has shown evidence for separatrix formation, rMHD calculations indicate an entirely stochastic magnetic structure during sustainment. Results will also presented for Hall MHD, anticipated to play a crucial role in the physics of SIHI.
SiMon: Simulation Monitor for Computational Astrophysics
NASA Astrophysics Data System (ADS)
Xuran Qian, Penny; Cai, Maxwell Xu; Portegies Zwart, Simon; Zhu, Ming
2017-09-01
Scientific discovery via numerical simulations is important in modern astrophysics. This relatively new branch of astrophysics has become possible due to the development of reliable numerical algorithms and the high performance of modern computing technologies. These enable the analysis of large collections of observational data and the acquisition of new data via simulations at unprecedented accuracy and resolution. Ideally, simulations run until they reach some pre-determined termination condition, but often other factors cause extensive numerical approaches to break down at an earlier stage. In those cases, processes tend to be interrupted due to unexpected events in the software or the hardware. In those cases, the scientist handles the interrupt manually, which is time-consuming and prone to errors. We present the Simulation Monitor (SiMon) to automatize the farming of large and extensive simulation processes. Our method is light-weight, it fully automates the entire workflow management, operates concurrently across multiple platforms and can be installed in user space. Inspired by the process of crop farming, we perceive each simulation as a crop in the field and running simulation becomes analogous to growing crops. With the development of SiMon we relax the technical aspects of simulation management. The initial package was developed for extensive parameter searchers in numerical simulations, but it turns out to work equally well for automating the computational processing and reduction of observational data reduction.
Two dimensional simulations of triode VHF SiH4 plasma
NASA Astrophysics Data System (ADS)
Su, Li-Wen; Chen, Weiting; Uchino, Kiichiro; Kawai, Yoshinobu
2018-06-01
Two-dimensional simulations of a triode VHF SiH4 plasma (60 MHz) were performed using a fluid model, where the plasma was realized using multirod electrodes. Higher-order silanes that are responsible for the quality of amorphous silicon were included in the simulations. A typical VHF plasma with an electron density higher than 1016 m‑3 and an electron temperature lower than 3 eV was predicted between discharge electrodes while the electron density near the substrate was very low. The SiH3 density was fairly uniform between discharge electrodes and did not decrease rapidly near the substrate, suggesting a high-speed deposition. Higher-order molecules and radicals that play an important role in dust formation had similar spatial profiles and their densities were five to 6 orders of magnitude lower than the SiH3 density. We discussed the effect of the rate constant of reaction, SiH3 + SiH3 → SiH2 + SiH4, on the SiH3 density.
NIMROD Simulations of the HIT-SI and HIT-SI3 Devices
NASA Astrophysics Data System (ADS)
Morgan, Kyle; Jarboe, Tom; Hossack, Aaron; Chandra, Rian; Everson, Chris
2017-10-01
The Helicity Injected Torus with Steady Inductive helicity injection (HIT-SI) experiment uses a set of inductively driven helicity injectors to apply non-axisymmetric current drive on the edge of the plasma, driving an axisymmetric spheromak equilibrium in a central confinement volume. Significant improvements have been made to extended MHD modeling of HIT-SI, with both the resolution of disagreement at high injector frequencies in HIT-SI in addition to successes with the new upgraded HIT-SI3 device. Previous numerical studies of HIT-SI, using a zero-beta eMHD model, focused on operations with a drive frequency of 14.5 kHz, and found reduced agreement with both the magnetic profile and current amplification at higher frequencies (30-70 kHz). HIT-SI3 has three helicity injectors which are able to operate with different mode structures of perturbations through the different relative temporal phasing of the injectors. Simulations that allow for pressure gradients have been performed in the parameter regimes of both devices using the NIMROD code and show improved agreement with experimental results, most notably capturing the observed Shafranov-shift due to increased beta observed at higher finj in HIT-SI and the variety of toroidal perturbation spectra available in HIT-SI3. This material is based upon work supported by the U.S. Department of Energy, Office of Science, Office of Fusion Energy Sciences under Award Number DE-FG02- 96ER54361.
Coexistence of two electronic phases in LaTiO3+δ (0.01⩽δ⩽0.12) and their evolution with δ
NASA Astrophysics Data System (ADS)
Zhou, H. D.; Goodenough, J. B.
2005-04-01
Although LaTiO3+δ(0.01⩽δ⩽0.12) is single-phase to powder x-ray diffraction, its properties reveal that a hole-poor strongly correlated electronic phase coexists with a hole-rich itinerant-electron phase. With δ⩽0.03 , the hole-rich phase exists as a minority phase of isolated, mobile itinerant-electron clusters embedded in the hole-poor phase. With δ⩾0.08 , isolated hole-poor clusters are embedded in an itinerant-electron matrix. As δ>0.08 increases, the hole-poor clusters become smaller and more isolated until they are reduced to superparamagnetic strong-correlation fluctuations by δ=0.12 . This behavior is consistent with prediction from the virial theorem of a first-order phase change at the crossover from localized (or strongly correlated) to itinerant electronic behavior, a smaller equilibrium (Ti-O) bond length being in the itinerant-electron phase. Accordingly, the variation of volume with oxidation state does not obey Végard’s law; the itinerant-electron minority phase exerts a compressive force on the hole-poor matrix, and the hole-poor minority phase exerts a tensile stress on the hole-rich matrix.
Herting, Gunilla; Jiang, Tao; Sjöstedt, Carin; Odnevall Wallinder, Inger
2014-01-01
Unique quantitative bioaccessibility data has been generated, and the influence of surface/material and test media characteristics on the elemental release process were assessed for silicon containing materials in specific synthetic body fluids at certain time periods at a fixed loading. The metal release test protocol, elaborated by the KTH team, has previously been used for classification, ranking, and screening of different alloys and metals. Time resolved elemental release of Si, Fe and Al from particles, sized less than 50 µm, of two grades of metallurgical silicon (high purity silicon, SiHG, low purity silicon, SiLG), an alloy (ferrosilicon, FeSi) and a mineral (aluminium silicate, AlSi) has been investigated in synthetic body fluids of varying pH, composition and complexation capacity, simple models of for example dermal contact and digestion scenarios. Individual methods for analysis of released Si (as silicic acid, Si(OH)4) in synthetic body fluids using GF-AAS were developed for each fluid including optimisation of solution pH and graphite furnace parameters. The release of Si from the two metallurgical silicon grades was strongly dependent on both pH and media composition with the highest release in pH neutral media. No similar effect was observed for the FeSi alloy or the aluminium silicate mineral. Surface adsorption of phosphate and lactic acid were believed to hinder the release of Si whereas the presence of citric acid enhanced the release as a result of surface complexation. An increased presence of Al and Fe in the material (low purity metalloid, alloy or mineral) resulted in a reduced release of Si in pH neutral media. The release of Si was enhanced for all materials with Al at their outermost surface in acetic media. PMID:25225879
Prediction of Fracture Initiation in Hot Compression of Burn-Resistant Ti-35V-15Cr-0.3Si-0.1C Alloy
NASA Astrophysics Data System (ADS)
Zhang, Saifei; Zeng, Weidong; Zhou, Dadi; Lai, Yunjin
2015-11-01
An important concern in hot working of metals is whether the desired deformation can be accomplished without fracture of the material. This paper builds a fracture prediction model to predict fracture initiation in hot compression of a burn-resistant beta-stabilized titanium alloy Ti-35V-15Cr-0.3Si-0.1C using a combined approach of upsetting experiments, theoretical failure criteria and finite element (FE) simulation techniques. A series of isothermal compression experiments on cylindrical specimens were conducted in temperature range of 900-1150 °C, strain rate of 0.01-10 s-1 first to obtain fracture samples and primary reduction data. Based on that, a comparison of eight commonly used theoretical failure criteria was made and Oh criterion was selected and coded into a subroutine. FE simulation of upsetting experiments on cylindrical specimens was then performed to determine the fracture threshold values of Oh criterion. By building a correlation between threshold values and the deforming parameters (temperature and strain rate, or Zener-Hollomon parameter), a new fracture prediction model based on Oh criterion was established. The new model shows an exponential decay relationship between threshold values and Zener-Hollomon parameter (Z), and the relative error of the model is less than 15%. This model was then applied successfully in the cogging of Ti-35V-15Cr-0.3Si-0.1C billet.
NASA Astrophysics Data System (ADS)
Chagarov, Evgueni A.; Kavrik, Mahmut S.; Fang, Ziwei; Tsai, Wilman; Kummel, Andrew C.
2018-06-01
Comprehensive Density-Functional Theory (DFT) Molecular Dynamics (MD) simulations were performed to investigate interfaces between a-HfO2 and SiGe or Ge semiconductors with fully-stoichiometric a-SiO2 or sub-oxide SiO interlayers. The electronic structure of the selected stacks was calculated with a HSE06 hybrid functional. Simulations were performed before and after hydrogen passivation of residual interlayer defects. For the SiGe substrate with Ge termination prior to H passivation, the stacks with a-SiO suboxide interlayer (a-HfO2/a-SiO/SiGe) demonstrate superior electronic properties and wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/SiGe). After H passivation, most of the a-HfO2/a-SiO2/SiGe defects are passivated. To investigate effect of random placement of Si and Ge atoms additional simulations with a randomized SiGe slab were performed demonstrating improvement of electronic structure. For Ge substrates, before H passivation, the stacks with a SiO suboxide interlayer (a-HfO2/a-SiO/Ge) also demonstrate wider band-gaps than the stacks with fully coordinated a-SiO2 interlayers (a-HfO2/a-SiO2/Ge). However, even for a-HfO2/a-SiO/Ge, the Fermi level is shifted close to the conduction band edge (CBM) consistent with Fermi level pinning. Again, after H passivation, most of the a-HfO2/a-SiO2/Ge defects are passivated. The stacks with fully coordinated a-SiO2 interlayers have much stronger deformation and irregularity in the semiconductor (SiGe or Ge) upper layers leading to multiple under-coordinated atoms which create band-edge states and decrease the band-gap prior to H passivation.
SiC nanoparticles as potential carriers for biologically active substances
NASA Astrophysics Data System (ADS)
Guevara-Lora, Ibeth; Czosnek, Cezary; Smycz, Aleksandra; Janik, Jerzy F.; Kozik, Andrzej
2009-01-01
Silicon carbide SiC thanks to its many advantageous properties has found numerous applications in diverse areas of technology. In this regard, its nanosized forms often with novel properties have been the subject of intense research in recent years. The aim of this study was to investigate the binding of biologically active substances onto SiC nanopowders as a new approach to biomolecule immobilization in terms of their prospective applications in medicine or for biochemical detection. The SiC nanoparticles were prepared by a two-stage aerosol-assisted synthesis from neat hexamethyldisiloxane. The binding of several proteins (bovine serum albumin, high molecular weight kininogen, immunoglobulin G) on SiC particle surfaces was demonstrated at the levels of 1-2 nanograms per mg of SiC. These values were found to significantly increase after suitable chemical modifications of nanoparticle surfaces (by carbodiimide or 3-aminopropyltrietoxysilane treatment). The study of SiC biocompatibility showed a lack of cytotoxicity against macrophages-like cells below the concentration of 1 mg nanoparticles per mL. In summary, we demonstrated the successful immobilization of the selected substances on the SiC nanoparticles. These results including the cytotoxicity study make nano-SiC highly attractive for potential applications in medicine, biotechnology or molecular detection.
Compression of Fe-Si-H alloys to core pressures
NASA Astrophysics Data System (ADS)
Tagawa, Shoh; Ohta, Kenji; Hirose, Kei; Kato, Chie; Ohishi, Yasuo
2016-04-01
We examined the compression behavior of hexagonal-close-packed (hcp) (Fe0.88Si0.12)1H0.61 and (Fe0.88Si0.12)1H0.79 (in atomic ratio) alloys up to 138 GPa in a diamond anvil cell (DAC). While contradicting experimental results were previously reported on the compression curve of double-hcp (dhcp) FeHx (x ≈ 1), our data show that the compressibility of hcp Fe0.88Si0.12Hx alloys is very similar to those of hcp Fe and Fe0.88Si0.12, indicating that the incorporation of hydrogen into iron does not change its compression behavior remarkably. The present experiments suggest that the inner core may contain up to 0.47 wt % hydrogen (FeH0.26) if temperature is 5000 K. The calculated density profile of Fe0.88Si0.12H0.17 alloy containing 0.32 wt % hydrogen in addition to geochemically required 6.5 wt % silicon matches the seismological observations of the outer core, supporting that hydrogen is an important core light element.
Compression behavior of Fe-Si-H alloys
NASA Astrophysics Data System (ADS)
Tagawa, S.; Ohta, K.; Hirose, K.; Ohishi, Y.
2015-12-01
Although the light elements in the Earth's core are still enigmatic, hydrogen has recently been receiving much attention. Planetary formation theory suggested that a large amount of water, much more than is in the oceans, could have been brought to the Earth during its accretion. Hydrogen is a strong siderophile element and could be incorporated into the core as a consequence of a reaction between water and molten iron in a magma ocean [Okuchi, 1997 Science]. Nevertheless, the effect of hydrogen on the property of iron is not well known so far. Here, we have experimentally examined the compression behavior of hcp Fe0.88Si0.12Hx (6.5 wt.% Si) at two different hydrogen concentrations (x = 0.7 and 0.9). Fe0.88Si0.12 foil was loaded into a diamond-anvil cell, and then liquid hydrogen was introduced to a sample chamber below 20 K. Hydrogenation occurred upon thermal annealing below 1500 K at 25-62 GPa, and hcp Fe0.88Si0.12Hx was obtained as a single phase. Unlike the Fe-H alloy, hydrogen did not fully occupy the octahedral sites even under hydrogen-saturated conditions. Two compression curves, one from 25 to 136 GPa, and the other from 62 to 128 GPa, were obtained at room temperature. While the effect of hydrogen on the compressibility of iron has been controversial in earlier experimental studies [Hirao et al., 2004 GRL; Pépin et al., 2014 PRL], our data indicate that the compressibility of Fe0.88Si0.12Hx alloy does not change with changing hydrogen content from x = 0 to 0.9. Such compression behavior observed is consistent with the recent ab initio calculations for hcp Fe-H alloys by Caracas[2015 GRL]. The extrapolation of present data to the outer core pressure and temperature range, assuming thermal expansivity is the same as that for iron and there is no density difference between solid and liquid, shows that the density of Fe0.88Si0.12H0.3 matches the PREM in the whole outer core within 1%.
Kinetics of SiHCl3 chemical vapor deposition and fluid dynamic simulations.
Cavallotti, Carlo; Masi, Maurizio
2011-09-01
Though most of the current silicon photovoltaic technology relies on trichlorosilane (SiHCl3) as a precursor gas to deposit Si, only a few studies have been devoted to the investigation of its gas phase and surface kinetics. In the present work we propose a new kinetic mechanism apt to describe the gas phase and surface chemistry active during the deposition of Si from SiHCl3. Kinetic constants of key reactions were either taken from the literature or determined through ab initio calculations. The capability of the mechanism to reproduce experimental data was tested through the implementation of the kinetic scheme in a fluid dynamic model and in the simulation of both deposition and etching of Si in horizontal reactors. The results of the simulations show that the reactivity of HCl is of key importance in order to control the Si deposition rate. When HCl reaches a critical concentration in the gas phase it starts etching the Si surface, so that the net deposition rate is the net sum of the adsorption rate of the gas phase precursors and the etching rate due to HCl. In these conditions the possibility to further deposit Si is directly related to the rate of consumption of HCl through its reaction with SiHCl3 to give SiCl4. The proposed reaction mechanism was implemented in a 3D fluid dynamic model of a simple Siemens reactor. The simulation results indicate that the proposed interpretation of the growth process applies also to this class of reactors, which operate in what can be defined as a mixed kinetic-transport controlled regime.
NASA Astrophysics Data System (ADS)
Taniguchi, Hiroki; Tatewaki, Shingo; Yasui, Shintaro; Fujii, Yasuhiro; Yamaura, Jun-ichi; Terasaki, Ichiro
2018-04-01
This paper focuses on effects of isovalent La substitution on the crystal structure and dielectric properties of ferroelectric B i2Si O5 . Polycrystalline samples of (Bi1-xL ax ) 2Si O5 are synthesized by crystallization of Bi-Si-O and Bi-La-Si-O glasses with a composition range of 0 ≤x ≤0.1 . The crystal structure changes from monoclinic to tetragonal with increasing La-substitution rate x at room temperature. This structural variation stems from the change in orientation of Si O4 tetrahedra that form one-dimensional chains when they are in the ordered configuration, thus suggesting that lone-pair electrons play an important role in sustaining one-dimensional chains of Si O4 tetrahedra. Synchronizing with the disordering of Si O4 chains, ferroelectric phase transition temperature of (Bi1-xL ax ) 2Si O5 sharply decreases as x increases, and ferroelectricity finally vanishes at around x =0.03 . The present results demonstrate that lone-pair electrons of Bi play an important role in the ferroelectricity of B i2Si O5 through propping the ordered structure of one-dimensional Si O4 chains with stereochemical activity. Furthermore, an additional phase transition has been first discovered in the low-temperature region of (Bi1-xL ax ) 2Si O5 with x ≤0.01 , where the ordered one-dimensional Si O4 chains remain.
NASA Astrophysics Data System (ADS)
Savic, Ivana; Mingo, Natalio; Donadio, Davide; Galli, Giulia
2010-03-01
It has been recently proposed that Si and SiGe based nanostructured materials may exhibit low thermal conductivity and overall promising properties for thermoelectric applications. Hence there is a considerable interest in developing accurate theoretical and computational methods which can help interpret recent measurements, identify the physical origin of the reduced thermal conductivity, as well as shed light on the interplay between disorder and nanostructuring in determining a high figure of merit. In this work, we investigate the capability of an atomistic Green's function method [1] to describe phonon transport in several types of Si and SiGe based systems: amorphous Si, SiGe alloys, planar and nanodot Si/SiGe multilayers. We compare our results with experimental data [2,3], and with the findings of molecular dynamics simulations and calculations based on the Boltzmann transport equation. [1] I. Savic, N. Mingo, and D. A. Stewart, Phys. Rev. Lett. 101, 165502 (2008). [2] S.-M. Lee, D. G. Cahill, and R. Venkatasubramanian, Appl. Phys. Lett. 70, 2957 (1997). [3] G. Pernot et al., submitted.
Evaporation kinetics of Mg2SiO4 crystals and melts from molecular dynamics simulations
NASA Technical Reports Server (NTRS)
Kubicki, J. D.; Stolper, E. M.
1993-01-01
Computer simulations based on the molecular dynamics (MD) technique were used to study the mechanisms and kinetics of free evaporation from crystalline and molten forsterite (i.e., Mg2SiO4) on an atomic level. The interatomic potential employed for these simulations reproduces the energetics of bonding in forsterite and in gas-phase MgO and SiO2 reasonably accurately. Results of the simulation include predicted evaporation rates, diffusion rates, and reaction mechanisms for Mg2SiO4(s or l) yields 2Mg(g) + 20(g) + SiO2(g).
Chung, In-Young; Jang, Hyeri; Lee, Jieun; Moon, Hyunggeun; Seo, Sung Min; Kim, Dae Hwan
2012-02-17
We introduce a simulation method for the biosensor environment which treats the semiconductor and the electrolyte region together, using the well-established semiconductor 3D TCAD simulator tool. Using this simulation method, we conduct electrostatic simulations of SiNW biosensors with a more realistic target charge model where the target is described as a charged cube, randomly located across the nanowire surface, and analyze the Coulomb effect on the SiNW FET according to the position and distribution of the target charges. The simulation results show the considerable variation in the SiNW current according to the bound target positions, and also the dependence of conductance modulation on the polarity of target charges. This simulation method and the results can be utilized for analysis of the properties and behavior of the biosensor device, such as the sensing limit or the sensing resolution.
Interface structures and twinning mechanisms of {1¯012} twins in hexagonal metals
Gong, Mingyu; Hirth, John P.; Liu, Yue; ...
2017-06-07
In this paper, a controversy concerning the description of {1¯012} {1¯012} twinning, whether it is shear-shuffle or pure glide-shuffle or pure shuffle, has developed. There is disagreement about the interpretation of transmission electron microscopic observations, atomistic simulations and theories for twin growth. In this article, we highlight the atomic-level, characteristic, equilibrium and non-equilibrium boundaries and corresponding boundary defects associated with the three-dimensional ‘normal’, ‘forward’ and ‘lateral’ propagation of {1¯011} growth/annealing and deformation twins. Although deformation twin boundaries (TBs) after recovery exhibit some similarity to growth/annealing TBs because of the plastic accommodation of stress fields, there are important distinctions among them.more » These distinctions distinguish among the mechanisms of twin growth and resolve the controversy. In addition, a new type of disconnection, a glide disclination, is described for twinning. Synchroshear, seldom considered, is shown to be a likely mechanism for {1¯012} twinning.« less
Sun, Mingzhu; Xu, Hui; Zeng, Xingjuan; Zhao, Xin
2017-01-01
There are various fantastic biological phenomena in biological pattern formation. Mathematical modeling using reaction-diffusion partial differential equation systems is employed to study the mechanism of pattern formation. However, model parameter selection is both difficult and time consuming. In this paper, a visual feedback simulation framework is proposed to calculate the parameters of a mathematical model automatically based on the basic principle of feedback control. In the simulation framework, the simulation results are visualized, and the image features are extracted as the system feedback. Then, the unknown model parameters are obtained by comparing the image features of the simulation image and the target biological pattern. Considering two typical applications, the visual feedback simulation framework is applied to fulfill pattern formation simulations for vascular mesenchymal cells and lung development. In the simulation framework, the spot, stripe, labyrinthine patterns of vascular mesenchymal cells, the normal branching pattern and the branching pattern lacking side branching for lung branching are obtained in a finite number of iterations. The simulation results indicate that it is easy to achieve the simulation targets, especially when the simulation patterns are sensitive to the model parameters. Moreover, this simulation framework can expand to other types of biological pattern formation.
Sun, Mingzhu; Xu, Hui; Zeng, Xingjuan; Zhao, Xin
2017-01-01
There are various fantastic biological phenomena in biological pattern formation. Mathematical modeling using reaction-diffusion partial differential equation systems is employed to study the mechanism of pattern formation. However, model parameter selection is both difficult and time consuming. In this paper, a visual feedback simulation framework is proposed to calculate the parameters of a mathematical model automatically based on the basic principle of feedback control. In the simulation framework, the simulation results are visualized, and the image features are extracted as the system feedback. Then, the unknown model parameters are obtained by comparing the image features of the simulation image and the target biological pattern. Considering two typical applications, the visual feedback simulation framework is applied to fulfill pattern formation simulations for vascular mesenchymal cells and lung development. In the simulation framework, the spot, stripe, labyrinthine patterns of vascular mesenchymal cells, the normal branching pattern and the branching pattern lacking side branching for lung branching are obtained in a finite number of iterations. The simulation results indicate that it is easy to achieve the simulation targets, especially when the simulation patterns are sensitive to the model parameters. Moreover, this simulation framework can expand to other types of biological pattern formation. PMID:28225811
Multinuclear NMR of CaSiO(3) glass: simulation from first-principles.
Pedone, Alfonso; Charpentier, Thibault; Menziani, Maria Cristina
2010-06-21
An integrated computational method which couples classical molecular dynamics simulations with density functional theory calculations is used to simulate the solid-state NMR spectra of amorphous CaSiO(3). Two CaSiO(3) glass models are obtained by shell-model molecular dynamics simulations, successively relaxed at the GGA-PBE level of theory. The calculation of the NMR parameters (chemical shielding and quadrupolar parameters), which are then used to simulate solid-state 1D and 2D-NMR spectra of silicon-29, oxygen-17 and calcium-43, is achieved by the gauge including projector augmented-wave (GIPAW) and the projector augmented-wave (PAW) methods. It is shown that the limitations due to the finite size of the MD models can be overcome using a Kernel Estimation Density (KDE) approach to simulate the spectra since it better accounts for the disorder effects on the NMR parameter distribution. KDE allows reconstructing a smoothed NMR parameter distribution from the MD/GIPAW data. Simulated NMR spectra calculated with the present approach are found to be in excellent agreement with the experimental data. This further validates the CaSiO(3) structural model obtained by MD simulations allowing the inference of relationships between structural data and NMR response. The methods used to simulate 1D and 2D-NMR spectra from MD GIPAW data have been integrated in a package (called fpNMR) freely available on request.
Thermal transport in nanocrystalline Si and SiGe by ab initio based Monte Carlo simulation.
Yang, Lina; Minnich, Austin J
2017-03-14
Nanocrystalline thermoelectric materials based on Si have long been of interest because Si is earth-abundant, inexpensive, and non-toxic. However, a poor understanding of phonon grain boundary scattering and its effect on thermal conductivity has impeded efforts to improve the thermoelectric figure of merit. Here, we report an ab-initio based computational study of thermal transport in nanocrystalline Si-based materials using a variance-reduced Monte Carlo method with the full phonon dispersion and intrinsic lifetimes from first-principles as input. By fitting the transmission profile of grain boundaries, we obtain excellent agreement with experimental thermal conductivity of nanocrystalline Si [Wang et al. Nano Letters 11, 2206 (2011)]. Based on these calculations, we examine phonon transport in nanocrystalline SiGe alloys with ab-initio electron-phonon scattering rates. Our calculations show that low energy phonons still transport substantial amounts of heat in these materials, despite scattering by electron-phonon interactions, due to the high transmission of phonons at grain boundaries, and thus improvements in ZT are still possible by disrupting these modes. This work demonstrates the important insights into phonon transport that can be obtained using ab-initio based Monte Carlo simulations in complex nanostructured materials.
Thermal transport in nanocrystalline Si and SiGe by ab initio based Monte Carlo simulation
Yang, Lina; Minnich, Austin J.
2017-01-01
Nanocrystalline thermoelectric materials based on Si have long been of interest because Si is earth-abundant, inexpensive, and non-toxic. However, a poor understanding of phonon grain boundary scattering and its effect on thermal conductivity has impeded efforts to improve the thermoelectric figure of merit. Here, we report an ab-initio based computational study of thermal transport in nanocrystalline Si-based materials using a variance-reduced Monte Carlo method with the full phonon dispersion and intrinsic lifetimes from first-principles as input. By fitting the transmission profile of grain boundaries, we obtain excellent agreement with experimental thermal conductivity of nanocrystalline Si [Wang et al. Nano Letters 11, 2206 (2011)]. Based on these calculations, we examine phonon transport in nanocrystalline SiGe alloys with ab-initio electron-phonon scattering rates. Our calculations show that low energy phonons still transport substantial amounts of heat in these materials, despite scattering by electron-phonon interactions, due to the high transmission of phonons at grain boundaries, and thus improvements in ZT are still possible by disrupting these modes. This work demonstrates the important insights into phonon transport that can be obtained using ab-initio based Monte Carlo simulations in complex nanostructured materials. PMID:28290484
BioNSi: A Discrete Biological Network Simulator Tool.
Rubinstein, Amir; Bracha, Noga; Rudner, Liat; Zucker, Noga; Sloin, Hadas E; Chor, Benny
2016-08-05
Modeling and simulation of biological networks is an effective and widely used research methodology. The Biological Network Simulator (BioNSi) is a tool for modeling biological networks and simulating their discrete-time dynamics, implemented as a Cytoscape App. BioNSi includes a visual representation of the network that enables researchers to construct, set the parameters, and observe network behavior under various conditions. To construct a network instance in BioNSi, only partial, qualitative biological data suffices. The tool is aimed for use by experimental biologists and requires no prior computational or mathematical expertise. BioNSi is freely available at http://bionsi.wix.com/bionsi , where a complete user guide and a step-by-step manual can also be found.
Simulations in Medicine and Biology: Insights and perspectives
NASA Astrophysics Data System (ADS)
Spyrou, George M.
2015-01-01
Modern medicine and biology have been transformed into quantitative sciences of high complexity, with challenging objectives. The aims of medicine are related to early diagnosis, effective therapy, accurate intervention, real time monitoring, procedures/systems/instruments optimization, error reduction, and knowledge extraction. Concurrently, following the explosive production of biological data concerning DNA, RNA, and protein biomolecules, a plethora of questions has been raised in relation to their structure and function, the interactions between them, their relationships and dependencies, their regulation and expression, their location, and their thermodynamic characteristics. Furthermore, the interplay between medicine and biology gives rise to fields like molecular medicine and systems biology which are further interconnected with physics, mathematics, informatics, and engineering. Modelling and simulation is a powerful tool in the fields of Medicine and Biology. Simulating the phenomena hidden inside a diagnostic or therapeutic medical procedure, we are able to obtain control on the whole system and perform multilevel optimization. Furthermore, modelling and simulation gives insights in the various scales of biological representation, facilitating the understanding of the huge amounts of derived data and the related mechanisms behind them. Several examples, as well as the insights and the perspectives of simulations in biomedicine will be presented.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Chuang, Claire Y.; Zepeda-Ruiz, Luis A.; Han, Sang M.
2015-06-01
Molecular dynamics simulations were used to study Ge island nucleation and growth on amorphous SiO 2 substrates. This process is relevant in selective epitaxial growth of Ge on Si, for which SiO 2 is often used as a template mask. The islanding process was studied over a wide range of temperatures and fluxes, using a recently proposed empirical potential model for the Si–SiO 2–Ge system. The simulations provide an excellent quantitative picture of the Ge islanding and compare well with detailed experimental measurements. These quantitative comparisons were enabled by an analytical rate model as a bridge between simulations and experimentsmore » despite the fact that deposition fluxes accessible in simulations and experiments are necessarily different by many orders of magnitude. In particular, the simulations led to accurate predictions of the critical island size and the scaling of island density as a function of temperature. Lastly, the overall approach used here should be useful not just for future studies in this particular system, but also for molecular simulations of deposition in other materials.« less
Simulation and Experimental Study on Surface Formation Mechanism in Machining of SiCp/Al Composites
NASA Astrophysics Data System (ADS)
Du, Jinguang; Zhang, Haizhen; He, Wenbin; Ma, Jun; Ming, Wuyi; Cao, Yang
2018-03-01
To intuitively reveal the surface formation mechanism in machining of SiCp/Al composites, in this paper the removal mode of reinforced particle and aluminum matrix, and their influence on surface formation mechanism were analyzed by single diamond grit cutting simulation and single diamond grit scratch experiment. Simulation and experiment results show that when the depth of cut is small, the scratched surface of the workpiece is relatively smooth; however, there are also irregular pits on the machined surface. When increasing the depth of cut, there are many obvious laminar structures on the scratched surface, and the surface appearance becomes coarser. When the cutting speed is small, the squeezing action of abrasive grit on SiC particles plays a dominant role in the extrusion of SiC particles. When increasing the cutting speed, SiC particles also occur broken or fractured; but the machined surface becomes smooth. When machining SiCp/Al composites, the SiC may happen in such removal ways, such as fracture, debonding, broken, sheared, pulled into and pulled out, etc. By means of reasonably developing micro cutting finite element simulation model of SiCp/Al composites could be used to analyze the surface formation process and particle removal way in different machining conditions.
NASA Astrophysics Data System (ADS)
Aboy, María; Santos, Iván; López, Pedro; Marqués, Luis A.; Pelaz, Lourdes
2018-04-01
Several atomistic techniques have been combined to identify the structure of defects responsible for X and W photoluminescence lines in crystalline Si. We used kinetic Monte Carlo simulations to reproduce irradiation and annealing conditions used in photoluminescence experiments. We found that W and X radiative centers are related to small Si self-interstitial clusters but coexist with larger Si self-interstitials clusters that can act as nonradiative centers. We used molecular dynamics simulations to explore the many different configurations of small Si self-interstitial clusters, and selected those having symmetry compatible with W and X photoluminescence centers. Using ab initio simulations, we calculated their formation energy, donor levels, and energy of local vibrational modes. On the basis of photoluminescence experiments and our multiscale theoretical calculations, we discuss the possible atomic configurations responsible for W and X photoluminescence centers in Si. Our simulations also reveal that the intensity of photoluminescence lines is the result of competition between radiative centers and nonradiative competitors, which can explain the experimental quenching of W and X lines even in the presence of the photoluminescence centers.
Adequacy of Si:P chains as Fermi-Hubbard simulators
NASA Astrophysics Data System (ADS)
Dusko, Amintor; Delgado, Alain; Saraiva, André; Koiller, Belita
2018-01-01
The challenge of simulating many-body models with analogue physical systems requires both experimental precision and very low operational temperatures. Atomically precise placement of dopants in Si permits the construction of nanowires by design. We investigate the suitability of these interacting electron systems as simulators of a fermionic extended Hubbard model on demand. We describe the single-particle wavefunctions as a linear combination of dopant orbitals (LCDO). The electronic states are calculated within configuration interaction (CI). Due to the peculiar oscillatory behavior of each basis orbital, properties of these chains are strongly affected by the interdonor distance R0, in a non-monotonic way. Ground state (T = 0 K) properties such as charge and spin correlations are shown to remain robust under temperatures up to 4 K for specific values of R0. The robustness of the model against disorder is also tested, allowing some fluctuation of the placement site around the target position. We suggest that finite donor chains in Si may serve as an analog simulator for strongly correlated model Hamiltonians. This simulator is, in many ways, complementary to those based on cold atoms in optical lattices—the trade-off between the tunability achievable in the latter and the survival of correlation at higher operation temperatures for the former suggests that both technologies are applicable for different regimes.
NASA Astrophysics Data System (ADS)
Lan, Mai Thi; Thuy Duong, Tran; Iitaka, Toshiaki; Van Hong, Nguyen
2017-06-01
The structural organization of CaSiO3 glass at 600 K and under pressure of 0-100 GPa is investigated by molecular dynamics simulation (MDS). Results show that the atomic structure of CaSiO3 comprises SiO n and CaO m units considered as basic structural polyhedra. At low pressure, most of the basic structural polyhedra are SiO4, CaO5, CaO6 and CaO7. At high pressure most of the basic structural polyhedra are SiO5, SiO6 and CaO9, CaO10 and CaO11. The distribution of basic structural polyhedra is not uniform resulting in formation of Ca-rich and Si-rich regions. The distribution of SiO4, SiO5 and SiO6 polyhedra is also not uniform, but it tends to form SiO4-, SiO5-, and SiO6-clusters. For the Si-O network, under compression there is a gradual transition from the tetrahedral network (SiO4) to the octahedral network (SiO6) via SiO5 polyhedra. The SiO5-clusters are the same as immediate-phase in the transformation process. The size and shape of SiO4 tetrahedra change strongly under compression. While the size of SiO5 and SiO6 has also changed significantly, but the shape is almost unchanged under compression. The SiO n polyhedra can connect to each other via one common oxygen ion (corner-sharing bond), two common oxygen ions (edge-sharing bond) or three common oxygen ions (face-sharing bond). The Si-Si bond length in corner-sharing bonds is much longer than the ones in edge-sharing and face-sharing bonds. The change of intermediate range order (IRO) structure under compression relating to edge- and face-sharing bonds amongst SiO n at high pressure is the origin of the first peak splitting of the radial distribution functions of Si-Si pair. Under compression, the number of non-bridging oxygen (NBO) decreases. This makes the Si-O network more polymerized. At low pressure, most of the Ca2+ ions incorporate into the Si-O network via NBOs. At high pressure, the amount of NBO decreases, Ca2+ ions mainly incorporate into the Si-O network via bridging oxygen (BO) that
NASA Astrophysics Data System (ADS)
Fest, Brenda Joyce Rowland
1999-10-01
This experimental study examined the effects of participation in a Supplemental Instruction (SI) program on student performance in a college level biology course. SI is an academic support program which incorporates study techniques into the framework of an academic course through discussion sessions. According to Blanc, DeBuhr, and Martin (1983) and Kenney (1988), students who experienced Supplemental Instruction had higher course grades, semester grade point averages, and rates of re-enrollment than did non-participants. The present study was conducted within the scheduled discussion sections of a large lecture class of biology for science majors (n = 135). The researcher and another graduate student conducted these discussion sessions in which half of the sections served as the SI treatment group and half of the sections served as the non-SI (traditional) control group. This design eliminated both time on task and motivation as potential contaminating variables. This posttest only, quasi-experimental design study uses a modified nonequivalent control group design. The academic performance of students who participated in SI discussion sessions was compared to the academic performance of students who participated in traditional review-type discussion sessions. Other research questions examined the interactive effect of SI on student performance with respect to SI leader experience, student ability level as measured by total SAT scores, previous academic success as measured by previous college GPA, and different cognitive level of examination questions. t-test and ANOVA statistical methods were used to analyze the data. The results of the t-tests to compare the means of the SI group to the non-SI group (p < .458) do not indicate any significant difference in the semester course scores between the two groups. Thus, the data did not show an overall effect of SI on student performance. The most important results obtained from this study are those with respect to the
Simulation of SiO2 etching in an inductively coupled CF4 plasma
NASA Astrophysics Data System (ADS)
Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling
2017-02-01
Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.
National Land Cover Database 2001 (NLCD01) Tile 2, Northeast United States: NLCD01_2
LaMotte, Andrew
2008-01-01
This 30-meter data set represents land use and land cover for the conterminous United States for the 2001 time period. The data have been arranged into four tiles to facilitate timely display and manipulation within a Geographic Information System (see http://water.usgs.gov/GIS/browse/nlcd01-partition.jpg). The National Land Cover Data Set for 2001 was produced through a cooperative project conducted by the Multi-Resolution Land Characteristics (MRLC) Consortium. The MRLC Consortium is a partnership of Federal agencies (http://www.mrlc.gov), consisting of the U.S. Geological Survey (USGS), the National Oceanic and Atmospheric Administration (NOAA), the U.S. Environmental Protection Agency (USEPA), the U.S. Department of Agriculture (USDA), the U.S. Forest Service (USFS), the National Park Service (NPS), the U.S. Fish and Wildlife Service (USFWS), the Bureau of Land Management (BLM), and the USDA Natural Resources Conservation Service (NRCS). One of the primary goals of the project is to generate a current, consistent, seamless, and accurate National Land Cover Database (NLCD) circa 2001 for the United States at medium spatial resolution. For a detailed definition and discussion on MRLC and the NLCD 2001 products, refer to Homer and others (2004), (see: http://www.mrlc.gov/mrlc2k.asp). The NLCD 2001 was created by partitioning the United States into mapping zones. A total of 68 mapping zones (see http://water.usgs.gov/GIS/browse/nlcd01-mappingzones.jpg), were delineated within the conterminous United States based on ecoregion and geographical characteristics, edge-matching features, and the size requirement of Landsat mosaics. Mapping zones encompass the whole or parts of several states. Questions about the NLCD mapping zones can be directed to the NLCD 2001 Land Cover Mapping Team at the USGS/EROS, Sioux Falls, SD (605) 594-6151 or mrlc@usgs.gov.
Dakwar, George R; Braeckmans, Kevin; Demeester, Joseph; Ceelen, Wim; De Smedt, Stefaan C; Remaut, Katrien
2015-11-04
Small interfering RNA (siRNA) offers a great potential for the treatment of various diseases and disorders. Nevertheless, inefficient in vivo siRNA delivery hampers its translation into the clinic. While numerous successful in vitro siRNA delivery stories exist in reduced-protein conditions, most studies so far overlook the influence of the biological fluids present in the in vivo environment. In this study, we compared the transfection efficiency of liposomal formulations in Opti-MEM (low protein content, routinely used for in vitro screening) and human undiluted ascites fluid obtained from a peritoneal carcinomatosis patient (high protein content, representing the in vivo situation). In Opti-MEM, all formulations are biologically active. In ascites fluid, however, the biological activity of all lipoplexes is lost except for lipofectamine RNAiMAX. The drop in transfection efficiency was not correlated to the physicochemical properties of the nanoparticles, such as premature siRNA release and aggregation of the nanoparticles in the human ascites fluid. Remarkably, however, all of the formulations except for lipofectamine RNAiMAX lost their ability to be taken up by cells following incubation in ascites fluid. To take into account the possible effects of a protein corona formed around the nanoparticles, we recommend always using undiluted biological fluids for the in vitro optimization of nanosized siRNA formulations next to conventional screening in low-protein content media. This should tighten the gap between in vitro and in vivo performance of nanoparticles and ensure the optimal selection of nanoparticles for further in vivo studies.
Biologically-inspired hexapod robot design and simulation
NASA Technical Reports Server (NTRS)
Espenschied, Kenneth S.; Quinn, Roger D.
1994-01-01
The design and construction of a biologically-inspired hexapod robot is presented. A previously developed simulation is modified to include models of the DC drive motors, the motor driver circuits and their transmissions. The application of this simulation to the design and development of the robot is discussed. The mechanisms thought to be responsible for the leg coordination of the walking stick insect were previously applied to control the straight-line locomotion of a robot. We generalized these rules for a robot walking on a plane. This biologically-inspired control strategy is used to control the robot in simulation. Numerical results show that the general body motion and performance of the simulated robot is similar to that of the robot based on our preliminary experimental results.
NASA Astrophysics Data System (ADS)
Wen, Jialin; Ma, Tianbao; Zhang, Weiwei; Psofogiannakis, George; van Duin, Adri C. T.; Chen, Lei; Qian, Linmao; Hu, Yuanzhong; Lu, Xinchun
2016-12-01
In this work, the atomic mechanism of tribochemical wear of silicon at the Si/SiO2 interface in aqueous environment was investigated using ReaxFF molecular dynamics (MD) simulations. Two types of Si atom removal pathways were detected in the wear process. The first is caused by the destruction of stretched Si-O-Si bonds on the Si substrate surface and is assisted by the attachment of H atoms on the bridging oxygen atoms of the bonds. The other is caused by the rupture of Si-Si bonds in the stretched Si-Si-O-Si bond chains at the interface. Both pathways effectively remove Si atoms from the silicon surface via interfacial Si-O-Si bridge bonds. Our simulations also demonstrate that higher pressures applied to the silica phase can cause more Si atoms to be removed due to the formation of increased numbers of interfacial Si-O-Si bridge bonds. Besides, water plays a dual role in the wear mechanism, by oxidizing the Si substrate surface as well as by preventing the close contact of the surfaces. This work shows that the removal of Si atoms from the substrate is a result of both chemical reaction and mechanical effects and contributes to the understanding of tribochemical wear behavior in the microelectromechanical systems (MEMS) and Si chemical mechanical polishing (CMP) process.
Modeling and simulation of biological systems using SPICE language
Lallement, Christophe; Haiech, Jacques
2017-01-01
The article deals with BB-SPICE (SPICE for Biochemical and Biological Systems), an extension of the famous Simulation Program with Integrated Circuit Emphasis (SPICE). BB-SPICE environment is composed of three modules: a new textual and compact description formalism for biological systems, a converter that handles this description and generates the SPICE netlist of the equivalent electronic circuit and NGSPICE which is an open-source SPICE simulator. In addition, the environment provides back and forth interfaces with SBML (System Biology Markup Language), a very common description language used in systems biology. BB-SPICE has been developed in order to bridge the gap between the simulation of biological systems on the one hand and electronics circuits on the other hand. Thus, it is suitable for applications at the interface between both domains, such as development of design tools for synthetic biology and for the virtual prototyping of biosensors and lab-on-chip. Simulation results obtained with BB-SPICE and COPASI (an open-source software used for the simulation of biochemical systems) have been compared on a benchmark of models commonly used in systems biology. Results are in accordance from a quantitative viewpoint but BB-SPICE outclasses COPASI by 1 to 3 orders of magnitude regarding the computation time. Moreover, as our software is based on NGSPICE, it could take profit of incoming updates such as the GPU implementation, of the coupling with powerful analysis and verification tools or of the integration in design automation tools (synthetic biology). PMID:28787027
Dynamic Biological Functioning Important for Simulating and Stabilizing Ocean Biogeochemistry
NASA Astrophysics Data System (ADS)
Buchanan, P. J.; Matear, R. J.; Chase, Z.; Phipps, S. J.; Bindoff, N. L.
2018-04-01
The biogeochemistry of the ocean exerts a strong influence on the climate by modulating atmospheric greenhouse gases. In turn, ocean biogeochemistry depends on numerous physical and biological processes that change over space and time. Accurately simulating these processes is fundamental for accurately simulating the ocean's role within the climate. However, our simulation of these processes is often simplistic, despite a growing understanding of underlying biological dynamics. Here we explore how new parameterizations of biological processes affect simulated biogeochemical properties in a global ocean model. We combine 6 different physical realizations with 6 different biogeochemical parameterizations (36 unique ocean states). The biogeochemical parameterizations, all previously published, aim to more accurately represent the response of ocean biology to changing physical conditions. We make three major findings. First, oxygen, carbon, alkalinity, and phosphate fields are more sensitive to changes in the ocean's physical state. Only nitrate is more sensitive to changes in biological processes, and we suggest that assessment protocols for ocean biogeochemical models formally include the marine nitrogen cycle to assess their performance. Second, we show that dynamic variations in the production, remineralization, and stoichiometry of organic matter in response to changing environmental conditions benefit the simulation of ocean biogeochemistry. Third, dynamic biological functioning reduces the sensitivity of biogeochemical properties to physical change. Carbon and nitrogen inventories were 50% and 20% less sensitive to physical changes, respectively, in simulations that incorporated dynamic biological functioning. These results highlight the importance of a dynamic biology for ocean properties and climate.
NASA Astrophysics Data System (ADS)
Page, Alister J.; Chandrakumar, K. R. S.; Irle, Stephan; Morokuma, Keiji
2011-05-01
Quantum chemical molecular dynamics (QM/MD) simulations of pristine and carbon-doped SiO 2 nanoparticles have been performed between 1000 and 3000 K. At temperatures above 1600 K, pristine nanoparticle SiO 2 decomposes rapidly, primarily forming SiO. Similarly, carbon-doped nanoparticle SiO 2 decomposes at temperatures above 2000 K, primarily forming SiO and CO. Analysis of the physical states of these pristine and carbon-doped SiO 2 nanoparticles indicate that they remain in the solid phase throughout decomposition. This process is therefore one of sublimation, as the liquid phase is never entered. Ramifications of these observations with respect to presently debated mechanisms of carbon nanotube growth on SiO 2 nanoparticles will be discussed.
Comparing 2-nt 3' overhangs against blunt-ended siRNAs: a systems biology based study.
Ghosh, Preetam; Dullea, Robert; Fischer, James E; Turi, Tom G; Sarver, Ronald W; Zhang, Chaoyang; Basu, Kalyan; Das, Sajal K; Poland, Bradley W
2009-07-07
In this study, we formulate a computational reaction model following a chemical kinetic theory approach to predict the binding rate constant for the siRNA-RISC complex formation reaction. The model allowed us to study the potency difference between 2-nt 3' overhangs against blunt-ended siRNA molecules in an RNA interference (RNAi) system. The rate constant predicted by this model was fed into a stochastic simulation of the RNAi system (using the Gillespie stochastic simulator) to study the overall potency effect. We observed that the stochasticity in the transcription/translation machinery has no observable effects in the RNAi pathway. Sustained gene silencing using siRNAs can be achieved only if there is a way to replenish the dsRNA molecules in the cell. Initial findings show about 1.5 times more blunt-ended molecules will be required to keep the mRNA at the same reduced level compared to the 2-nt overhang siRNAs. However, the mRNA levels jump back to saturation after a longer time when blunt-ended siRNAs are used. We found that the siRNA-RISC complex formation reaction rate was 2 times slower when blunt-ended molecules were used pointing to the fact that the presence of the 2-nt overhangs has a greater effect on the reaction in which the bound RISC complex cleaves the mRNA.
Comparing 2-nt 3' overhangs against blunt-ended siRNAs: a systems biology based study
Ghosh, Preetam; Dullea, Robert; Fischer, James E; Turi, Tom G; Sarver, Ronald W; Zhang, Chaoyang; Basu, Kalyan; Das, Sajal K; Poland, Bradley W
2009-01-01
In this study, we formulate a computational reaction model following a chemical kinetic theory approach to predict the binding rate constant for the siRNA-RISC complex formation reaction. The model allowed us to study the potency difference between 2-nt 3' overhangs against blunt-ended siRNA molecules in an RNA interference (RNAi) system. The rate constant predicted by this model was fed into a stochastic simulation of the RNAi system (using the Gillespie stochastic simulator) to study the overall potency effect. We observed that the stochasticity in the transcription/translation machinery has no observable effects in the RNAi pathway. Sustained gene silencing using siRNAs can be achieved only if there is a way to replenish the dsRNA molecules in the cell. Initial findings show about 1.5 times more blunt-ended molecules will be required to keep the mRNA at the same reduced level compared to the 2-nt overhang siRNAs. However, the mRNA levels jump back to saturation after a longer time when blunt-ended siRNAs are used. We found that the siRNA-RISC complex formation reaction rate was 2 times slower when blunt-ended molecules were used pointing to the fact that the presence of the 2-nt overhangs has a greater effect on the reaction in which the bound RISC complex cleaves the mRNA. PMID:19594876
2005-12-02
ISS012-E-23442 (2 Dec. 2005) --- The coastal region in Somalia, south of the capital of Mogadishu (out of frame), is featured in this image photographed during normal conditions by an Expedition 12 crewmember on the International Space Station. An image captured during the Expedition 14 mission (ISS014-E-13848) shows the same coastal region with contrasting wet conditions.
Huang, J; Loeffler, M; Muehle, U; Moeller, W; Mulders, J J L; Kwakman, L F Tz; Van Dorp, W F; Zschech, E
2018-01-01
A Ga focused ion beam (FIB) is often used in transmission electron microscopy (TEM) analysis sample preparation. In case of a crystalline Si sample, an amorphous near-surface layer is formed by the FIB process. In order to optimize the FIB recipe by minimizing the amorphization, it is important to predict the amorphous layer thickness from simulation. Molecular Dynamics (MD) simulation has been used to describe the amorphization, however, it is limited by computational power for a realistic FIB process simulation. On the other hand, Binary Collision Approximation (BCA) simulation is able and has been used to simulate ion-solid interaction process at a realistic scale. In this study, a Point Defect Density approach is introduced to a dynamic BCA simulation, considering dynamic ion-solid interactions. We used this method to predict the c-Si amorphization caused by FIB milling on Si. To validate the method, dedicated TEM studies are performed. It shows that the amorphous layer thickness predicted by the numerical simulation is consistent with the experimental data. In summary, the thickness of the near-surface Si amorphization layer caused by FIB milling can be well predicted using the Point Defect Density approach within the dynamic BCA model. Copyright © 2017 Elsevier B.V. All rights reserved.
Weapon system simulation in flight (WaSiF)
NASA Astrophysics Data System (ADS)
Bartoldus, Klaus H.
2005-05-01
The research and technology demonstration program was co-funded by the Ministries of Defence of five European countries under the framework of the "EUropean Cooperation for the Long term in Defence" (EUCLID) MoU to include Germany, Italy, The Netherlands, Portugal and Turkey with considerable financial contribution from the industrial entities. EADS Military Aircraft Munich has led a team of seven industries and research centers, including Aermacchi of Italy, DutchSpace and NLR of The Netherlands, OGMA and INETI of Portugal and Marmara Research Center of Turkey. The purpose of the project was the design, realization and demonstration of an embedded real time simulation system allowing the combat training of operational aircrew in a virtual air defence scenario and threat environment against computer generated forces in the air and on the ground while flying on a real aircraft. The simulated scenario is focused on air-to-air beyond visual range engagements of fighter aircraft. WaSiF represents one of the first demonstrations of an advanced embedded real time training system onboard a fighter/training aircraft. The system is integrated onboard the MB339CX aircraft. The overall flight test activity covered a wide variety of test conditions for a total of 21 test flights; the operational airborne time of the WaSiF amounted to nearly 18 hours. The demonstration and evaluation were quite positive; the five-nation aircrew was very fond of their first encounter with the virtual world in the military flight training. A common view and approach towards Network Centric Warfare is but emerging. WaSiF in a future networked configuration holds lots of promise to serve the needs of Integrated Air Defence: Common training in a virtual environment.
NASA Astrophysics Data System (ADS)
Tripathy, Haraprasanna; Subramanian, Raju; Hajra, Raj Narayan; Rai, Arun Kumar; Rengachari, Mythili; Saibaba, Saroja; Jayakumar, Tammana
2016-12-01
The sequence of phase instabilities that take place in a Fe-17.7Cr-9.3Ni-0.58Nb-2.95Cu-0.12N (wt pct) austenitic stainless steel (304H Cu grade) as a function of temperature has been investigated using dynamic calorimetry. The results obtained from this investigation are supplemented by Thermocalc-based equilibrium and Scheil-Gulliver nonequilibrium solidification simulation. The following phase transformation sequence is found upon slow cooling from liquid: L → L + γ → L + γ + MX → γ + MX + δ → γ +MX + M23C6 → γ + MX + M23C6 + Cu. Under slow cooling, the solidification follows austenite + ferrite (AF) mode, which is in accordance with Thermocalc prediction and Scheil-Gulliver simulation. However, higher cooling rates result in skeletal δ-ferrite formation, due to increased segregation tendency of Nb and Cr to segregate to interdendritic liquid. The solidification mode is found to depend on combined Nb + Cu content. Experimental estimates of enthalpy change associated with melting and secondary phase precipitation are also obtained. In addition a semi-quantitative study on the dissolution kinetics of M23C6 type carbides has also been investigated. The standard solution treatment at 1413 K (1140 °C) is found to be adequate to dissolve both Cu and M23C6 into γ-austenite; but the complete dissolution of MX type carbonitrides occurs near the melting region.
NASA Astrophysics Data System (ADS)
Chen, Xihui; Sun, Zhigang; Sun, Jianfen; Song, Yingdong
2017-12-01
In this paper, a numerical model which incorporates the oxidation damage model and the finite element model of 2D plain woven composites is presented for simulation of the oxidation behaviors of 2D plain woven C/SiC composite under preloading oxidation atmosphere. The equal proportional reduction method is firstly proposed to calculate the residual moduli and strength of unidirectional C/SiC composite. The multi-scale method is developed to simulate the residual elastic moduli and strength of 2D plain woven C/SiC composite. The multi-scale method is able to accurately predict the residual elastic modulus and strength of the composite. Besides, the simulated residual elastic moduli and strength of 2D plain woven C/SiC composites under preloading oxidation atmosphere show good agreements with experimental results. Furthermore, the preload, oxidation time, temperature and fiber volume fractions of the composite are investigated to show their influences upon the residual elastic modulus and strength of 2D plain woven C/SiC composites.
Bown, James L; Shovman, Mark; Robertson, Paul; Boiko, Andrei; Goltsov, Alexey; Mullen, Peter; Harrison, David J
2017-05-02
Targeted cancer therapy aims to disrupt aberrant cellular signalling pathways. Biomarkers are surrogates of pathway state, but there is limited success in translating candidate biomarkers to clinical practice due to the intrinsic complexity of pathway networks. Systems biology approaches afford better understanding of complex, dynamical interactions in signalling pathways targeted by anticancer drugs. However, adoption of dynamical modelling by clinicians and biologists is impeded by model inaccessibility. Drawing on computer games technology, we present a novel visualization toolkit, SiViT, that converts systems biology models of cancer cell signalling into interactive simulations that can be used without specialist computational expertise. SiViT allows clinicians and biologists to directly introduce for example loss of function mutations and specific inhibitors. SiViT animates the effects of these introductions on pathway dynamics, suggesting further experiments and assessing candidate biomarker effectiveness. In a systems biology model of Her2 signalling we experimentally validated predictions using SiViT, revealing the dynamics of biomarkers of drug resistance and highlighting the role of pathway crosstalk. No model is ever complete: the iteration of real data and simulation facilitates continued evolution of more accurate, useful models. SiViT will make accessible libraries of models to support preclinical research, combinatorial strategy design and biomarker discovery.
NASA Astrophysics Data System (ADS)
Chen, Rui; Xu, Qingyan; Liu, Baicheng
2015-06-01
In this paper, a modified cellular automaton (MCA) model allowing for the prediction of dendrite growth of Al-Si-Mg ternary alloys in two and three dimensions is presented. The growth kinetic of S/L interface is calculated based on the solute equilibrium approach. In order to describe the dendrite growth with arbitrarily crystallographic orientations, this model introduces a modified decentered octahedron algorithm for neighborhood tracking to eliminate the effect of mesh dependency on dendrite growth. The thermody namic and kinetic data needed for dendrite growth is obtained through coupling with Pandat software package in combination with thermodynamic/kinetic/equilibrium phase diagram calculation databases. The effect of interactions between various alloying elements on solute diffusion coefficient is considered in the model. This model has first been used to simulate Al-7Si (weight percent) binary dendrite growth followed by a validation using theoretical predictions. For ternary alloy, Al-7Si-0.5Mg dendrite simulation has been carried out and the effects of solute interactions on diffusion matrix as well as the differences of Si and Mg in solute distribution have been analyzed. For actual application, this model has been applied to simulate the equiaxed dendrite growth with various crystallographic orientations of Al-7Si-0.36Mg ternary alloy, and the predicted secondary dendrite arm spacing (SDAS) shows a reasonable agreement with the experimental ones. Furthermore, the columnar dendrite growth in directional solidification has also been simulated and the predicted primary dendrite arm spacing (PDAS) is in good agreement with experiments. The simulated results effectively demonstrate the abilities of the model in prediction of dendritic microstructure of Al-Si-Mg ternary alloy.
Tilka, J. A.; Park, J.; Ahn, Y.; ...
2016-07-06
Here, the highly coherent and tightly focused x-ray beams produced by hard x-ray light sources enable the nanoscale characterization of the structure of electronic materials but are accompanied by significant challenges in the interpretation of diffraction and scattering patterns. X-ray nanobeams exhibit optical coherence combined with a large angular divergence introduced by the x-ray focusing optics. The scattering of nanofocused x-ray beams from intricate semiconductor heterostructures produces a complex distribution of scattered intensity. We report here an extension of coherent xray optical simulations of convergent x-ray beam diffraction patterns to arbitrary x-ray incident angles to allow the nanobeam diffraction patternsmore » of complex heterostructures to be simulated faithfully. These methods are used to extract the misorientation of lattice planes and the strain of individual layers from synchrotron x-ray nanobeam diffraction patterns of Si/SiGe heterostructures relevant to applications in quantum electronic devices. The systematic interpretation of nanobeam diffraction patterns from semiconductor heterostructures presents a new opportunity in characterizing and ultimately designing electronic materials.« less
Safety and efficacy assessment of standardized herbal formula PM012
2012-01-01
Background This study was conducted to evaluate the efficacy of the herbal formula PM012 on an Alzheimer's disease model, human presenilin 2 mutant transgenic mice (hPS2m), and also to evaluate the toxicity of PM012 in Sprague-Dawely rats after 4 or 26 weeks treatment with repeated oral administration. Methods Spatial learning and memory capacities of hPS2m transgenic mice were evaluated using the Morris Water Maze. Simultaneously, PM012 was repeatedly administered orally to male and female SD rats (15/sex/group) at doses of 0 (vehicle control), 500, 1,000 and 2,000 mg/kg/day for 4 or 26 weeks. To evaluate the recovery potential, 5 animals of each sex were assigned to vehicle control and 2,000 mg/kg/day groups during the 4-week recovery period. Results The results showed that PM012-treated hPS2m transgenic mice showed significantly reduced escape latency when compared with the hPS2m transgenic mice. The repeated oral administration of PM012 over 26 weeks in male and female rats induced an increase and increasing trend in thymus weight in the female treatment groups (main and recovery groups), but the change was judged to be toxicologically insignificant. In addition, the oral administration of the herbal medicine PM012 did not cause adverse effects as assessed by clinical signs, mortality, body weight, food and water consumption, ophthalmology, urinalysis, hematology, serum biochemistry, blood clotting time, organ weights and histopathology. The No Observed Adverse Effects Levels of PM012 was determined to be 2,000 mg/kg/day for both sexes, and the target organ was not identified. Conclusion These results suggest that PM012 has potential for use in the treatment of the Alzheimer's disease without serious adverse effects. PMID:22458507
Experimental and simulation studies of neutron-induced single-event burnout in SiC power diodes
NASA Astrophysics Data System (ADS)
Shoji, Tomoyuki; Nishida, Shuichi; Hamada, Kimimori; Tadano, Hiroshi
2014-01-01
Neutron-induced single-event burnouts (SEBs) of silicon carbide (SiC) power diodes have been investigated by white neutron irradiation experiments and transient device simulations. It was confirmed that a rapid increase in lattice temperature leads to formation of crown-shaped aluminum and cracks inside the device owing to expansion stress when the maximum lattice temperature reaches the sublimation temperature. SEB device simulation indicated that the peak lattice temperature is located in the vicinity of the n-/n+ interface and anode contact, and that the positions correspond to a hammock-like electric field distribution caused by the space charge effect. Moreover, the locations of the simulated peak lattice temperature agree closely with the positions of the observed destruction traces. Furthermore, it was theoretically demonstrated that the period of temperature increase of a SiC power device is two orders of magnitude less than that of a Si power device, using a thermal diffusion equation.
Stochastic simulation in systems biology
Székely, Tamás; Burrage, Kevin
2014-01-01
Natural systems are, almost by definition, heterogeneous: this can be either a boon or an obstacle to be overcome, depending on the situation. Traditionally, when constructing mathematical models of these systems, heterogeneity has typically been ignored, despite its critical role. However, in recent years, stochastic computational methods have become commonplace in science. They are able to appropriately account for heterogeneity; indeed, they are based around the premise that systems inherently contain at least one source of heterogeneity (namely, intrinsic heterogeneity). In this mini-review, we give a brief introduction to theoretical modelling and simulation in systems biology and discuss the three different sources of heterogeneity in natural systems. Our main topic is an overview of stochastic simulation methods in systems biology. There are many different types of stochastic methods. We focus on one group that has become especially popular in systems biology, biochemistry, chemistry and physics. These discrete-state stochastic methods do not follow individuals over time; rather they track only total populations. They also assume that the volume of interest is spatially homogeneous. We give an overview of these methods, with a discussion of the advantages and disadvantages of each, and suggest when each is more appropriate to use. We also include references to software implementations of them, so that beginners can quickly start using stochastic methods for practical problems of interest. PMID:25505503
Chemical Stability and Biological Properties of Plasma-Sprayed CaO-SiO2-ZrO2 Coatings
NASA Astrophysics Data System (ADS)
Liang, Ying; Xie, Youtao; Ji, Heng; Huang, Liping; Zheng, Xuebin
2010-12-01
In this work, calcia-stabilized zirconia powders were coated by silica derived from tetraethoxysilane (TEOS) hydrolysis. After calcining at 1400 °C, decalcification of calcia-stabilized zirconia by silica occurred and powders composed of Ca2SiO4, ZrO2, and CaZrO3 were prepared. We produced three kinds of powders with different Ca2SiO4 contents [20 wt.% (denoted as CZS2), 40 wt.% (denoted as CZS4), and 60 wt.% (denoted as CZS6)]. The obtained powders were sprayed onto Ti-6Al-4V substrates using atmospheric plasma spraying. The microstructure of the powders and coatings were analyzed. The dissolution rates of the coatings were assessed by monitoring the ions release and mass losses after immersion in Tris-HCl buffer solution. Results showed that the chemical stability of the coatings were significantly improved compared with pure calcium silicate coatings, and increased with the increase of Zr contents. The CZS4 coating showed not only good apatite-formation ability in simulated body fluid, but also well attachment and proliferation capability for the canine bone marrow stem cells. Results presented here indicate that plasma-sprayed CZS4 coating has medium dissolution rate and good biological properties, suggesting its potential use as bone implants.
SiC/SiC Leading Edge Turbine Airfoil Tested Under Simulated Gas Turbine Conditions
NASA Technical Reports Server (NTRS)
Robinson, R. Craig; Hatton, Kenneth S.
1999-01-01
Silicon-based ceramics have been proposed as component materials for use in gas turbine engine hot-sections. A high pressure burner rig was used to expose both a baseline metal airfoil and ceramic matrix composite leading edge airfoil to typical gas turbine conditions to comparatively evaluate the material response at high temperatures. To eliminate many of the concerns related to an entirely ceramic, rotating airfoil, this study has focused on equipping a stationary metal airfoil with a ceramic leading edge insert to demonstrate the feasibility and benefits of such a configuration. Here, the idea was to allow the SiC/SiC composite to be integrated as the airfoil's leading edge, operating in a "free-floating" or unrestrained manner. and provide temperature relief to the metal blade underneath. The test included cycling the airfoils between simulated idle, lift, and cruise flight conditions. In addition, the airfoils were air-cooled, uniquely instrumented, and exposed to the same internal and external conditions, which included gas temperatures in excess of 1370 C (2500 F). Results show the leading edge insert remained structurally intact after 200 simulated flight cycles with only a slightly oxidized surface. The instrumentation clearly suggested a significant reduction (approximately 600 F) in internal metal temperatures as a result of the ceramic leading edge. The object of this testing was to validate the design and analysis done by Materials Research and Design of Rosemont, PA and to determine the feasibility of this design for the intended application.
Design of High Performance Si/SiGe Heterojunction Tunneling FETs with a T-Shaped Gate
NASA Astrophysics Data System (ADS)
Li, Wei; Liu, Hongxia; Wang, Shulong; Chen, Shupeng; Yang, Zhaonian
2017-03-01
In this paper, a new Si/SiGe heterojunction tunneling field-effect transistor with a T-shaped gate (HTG-TFET) is proposed and investigated by Silvaco-Atlas simulation. The two source regions of the HTG-TFET are placed on both sides of the gate to increase the tunneling area. The T-shaped gate is designed to overlap with N+ pockets in both the lateral and vertical directions, which increases the electric field and tunneling rate at the top of tunneling junctions. Moreover, using SiGe in the pocket regions leads to the smaller tunneling distance. Therefore, the proposed HTG-TFET can obtain the higher on-state current. The simulation results show that on-state current of HTG-TFET is increased by one order of magnitude compared with that of the silicon-based counterparts. The average subthreshold swing (SS) of HTG-TFET is 44.64 mV/dec when V g is varied from 0.1 to 0.4 V, and the point SS is 36.59 mV/dec at V g = 0.2 V. Besides, this design cannot bring the sever Miller capacitance for the TFET circuit design. By using the T-shaped gate and SiGe pocket regions, the overall performance of the TFET is optimized.
Design of High Performance Si/SiGe Heterojunction Tunneling FETs with a T-Shaped Gate.
Li, Wei; Liu, Hongxia; Wang, Shulong; Chen, Shupeng; Yang, Zhaonian
2017-12-01
In this paper, a new Si/SiGe heterojunction tunneling field-effect transistor with a T-shaped gate (HTG-TFET) is proposed and investigated by Silvaco-Atlas simulation. The two source regions of the HTG-TFET are placed on both sides of the gate to increase the tunneling area. The T-shaped gate is designed to overlap with N + pockets in both the lateral and vertical directions, which increases the electric field and tunneling rate at the top of tunneling junctions. Moreover, using SiGe in the pocket regions leads to the smaller tunneling distance. Therefore, the proposed HTG-TFET can obtain the higher on-state current. The simulation results show that on-state current of HTG-TFET is increased by one order of magnitude compared with that of the silicon-based counterparts. The average subthreshold swing (SS) of HTG-TFET is 44.64 mV/dec when V g is varied from 0.1 to 0.4 V, and the point SS is 36.59 mV/dec at V g = 0.2 V. Besides, this design cannot bring the sever Miller capacitance for the TFET circuit design. By using the T-shaped gate and SiGe pocket regions, the overall performance of the TFET is optimized.
Fast Li-Ion Transport in Amorphous Li 2Si 2O 5: An Ab Initio Molecular Dynamics Simulation
Lei, Xueling; Wang, Jie; Huang, Kevin
2016-05-03
The present study reports an ab-initio molecular dynamics (AIMD) simulation of ionic diffusion in the amorphous Li 2Si 2O 5 in a temperature range of 573–823 K. The results show that the amorphous Li 2Si 2O 5 is primarily a Li + conductor with negligible O 2- and Si 4+ contributions. The obtained activation energy of 0.47 eV for Li + diffusion is higher than Na + in the analogue amorphous Na 2Si 2O 5, but close to other types of Li + conductors. The predicted Li + conductivity is on the order of 10 -2 S·cm -1 at 623–823more » K. Our simulations also reveal that Li + in the amorphous Li 2Si 2O 5 diffuses via a hopping mechanism between the nearest sites in the channels formed by two adjacent SiO 4 layers.« less
Numerical simulation and validation of SI-CAI hybrid combustion in a CAI/HCCI gasoline engine
NASA Astrophysics Data System (ADS)
Wang, Xinyan; Xie, Hui; Xie, Liyan; Zhang, Lianfang; Li, Le; Chen, Tao; Zhao, Hua
2013-02-01
SI-CAI hybrid combustion, also known as spark-assisted compression ignition (SACI), is a promising concept to extend the operating range of CAI (Controlled Auto-Ignition) and achieve the smooth transition between spark ignition (SI) and CAI in the gasoline engine. In this study, a SI-CAI hybrid combustion model (HCM) has been constructed on the basis of the 3-Zones Extended Coherent Flame Model (ECFM3Z). An ignition model is included to initiate the ECFM3Z calculation and induce the flame propagation. In order to precisely depict the subsequent auto-ignition process of the unburned fuel and air mixture independently after the initiation of flame propagation, the tabulated chemistry concept is adopted to describe the auto-ignition chemistry. The methodology for extracting tabulated parameters from the chemical kinetics calculations is developed so that both cool flame reactions and main auto-ignition combustion can be well captured under a wider range of thermodynamic conditions. The SI-CAI hybrid combustion model (HCM) is then applied in the three-dimensional computational fluid dynamics (3-D CFD) engine simulation. The simulation results are compared with the experimental data obtained from a single cylinder VVA engine. The detailed analysis of the simulations demonstrates that the SI-CAI hybrid combustion process is characterised with the early flame propagation and subsequent multi-site auto-ignition around the main flame front, which is consistent with the optical results reported by other researchers. Besides, the systematic study of the in-cylinder condition reveals the influence mechanism of the early flame propagation on the subsequent auto-ignition.
WE-DE-202-01: Connecting Nanoscale Physics to Initial DNA Damage Through Track Structure Simulations
DOE Office of Scientific and Technical Information (OSTI.GOV)
Schuemann, J.
Radiation therapy for the treatment of cancer has been established as a highly precise and effective way to eradicate a localized region of diseased tissue. To achieve further significant gains in the therapeutic ratio, we need to move towards biologically optimized treatment planning. To achieve this goal, we need to understand how the radiation-type dependent patterns of induced energy depositions within the cell (physics) connect via molecular, cellular and tissue reactions to treatment outcome such as tumor control and undesirable effects on normal tissue. Several computational biology approaches have been developed connecting physics to biology. Monte Carlo simulations are themore » most accurate method to calculate physical dose distributions at the nanometer scale, however simulations at the DNA scale are slow and repair processes are generally not simulated. Alternative models that rely on the random formation of individual DNA lesions within one or two turns of the DNA have been shown to reproduce the clusters of DNA lesions, including single strand breaks (SSBs), double strand breaks (DSBs) without the need for detailed track structure simulations. Efficient computational simulations of initial DNA damage induction facilitate computational modeling of DNA repair and other molecular and cellular processes. Mechanistic, multiscale models provide a useful conceptual framework to test biological hypotheses and help connect fundamental information about track structure and dosimetry at the sub-cellular level to dose-response effects on larger scales. In this symposium we will learn about the current state of the art of computational approaches estimating radiation damage at the cellular and sub-cellular scale. How can understanding the physics interactions at the DNA level be used to predict biological outcome? We will discuss if and how such calculations are relevant to advance our understanding of radiation damage and its repair, or, if the underlying
Moore, Jason H; Amos, Ryan; Kiralis, Jeff; Andrews, Peter C
2015-01-01
Simulation plays an essential role in the development of new computational and statistical methods for the genetic analysis of complex traits. Most simulations start with a statistical model using methods such as linear or logistic regression that specify the relationship between genotype and phenotype. This is appealing due to its simplicity and because these statistical methods are commonly used in genetic analysis. It is our working hypothesis that simulations need to move beyond simple statistical models to more realistically represent the biological complexity of genetic architecture. The goal of the present study was to develop a prototype genotype–phenotype simulation method and software that are capable of simulating complex genetic effects within the context of a hierarchical biology-based framework. Specifically, our goal is to simulate multilocus epistasis or gene–gene interaction where the genetic variants are organized within the framework of one or more genes, their regulatory regions and other regulatory loci. We introduce here the Heuristic Identification of Biological Architectures for simulating Complex Hierarchical Interactions (HIBACHI) method and prototype software for simulating data in this manner. This approach combines a biological hierarchy, a flexible mathematical framework, a liability threshold model for defining disease endpoints, and a heuristic search strategy for identifying high-order epistatic models of disease susceptibility. We provide several simulation examples using genetic models exhibiting independent main effects and three-way epistatic effects. PMID:25395175
A program code generator for multiphysics biological simulation using markup languages.
Amano, Akira; Kawabata, Masanari; Yamashita, Yoshiharu; Rusty Punzalan, Florencio; Shimayoshi, Takao; Kuwabara, Hiroaki; Kunieda, Yoshitoshi
2012-01-01
To cope with the complexity of the biological function simulation models, model representation with description language is becoming popular. However, simulation software itself becomes complex in these environment, thus, it is difficult to modify the simulation conditions, target computation resources or calculation methods. In the complex biological function simulation software, there are 1) model equations, 2) boundary conditions and 3) calculation schemes. Use of description model file is useful for first point and partly second point, however, third point is difficult to handle for various calculation schemes which is required for simulation models constructed from two or more elementary models. We introduce a simulation software generation system which use description language based description of coupling calculation scheme together with cell model description file. By using this software, we can easily generate biological simulation code with variety of coupling calculation schemes. To show the efficiency of our system, example of coupling calculation scheme with three elementary models are shown.
NASA Astrophysics Data System (ADS)
Mészáros, Marianna; Hofmann, Beda A.; Lanari, Pierre; Korotev, Randy L.; Gnos, Edwin; Greber, Nicolas D.; Leya, Ingo; Greenwood, Richard C.; Jull, A. J. Timothy; Al-Wagdani, Khalid; Mahjoub, Ayman; Al-Solami, Abdulaziz A.; Habibullah, Siddiq N.
2016-10-01
Abar al' Uj (AaU) 012 is a clast-rich, vesicular impact-melt (IM) breccia, composed of lithic and mineral clasts set in a very fine-grained and well-crystallized matrix. It is a typical feldspathic lunar meteorite, most likely originating from the lunar farside. Bulk composition (31.0 wt% Al2O3, 3.85 wt% FeO) is close to the mean of feldspathic lunar meteorites and Apollo FAN-suite rocks. The low concentration of incompatible trace elements (0.39 ppm Th, 0.13 ppm U) reflects the absence of a significant KREEP component. Plagioclase is highly anorthitic with a mean of An96.9Ab3.0Or0.1. Bulk rock Mg# is 63 and molar FeO/MnO is 76. The terrestrial age of the meteorite is 33.4 ± 5.2 kyr. AaU 012 contains a 1.4 × 1.5 mm2 exotic clast different from the lithic clast population which is dominated by clasts of anorthosite breccias. Bulk composition and presence of relatively large vesicles indicate that the clast was most probably formed by an impact into a precursor having nonmare igneous origin most likely related to the rare alkali-suite rocks. The IM clast is mainly composed of clinopyroxenes, contains a significant amount of cristobalite (9.0 vol%), and has a microcrystalline mesostasis. Although the clast shows similarities in texture and modal mineral abundances with some Apollo pigeonite basalts, it has lower FeO and higher SiO2 than any mare basalt. It also has higher FeO and lower Al2O3 than rocks from the FAN- or Mg-suite. Its lower Mg# (59) compared to Mg-suite rocks also excludes a relationship with these types of lunar material.
LaMotte, Andrew E.; Wieczorek, Michael
2010-01-01
This 30-meter resolution data set represents the imperviousness layer for the conterminous United States for the 2001 time period. The data have been arranged into four tiles to facilitate timely display and manipulation within a Geographic Information System, browse graphic: nlcd01-partition. The National Land Cover Data Set for 2001 was produced through a cooperative project conducted by the Multi-Resolution Land Characteristics (MRLC) Consortium. The MRLC Consortium is a partnership of Federal agencies (www.mrlc.gov), consisting of the U.S. Geological Survey (USGS), the National Oceanic and Atmospheric Administration (NOAA), the U.S. Environmental Protection Agency (USEPA), the U.S. Department of Agriculture (USDA), the U.S. Forest Service (USFS), the National Park Service (NPS), the U.S. Fish and Wildlife Service (USFWS), the Bureau of Land Management (BLM), and the USDA Natural Resources Conservation Service (NRCS). One of the primary goals of the project is to generate a current, consistent, seamless, and accurate National Land Cover Database (NLCD) circa 2001 for the United States at medium spatial resolution. For a detailed definition and discussion on MRLC and the NLCD 2001 products, refer to Homer and others (2004) and http://www.mrlc.gov/mrlc2k.asp.. The NLCD 2001 was created by partitioning the United States into mapping-zones. A total of 68 mapping-zones browse graphic: nlcd01-mappingzones.jpg were delineated within the conterminous United States based on ecoregion and geographical characteristics, edge-matching features, and the size requirement of Landsat mosaics. Mapping-zones encompass the whole or parts of several states. Questions about the NLCD mapping zones can be directed to the NLCD 2001 Land Cover Mapping Team at the USGS/EROS, Sioux Falls, SD (605) 594-6151 or mrlc@usgs.gov.
2006-03-29
ISS012-E-21343 (29 Mar. 2006) --- The shadow of the moon falls on Earth as seen from the International Space Station, 230 miles above the planet, during a total solar eclipse at about 4:50 a.m. CST Wednesday, March 29. This digital photo was taken by the Expedition 12 crew, Commander William McArthur and Flight Engineer Valery Tokarev, who are wrapping up a six-month mission on the complex. Visible near the shadow are portions of Cyprus in the Mediterranean Sea and the coast of Turkey.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Samanta, Piyas, E-mail: piyas@vcfw.org; Mandal, Krishna C., E-mail: mandalk@cec.sc.edu
2016-08-14
We present for the first time a thorough investigation of trapped-hole induced gate oxide deterioration and simulation results of time-dependent dielectric breakdown (TDDB) of thin (7–25 nm) silicon dioxide (SiO{sub 2}) films thermally grown on (0 0 0 1) silicon (Si) face of n-type 6H-silicon carbide (n-6H-SiC). Gate oxide reliability was studied during both constant voltage and current stress with positive bias on the degenerately doped n-type poly-crystalline silicon (n{sup +}-polySi) gate at a wide range of temperatures between 27 and 225 °C. The gate leakage current was identified as the Poole-Frenkel (PF) emission of electrons trapped at an energy 0.92 eV belowmore » the SiO{sub 2} conduction band. Holes were generated in the n{sup +}-polySi anode material as well as in the oxide bulk via band-to-band ionization depending on the film thickness t{sub ox} and the energy of the hot-electrons (emitted via PF mechanism) during their transport through oxide films at oxide electric fields E{sub ox} ranging from 5 to 10 MV/cm. Our simulated time-to-breakdown (t{sub BD}) results are in excellent agreement with those obtained from time consuming TDDB measurements. It is observed that irrespective of stress temperatures, the t{sub BD} values estimated in the field range between 5 and 9 MV/cm better fit to reciprocal field (1/E) model for the thickness range studied here. Furthermore, for a 10 year projected device lifetime, a good reliability margin of safe operating field from 8.5 to 7.5 MV/cm for 7 nm and 8.1 to 6.9 MV/cm for 25 nm thick SiO{sub 2} was observed between 27 and 225 °C.« less
NASA Astrophysics Data System (ADS)
Kouno, Takahisa; Ogata, Shuji; Shimada, Takaaki; Tamura, Tomoyuki; Kobayashi, Ryo
2016-05-01
A hybrid quantum-classical simulation of a 4,608-atom silica glass is performed at a temperature of 400 K with either a water monomer or dimer inserted in a void. The quantum region that includes the water and the surrounding atoms is treated by the density-functional theory (DFT). During a simulation, the silica glass is gradually compressed or expanded. No Si-O bond breaking occurs with a water monomer until the silica glass collapses. With a water dimer, we find that Si-O bond breaking occurs through three steps in 3 out of 24 compression cases: (i) H-transfer as 2H2O → OH- + H3O+ accompanied by the adsorption of OH- at a strained Si to make it five-coordinated, (ii) breaking of a Si-O bond that originates from the five-coordinated Si, and (iii) H-transfer from H3O+ to the O of the broken Si-O bond. A separate DFT calculation confirms that the barrier energy of the bond breaking with a water dimer under compression is smaller than that with a water monomer and that the barrier energy decreases significantly when the silica glass is compressed further.
Atomistic simulation of the thermal conductivity in amorphous SiO2 matrix/Ge nanocrystal composites
NASA Astrophysics Data System (ADS)
Kuryliuk, Vasyl V.; Korotchenkov, Oleg A.
2017-04-01
We use nonequilibrium molecular dynamics computer simulations with the Tersoff potential aiming to provide a comprehensive picture of the thermal conductivity of amorphous SiO2 (a-SiO2) matrix with embedded Ge nanocrystals (nc-Ge). The modelling predicts the a-SiO2 matrix thermal conductivity in a temperature range of 50 < T < 500 K yielding a fair agreement with experiment at around room temperature. It is worth noticing that the predicted room-temperature thermal conductivity in a-SiO2 is in very good agreement with the experimental result, which is in marked contrast with the thermal conductivity calculated employing the widely used van Beest-Kramer-van Santen (BKS) potential. We show that the thermal conductivity of composite nc-Ge/a-SiO2 systems decreases steadily with increasing the volume fraction of Ge inclusions, indicative of enhanced interface scattering of phonons imposed by embedded Ge nanocrystals. We also observe that increasing the volume fractions above a certain threshold value results in a progressively increased thermal conductivity of the nanocomposite, which can be explained by increasing volume fraction of a better thermally conducting Ge. Finally, non-equilibrium molecular dynamics simulations with the Tersoff potential are promising for computing the thermal conductivity of nanocomposites based on amorphous SiO2 and can be readily scaled to more complex composite structures with embedded nanoparticles, which thus help design nanocomposites with desired thermal properties.
Ravikumar, Ramya; Gopal, Buvaneswari; Jena, Hrudananda
2018-06-04
The oxysilicate apatite host CaLa 4 (SiO 4 ) 3 O has been explored for immobilization of radioactive nuclides. Divalent ion, trivalent rare earth ion, and combined ionic substitutions in the silicate oxyapatite were carried out to optimize the simulated wasteform composition. The phases were characterized by powder X-ray diffraction, FT-IR, TGA, SEM-EDS, and HT-XRD techniques. The results revealed the effect of ionic substitutions on the structure and thermal expansion behavior. The investigation resulted in the formulation of simulated wasteforms such as La 3.4 Ce 0.1 Pr 0.1 Nd 0.1 Sm 0.1 Gd 0.1 Y 0.1 (SiO 4 ) 3 O (WF-1) and Ca 0.8 Sr 0.1 Pb 0.1 La 3.4 Ce 0.1 Pr 0.1 Nd 0.1 Sm 0.1 Gd 0.1 Y 0.1 (SiO 4 ) 3 O (WF-2). In comparison to the average axial thermal expansion coefficients of the hexagonal unit cell of the parent CaLa 4 (SiO 4 ) 3 O measured in the temperature range 298-1073 K (α' a = 9.74 × 10 -6 K -1 and α' c = 10.10 × 10 -6 K -1 ), rare earth ion substitution decreases the thermal expansion coefficients, as in the case of La 3.4 Ce 0.1 Pr 0.1 Nd 0.1 Sm 0.1 Gd 0.1 Y 0.1 (SiO 4 ) 3 O (α' a = 8.67 × 10 -6 K -1 and α' c = 7.94 × 10 -6 K -1 ). However, the phase Ca 0.8 Sr 0.1 Pb 0.1 La 3.4 Ce 0.1 Pr 0.1 Nd 0.1 Sm 0.1 Gd 0.1 Y 0.1 (SiO 4 ) 3 O shows an increase in the values of thermal expansion coefficients: α' a = 11.74 × 10 -6 K -1 and α' c = 11.70 × 10 -6 K -1 .
Biocellion: accelerating computer simulation of multicellular biological system models
Kang, Seunghwa; Kahan, Simon; McDermott, Jason; Flann, Nicholas; Shmulevich, Ilya
2014-01-01
Motivation: Biological system behaviors are often the outcome of complex interactions among a large number of cells and their biotic and abiotic environment. Computational biologists attempt to understand, predict and manipulate biological system behavior through mathematical modeling and computer simulation. Discrete agent-based modeling (in combination with high-resolution grids to model the extracellular environment) is a popular approach for building biological system models. However, the computational complexity of this approach forces computational biologists to resort to coarser resolution approaches to simulate large biological systems. High-performance parallel computers have the potential to address the computing challenge, but writing efficient software for parallel computers is difficult and time-consuming. Results: We have developed Biocellion, a high-performance software framework, to solve this computing challenge using parallel computers. To support a wide range of multicellular biological system models, Biocellion asks users to provide their model specifics by filling the function body of pre-defined model routines. Using Biocellion, modelers without parallel computing expertise can efficiently exploit parallel computers with less effort than writing sequential programs from scratch. We simulate cell sorting, microbial patterning and a bacterial system in soil aggregate as case studies. Availability and implementation: Biocellion runs on x86 compatible systems with the 64 bit Linux operating system and is freely available for academic use. Visit http://biocellion.com for additional information. Contact: seunghwa.kang@pnnl.gov PMID:25064572
High performance computing in biology: multimillion atom simulations of nanoscale systems
Sanbonmatsu, K. Y.; Tung, C.-S.
2007-01-01
Computational methods have been used in biology for sequence analysis (bioinformatics), all-atom simulation (molecular dynamics and quantum calculations), and more recently for modeling biological networks (systems biology). Of these three techniques, all-atom simulation is currently the most computationally demanding, in terms of compute load, communication speed, and memory load. Breakthroughs in electrostatic force calculation and dynamic load balancing have enabled molecular dynamics simulations of large biomolecular complexes. Here, we report simulation results for the ribosome, using approximately 2.64 million atoms, the largest all-atom biomolecular simulation published to date. Several other nanoscale systems with different numbers of atoms were studied to measure the performance of the NAMD molecular dynamics simulation program on the Los Alamos National Laboratory Q Machine. We demonstrate that multimillion atom systems represent a 'sweet spot' for the NAMD code on large supercomputers. NAMD displays an unprecedented 85% parallel scaling efficiency for the ribosome system on 1024 CPUs. We also review recent targeted molecular dynamics simulations of the ribosome that prove useful for studying conformational changes of this large biomolecular complex in atomic detail. PMID:17187988
The systems biology simulation core algorithm
2013-01-01
Background With the increasing availability of high dimensional time course data for metabolites, genes, and fluxes, the mathematical description of dynamical systems has become an essential aspect of research in systems biology. Models are often encoded in formats such as SBML, whose structure is very complex and difficult to evaluate due to many special cases. Results This article describes an efficient algorithm to solve SBML models that are interpreted in terms of ordinary differential equations. We begin our consideration with a formal representation of the mathematical form of the models and explain all parts of the algorithm in detail, including several preprocessing steps. We provide a flexible reference implementation as part of the Systems Biology Simulation Core Library, a community-driven project providing a large collection of numerical solvers and a sophisticated interface hierarchy for the definition of custom differential equation systems. To demonstrate the capabilities of the new algorithm, it has been tested with the entire SBML Test Suite and all models of BioModels Database. Conclusions The formal description of the mathematics behind the SBML format facilitates the implementation of the algorithm within specifically tailored programs. The reference implementation can be used as a simulation backend for Java™-based programs. Source code, binaries, and documentation can be freely obtained under the terms of the LGPL version 3 from http://simulation-core.sourceforge.net. Feature requests, bug reports, contributions, or any further discussion can be directed to the mailing list simulation-core-development@lists.sourceforge.net. PMID:23826941
Apollo Spacecraft 012 Command/Service Module being moved to Operations bldg
NASA Technical Reports Server (NTRS)
1967-01-01
Transfer of Apollo Spacecraft 012 Command/Service Module for mating to the Saturn Lunar Module Adapter No. 05 in the Manned Spacecraft Operations bldg. S/C 012 will be flown on the Apollo/Saturn 204 mission.
Self-learning kinetic Monte Carlo simulations of diffusion in ferromagnetic α-Fe-Si alloys
NASA Astrophysics Data System (ADS)
Nandipati, Giridhar; Jiang, Xiujuan; Vemuri, Rama S.; Mathaudhu, Suveen; Rohatgi, Aashish
2018-01-01
Diffusion of Si atom and vacancy in the A2-phase of α-Fe-Si alloys in the ferromagnetic state, with and without magnetic order and in various temperature ranges, are studied using AKSOME, an on-lattice self-learning KMC code. Diffusion of the Si atom and the vacancy are studied in the dilute limit and up to 12 at.% Si, respectively, in the temperature range 350-700 K. Local Si neighborhood dependent activation energies for vacancy hops were calculated on-the-fly using a broken-bond model based on pairwise interaction. The migration barrier and prefactor for the Si diffusion in the dilute limit were obtained and found to agree with published data within the limits of uncertainty. Simulations results show that the prefactor and the migration barrier for the Si diffusion are approximately an order of magnitude higher, and a tenth of an electron-volt higher, respectively, in the magnetic disordered state than in the fully ordered state. However, the net result is that magnetic disorder does not have a significant effect on Si diffusivity within the range of parameters studied in this work. Nevertheless, with increasing temperature, the magnetic disorder increases and its effect on the Si diffusivity also increases. In the case of vacancy diffusion, with increasing Si concentration, its diffusion prefactor decreases while the migration barrier more or less remained constant and the effect of magnetic disorder increases with Si concentration. Important vacancy-Si/Fe atom exchange processes and their activation barriers were identified, and the effect of energetics on ordered phase formation in Fe-Si alloys are discussed.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Cao, Haining; Kim, Seungchul; Lee, Kwang-Ryeol, E-mail: krlee@kist.re.kr
2016-03-28
Initial stage of oxynitridation process of Si substrate is of crucial importance in fabricating the ultrathin gate dielectric layer of high quality in advanced MOSFET devices. The oxynitridation reaction on a relaxed Si(001) surface is investigated via reactive molecular dynamics (MD) simulation. A total of 1120 events of a single nitric oxide (NO) molecule reaction at temperatures ranging from 300 to 1000 K are statistically analyzed. The observed reaction kinetics are consistent with the previous experimental or calculation results, which show the viability of the reactive MD technique to study the NO dissociation reaction on Si. We suggest the reaction pathwaymore » for NO dissociation that is characterized by the inter-dimer bridge of a NO molecule as the intermediate state prior to NO dissociation. Although the energy of the inter-dimer bridge is higher than that of the intra-dimer one, our suggestion is supported by the ab initio nudged elastic band calculations showing that the energy barrier for the inter-dimer bridge formation is much lower. The growth mechanism of an ultrathin Si oxynitride layer is also investigated via consecutive NO reactions simulation. The simulation reveals the mechanism of self-limiting reaction at low temperature and the time evolution of the depth profile of N and O atoms depending on the process temperature, which would guide to optimize the oxynitridation process condition.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Nishiyama, Katsuhiko; Hoshino, Tadatsugu; Graduate School of Pharmaceutical Sciences, Chiba University, 1-33 Yayoi-cho, Inage-ku, Chiba 263-8522
2007-05-21
Interactions between luciferase and a nanofabricated hydrophilic Si surface were explored by molecular-dynamics simulations. The structural changes in the active-site residues, the residues affecting the luciferin binding, and the residues affecting the bioluminescence color were smaller on the nanofabricated hydrophilic Si surface than on both a hydrophobic Si surface and a hydrophilic Si surface. The nanofabrication and wet-treatment techniques are expected to prevent the decrease in activity of luciferase on the Si surface.
A decontamination study of simulated chemical and biological agents
NASA Astrophysics Data System (ADS)
Uhm, Han S.; Lee, Han Y.; Hong, Yong C.; Shin, Dong H.; Park, Yun H.; Hong, Yi F.; Lee, Chong K.
2007-07-01
A comprehensive decontamination scheme of the chemical and biological agents, including airborne agents and surface contaminating agents, is presented. When a chemical and biological attack occurs, it is critical to decontaminate facilities or equipments to an acceptable level in a very short time. The plasma flame presented here may provide a rapid and effective elimination of toxic substances in the interior air in isolated spaces. As an example, a reaction chamber, with the dimensions of a 22cm diameter and 30cm length, purifies air with an airflow rate of 5000l/min contaminated with toluene, the simulated chemical agent, and soot from a diesel engine, the simulated aerosol for biological agents. Although the airborne agents in an isolated space are eliminated to an acceptable level by the plasma flame, the decontamination of the chemical and biological agents cannot be completed without cleaning surfaces of the facilities. A simulated sterilization study of micro-organisms was carried out using the electrolyzed ozone water. The electrolyzed ozone water very effectively kills endospores of Bacillus atrophaeus (ATCC 9372) within 3min. The electrolyzed ozone water also kills the vegetative micro-organisms, fungi, and virus. The electrolyzed ozone water, after the decontamination process, disintegrates into ordinary water and oxygen without any trace of harmful materials to the environment.
Biocellion: accelerating computer simulation of multicellular biological system models.
Kang, Seunghwa; Kahan, Simon; McDermott, Jason; Flann, Nicholas; Shmulevich, Ilya
2014-11-01
Biological system behaviors are often the outcome of complex interactions among a large number of cells and their biotic and abiotic environment. Computational biologists attempt to understand, predict and manipulate biological system behavior through mathematical modeling and computer simulation. Discrete agent-based modeling (in combination with high-resolution grids to model the extracellular environment) is a popular approach for building biological system models. However, the computational complexity of this approach forces computational biologists to resort to coarser resolution approaches to simulate large biological systems. High-performance parallel computers have the potential to address the computing challenge, but writing efficient software for parallel computers is difficult and time-consuming. We have developed Biocellion, a high-performance software framework, to solve this computing challenge using parallel computers. To support a wide range of multicellular biological system models, Biocellion asks users to provide their model specifics by filling the function body of pre-defined model routines. Using Biocellion, modelers without parallel computing expertise can efficiently exploit parallel computers with less effort than writing sequential programs from scratch. We simulate cell sorting, microbial patterning and a bacterial system in soil aggregate as case studies. Biocellion runs on x86 compatible systems with the 64 bit Linux operating system and is freely available for academic use. Visit http://biocellion.com for additional information. © The Author 2014. Published by Oxford University Press. All rights reserved. For Permissions, please e-mail: journals.permissions@oup.com.
Simbios: an NIH national center for physics-based simulation of biological structures.
Delp, Scott L; Ku, Joy P; Pande, Vijay S; Sherman, Michael A; Altman, Russ B
2012-01-01
Physics-based simulation provides a powerful framework for understanding biological form and function. Simulations can be used by biologists to study macromolecular assemblies and by clinicians to design treatments for diseases. Simulations help biomedical researchers understand the physical constraints on biological systems as they engineer novel drugs, synthetic tissues, medical devices, and surgical interventions. Although individual biomedical investigators make outstanding contributions to physics-based simulation, the field has been fragmented. Applications are typically limited to a single physical scale, and individual investigators usually must create their own software. These conditions created a major barrier to advancing simulation capabilities. In 2004, we established a National Center for Physics-Based Simulation of Biological Structures (Simbios) to help integrate the field and accelerate biomedical research. In 6 years, Simbios has become a vibrant national center, with collaborators in 16 states and eight countries. Simbios focuses on problems at both the molecular scale and the organismal level, with a long-term goal of uniting these in accurate multiscale simulations.
[Numerical simulation and operation optimization of biological filter].
Zou, Zong-Sen; Shi, Han-Chang; Chen, Xiang-Qiang; Xie, Xiao-Qing
2014-12-01
BioWin software and two sensitivity analysis methods were used to simulate the Denitrification Biological Filter (DNBF) + Biological Aerated Filter (BAF) process in Yuandang Wastewater Treatment Plant. Based on the BioWin model of DNBF + BAF process, the operation data of September 2013 were used for sensitivity analysis and model calibration, and the operation data of October 2013 were used for model validation. The results indicated that the calibrated model could accurately simulate practical DNBF + BAF processes, and the most sensitive parameters were the parameters related to biofilm, OHOs and aeration. After the validation and calibration of model, it was used for process optimization with simulating operation results under different conditions. The results showed that, the best operation condition for discharge standard B was: reflux ratio = 50%, ceasing methanol addition, influent C/N = 4.43; while the best operation condition for discharge standard A was: reflux ratio = 50%, influent COD = 155 mg x L(-1) after methanol addition, influent C/N = 5.10.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Nakazaki, Nobuya, E-mail: nakazaki.nobuya.58x@st.kyoto-u.ac.jp; Takao, Yoshinori; Eriguchi, Koji
Classical molecular dynamics (MD) simulations have been performed for Cl{sup +} and Br{sup +} ions incident on Si(100) surfaces with Cl and Br neutrals, respectively, to gain a better understanding of the ion-enhanced surface reaction kinetics during Si etching in Cl- and Br-based plasmas. The ions were incident normally on surfaces with translational energies in the range E{sub i} = 20–500 eV, and low-energy neutrals of E{sub n} = 0.01 eV were also incident normally thereon with the neutral-to-ion flux ratio in the range Γ{sub n}{sup 0}/Γ{sub i}{sup 0} = 0–100, where an improved Stillinger--Weber potential form was employed for the interatomic potential concerned. The etch yieldsmore » and thresholds presently simulated were in agreement with the experimental results previously reported for Si etching in Cl{sub 2} and Br{sub 2} plasmas as well as in Cl{sup +}, Cl{sub 2}{sup +}, and Br{sup +} beams, and the product stoichiometry simulated was consistent with that observed during Ar{sup +} beam incidence on Si in Cl{sub 2}. Moreover, the surface coverage of halogen atoms, halogenated layer thickness, surface stoichiometry, and depth profile of surface products simulated for Γ{sub n}{sup 0}/Γ{sub i}{sup 0} = 100 were in excellent agreement with the observations depending on E{sub i} reported for Si etching in Cl{sub 2} plasmas. The MD also indicated that the yield, coverage, and surface layer thickness are smaller in Si/Br than in Si/Cl system, while the percentage of higher halogenated species in product and surface stoichiometries is larger in Si/Br. The MD further indicated that in both systems, the translational energy distributions of products and halogen adsorbates desorbed from surfaces are approximated by two Maxwellians of temperature T{sub 1} ≈ 2500 K and T{sub 2} ≈ 7000–40 000 K. These energy distributions are discussed in terms of the desorption or evaporation from hot spots formed through chemically enhanced
Apollo Spacecraft 012 Command/Service Module being moved to Operations bldg
NASA Technical Reports Server (NTRS)
1967-01-01
Apollo Spacecraft 012 Command/Service Module is moved from H-134 to east stokes for mating to the Saturn Lunar Module Adapter No. 05 in the Manned Spacecraft Operations bldg. S/C 012 will be flown on the Apollo/Saturn 204 mission.
LaMotte, Andrew E.; Wieczorek, Michael
2010-01-01
This 30-meter resolution data set represents the tree canopy layer for the conterminous United States for the 2001 time period. The data have been arranged into four tiles to facilitate timely display and manipulation within a Geographic Information System, browse graphic: nlcd01-partition.jpg The National Land Cover Data Set for 2001 was produced through a cooperative project conducted by the Multi-Resolution Land Characteristics (MRLC) Consortium. The MRLC Consortium is a partnership of Federal agencies (www.mrlc.gov), consisting of the U.S. Geological Survey (USGS), the National Oceanic and Atmospheric Administration (NOAA), the U.S. Environmental Protection Agency (USEPA), the U.S. Department of Agriculture (USDA), the U.S. Forest Service (USFS), the National Park Service (NPS), the U.S. Fish and Wildlife Service (USFWS), the Bureau of Land Management (BLM), and the USDA Natural Resources Conservation Service (NRCS). One of the primary goals of the project is to generate a current, consistent, seamless, and accurate National Land Cover Database (NLCD) circa 2001 for the United States at medium spatial resolution. For a detailed definition and discussion on MRLC and the NLCD 2001 products, refer to Homer and others (2004) and http://www.mrlc.gov/mrlc2k.asp. The NLCD 2001 was created by partitioning the United States into mapping-zones. A total of 68 mapping-zones browse graphic: nlcd01-mappingzones.jpg were delineated within the conterminous United States based on ecoregion and geographical characteristics, edge-matching features, and the size requirement of Landsat mosaics. Mapping-zones encompass the whole or parts of several states. Questions about the NLCD mapping zones can be directed to the NLCD 2001 Land Cover Mapping Team at the USGS/EROS, Sioux Falls, SD (605) 594-6151 or mrlc@usgs.gov.
BioSim (trademark) BG Non-Biological Aerosol Simulant
2004-11-17
39.5 1.36 1,1- difluoroethane HFA 152a – Not used for pharmaceutical inhalers , is used for personal products Boiling point (-13o F) 63 (psig... 1 BIOSIMTM BG NON-BIOLOGICAL AEROSOL SIMULANT David S. Alburty, Kelly L. Brown, Jennifer L. Dannehl and Andrew E. Page Midwest...BioSafey Level 1 regulations. All of these materials of biological origin pose challenging logistics problems including safety issues, cost
Design and simulation of betavoltaic angle sensor Based on ⁶³Ni-Si.
Ghasemi Nejad, Gholam Reza; Rahmani, Faezeh
2016-01-01
A theoretical design and simulation of betavoltaic angle sensor (beta-AS) based on (63)Ni-Si using MCNP code is presented in this article. It can measure the full angle of 0-360° in the temperature range of 233-353 K. Beta-AS is composed of semicircular (63)Ni as the beta source, which rotates along the circular (four-quadrant) surface of Si as a semiconductor (in p-n structure), so that the change in the source angle in relation to Si surface can be measured based on the changes in V(oc) observed in each quadrant of Si. For better performance, characteristics of Si and (63)Ni have been optimized: N(D) and N(A) values of 8e19 and 4e18 cm(-3) (donor and acceptor doping concentration in Si, respectively), source thickness and activity of 1.5 µm and 18 mCi, respectively. The relation between angle and V(oc) is also investigated. The maximum difference between measured and real values of angle (the worst case, i.e., 0.18° for the angle of 45°) occurs at 233 K. It has been shown that sensitivity of the sensor decreases with an increase of angle. The results also show that the change in activity does not affect the sensitivity. Copyright © 2015 Elsevier Ltd. All rights reserved.
NASA Astrophysics Data System (ADS)
Limbu, Dil; Biswas, Parthapratim
We present a simple and efficient Monte-Carlo (MC) simulation of Iron (Fe) and Nickel (Ni) clusters with N =5-100 and amorphous Silicon (a-Si) starting from a random configuration. Using Sutton-Chen and Finnis-Sinclair potentials for Ni (in fcc lattice) and Fe (in bcc lattice), and Stillinger-Weber potential for a-Si, respectively, the total energy of the system is optimized by employing MC moves that include both the stochastic nature of MC simulations and the gradient of the potential function. For both iron and nickel clusters, the energy of the configurations is found to be very close to the values listed in the Cambridge Cluster Database, whereas the maximum force on each cluster is found to be much lower than the corresponding value obtained from the optimized structural configurations reported in the database. An extension of the method to model the amorphous state of Si is presented and the results are compared with experimental data and those obtained from other simulation methods. The work is partially supported by the NSF under Grant Number DMR 1507166.
Impact of rice-straw biochars amended soil on the biological Si cycle in soil-plant ecosystem
NASA Astrophysics Data System (ADS)
Li, Zimin; Delvaux, Bruno; Struyf, Eric; Unzué-Belmonte, Dácil; Ronsse, Frederik; Cornelis, Jean-Thomas
2017-04-01
uptake by wheat , Si-/biochar does not increase the Si content of plants in either soil type. As expected, Si+/biochar and wollastonite significantly increase the Si content of wheat plants grown on both soils. The increase caused by Si+/biochar is, larger in NI (10 mg Si pot-1) than that in CA (5 mg Si pot-1). This result is in line with the release of CaCl2 extractable Si in both soils amended by Si+/biochar, confirming the validity of CaCl2-extraction to estimate the pool of bioavailable Si. Our data highlight that phytolith-rich biochar readily contributes to the pool of bioavailable Si, further taken up by plant roots, and increases Si mineralomass in plants as well as plant growth. Thus it provides an alternative to wollastonite application. The effect is particularly large in the highly weathered Nitisol. Under such conditions, the impact of phytolith rich biochar is not limited to the enhancement of Si biological cycle, but is extended to the increase of soil pH, CEC and organic matter content.
Simbios: an NIH national center for physics-based simulation of biological structures
Delp, Scott L; Ku, Joy P; Pande, Vijay S; Sherman, Michael A
2011-01-01
Physics-based simulation provides a powerful framework for understanding biological form and function. Simulations can be used by biologists to study macromolecular assemblies and by clinicians to design treatments for diseases. Simulations help biomedical researchers understand the physical constraints on biological systems as they engineer novel drugs, synthetic tissues, medical devices, and surgical interventions. Although individual biomedical investigators make outstanding contributions to physics-based simulation, the field has been fragmented. Applications are typically limited to a single physical scale, and individual investigators usually must create their own software. These conditions created a major barrier to advancing simulation capabilities. In 2004, we established a National Center for Physics-Based Simulation of Biological Structures (Simbios) to help integrate the field and accelerate biomedical research. In 6 years, Simbios has become a vibrant national center, with collaborators in 16 states and eight countries. Simbios focuses on problems at both the molecular scale and the organismal level, with a long-term goal of uniting these in accurate multiscale simulations. PMID:22081222
NASA Astrophysics Data System (ADS)
Tarasashvili, M. V.; Sabashvili, Sh. A.; Tsereteli, S. L.; Aleksidze, N. D.; Dalakishvili, O.
2017-10-01
The Mars Climate Simulation Chamber (MCSC) (GEO PAT 12 522/01) is designed for the investigation of the possible past and present habitability of Mars, as well as for the solution of practical tasks necessary for the colonization and Terraformation of the Planet. There are specific tasks such as the experimental investigation of the biological parameters that allow many terrestrial organisms to adapt to the imitated Martian conditions: chemistry of the ground, atmosphere, temperature, radiation, etc. MCSC is set for the simulation of the conduction of various biological experiments, as well as the selection of extremophile microorganisms for the possible Settlement, Ecopoesis and/or Terraformation purposes and investigation of their physiological functions. For long-term purposes, it is possible to cultivate genetically modified organisms (e.g., plants) adapted to the Martian conditions for future Martian agriculture to sustain human Mars missions and permanent settlements. The size of the chamber allows preliminary testing of the functionality of space-station mini-models and personal protection devices such as space-suits, covering and building materials and other structures. The reliability of the experimental biotechnological materials can also be tested over a period of years. Complex and thorough research has been performed to acquire the most appropriate technical tools for the accurate engineering of the MCSC and precious programmed simulation of Martian environmental conditions. This paper describes the construction and technical details of the equipment of the MCSC, which allows its semi-automated, long-term operation.
Lau, Katherine A; Wang, Bin; Miranda-Saksena, Monica; Boadle, Ross; Kamarulzaman, Adeeba; Ng, Kee-Peng; Saksena, Nitin K
2010-04-01
In Malaysia, co-circulation of CRF01_AE and subtype B has resulted in the emergence of the second generation derivative; CRF33_01B in approximately 20% of its HIV-1 infected individuals. Our objective was to identify possible biological advantages that CRF33_01B possesses over its progenitors. Biological and molecular comparisons of CRF33_01B against its parental subtypes clearly show that CRF33_01B replicated better in activated whole peripheral blood mononuclear cells (PBMCs) and CD4+ T-lymphocytes, but not monocyte-derived macrophages (MDMs). Also, its acquired fitness was greater than CRF01_AE but not subtype B. Moreover, CRF33_01B has higher rate of apoptotic cell death and syncytia induction compared to subtype B. These adaptive and survival abilities could have been acquired by CRF33_01B due to the incorporation of subtype B fragments into the gag-RT region of its full-length genome. Our studies confirm the previously held belief that HIV-1 strains may harbor enhanced biological fitness upon recombination. We therefore estimate a possible gradual replacement of the current predominance of CRF01_AE, as well as wider dissemination of CRF33_01B, together with the identification of other new CRF01_AE/B inter-subtype recombinants in Malaysia.
High performance hybrid functional Petri net simulations of biological pathway models on CUDA.
Chalkidis, Georgios; Nagasaki, Masao; Miyano, Satoru
2011-01-01
Hybrid functional Petri nets are a wide-spread tool for representing and simulating biological models. Due to their potential of providing virtual drug testing environments, biological simulations have a growing impact on pharmaceutical research. Continuous research advancements in biology and medicine lead to exponentially increasing simulation times, thus raising the demand for performance accelerations by efficient and inexpensive parallel computation solutions. Recent developments in the field of general-purpose computation on graphics processing units (GPGPU) enabled the scientific community to port a variety of compute intensive algorithms onto the graphics processing unit (GPU). This work presents the first scheme for mapping biological hybrid functional Petri net models, which can handle both discrete and continuous entities, onto compute unified device architecture (CUDA) enabled GPUs. GPU accelerated simulations are observed to run up to 18 times faster than sequential implementations. Simulating the cell boundary formation by Delta-Notch signaling on a CUDA enabled GPU results in a speedup of approximately 7x for a model containing 1,600 cells.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Fager, Hanna, E-mail: hanfa@ifm.liu.se; Greczynski, Grzegorz; Jensen, Jens
Hf{sub 1−x−y}Al{sub x}Si{sub y}N (0 ≤ x ≤ 0.14, 0 ≤ y ≤ 0.12) single layer and multilayer films are grown on Si(001) at 250 °C using ultrahigh vacuum magnetically unbalanced reactive magnetron sputtering from a single Hf{sub 0.6}Al{sub 0.2}Si{sub 0.2} target in mixed 5%-N{sub 2}/Ar atmospheres at a total pressure of 20 mTorr (2.67 Pa). The composition and nanostructure of Hf{sub 1−x−y}Al{sub x}Si{sub y}N films are controlled by varying the energy E{sub i} of the ions incident at the film growth surface while maintaining the ion-to-metal flux ratio constant at eight. Switching E{sub i} between 10 and 40 eV allows the growthmore » of Hf{sub 0.78}Al{sub 0.10}Si{sub 0.12}N/Hf{sub 0.78}Al{sub 0.14}Si{sub 0.08}N multilayers with similar layer compositions, but in which the Si bonding state changes from predominantly Si–Si/Si–Hf for films grown with E{sub i} = 10 eV, to primarily Si–N with E{sub i} = 40 eV. Multilayer hardness values, which vary inversely with bilayer period Λ, range from 20 GPa with Λ = 20 nm to 27 GPa with Λ = 2 nm, while fracture toughness increases directly with Λ. Multilayers with Λ = 10 nm combine relatively high hardness, H ∼ 24 GPa, with good fracture toughness.« less
Performance analysis of SiGe double-gate N-MOSFET
NASA Astrophysics Data System (ADS)
Singh, A.; Kapoor, D.; Sharma, R.
2017-04-01
The major purpose of this paper is to find an alternative configuration that not only minimizes the limitations of single-gate (SG) MOSFETs but also provides the better replacement for future technology. In this paper, the electrical characteristics of SiGe double-gate N-MOSFET are demonstrated and compared with electrical characteristics of Si double-gate N-MOSFET. Furthermore, in this paper the electrical characteristics of Si double-gate N-MOSFET are demonstrated and compared with electrical characteristics of Si single-gate N-MOSFET. The simulations are carried out for the device at different operational voltages using Cogenda Visual TCAD tool. Moreover, we have designed its structure and studied both {I}{{d}}{-}{V}{{g}} characteristics for different voltages namely 0.05, 0.1, 0.5, 0.8, 1 and 1.5 V and {I}{{d}}{-}{V}{{d}} characteristics for different voltages namely 0.1, 0.5, 1 and 1.5 V at work functions 4.5, 4.6 and 4.8 eV for this structure. The performance parameters investigated in this paper are threshold voltage, DIBL, subthreshold slope, GIDL, volume inversion and MMCR.
Use of a Si(Li) detector as β spectrometer.
Dryák, P; Kovář, P
2014-05-01
The aim of this work is to demonstrate the capability of a Si(Li) detector for the measurement of β spectra, despite the energy absorption in air and in the Be window. A simple source holder fixes the source on the symmetry axis at 3mm from the detector window. The β-sources are produced by evaporation on a plastic backing plate. Absorbing materials between the source and the sensitive volume of the detector are 3 mm of air, a Be window, 0.1 μm Si and 20 nm of gold. A model of the detector was created for β spectra simulation using the MCNP 4A code. Experimental spectra of (14)C, (147)Pm, (204)Tl, (90)Sr/(90)Y were compared with simulated spectra. © 2013 Published by Elsevier Ltd.
NASA Technical Reports Server (NTRS)
Carpenter, Kenneth G.; Schrijver, Carolus J.; Karovska, Margarita
2008-01-01
The Stellar Imager (SI) is a space-based, UV/ Optical Interferometer (UVOI) designed to enable 0.1 milliarcsecond (mas) spectral imaging of stellar surfaces and of the Universe in general. It will also probe via asteroseismology flows and structures in stellar interiors. SI's science focuses on the role of magnetism in the Universe and will revolutionize our understanding, of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magneto-hydrodynamically controlled processes, such as accretion, in the Universe. The ultra-sharp images of SI will revolutionize our view of many dynamic astrophysical processes by transforming point sources into extended sources, and snapshots into evolving views. SI is a "Flagship and Landmark Discovery Mission" in the 2005 Heliophysics Roadmap and a potential implementation of the UVOI in the 2006 Science Program for NASA's Astronomy and Physics Division. We present here the science goals of the SI Mission, a mission architecture that could meet those goals, and the technology development needed to enable this missin. Additional information on SI can be found at: http://hires.gsfc.nasa.gov/si/.
From HADES to PARADISE—atomistic simulation of defects in minerals
NASA Astrophysics Data System (ADS)
Parker, Stephen C.; Cooke, David J.; Kerisit, Sebastien; Marmier, Arnaud S.; Taylor, Sarah L.; Taylor, Stuart N.
2004-07-01
The development of the HADES code by Michael Norgett in the 1970s enabled, for the first time, the routine simulation of point defects in inorganic solids at the atomic scale. Using examples from current research we illustrate how the scope and applications of atomistic simulations have widened with time and yet still follow an approach readily identifiable with this early work. Firstly we discuss the use of the Mott-Littleton methodology to study the segregation of various isovalent cations to the (00.1) and (01.2) surfaces of haematite (agr-Fe2O3). The results show that the size of the impurities has a considerable effect on the magnitude of the segregation energy. We then extend these simulations to investigate the effect of the concentration of the impurities at the surface on the segregation process using a supercell approach. We consider next the effect of segregation to stepped surfaces illustrating this with recent work on segregation of La3+ to CaF2 surfaces, which show enhanced segregation to step edges. We discuss next the application of lattice dynamics to modelling point defects in complex oxide materials by applying this to the study of hydrogen incorporation into bgr-Mg2SiO4. Finally our attention is turned to a method for considering the surface energy of physically defective surfaces and we illustrate its approach by considering the low index surfaces of agr-Al2O3.
Mechanism for Si-Si Bond Rupture in Single Molecule Junctions.
Li, Haixing; Kim, Nathaniel T; Su, Timothy A; Steigerwald, Michael L; Nuckolls, Colin; Darancet, Pierre; Leighton, James L; Venkataraman, Latha
2016-12-14
The stability of chemical bonds can be studied experimentally by rupturing single molecule junctions under applied voltage. Here, we compare voltage-induced bond rupture in two Si-Si backbones: one has no alternate conductive pathway whereas the other contains an additional naphthyl pathway in parallel to the Si-Si bond. We show that in contrast to the first system, the second can conduct through the naphthyl group when the Si-Si bond is ruptured using an applied voltage. We investigate this voltage induced Si-Si bond rupture by ab initio density functional theory calculations and molecular dynamics simulations that ultimately demonstrate that the excitation of molecular vibrational modes by tunneling electrons leads to homolytic Si-Si bond rupture.
2009-09-23
STS095-S-012 (7 Nov. 1998) --- The space shuttle Discovery is about to lower its nose wheel following main gear touchdown on Runway 33 at the Shuttle Landing Facility at the Kennedy Space Center (KSC). Main gear touchdown was at 12:04 p.m. (EST), landing on orbit 135. Discovery returned to Earth with its crew of five astronauts and two payload specialists to successfully complete the nine-day mission. Onboard were astronauts Curtis L. Brown Jr., Steven W. Lindsey, Scott F. Parazynski, Stephen K. Robinson, Pedro Duque and payload specialists Chiaki Naito-Mukai and United States Senator John H. Glenn Jr. Duque represents the European Space Agency (ESA) and Mukai is with Japan's National Space Development Agency (NASDA). Photo credit: NASA
On Designing Multicore-Aware Simulators for Systems Biology Endowed with OnLine Statistics
Calcagno, Cristina; Coppo, Mario
2014-01-01
The paper arguments are on enabling methodologies for the design of a fully parallel, online, interactive tool aiming to support the bioinformatics scientists .In particular, the features of these methodologies, supported by the FastFlow parallel programming framework, are shown on a simulation tool to perform the modeling, the tuning, and the sensitivity analysis of stochastic biological models. A stochastic simulation needs thousands of independent simulation trajectories turning into big data that should be analysed by statistic and data mining tools. In the considered approach the two stages are pipelined in such a way that the simulation stage streams out the partial results of all simulation trajectories to the analysis stage that immediately produces a partial result. The simulation-analysis workflow is validated for performance and effectiveness of the online analysis in capturing biological systems behavior on a multicore platform and representative proof-of-concept biological systems. The exploited methodologies include pattern-based parallel programming and data streaming that provide key features to the software designers such as performance portability and efficient in-memory (big) data management and movement. Two paradigmatic classes of biological systems exhibiting multistable and oscillatory behavior are used as a testbed. PMID:25050327
On designing multicore-aware simulators for systems biology endowed with OnLine statistics.
Aldinucci, Marco; Calcagno, Cristina; Coppo, Mario; Damiani, Ferruccio; Drocco, Maurizio; Sciacca, Eva; Spinella, Salvatore; Torquati, Massimo; Troina, Angelo
2014-01-01
The paper arguments are on enabling methodologies for the design of a fully parallel, online, interactive tool aiming to support the bioinformatics scientists .In particular, the features of these methodologies, supported by the FastFlow parallel programming framework, are shown on a simulation tool to perform the modeling, the tuning, and the sensitivity analysis of stochastic biological models. A stochastic simulation needs thousands of independent simulation trajectories turning into big data that should be analysed by statistic and data mining tools. In the considered approach the two stages are pipelined in such a way that the simulation stage streams out the partial results of all simulation trajectories to the analysis stage that immediately produces a partial result. The simulation-analysis workflow is validated for performance and effectiveness of the online analysis in capturing biological systems behavior on a multicore platform and representative proof-of-concept biological systems. The exploited methodologies include pattern-based parallel programming and data streaming that provide key features to the software designers such as performance portability and efficient in-memory (big) data management and movement. Two paradigmatic classes of biological systems exhibiting multistable and oscillatory behavior are used as a testbed.
Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang
2014-01-01
The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 109) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 107 and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones. PMID:24514430
Wang, Tao; Zhang, Zhaoshun; Liao, Fan; Cai, Qian; Li, Yanqing; Lee, Shuit-Tong; Shao, Mingwang
2014-02-11
The finite-difference time-domain (FDTD) method was employed to simulate the electric field distribution for noble metal (Au or Ag)/semiconductor (Ge or Si) substrates. The simulation showed that noble metal/Ge had stronger SERS enhancement than noble metal/Si, which was mainly attributed to the different dielectric constants of semiconductors. In order to verify the simulation, Ag nanoparticles with the diameter of ca. 40 nm were grown on Ge or Si wafer (Ag/Ge or Ag/Si) and employed as surface-enhanced Raman scattering substrates to detect analytes in solution. The experiment demonstrated that both the two substrates exhibited excellent performance in the low concentration detection of Rhodamine 6G. Besides, the enhancement factor (1.3 × 10(9)) and relative standard deviation values (less than 11%) of Ag/Ge substrate were both better than those of Ag/Si (2.9 × 10(7) and less than 15%, respectively), which was consistent with the FDTD simulation. Moreover, Ag nanoparticles were grown in-situ on Ge substrate, which kept the nanoparticles from aggregation in the detection. To data, Ag/Ge substrates showed the best performance for their sensitivity and uniformity among the noble metal/semiconductor ones.
MHD Simulation of the HIT-SI Experiment
NASA Astrophysics Data System (ADS)
Marklin, George
2003-10-01
The Helicity Injected Torus (HIT) experiment at the University of Washington has been reconfigured into a high beta spheromak with steady state AC current drive [1]. Helicity is injected by two half torus Reversed Field Pinches (RFP's) connected to the ends of the cylindrically symmetric flux conserver, rotated by 90 degrees from each other. The RFP's are driven with sinusoidally varying voltage and flux. Each side has its voltage and flux in phase, but is 90 degrees out of phase from the other side. The helicity injection rate, which is proportional to the voltage times the flux, goes like sin(wt)^2 on one side and cos(wt)^2 on the other, making the total injection rate constant in time. The complex multiply connected 3-dimensional geometry of this device make it difficult to simulate with existing codes that typically use a structured mesh. This poster will describe a new 3D MHD simulation code and a new 3D Taylor state code which both use an unstructured finite element mesh. The mesh is generated from a CAD-like description of an arbitrary arrangement of 3D geometrical objects. Taylor states in the HIT-SI geometry will be shown for different combinations of fluxes in the two injectors. MHD simulation results will be shown starting from a Taylor state with uniform density and temperature and continuing through several cycles of time dependent helicity injection. Field line tracing plots will show the quality of the flux surfaces at various stages in the injection cycle. [1] T. R. Jarboe, Fusion Technology, vol. 36, p. 85, 1999
NASA Astrophysics Data System (ADS)
Yücel, Mete; Bayrak, Ahmet; Yücel, Esra Barlas; Ozben, Cenap S.
2018-02-01
Massive Ammonium Nitrate (NH4-NO3) based explosives buried underground are commonly used in terror attacks. These explosives can be detected using neutron scattering method with some limitations. Simulations are very useful tools for designing a possible detection system for these kind of explosives. Geant4 simulations were used for generating neutrons at 14 MeV energy and tracking them through the scattering off the explosive embedded in soil. Si-PIN photodiodes were used as detector elements in the design for their low costs and simplicity for signal readout electronics. Various neutron-charge particle converters were applied on to the surface of the photodiodes to increase the detection efficiency. Si-PIN photodiodes coated with 6LiF provided the best result for a certain energy interval. Energy depositions in silicon detector from all secondary particles generated including photons were taken into account to generate a realistic background. Humidity of soil, one of the most important parameter for limiting the detection, was also studied.
NASA Astrophysics Data System (ADS)
Carpenter, Kenneth G.; Schrijver, Carolus J.; Karovska, Margarita
2009-04-01
The Stellar Imager (SI) is a space-based, UV/Optical Interferometer (UVOI) designed to enable 0.1 milli-arcsecond (mas) spectral imaging of stellar surfaces and of the Universe in general. It will also probe via asteroseismology flows and structures in stellar interiors. SI’s science focuses on the role of magnetism in the Universe and will revolutionize our understanding of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magneto-hydrodynamically controlled processes, such as accretion, in the Universe. The ultra-sharp images of SI will revolutionize our view of many dynamic astrophysical processes by transforming point sources into extended sources, and snapshots into evolving views. SI is a “Flagship and Landmark Discovery Mission” in the 2005 Heliophysics Roadmap and a potential implementation of the UVOI in the 2006 Science Program for NASA’s Astronomy and Physics Division. We present here the science goals of the SI Mission, a mission architecture that could meet those goals, and the technology development needed to enable this mission. Additional information on SI can be found at: http://hires.gsfc.nasa.gov/si/
NIMROD Modeling of HIT-SI and HIT-SI3
NASA Astrophysics Data System (ADS)
Morgan, Kyle; Jarboe, Tom; Hossack, Aaron
2016-10-01
The HIT-SI and HIT-SI3 devices are spheromaks formed and sustained via a set of Steady Inductive Helicity Injectors (SIHI) that are operated in AC. The experiment explores the formation and sustain of stable spheromaks with a variety of perturbation mode structures. The HIT-SI device consisted of two injectors with primarily n = 1 toroidal symmetry while the HIT-SI3 device has three injectors capable of a mixture of n = 1 and n = 2 perturbations or a primarily n = 3 perturbation, depending on the relative phase of the injectors. Using the NIMROD code to model these devices, we are able to validate with experimental results (previously only done on HIT-SI) and examine the interaction between the injectors and the spheromak. Simulations are performed with both finite and zero- β models to gain an understanding of the thermal properties of the device. Additionally, a set of extrapolation simulations has been performed illustrating the spontaneous formation of closed flux surfaces at high current amplification. Work supported by the US DOE.
NASA Astrophysics Data System (ADS)
Sutherland, D. A.; Hansen, C. J.; Jarboe, T. R.
2017-10-01
A self-consistent, two-fluid (plasma-neutral) dynamic neutral model has been implemented into the 3-D, Extended-MHD code PSI-Tet. A monatomic, hydrogenic neutral fluid reacts with a plasma fluid through elastic scattering collisions and three inelastic collision reactions: electron-impact ionization, radiative recombination, and resonant charge-exchange. Density, momentum, and energy are evolved for both the plasma and neutral species. The implemented plasma-neutral model in PSI-Tet is being used to simulate decaying spheromak configurations in the HIT-SI experimental geometry, which is being compare to two-photon absorption laser induced fluorescence measurements (TALIF) made on the HIT-SI3 experiment. TALIF is used to measure the absolute density and temperature of monatomic deuterium atoms. Neutral densities on the order of 1015 m-3 and neutral temperatures between 0.6-1.7 eV were measured towards the end of decay of spheromak configurations with initial toroidal currents between 10-12 kA. Validation results between TALIF measurements and PSI-Tet simulations with the implemented dynamic neutral model will be presented. Additionally, preliminary dynamic neutral simulations of the HIT-SI/HIT-SI3 spheromak plasmas sustained with inductive helicity injection will be presented. Lastly, potential benefits of an expansion of the two-fluid model into a multi-fluid model that includes multiple neutral species and tracking of charge states will be discussed.
STOCHSIMGPU: parallel stochastic simulation for the Systems Biology Toolbox 2 for MATLAB.
Klingbeil, Guido; Erban, Radek; Giles, Mike; Maini, Philip K
2011-04-15
The importance of stochasticity in biological systems is becoming increasingly recognized and the computational cost of biologically realistic stochastic simulations urgently requires development of efficient software. We present a new software tool STOCHSIMGPU that exploits graphics processing units (GPUs) for parallel stochastic simulations of biological/chemical reaction systems and show that significant gains in efficiency can be made. It is integrated into MATLAB and works with the Systems Biology Toolbox 2 (SBTOOLBOX2) for MATLAB. The GPU-based parallel implementation of the Gillespie stochastic simulation algorithm (SSA), the logarithmic direct method (LDM) and the next reaction method (NRM) is approximately 85 times faster than the sequential implementation of the NRM on a central processing unit (CPU). Using our software does not require any changes to the user's models, since it acts as a direct replacement of the stochastic simulation software of the SBTOOLBOX2. The software is open source under the GPL v3 and available at http://www.maths.ox.ac.uk/cmb/STOCHSIMGPU. The web site also contains supplementary information. klingbeil@maths.ox.ac.uk Supplementary data are available at Bioinformatics online.
WE-H-BRA-04: Biological Geometries for the Monte Carlo Simulation Toolkit TOPASNBio
DOE Office of Scientific and Technical Information (OSTI.GOV)
McNamara, A; Held, K; Paganetti, H
2016-06-15
Purpose: New advances in radiation therapy are most likely to come from the complex interface of physics, chemistry and biology. Computational simulations offer a powerful tool for quantitatively investigating radiation interactions with biological tissue and can thus help bridge the gap between physics and biology. The aim of TOPAS-nBio is to provide a comprehensive tool to generate advanced radiobiology simulations. Methods: TOPAS wraps and extends the Geant4 Monte Carlo (MC) simulation toolkit. TOPAS-nBio is an extension to TOPAS which utilizes the physics processes in Geant4-DNA to model biological damage from very low energy secondary electrons. Specialized cell, organelle and molecularmore » geometries were designed for the toolkit. Results: TOPAS-nBio gives the user the capability of simulating biological geometries, ranging from the micron-scale (e.g. cells and organelles) to complex nano-scale geometries (e.g. DNA and proteins). The user interacts with TOPAS-nBio through easy-to-use input parameter files. For example, in a simple cell simulation the user can specify the cell type and size as well as the type, number and size of included organelles. For more detailed nuclear simulations, the user can specify chromosome territories containing chromatin fiber loops, the later comprised of nucleosomes on a double helix. The chromatin fibers can be arranged in simple rigid geometries or within factual globules, mimicking realistic chromosome territories. TOPAS-nBio also provides users with the capability of reading protein data bank 3D structural files to simulate radiation damage to proteins or nucleic acids e.g. histones or RNA. TOPAS-nBio has been validated by comparing results to other track structure simulation software and published experimental measurements. Conclusion: TOPAS-nBio provides users with a comprehensive MC simulation tool for radiobiological simulations, giving users without advanced programming skills the ability to design and run complex
The Effects of 3D Computer Simulation on Biology Students' Achievement and Memory Retention
ERIC Educational Resources Information Center
Elangovan, Tavasuria; Ismail, Zurida
2014-01-01
A quasi experimental study was conducted for six weeks to determine the effectiveness of two different 3D computer simulation based teaching methods, that is, realistic simulation and non-realistic simulation on Form Four Biology students' achievement and memory retention in Perak, Malaysia. A sample of 136 Form Four Biology students in Perak,…
operation in a DC-DC power converter switching at a frequency of up to 15 kHz. Calculations also estimated the effect of solder layers on temperature in the device....Thermal simulations were used to calculate temperatures in a silicon carbide (SiC) Insulated -Gate Bipolar Transistor (IGBT),simulating device
NASA Technical Reports Server (NTRS)
Morscher, Gregory N.
2003-01-01
The matrix cracking of a variety of SiC/SiC composites has been characterized for a wide range of constituent variation. These composites were fabricated by the 2-dimensional lay-up of 0/90 five-harness satin fabric consisting of Sylramic fiber tows that were then chemical vapor infiltrated (CVI) with BN, CVI with SiC, slurry infiltrated with SiC particles followed by molten infiltration of Si. The composites varied in number of plies, the number of tows per length, thickness, and the size of the tows. This resulted in composites with a fiber volume fraction in the loading direction that ranged from 0.12 to 0.20. Matrix cracking was monitored with modal acoustic emission in order to estimate the stress-dependent distribution of matrix cracks. It was found that the general matrix crack properties of this system could be fairly well characterized by assuming that no matrix cracks originated in the load-bearing fiber, interphase, chemical vapor infiltrated Sic tow-minicomposites, i.e., all matrix cracks originate in the 90 degree tow-minicomposites or the large unreinforced Sic-Si matrix regions. Also, it was determined that the larger tow size composites had a much narrower stress range for matrix cracking compared to the standard tow size composites.
Simulation and Measurement of Absorbed Dose from 137 Cs Gammas Using a Si Timepix Detector
NASA Technical Reports Server (NTRS)
Stoffle, Nicholas; Pinsky, Lawrence; Empl, Anton; Semones, Edward
2011-01-01
The TimePix readout chip is a hybrid pixel detector with over 65k independent pixel elements. Each pixel contains its own circuitry for charge collection, counting logic, and readout. When coupled with a Silicon detector layer, the Timepix chip is capable of measuring the charge, and thus energy, deposited in the Silicon. Measurements using a NIST traceable 137Cs gamma source have been made at Johnson Space Center using such a Si Timepix detector, and this data is compared to simulations of energy deposition in the Si layer carried out using FLUKA.
Subsurface Growth of CoSi2 by Deposition of Co on Si-Capped CoSi2 Seed Regions
NASA Technical Reports Server (NTRS)
Fathauer, R. W.; George, T.; Pike, W. T.
1991-01-01
At a growth temperature of 800 C, Co deposited on Si(111) diffuses through a Si cap and exhibits oriented growth on buried CoSi2 grains, a process referred to as endotaxy. This occurs preferentially to surface nucleation of CoSi2 provided the thickness of the Si cap is less than a critical value between 100 and 200 nm for a deposition rate of 0.01 nm/s. Steady-state endotaxy is modeled under the assumption that the process is controlled by Co diffusion.
Nanogranular SiO2 proton gated silicon layer transistor mimicking biological synapses
NASA Astrophysics Data System (ADS)
Liu, M. J.; Huang, G. S.; Feng, P.; Guo, Q. L.; Shao, F.; Tian, Z. A.; Li, G. J.; Wan, Q.; Mei, Y. F.
2016-06-01
Silicon on insulator (SOI)-based transistors gated by nanogranular SiO2 proton conducting electrolytes were fabricated to mimic synapse behaviors. This SOI-based device has both top proton gate and bottom buried oxide gate. Electrical transfer properties of top proton gate show hysteresis curves different from those of bottom gate, and therefore, excitatory post-synaptic current and paired pulse facilitation (PPF) behavior of biological synapses are mimicked. Moreover, we noticed that PPF index can be effectively tuned by the spike interval applied on the top proton gate. Synaptic behaviors and functions, like short-term memory, and its properties are also experimentally demonstrated in our device. Such SOI-based electronic synapses are promising for building neuromorphic systems.
Antioxidant migration resistance of SiOx layer in SiOx/PLA coated film.
Huang, Chongxing; Zhao, Yuan; Su, Hongxia; Bei, Ronghua
2018-02-01
As novel materials for food contact packaging, inorganic silicon oxide (SiO x ) films are high barrier property materials that have been developed rapidly and have attracted the attention of many manufacturers. For the safe use of SiO x films for food packaging it is vital to study the interaction between SiO x layers and food contaminants, as well as the function of a SiO x barrier layer in antioxidant migration resistance. In this study, we deposited a SiO x layer on polylactic acid (PLA)-based films to prepare SiO x /PLA coated films by plasma-enhanced chemical vapour deposition. Additionally, we compared PLA-based films and SiO x /PLA coated films in terms of the migration of different antioxidants (e.g. t-butylhydroquinone [TBHQ], butylated hydroxyanisole [BHA], and butylated hydroxytoluene [BHT]) via specific migration experiments and then investigated the effects of a SiO x layer on antioxidant migration under different conditions. The results indicate that antioxidant migration from SiO x /PLA coated films is similar to that for PLA-based films: with increase of temperature, decrease of food simulant polarity, and increase of single-sided contact time, the antioxidant migration rate and amount in SiO x /PLA coated films increase. The SiO x barrier layer significantly reduced the amount of migration of antioxidants with small and similar molecular weights and similar physical and chemical properties, while the degree of migration blocking was not significantly different among the studied antioxidants. However, the migration was affected by temperature and food simulant. Depending on the food simulants considered, the migration amount in SiO x /PLA coated films was reduced compared with that in PLA-based films by 42-46%, 44-47%, and 44-46% for TBHQ, BHA, and BHT, respectively.
Behavior of HfB2-SiC Materials in Simulated Re-Entry Environments
NASA Technical Reports Server (NTRS)
Ellerby, Don; Beckman, Sarah; Irby, Edward; Johnson, Sylvia M.; Gunsman, Michael; Gasch, Matthew; Ridge, Jerry; Martinez, Ed; Squire, Tom; Olejniczak, Joe
2003-01-01
The objectives of this research are to: 1) Investigate the oxidation/ablation behavior of HfB2/SiC materials in simulated re-entry environments; 2) Use the arc jet test results to define appropriate use environments for these materials for use in vehicle design. The parameters to be investigated include: surface temperature, stagnation pressure, duration, number of cycles, and thermal stresses.
Mechanism-Based FE Simulation of Tool Wear in Diamond Drilling of SiCp/Al Composites.
Xiang, Junfeng; Pang, Siqin; Xie, Lijing; Gao, Feinong; Hu, Xin; Yi, Jie; Hu, Fang
2018-02-07
The aim of this work is to analyze the micro mechanisms underlying the wear of macroscale tools during diamond machining of SiC p /Al6063 composites and to develop the mechanism-based diamond wear model in relation to the dominant wear behaviors. During drilling, high volume fraction SiC p /Al6063 composites containing Cu, the dominant wear mechanisms of diamond tool involve thermodynamically activated physicochemical wear due to diamond-graphite transformation catalyzed by Cu in air atmosphere and mechanically driven abrasive wear due to high-frequency scrape of hard SiC reinforcement on tool surface. An analytical diamond wear model, coupling Usui abrasive wear model and Arrhenius extended graphitization wear model was proposed and implemented through a user-defined subroutine for tool wear estimates. Tool wear estimate in diamond drilling of SiC p /Al6063 composites was achieved by incorporating the combined abrasive-chemical tool wear subroutine into the coupled thermomechanical FE model of 3D drilling. The developed drilling FE model for reproducing diamond tool wear was validated for feasibility and reliability by comparing numerically simulated tool wear morphology and experimentally observed results after drilling a hole using brazed polycrystalline diamond (PCD) and chemical vapor deposition (CVD) diamond coated tools. A fairly good agreement of experimental and simulated results in cutting forces, chip and tool wear morphologies demonstrates that the developed 3D drilling FE model, combined with a subroutine for diamond tool wear estimate can provide a more accurate analysis not only in cutting forces and chip shape but also in tool wear behavior during drilling SiC p /Al6063 composites. Once validated and calibrated, the developed diamond tool wear model in conjunction with other machining FE models can be easily extended to the investigation of tool wear evolution with various diamond tool geometries and other machining processes in cutting different
Mechanism-Based FE Simulation of Tool Wear in Diamond Drilling of SiCp/Al Composites
Xiang, Junfeng; Pang, Siqin; Xie, Lijing; Gao, Feinong; Hu, Xin; Yi, Jie; Hu, Fang
2018-01-01
The aim of this work is to analyze the micro mechanisms underlying the wear of macroscale tools during diamond machining of SiCp/Al6063 composites and to develop the mechanism-based diamond wear model in relation to the dominant wear behaviors. During drilling, high volume fraction SiCp/Al6063 composites containing Cu, the dominant wear mechanisms of diamond tool involve thermodynamically activated physicochemical wear due to diamond-graphite transformation catalyzed by Cu in air atmosphere and mechanically driven abrasive wear due to high-frequency scrape of hard SiC reinforcement on tool surface. An analytical diamond wear model, coupling Usui abrasive wear model and Arrhenius extended graphitization wear model was proposed and implemented through a user-defined subroutine for tool wear estimates. Tool wear estimate in diamond drilling of SiCp/Al6063 composites was achieved by incorporating the combined abrasive-chemical tool wear subroutine into the coupled thermomechanical FE model of 3D drilling. The developed drilling FE model for reproducing diamond tool wear was validated for feasibility and reliability by comparing numerically simulated tool wear morphology and experimentally observed results after drilling a hole using brazed polycrystalline diamond (PCD) and chemical vapor deposition (CVD) diamond coated tools. A fairly good agreement of experimental and simulated results in cutting forces, chip and tool wear morphologies demonstrates that the developed 3D drilling FE model, combined with a subroutine for diamond tool wear estimate can provide a more accurate analysis not only in cutting forces and chip shape but also in tool wear behavior during drilling SiCp/Al6063 composites. Once validated and calibrated, the developed diamond tool wear model in conjunction with other machining FE models can be easily extended to the investigation of tool wear evolution with various diamond tool geometries and other machining processes in cutting different workpiece
NASA Astrophysics Data System (ADS)
Shegog, Ross; Lazarus, Melanie M.; Murray, Nancy G.; Diamond, Pamela M.; Sessions, Nathalie; Zsigmond, Eva
2012-10-01
The transgenic mouse model is useful for studying the causes and potential cures for human genetic diseases. Exposing high school biology students to laboratory experience in developing transgenic animal models is logistically prohibitive. Computer-based simulation, however, offers this potential in addition to advantages of fidelity and reach. This study describes and evaluates a computer-based simulation to train advanced placement high school science students in laboratory protocols, a transgenic mouse model was produced. A simulation module on preparing a gene construct in the molecular biology lab was evaluated using a randomized clinical control design with advanced placement high school biology students in Mercedes, Texas ( n = 44). Pre-post tests assessed procedural and declarative knowledge, time on task, attitudes toward computers for learning and towards science careers. Students who used the simulation increased their procedural and declarative knowledge regarding molecular biology compared to those in the control condition (both p < 0.005). Significant increases continued to occur with additional use of the simulation ( p < 0.001). Students in the treatment group became more positive toward using computers for learning ( p < 0.001). The simulation did not significantly affect attitudes toward science in general. Computer simulation of complex transgenic protocols have potential to provide a "virtual" laboratory experience as an adjunct to conventional educational approaches.
Effects of post-deposition annealing on sputtered SiO2/4H-SiC metal-oxide-semiconductor
NASA Astrophysics Data System (ADS)
Lee, Suhyeong; Kim, Young Seok; Kang, Hong Jeon; Kim, Hyunwoo; Ha, Min-Woo; Kim, Hyeong Joon
2018-01-01
Reactive sputtering followed by N2, NH3, O2, and NO post-deposition annealing (PDA) of SiO2 on 4H-SiC was investigated in this study. The results of ellipsometry, an etching test, and X-ray photoemission spectroscopy showed that N2 and NH3 PDA nitrified the SiO2. Devices using N2 and NH3 PDA exhibited a high gate leakage current and low breakdown field due to oxygen vacancies and incomplete oxynitride. SiO2/4H-SiC MOS capacitors were also fabricated and their electrical characteristics measured. The average breakdown fields of the devices using N2, NH3, O2, and NO PDA were 0.12, 0.17, 4.71 and 2.63 MV/cm, respectively. The shifts in the flat-band voltage after O2 and NO PDA were 0.95 and -2.56 V, respectively, compared with the theoretical value. The extracted effective oxide charge was -4.11 × 1011 cm-2 for O2 PDA and 1.11 × 1012 cm-2 for NO PDA. NO PDA for 2 h at 1200 °C shifted the capacitance-voltage curve in the negative direction. The oxygen containing PDA showed better electrical properties than non-oxygen PDA. The sputtering method described can be applied to 4H-SiC MOS fabrication.
Sub-barrier fusion of Si+Si systems
NASA Astrophysics Data System (ADS)
Colucci, G.; Montagnoli, G.; Stefanini, A. M.; Bourgin, D.; Čolović, P.; Corradi, L.; Courtin, S.; Faggian, M.; Fioretto, E.; Galtarossa, F.; Goasduff, A.; Haas, F.; Mazzocco, M.; Scarlassara, F.; Stefanini, C.; Strano, E.; Urbani, M.; Szilner, S.; Zhang, G. L.
2017-11-01
The near- and sub-barrier fusion excitation function has been measured for the system 30Si+30Si at the Laboratori Nazionali di Legnaro of INFN, using the 30Si beam of the XTU Tandem accelerator in the energy range 47 - 90 MeV. A set-up based on a beam electrostatic deflector was used for detecting fusion evaporation residues. The measured cross sections have been compared to previous data on 28Si+28Si and Coupled Channels (CC) calculations have been performed using M3Y+repulsion and Woods-Saxon potentials, where the lowlying 2+ and 3- excitations have been included. A weak imaginary potential was found to be necessary to reproduce the low energy 28Si+28Si data. This probably simulates the effect of the oblate deformation of this nucleus. On the contrary, 30Si is a spherical nucleus, 30Si+30Si is nicely fit by CC calculations and no imaginary potential is needed. For this system, no maximum shows up for the astrophysical S-factor so that we have no evidence for hindrance, as confirmed by the comparison with CC calculations. The logarithmic derivative of the two symmetric systems highlights their different low energy trend. A difference can also be noted in the two barrier distributions, where the high-energy peak present in 28Si+28Si is not observed for 30Si+30Si, probably due to the weaker couplings in last case.
NASA Astrophysics Data System (ADS)
Tomita, Motohiro; Ogasawara, Masataka; Terada, Takuya; Watanabe, Takanobu
2018-04-01
We provide the parameters of Stillinger-Weber potentials for GeSiSn ternary mixed systems. These parameters can be used in molecular dynamics (MD) simulations to reproduce phonon properties and thermal conductivities. The phonon dispersion relation is derived from the dynamical structure factor, which is calculated by the space-time Fourier transform of atomic trajectories in an MD simulation. The phonon properties and thermal conductivities of GeSiSn ternary crystals calculated using these parameters mostly reproduced both the findings of previous experiments and earlier calculations made using MD simulations. The atomic composition dependence of these properties in GeSiSn ternary crystals obtained by previous studies (both experimental and theoretical) and the calculated data were almost exactly reproduced by our proposed parameters. Moreover, the results of the MD simulation agree with the previous calculations made using a time-independent phonon Boltzmann transport equation with complicated scattering mechanisms. These scattering mechanisms are very important in complicated nanostructures, as they allow the heat-transfer properties to be more accurately calculated by MD simulations. This work enables us to predict the phonon- and heat-related properties of bulk group IV alloys, especially ternary alloys.
NASA Astrophysics Data System (ADS)
Rahim, Alhan Farhanah Abd; Zainal Badri, Nur'Amirah; Radzali, Rosfariza; Mahmood, Ainorkhilah
2017-11-01
In this paper, an investigation of design and simulation of silicon germanium (SiGe) islands on silicon (Si) was presented for potential visible metal semiconductor metal (MSM) photodetector. The characterization of the performances in term of the structural, optical and electrical properties of the structures was analyzed from the simulation results. The project involves simulation using SILVACO Technology Computer Aided Design (TCAD) tools. The different structures of the silicon germanium (SiGe) island on silicon substrate were created, which were large SiGe, small SiGe, combination SiGe and bulk Ge. All the structures were tested for potential Metal Semiconductor Metal (MSM) photodetector. The extracted data such as current versus voltage characteristic, current gain and spectral response were obtained using ATLAS SILVACO tools. The performance of SiGe island structures and bulk Ge on Si substrate as (MSM) photodetector was evaluated by photo and dark current-voltage (I-V) characteristics. It was found that SiGe islands exhibited higher energy band gap compared to bulk Ge. The SiGe islands current-voltage characteristics showed improved current gain compared to bulk Ge. Specifically the enhancement of the islands gain was contributed by the enhanced photo currents and lower dark currents. The spectral responses of the SiGe islands showed peak response at 590 nm (yellow) which is at the visible wavelength. This shows the feasibility of the SiGe islands to be utilized for visible photodetections.
Some Fundamental Issues of Mathematical Simulation in Biology
NASA Astrophysics Data System (ADS)
Razzhevaikin, V. N.
2018-02-01
Some directions of simulation in biology leading to original formulations of mathematical problems are overviewed. Two of them are discussed in detail: the correct solvability of first-order linear equations with unbounded coefficients and the construction of a reaction-diffusion equation with nonlinear diffusion for a model of genetic wave propagation.
Enhancing endosomal escape for nanoparticle mediated siRNA delivery
NASA Astrophysics Data System (ADS)
Ma, Da
2014-05-01
Gene therapy with siRNA is a promising biotechnology to treat cancer and other diseases. To realize siRNA-based gene therapy, a safe and efficient delivery method is essential. Nanoparticle mediated siRNA delivery is of great importance to overcome biological barriers for systemic delivery in vivo. Based on recent discoveries, endosomal escape is a critical biological barrier to be overcome for siRNA delivery. This feature article focuses on endosomal escape strategies used for nanoparticle mediated siRNA delivery, including cationic polymers, pH sensitive polymers, calcium phosphate, and cell penetrating peptides. Work has been done to develop different endosomal escape strategies based on nanoparticle types, administration routes, and target organ/cell types. Also, enhancement of endosomal escape has been considered along with other aspects of siRNA delivery to ensure target specific accumulation, high cell uptake, and low toxicity. By enhancing endosomal escape and overcoming other biological barriers, great progress has been achieved in nanoparticle mediated siRNA delivery.
2002-11-23
STS113-S-012 (23 November 2002) --- The Space Shuttle Endeavour is pictured on a lighted launch pad at Kennedy Space Centers (KSC) Launch Complex 39 with a gibbous moon shining brightly in the night sky. Liftoff from KSC occurred at 7:49:47 p.m. (EST), November 23, 2002. The launch is the 19th for Endeavour, and the 112th flight in the Shuttle program. Mission STS-113 is the 16th assembly flight to the International Space Station, carrying another structure for the Station, the P1 integrated truss. Crewmembers onboard were astronauts James D. Wetherbee, commander; Paul S. Lockhart, pilot, along with astronauts Michael E. Lopez-Alegria and John B. Herrington, both mission specialists. Also onboard were the Expedition 6 crewmembers--astronauts Kenneth D. Bowersox and Donald R. Pettit, along with cosmonaut Nikolai M. Budarin--who went on to replace Expedition 5 aboard the Station.
NASA Astrophysics Data System (ADS)
Wang, Hongjuan; Han, Genquan; Wang, Yibo; Peng, Yue; Liu, Yan; Zhang, Chunfu; Zhang, Jincheng; Hu, Shengdong; Hao, Yue
2016-04-01
In this work, a lattice-matched SiGeSn/GeSn heterostructure p-channel tunneling field-effect transistor (hetero-PTFET) with a type-II staggered tunneling junction (TJ) is investigated theoretically. Lattice matching and type-II band alignment at the Γ-point is obtained at the SiGeSn/GeSn interface by tuning Sn and Si compositions. A steeper subthreshold swing (SS) and a higher on state current (I ON) are demonstrated in SiGeSn/GeSn hetero-PTFET than in GeSn homo-PTFET. Si0.31Ge0.49Sn0.20/Ge0.88Sn0.12 hetero-PTFET achieves a 2.3-fold higher I ON than Ge0.88Sn0.12 homo-PTFET at V DD of 0.3 V. Hetero-PTFET achieves a more abrupt hole profile and a higher carrier density near TJ than the homo-PTFET, which contributes to the significantly enhanced band-to-band tunneling (BTBT) rate and tunneling current in hetero-PTFET.
Extreme IR absorption in group IV-SiGeSn core-shell nanowires
NASA Astrophysics Data System (ADS)
Attiaoui, Anis; Wirth, Stephan; Blanchard-Dionne, André-Pierre; Meunier, Michel; Hartmann, J. M.; Buca, Dan; Moutanabbir, Oussama
2018-06-01
Sn-containing Si and Ge (Ge1-y-xSixSny) alloys are an emerging family of semiconductors with the potential to impact group IV material-based devices. These semiconductors provide the ability to independently engineer both the lattice parameter and bandgap, which holds the premise to develop enhanced or novel photonic and electronic devices. With this perspective, we present detailed investigations of the influence of Ge1-y-xSixSny layers on the optical properties of Si and Ge based heterostructures and nanowires. We found that by adding a thin Ge1-y-xSixSny capping layer on Si or Ge greatly enhances light absorption especially in the near infrared range, leading to an increase in short-circuit current density. For the Ge1-y-xSixSny structure at thicknesses below 30 nm, a 14-fold increase in the short-circuit current is observed with respect to bare Si. This enhancement decreases by reducing the capping layer thickness. Conversely, decreasing the shell thickness was found to improve the short-circuit current in Si/Ge1-y-xSixSny and Ge/Ge1-y-xSixSny core/shell nanowires. The optical absorption becomes very important by increasing the Sn content. Moreover, by exploiting an optical antenna effect, these nanowires show extreme light absorption, reaching an enhancement factor, with respect to Si or Ge nanowires, on the order of 104 in Si/Ge0.84Si0.04Sn0.12 and 12 in Ge/Ge0.84Si0.04Sn0.12. Furthermore, we analyzed the optical response after the addition of a dielectric layer of Si3N4 to the Si/Ge1-y-xSixSny core-shell nanowire and found approximatively a 50% increase in the short-circuit current density for a dielectric layer of thickness equal to 45 nm and both a core radius and a shell thickness greater than 40 nm. The core-shell optical antenna benefits from a multiplication of enhancements contributed by leaky mode resonances in the semiconductor part and antireflection effects in the dielectric part.
Luo, Yukun; Fang, Jun; Fan, Lin; Lin, Chaogui; Chen, Zhaoyang; Chen, Lianglong
2012-10-01
To investigate the role of connexin 43-formed hemichannels in cell volume regulation induced by simulated ischemia/reperfusion (SI/R). Mouse cardiomyocytes isolated on a Langendorff apparatus with enzyme solution were aliquoted into control, SI/R and SI/R +octanol groups. Calcein-AM was used to stain the cells and the cell volume was measured with confocal microscope by stack scanning. Trypan blue was used to measure the cell viability after the treatments. Calcein-AM staining and cofocal microscopy yielded stable and reproducible results for cell volume measurement. Mouse cardiomyocytes subjected to simulated SI/R showed obvious cell swelling as compared with the control cells [(126∓6)% vs 100%, P<0.05], and octanol preconditioning significantly attenuated the cell swelling [(113∓6)%, P<0.05]. SI/R caused a significant reduction of the cell viability compared to the control cells [(19∓2)% vs (45∓3)%, P<0.01], and octanol preconditioning obviously reduced the viability of the cells with SI/R challenge [(31∓2)%, P<0.01]. Connexin 43-formed hemichannels are involved in the regulation of cardiomyocyte volumes induced by SI/R challenge, and octanol can alleviate the cell swelling to enhance the viability of the cardiomyocytes following SI/R.
Network structure of SiO2 and MgSiO3 in amorphous and liquid States
NASA Astrophysics Data System (ADS)
Lan, Mai Thi; Thuy Duong, Tran; Viet Huy, Nguyen; Van Hong, Nguyen
2017-03-01
Network structure of SiO2 and MgSiO3 at 300 K and 3200 K is investigated by molecular dynamics simulation and visualization of simulation data. Structural organization of SiO2 and MgSiO3 is clarified via analysis the short range order (SRO) and intermediate range order (IRO). Network topology is determined via analyzing the bond between structural units, the cluster of structural units as well as spatial distribution of structural units. The polyamorphism as well as structural and dynamic heterogeneities are also discussed in this work.
28 CFR 0.12 - Young American Medals Committee.
Code of Federal Regulations, 2010 CFR
2010-07-01
... Office of the Attorney General § 0.12 Young American Medals Committee. There shall be in the Office of the Attorney General a Young American Medals Committee, which shall be composed of four members, one.... The Chairman of the Committee shall be designated by the Attorney General. The Committee shall issue...
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yang, Xinyi; Zhou, Zhiguo, E-mail: zgzhou@shnu.edu.cn; Wang, Li
2014-09-15
Graphical abstract: The Mn{sub 3}O{sub 4}@SiO{sub 2}(PEG)–FA has been used as a T{sub 1}-MRI probe for in vivo. - Highlights: • The PEG and FA modified Mn{sub 3}O{sub 4}@SiO{sub 2} nanoparticles (Mn{sub 3}O{sub 4}@SiO{sub 2}–FA) were prepared. • Mn{sub 3}O{sub 4}@SiO{sub 2}–FA exhibited the good colloidal stability in the simulated biological medium. • Mn{sub 3}O{sub 4}@SiO{sub 2}–FA showed the targeting ability to HeLa cells overexpressed the FA receptor. • The T{sub 1}-weighted magnetic resonance (MR) imaging demonstrated the targeting ability of Mn{sub 3}O{sub 4}@SiO{sub 2}–FA in vivo tumor. - Abstract: The monodisperse silica-coated manganese oxide nanoparticles (Mn{sub 3}O{sub 4}@SiO{sub 2}more » NPs) were synthesized via the high temperature pyrolysis approach and were aminated through silanization. The amine-functionalized Mn{sub 3}O{sub 4} NPs enabled the covalent conjugation of hydrophilic methoxypoly(ethylene glycol) (PEG) and the targeting ligand of folate (FA) onto their surface. The formed PEG and FA modified Mn{sub 3}O{sub 4} NPs (Mn{sub 3}O{sub 4}@SiO{sub 2}(PEG)–FA) exhibited the good colloidal stability in the simulated biological medium and the targeting ability to HeLa cells overexpressed the FA receptor. The T{sub 1}-weighted magnetic resonance (MR) imaging and inductively coupled plasma atomic emission spectroscopy (ICP-AES) analysis of Mn{sub 3}O{sub 4}@SiO{sub 2}(PEG)–FA NPs further demonstrated their targeting ability in tumor.« less
Chemical reactivity of SiC fibre-reinforced SiC with beryllium and lithium ceramic breeder materials
NASA Astrophysics Data System (ADS)
Kleykamp, H.
2000-12-01
SiC fibre-reinforced SiC fabrics (f-SiC/SiC) are considered for structural materials of advanced fusion blanket concepts. Priority tasks are compatibility studies of SiC with Li breeder ceramics and the Be neutron multiplier. Isothermal and anisothermal powder reactions by DTA up to 1220°C were examined between Li 4SiO 4, Li 2ZrO 3 and Li 2TiO 3, respectively, and SiC and SiC/SiO 2 mixtures, respectively. The SiC/SiO 2 mixture simulated the chemical state of Nicalon fibres. Solid state reactions between SiC and Be pellets were studied by capsule experiments. The reaction products Be 2C and Si were observed between the initial phases after annealing at 800°C and 900°C. A parabolic time law with a chemical diffusion coefficient D˜=2.6×10 -15 m 2/s of Be in the products was deduced at 900°C. Additional oxygen released from SiO 2 as a component of the simulated fibres oxidised the reaction products via the gas phase by formation of a Be 2SiO 4 layer. All reactions are kinetically hindered below 700°C.
Yun, Young Jun; Kim, Jin Kyu; Ju, Ji Young; Choi, Seul Ki; Park, Woon Ik; Jung, Ha-Kyun; Kim, Yongseon; Choi, Sungho
2016-09-06
Eu(2+)-activated single phase Ba(2+)-oxonitridosilicate phosphors were prepared under a mild synthetic condition via silicate precursors, and their luminescent properties were investigated. Both the preferred oxonitridosilicate formation as for the available host compounds and thermodynamic stability within the Ba-Si-O-N system were elucidated in detail by the theoretical simulation based on the first-principles density functional theory. Those results can visualize the optimum synthetic conditions for Eu(2+)-activated highly luminescent Ba(2+)-oxonitridosilicates, especially Ba3Si6O12N2, as promising conversion phosphors for white LEDs, including Ba3Si6O9N4 and BaSi2O2N2 phases. To prove the simulated design rule, we synthesized the Ba3Si6O12N2:Eu(2+) phosphor using various silicate precursors, Ba2Si4O10, Ba2Si3O8, and BaSiO3, in a carbothermal reduction ambient and finally succeeded in obtaining a phase of pure highly luminescent oxonitridosilicate phosphor without using any solid-state nitride addition and/or high pressure synthetic procedures. Our study provides useful guidelines for robust synthetic procedures for developing thermally stable rare-earth-ion activated oxonitridosilicate phosphors and an established simulation method that can be effectively applied to other multigas systems.
NASA Astrophysics Data System (ADS)
Sadi, Toufik; Mehonic, Adnan; Montesi, Luca; Buckwell, Mark; Kenyon, Anthony; Asenov, Asen
2018-02-01
We employ an advanced three-dimensional (3D) electro-thermal simulator to explore the physics and potential of oxide-based resistive random-access memory (RRAM) cells. The physical simulation model has been developed recently, and couples a kinetic Monte Carlo study of electron and ionic transport to the self-heating phenomenon while accounting carefully for the physics of vacancy generation and recombination, and trapping mechanisms. The simulation framework successfully captures resistance switching, including the electroforming, set and reset processes, by modeling the dynamics of conductive filaments in the 3D space. This work focuses on the promising yet less studied RRAM structures based on silicon-rich silica (SiO x ) RRAMs. We explain the intrinsic nature of resistance switching of the SiO x layer, analyze the effect of self-heating on device performance, highlight the role of the initial vacancy distributions acting as precursors for switching, and also stress the importance of using 3D physics-based models to capture accurately the switching processes. The simulation work is backed by experimental studies. The simulator is useful for improving our understanding of the little-known physics of SiO x resistive memory devices, as well as other oxide-based RRAM systems (e.g. transition metal oxide RRAMs), offering design and optimization capabilities with regard to the reliability and variability of memory cells.
Hybrid Simulation of Duty Cycle Influences on Pulse Modulated RF SiH4/Ar Discharge
NASA Astrophysics Data System (ADS)
Wang, Xifeng; Song, Yuanhong; Zhao, Shuxia; Dai, Zhongling; Wang, Younian
2016-04-01
A one-dimensional fluid/Monte-Carlo (MC) hybrid model is developed to describe capacitively coupled SiH4/Ar discharge, in which the lower electrode is applied by a RF source and pulse modulated by a square-wave, to investigate the modulation effects of the pulse duty cycle on the discharge mechanism. An electron Monte Carlo simulation is used to calculate the electron energy distribution as a function of position and time phase. Rate coefficients in chemical reactions can then be obtained and transferred to the fluid model for the calculation of electron temperature and densities of different species, such as electrons, ions, and radicals. The simulation results show that, the electron energy distribution f(ɛ) is modulated evidently within a pulse cycle, with its tail extending to higher energies during the power-on period, while shrinking back promptly in the afterglow period. Thus, the rate coefficients could be controlled during the discharge, resulting in modulation of the species composition on the substrate compared with continuous excitation. Meanwhile, more negative ions, like SiH-3 and SiH-2, may escape to the electrodes owing to the collapse of ambipolar electric fields, which is beneficial to films deposition. Pulse modulation is thus expected to provide additional methods to customize the plasma densities and components. supported by National Natural Science Foundation of China (No. 11275038)
Enhanced sampling techniques in molecular dynamics simulations of biological systems.
Bernardi, Rafael C; Melo, Marcelo C R; Schulten, Klaus
2015-05-01
Molecular dynamics has emerged as an important research methodology covering systems to the level of millions of atoms. However, insufficient sampling often limits its application. The limitation is due to rough energy landscapes, with many local minima separated by high-energy barriers, which govern the biomolecular motion. In the past few decades methods have been developed that address the sampling problem, such as replica-exchange molecular dynamics, metadynamics and simulated annealing. Here we present an overview over theses sampling methods in an attempt to shed light on which should be selected depending on the type of system property studied. Enhanced sampling methods have been employed for a broad range of biological systems and the choice of a suitable method is connected to biological and physical characteristics of the system, in particular system size. While metadynamics and replica-exchange molecular dynamics are the most adopted sampling methods to study biomolecular dynamics, simulated annealing is well suited to characterize very flexible systems. The use of annealing methods for a long time was restricted to simulation of small proteins; however, a variant of the method, generalized simulated annealing, can be employed at a relatively low computational cost to large macromolecular complexes. Molecular dynamics trajectories frequently do not reach all relevant conformational substates, for example those connected with biological function, a problem that can be addressed by employing enhanced sampling algorithms. This article is part of a Special Issue entitled Recent developments of molecular dynamics. Copyright © 2014 Elsevier B.V. All rights reserved.
Band alignment of atomic layer deposited SiO2 and HfSiO4 with (\\bar{2}01) β-Ga2O3
NASA Astrophysics Data System (ADS)
Carey, Patrick H., IV; Ren, Fan; Hays, David C.; Gila, Brent P.; Pearton, Stephen J.; Jang, Soohwan; Kuramata, Akito
2017-07-01
The valence band offset at both SiO2/β-Ga2O3 and HfSiO4/β-Ga2O3 heterointerfaces was measured using X-ray photoelectron spectroscopy. Both dielectrics were deposited by atomic layer deposition (ALD) onto single-crystal β-Ga2O3. The bandgaps of the materials were determined by reflection electron energy loss spectroscopy as 4.6 eV for Ga2O3, 8.7 eV for Al2O3 and 7.0 eV for HfSiO4. The valence band offset was determined to be 1.23 ± 0.20 eV (straddling gap, type I alignment) for ALD SiO2 on β-Ga2O3 and 0.02 ± 0.003 eV (also type I alignment) for HfSiO4. The respective conduction band offsets were 2.87 ± 0.70 eV for ALD SiO2 and 2.38 ± 0.50 eV for HfSiO4, respectively.
Vaporization of SiO2 and MgSiO3
NASA Astrophysics Data System (ADS)
Stixrude, L. P.; Xiao, B.
2016-12-01
Vaporization of SiO2 and MgSiO3B Xiaoa and L Stixrude*a, a Department of Earth Sciences, University College London, WC1E 6BT London, UK *presenting author, email: l.stixrude@ucl.ac.uk Vaporization is an important process in Earth's earliest evolution during which giant impacts are thought to have produced a transient silicate atmosphere. As experimental data are very limited, little is known of the near-critical vaporization of Earth's major oxide components: MgO and SiO2. We have performed novel ab initio molecular dynamics simulations of vapor-liquid coexistence in the SiO2 and MgSiO3 systems. The simulations, based on density functional theory using the VASP code, begin with a suitably prepared liquid slab embedded in a vacuum. During the dynamical trajectory in the canonical ensemble, we see spontaneous vaporization, leading eventually to a steady-state chemical equilibrium between the two coexisting phases. We locate the liquid-vapor critical point at 6600 K and 0.40 g/cm3 for MgSiO3 and 5300 K and 0.43 g/cm3 for SiO2. By carefully examining the trajectories, we determine the composition and speciation of the vapor. For MgSiO3, We find that the vapor is significantly richer in Mg, O, and atomic (non-molecular) species than extrapolation of low-temperature experimental data has suggested. These results will have important implications for our understanding of the initial chemistry of the Earth and Moon and the initial thermal state of Earth.
Influence of small variation in impact ionization rate data on simulation of 4H-SiC IMPATT
NASA Astrophysics Data System (ADS)
Pattanaik, S. R.; Pradhan, J.; Swain, S. K.; Panda, P.; Dash, G. N.
2012-10-01
Material parameters like ionization rate coefficients for electrons and holes play important role in determining the performance of IMPATT device. Accuracy of these material data is significant for the quality of simulation results. In this paper, the influence of small variation in the ionization rate data on the performance of 4H-SiC IMPATT diode has been presented using our computer simulation program.
Overview of HIT-SI3 experiment: Simulations, Diagnostics, and Summary of Current Results
NASA Astrophysics Data System (ADS)
Penna, James; Jarboe, Thomas; Nelson, Brian; Hossack, Aaron; Sutherland, Derek; Morgan, Kyle; Hansen, Chris; Benedett, Thomas; Everson, Chris; Victor, Brian
2016-10-01
The Helicity Injected Torus - Steady Inductive 3(HIT-SI3)experiment forms and maintains spheromaks via Steady Inductive Helicity Injection (SIHI). Three injector units allow for continuous injection of helicity into a copper flux conserver in order to sustain a spheromak. Firing of the injectors with a phase difference allows finite rotation of the plasma to provide a stabilizing effect. Simulations in the MHD code NIMROD and the fluid-model code PSI-TET provide validation and a basis for interpretation of the observed experimental data. Thompson Scattering (TS) and Far Infrared (FIR) Interferometer systems allow temperature and line-averaged density measurements to be taken. An Ion Doppler Spectroscopy (IDS) system allows measurement of the plasma rotation and velocity. HIT-SI3 data has been used for validation of IDCD predictions, in particular the projected impedance of helicity injectors according to the theory. The experimental impedances have been calculated here for the first time for different HIT-SI3 regimes. Such experimental evidence will contribute to the design of future experiments employing IDCD as a current-drive mechanism. Work supported by the D.O.E., Office of Science, Office of Fusion Science.
siRNAmod: A database of experimentally validated chemically modified siRNAs.
Dar, Showkat Ahmad; Thakur, Anamika; Qureshi, Abid; Kumar, Manoj
2016-01-28
Small interfering RNA (siRNA) technology has vast potential for functional genomics and development of therapeutics. However, it faces many obstacles predominantly instability of siRNAs due to nuclease digestion and subsequently biologically short half-life. Chemical modifications in siRNAs provide means to overcome these shortcomings and improve their stability and potency. Despite enormous utility bioinformatics resource of these chemically modified siRNAs (cm-siRNAs) is lacking. Therefore, we have developed siRNAmod, a specialized databank for chemically modified siRNAs. Currently, our repository contains a total of 4894 chemically modified-siRNA sequences, comprising 128 unique chemical modifications on different positions with various permutations and combinations. It incorporates important information on siRNA sequence, chemical modification, their number and respective position, structure, simplified molecular input line entry system canonical (SMILES), efficacy of modified siRNA, target gene, cell line, experimental methods, reference etc. It is developed and hosted using Linux Apache MySQL PHP (LAMP) software bundle. Standard user-friendly browse, search facility and analysis tools are also integrated. It would assist in understanding the effect of chemical modifications and further development of stable and efficacious siRNAs for research as well as therapeutics. siRNAmod is freely available at: http://crdd.osdd.net/servers/sirnamod.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Nouidui, Thierry; Wetter, Michael
SimulatorToFMU is a software package written in Python which allows users to export a memoryless Python-driven simulation program or script as a Functional Mock-up Unit (FMU) for model exchange or co-simulation.In CyDER (Cyber Physical Co-simulation Platform for Distributed Energy Resources in Smart Grids), SimulatorToFMU will allow exporting OPAL-RT as an FMU. This will enable OPAL-RT to be linked to CYMDIST and GridDyn FMUs through a standardized open source interface.
1986-12-26
NAVAL TRAINING SYSTEMS CENTER ORLANDO. FLORIDA IT FILE COPY THE EFFECTS OF ASYNCHRONOUS VISUAL DELAYS ON SIMULATOR FLIGHT PERFORMANCE AND THE...ASYNCHRONOUS VISUAL. DELAYS ON SI.WLATOR FLIGHT PERF OMANCE AND THE DEVELOPMENT OF SIMLATOR SICKNESS SYMPTOMATOLOGY K. C. Uliano, E. Y. Lambert, R. S. Kennedy...ACCESSION NO. N63733N SP-01 0785-7P6 I. 4780 11. TITLE (Include Security Classification) The Effects of Asynchronous Visual Delays on Simulator Flight
Simulation of Complex Cracking in Plain Weave C/SiC Composite under Biaxial Loading
NASA Technical Reports Server (NTRS)
Cheng, Ron-Bin; Hsu, Su-Yuen
2012-01-01
Finite element analysis is performed on a mesh, based on computed geometry of a plain weave C/SiC composite with assumed internal stacking, to reveal the pattern of internal damage due to biaxial normal cyclic loading. The simulation encompasses intertow matrix cracking, matrix cracking inside the tows, and separation at the tow-intertow matrix and tow-tow interfaces. All these dissipative behaviors are represented by traction-separation cohesive laws. Not aimed at quantitatively predicting the overall stress-strain relation, the simulation, however, does not take the actual process of fiber debonding into account. The fiber tows are represented by a simple rule-of-mixture model where the reinforcing phase is a hypothetical one-dimensional material. Numerical results indicate that for the plain weave C/SiC composite, 1) matrix-crack initiation sites are primarily determined by large intertow matrix voids and interlayer tow-tow contacts, 2) the pattern of internal damage strongly depends on the loading path and initial stress, 3) compressive loading inflicts virtually no damage evolution. KEY WORDS: ceramic matrix composite, plain weave, cohesive model, brittle failure, smeared crack model, progressive damage, meso-mechanical analysis, finite element.
28 CFR 16.133 - Exemption of Department of Justice Regional Data Exchange System (RDEX), DOJ-012.
Code of Federal Regulations, 2010 CFR
2010-07-01
... Regional Data Exchange System (RDEX), DOJ-012. 16.133 Section 16.133 Judicial Administration DEPARTMENT OF... Act § 16.133 Exemption of Department of Justice Regional Data Exchange System (RDEX), DOJ-012. (a) The Department of Justice Regional Data Exchange System (RDEX), DOJ-012, is exempted from subsections (c)(3) and...
SiO2-coated LiNi0.915Co0.075Al0.01O2 cathode material for rechargeable Li-ion batteries.
Zhou, Pengfei; Zhang, Zhen; Meng, Huanju; Lu, Yanying; Cao, Jun; Cheng, Fangyi; Tao, Zhanliang; Chen, Jun
2016-11-24
We reported a one-step dry coating of amorphous SiO 2 on spherical Ni-rich layered LiNi 0.915 Co 0.075 Al 0.01 O 2 (NCA) cathode materials. Combined characterization of XRD, EDS mapping, and TEM indicates that a SiO 2 layer with an average thickness of ∼50 nm was uniformly coated on the surface of NCA microspheres, without inducing any change of the phase structure and morphology. Electrochemical tests show that the 0.2 wt% SiO 2 -coated NCA material exhibits enhanced cyclability and rate properties, combining with better thermal stability compared with those of pristine NCA. For example, 0.2 wt% SiO 2 -coated NCA delivers a high specific capacity of 181.3 mA h g -1 with a capacity retention of 90.7% after 50 cycles at 1 C rate and 25 °C. Moreover, the capacity retention of this composite at 60 °C is 12.5% higher than that of pristine NCA at 1 C rate after 50 cycles. The effects of SiO 2 coating on the electrochemical performance of NCA are investigated by EIS, CV, and DSC tests, the improved performance is attributed to the surface coating layer of amorphous SiO 2 , which effectively suppresses side reactions between NCA and electrolytes, decreases the SEI layer resistance, and retards the growth of charge-transfer resistance, thus enhancing structural and cycling stability of NCA.
Properties of thin SiC membrane for x-ray mask
NASA Astrophysics Data System (ADS)
Shoki, Tsutomu; Nagasawa, Hiroyuki; Kosuga, Hiroyuki; Yamaguchi, Yoichi; Annaka, Noromichi; Amemiya, Isao; Nagarekawa, Osamu
1993-06-01
We have investigated the effects of film thickness, anti-reflective (AR) coating and surface roughness on the optical transparency of silicon carbide (SiC) membrane. Peak transmittances monotonously increased as the thickness decreased. The transmittance at 633 nm for 1.05 micrometers thick SiC membrane adjusted by reactive ion etching was 70%, and increased up to 80% by an AR coating. SiC membrane with extremely smooth surface of 0.12 nm (Ra) has been obtained by polishing, and had peak transmittances of 69% and 80% at 633 nm for 2.0 micrometers and 1.0 micrometers in thickness, respectively. Poly-crystalline (beta) -SiC membrane in the suitable tensile stress range of 0.3 to 2.0 X 108 Pa and with high Young's modulus of 4.5 X 1011 Pa has been prepared by a hot wall type low pressure chemical vapor deposition, and been found to need to have thickness over 0.7 micrometers to maintain sufficient mechanical strength in processing.
NASA Astrophysics Data System (ADS)
Zheng, Xin-Yuan; Beard, Brian L.; Reddy, Thiruchelvi R.; Roden, Eric E.; Johnson, Clark M.
2016-08-01
Precambrian Si-rich sedimentary rocks, including cherts and banded iron formations (BIFs), record a >7‰ spread in 30Si/28Si ratios (δ30Si values), yet interpretation of this large variability has been hindered by the paucity of data on Si isotope exchange kinetics and equilibrium fractionation factors in systems that are pertinent to Precambrian marine conditions. Using the three-isotope method and an enriched 29Si tracer, a series of experiments were conducted to constrain Si isotope exchange kinetics and fractionation factors between amorphous Fe(III)-Si gel, a likely precursor to Precambrian jaspers and BIFs, and aqueous Si in artificial Archean seawater under anoxic conditions. Experiments were conducted at room temperature, and in the presence and absence of aqueous Fe(II) (Fe(II)aq). Results of this study demonstrate that Si solubility is significantly lower for Fe-Si gel than that of amorphous Si, indicating that seawater Si concentrations in the Precambrian may have been lower than previous estimates. The experiments reached ∼70-90% Si isotope exchange after a period of 53-126 days, and the highest extents of exchange were obtained where Fe(II)aq was present, suggesting that Fe(II)-Fe(III) electron-transfer and atom-exchange reactions catalyze Si isotope exchange through breakage of Fe-Si bonds. All experiments except one showed little change in the instantaneous solid-aqueous Si isotope fractionation factor with time, allowing extraction of equilibrium Si isotope fractionation factors through extrapolation to 100% isotope exchange. The equilibrium 30Si/28Si fractionation between Fe(III)-Si gel and aqueous Si (Δ30Sigel-aqueous) is -2.30 ± 0.25‰ (2σ) in the absence of Fe(II)aq. In the case where Fe(II)aq was present, which resulted in addition of ∼10% Fe(II) in the final solid, creating a mixed Fe(II)-Fe(III) Si gel, the equilibrium fractionation between Fe(II)-Fe(III)-Si gel and aqueous Si (Δ30Sigel-aqueous) is -3.23 ± 0.37‰ (2
NASA Astrophysics Data System (ADS)
MacAlpine, Sara; Bobela, David C.; Kurtz, Sarah; Lumb, Matthew P.; Schmieder, Kenneth J.; Moore, James E.; Walters, Robert J.; Alberi, Kirstin
2017-10-01
This work examines a tandem module design with GaInP2 mechanically stacked on top of crystalline Si, using a detailed photovoltaic (PV) system model to simulate four-terminal (4T) unconstrained and two-terminal voltage-matched (2T VM) parallel architectures. Module-level power electronics is proposed for the 2T VM module design to enhance its performance over the breadth of temperatures experienced by a typical PV installation. Annual, hourly simulations of various scenarios indicate that this design can reduce annual energy losses to ˜0.5% relative to the 4T module configuration. Consideration is given to both performance and practical design for building or ground mount installations, emphasizing compatibility with existing standard Si modules.
Biology Students Building Computer Simulations Using StarLogo TNG
ERIC Educational Resources Information Center
Smith, V. Anne; Duncan, Ishbel
2011-01-01
Confidence is an important issue for biology students in handling computational concepts. This paper describes a practical in which honours-level bioscience students simulate complex animal behaviour using StarLogo TNG, a freely-available graphical programming environment. The practical consists of two sessions, the first of which guides students…
Biological Simulations in Distance Learning. CAL Research Group Technical Report No. 12.
ERIC Educational Resources Information Center
Murphy, P. J.
When two biological simulations on evolution and genetics (one originally developed for a conventional university undergraduate course) were introduced into Open University distance education classes, the difficulties encountered required a reappraisal of the concept of using computer simulation for distance learning and decisions on which…
Thermal equation of state of (Mg 0.9Fe 0.1) 2SiO 4 olivine
NASA Astrophysics Data System (ADS)
Liu, Wei; Li, Baosheng
2006-08-01
In situ synchrotron X-ray diffraction measurements have been carried out on San Carlos olivine (Mg 0.9Fe 0.1) 2SiO 4 up to 8 GPa and 1073 K. Data analysis using the high-temperature Birch-Murnaghan (HTBM) equation of state (EoS) yields the temperature derivative of the bulk modulus (∂ KT/∂ T) P = -0.019 ± 0.002 GPa K -1. The thermal pressure (TH) approach gives αKT = 4.08 ± 0.10 × 10 -3 GPa K -1, from which (∂ KT/∂ T) P = -0.019 ± 0.001 GPa K -1 is derived. Fitting the present data to the Mie-Grüneisen-Debye (MGD) formalism, the Grüneisen parameter at ambient conditions γ0 is constrained to be 1.14 ± 0.02 with fixed volume dependence q = 1. Combining the present data with previous results on iron-bearing olivine and fitting to MGD EoS, we obtain γ0 = 1.11 ± 0.01 and q = 0.54 ± 0.36. In this study the thermoelastic parameters obtained from various approaches are in good agreement with one another and previous results.
NASA Astrophysics Data System (ADS)
Tee, Ling Fei; Neoh, Hui-min; Then, Sue Mian; Murad, Nor Azian; Asillam, Mohd Fairos; Hashim, Mohd Helmy; Nathan, Sheila; Jamal, Rahman
2017-11-01
Studies of multigenerational Caenorhabditis elegans exposed to long-term spaceflight have revealed expression changes of genes involved in longevity, DNA repair, and locomotion. However, results from spaceflight experiments are difficult to reproduce as space missions are costly and opportunities are rather limited for researchers. In addition, multigenerational cultures of C. elegans used in previous studies contribute to mixture of gene expression profiles from both larvae and adult worms, which were recently reported to be different. Usage of different culture media during microgravity simulation experiments might also give rise to differences in the gene expression and biological phenotypes of the worms. In this study, we investigated the effects of simulated microgravity on the gene expression and biological phenotype profiles of a single generation of C. elegans worms cultured on 2 different culture media. A desktop Random Positioning Machine (RPM) was used to simulate microgravity on the worms for approximately 52 to 54 h. Gene expression profile was analysed using the Affymetrix GeneChip® C. elegans 1.0 ST Array. Only one gene (R01H2.2) was found to be downregulated in nematode growth medium (NGM)-cultured worms exposed to simulated microgravity. On the other hand, eight genes were differentially expressed for C. elegans Maintenance Medium (CeMM)-cultured worms in microgravity; six were upregulated, while two were downregulated. Five of the upregulated genes (C07E3.15, C34H3.21, C32D5.16, F35H8.9 and C34F11.17) encode non-coding RNAs. In terms of biological phenotype, we observed that microgravity-simulated worms experienced minimal changes in terms of lifespan, locomotion and reproductive capabilities in comparison with the ground controls. Taking it all together, simulated microgravity on a single generation of C. elegans did not confer major changes to their gene expression and biological phenotype. Nevertheless, exposure of the worms to microgravity
Synthesis of LiMn1.9Ti0.09Si0.01O4 by self-propagating combustion method
NASA Astrophysics Data System (ADS)
Abdullah, Amzar Ahlami; Kamarulzaman, Norlida; Badar, Nurhanna; Aziz, Nor Diyana Abdul
2017-09-01
Cathode materials have been an essential area of research for many decades. In this work, a novel spinel cathode, LiMn1.9Ti0.09Si0.01O4 was prepared via a combustion method using citric acid as a reductant. The objective is to obtain a pure and single phase cubic structured material. The precursors obtained were annealed at 600, 700 and 800 °C for 24 hours. The observed materials were characterized by thermal profiling and X-ray diffraction. Pure and single phase materials are obtained and achieved.
Ab initio and kinetic Monte Carlo study of lithium diffusion in LiSi, Li12Si7, Li13Si5 and Li15Si4
NASA Astrophysics Data System (ADS)
Moon, Janghyuk; Lee, Byeongchan; Cho, Maenghyo; Cho, Kyeongjae
2016-10-01
The kinetics of lithium atoms in various Li-Si binary compounds are investigated using density functional theory calculations and kinetic Monte Carlo calculations. The values of the Li migration energy barriers are identified by NEB calculations with vacancy-mediated, interstitial and exchange migration mechanisms in crystalline LiSi, Li12Si7, Li13Si4, and Li15Si4. A comparison of these NEB results shows that the vacancy-mediated Li migration is identified as the dominant diffusion mechanisms in Li-Si compounds. The diffusion coefficients of Li in Li-Si compounds at room temperature are determined by KMC simulation. From the KMC results, the recalculated migration energy barriers in LiSi, Li12Si7, Li13Si4, and Li15Si4 correspond to 0.306, 0.301, 0.367 and 0.320 eV, respectively. Compared to the Li migration energy barrier of 0.6 eV in crystalline Si, the drastic reduction in the Li migration energy barriers in the lithiated silicon indicates that the initial lithiation of the Si anode is the rate-limiting step. Furthermore, it is also found that Si migration is possible in Li-rich configurations. On the basis of these findings, the underlying mechanisms of kinetics on the atomic scale details are elucidated.
Valence-band offsets in strained SiGeSn/Si layers with different tin contents
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bloshkin, A. A., E-mail: bloshkin@isp.nsc.ru; Yakimov, A. I.; Timofeev, V. A.
Admittance spectroscopy is used to study hole states in Si{sub 0.7–y}Ge{sub 0.3}Sn{sub y}/Si quantum wells in the tin content range y = 0.04–0.1. It is found that the hole binding energy increases with tin content. The hole size-quantization energies in structures containing a pseudomorphic Si{sub 0.7–y}Ge{sub 0.3}Sn{sub y} layer in the Si matrix are determined using the 6-band kp method. The valence-band offset at the Si{sub 0.7–y}Ge{sub 0.3}Sn{sub y} heterointerface is determined by combining the numerical calculation results and experimental data. It is found that the dependence of the experimental values of the valence-band offsets between pseudomorphic Si{sub 0.7–y}Ge{sub 0.3}Sn{submore » y} layers and Si on the tin content is described by the expression ΔE{sub V}{sup exp} = (0.21 ± 0.01) + (3.35 ± 7.8 × 10{sup –4})y eV.« less
SPACECRAFT (S/C)-012 - COMMAND MODULE (CM) - HEAT SHIELD INSTALLATION
1966-04-18
S66-41851 (1966) --- High angle view of Spacecraft 012 Command Module, looking toward -Z axis, during preparation for installation of the crew compartment heat shield, showing mechanics working on aft bay.
General Synthetic Method for Si-Fluoresceins and Si-Rhodamines
2017-01-01
The century-old fluoresceins and rhodamines persist as flexible scaffolds for fluorescent and fluorogenic compounds. Extensive exploration of these xanthene dyes has yielded general structure–activity relationships where the development of new probes is limited only by imagination and organic chemistry. In particular, replacement of the xanthene oxygen with silicon has resulted in new red-shifted Si-fluoresceins and Si-rhodamines, whose high brightness and photostability enable advanced imaging experiments. Nevertheless, efforts to tune the chemical and spectral properties of these dyes have been hindered by difficult synthetic routes. Here, we report a general strategy for the efficient preparation of Si-fluoresceins and Si-rhodamines from readily synthesized bis(2-bromophenyl)silane intermediates. These dibromides undergo metal/bromide exchange to give bis-aryllithium or bis(aryl Grignard) intermediates, which can then add to anhydride or ester electrophiles to afford a variety of Si-xanthenes. This strategy enabled efficient (3–5 step) syntheses of known and novel Si-fluoresceins, Si-rhodamines, and related dye structures. In particular, we discovered that previously inaccessible tetrafluorination of the bottom aryl ring of the Si-rhodamines resulted in dyes with improved visible absorbance in solution, and a convenient derivatization through fluoride-thiol substitution. This modular, divergent synthetic method will expand the palette of accessible xanthenoid dyes across the visible spectrum, thereby pushing further the frontiers of biological imaging. PMID:28979939
Jørgensen, Katarina M; Haddow, Pauline C
2011-08-01
Simulation tools are playing an increasingly important role behind advances in the field of systems biology. However, the current generation of biological science students has either little or no experience with such tools. As such, this educational glitch is limiting both the potential use of such tools as well as the potential for tighter cooperation between the designers and users. Although some simulation tool producers encourage their use in teaching, little attempt has hitherto been made to analyze and discuss their suitability as an educational tool for noncomputing science students. In general, today's simulation tools assume that the user has a stronger mathematical and computing background than that which is found in most biological science curricula, thus making the introduction of such tools a considerable pedagogical challenge. This paper provides an evaluation of the pedagogical attributes of existing simulation tools for cell signal transduction based on Cognitive Load theory. Further, design recommendations for an improved educational simulation tool are provided. The study is based on simulation tools for cell signal transduction. However, the discussions are relevant to a broader biological simulation tool set.
MacAlpine, Sara; Bobela, David C.; Kurtz, Sarah; ...
2017-10-01
This work examines a tandem module design with GaInP2 mechanically stacked on top of crystalline Si, using a detailed photovoltaic (PV) system model to simulate four-terminal (4T) unconstrained and two-terminal voltage-matched (2T VM) parallel architectures. Module-level power electronics is proposed for the 2T VM module design to enhance its performance over the breadth of temperatures experienced by a typical PV installation. Annual, hourly simulations of various scenarios indicate that this design can reduce annual energy losses to ~0.5% relative to the 4T module configuration. Consideration is given to both performance and practical design for building or ground mount installations, emphasizingmore » compatibility with existing standard Si modules.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
MacAlpine, Sara; Bobela, David C.; Kurtz, Sarah
This work examines a tandem module design with GaInP2 mechanically stacked on top of crystalline Si, using a detailed photovoltaic (PV) system model to simulate four-terminal (4T) unconstrained and two-terminal voltage-matched (2T VM) parallel architectures. Module-level power electronics is proposed for the 2T VM module design to enhance its performance over the breadth of temperatures experienced by a typical PV installation. Annual, hourly simulations of various scenarios indicate that this design can reduce annual energy losses to ~0.5% relative to the 4T module configuration. Consideration is given to both performance and practical design for building or ground mount installations, emphasizingmore » compatibility with existing standard Si modules.« less
Computational simulation of matrix micro-slip bands in SiC/Ti-15 composite
NASA Technical Reports Server (NTRS)
Mital, S. K.; Lee, H.-J.; Murthy, P. L. N.; Chamis, C. C.
1992-01-01
Computational simulation procedures are used to identify the key deformation mechanisms for (0)(sub 8) and (90)(sub 8) SiC/Ti-15 metal matrix composites. The computational simulation procedures employed consist of a three-dimensional finite-element analysis and a micromechanics based computer code METCAN. The interphase properties used in the analysis have been calibrated using the METCAN computer code with the (90)(sub 8) experimental stress-strain curve. Results of simulation show that although shear stresses are sufficiently high to cause the formation of some slip bands in the matrix concentrated mostly near the fibers, the nonlinearity in the composite stress-strain curve in the case of (90)(sub 8) composite is dominated by interfacial damage, such as microcracks and debonding rather than microplasticity. The stress-strain curve for (0)(sub 8) composite is largely controlled by the fibers and shows only slight nonlinearity at higher strain levels that could be the result of matrix microplasticity.
Strong mechanoluminescence of Zn2(Ge0.9Si0.1)O4:Mn with weak persistent luminescence
NASA Astrophysics Data System (ADS)
Zhao, Haifeng; Wang, Xusheng; Li, Jun; Li, Yanxia; Yao, Xi
2016-01-01
A novel elastic mechanoluminescence (EML) material Zn2(Ge0.9Si0.1)O4:Mn is reported to exhibit weak persistent luminescence (PL), a dynamic compressive load in the 300-2800 N range, and a nearly perfect linear response. The PL and EML spectra indicate that the EML and PL emissions originate from the 4T1 → 6A1 transition of Mn2+. The thermoluminescence properties reveal the existence of three types of traps. The shallowest trap responsible for a fast decay afterglow may contribute little to the EML. On the other hand, the other two, deeper, trap types, underlie EML.
Simulation of transmission electron microscope images of biological specimens.
Rullgård, H; Ofverstedt, L-G; Masich, S; Daneholt, B; Oktem, O
2011-09-01
We present a new approach to simulate electron cryo-microscope images of biological specimens. The framework for simulation consists of two parts; the first is a phantom generator that generates a model of a specimen suitable for simulation, the second is a transmission electron microscope simulator. The phantom generator calculates the scattering potential of an atomic structure in aqueous buffer and allows the user to define the distribution of molecules in the simulated image. The simulator includes a well defined electron-specimen interaction model based on the scalar Schrödinger equation, the contrast transfer function for optics, and a noise model that includes shot noise as well as detector noise including detector blurring. To enable optimal performance, the simulation framework also includes a calibration protocol for setting simulation parameters. To test the accuracy of the new framework for simulation, we compare simulated images to experimental images recorded of the Tobacco Mosaic Virus (TMV) in vitreous ice. The simulated and experimental images show good agreement with respect to contrast variations depending on dose and defocus. Furthermore, random fluctuations present in experimental and simulated images exhibit similar statistical properties. The simulator has been designed to provide a platform for development of new instrumentation and image processing procedures in single particle electron microscopy, two-dimensional crystallography and electron tomography with well documented protocols and an open source code into which new improvements and extensions are easily incorporated. © 2011 The Authors Journal of Microscopy © 2011 Royal Microscopical Society.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Parmar, Kusum, E-mail: prmrkusum@gmail.com; Sharma, Anshu; Sharma, Hakikat
2015-05-15
Lead free (Na{sub 0.5}Bi{sub 0.5}){sub 1-x}La{sub x}Ti{sub 0.988}Fe{sub 0.012}O{sub 3} ceramic having compositions (x=0, 0.01, 0.03, 0.05) has been prepared by sol gel method using citric acid. Structural analysis has been done by X-ray diffraction and FTIR measurements. XRD patterns have been confirmed perovskite structure for all samples. FTIR absorption band at around ∼630 cm{sup −1} is observed for all samples which confirm perovskite phase formation in samples. With increasing La concentration, shifting in XRD peaks and FTIR absorption bands is observed which suggests incorporation of La on A-site in prepared (Na{sub 0.5}Bi{sub 0.5}){sub 1-x}La{sub x}Ti{sub 0.988}Fe{sub 0.012}O{sub 3} samples. Effectmore » of La substitution on Ferroelectric (Polarization vs. Electric field) and Magnetic (Magnetization vs. Magnetic field) properties have been studied at room temperature. All samples exhibit weak ferromagnetic order and also possess ferroelectric behavior which provides new insight to lead free single phase multiferroic materials.« less
Magnetodielectric effect in (1 - x)(Ba0.88Ca0.12)(Ti0.88Zr0.12)O3 - xCoFe2O4
NASA Astrophysics Data System (ADS)
Pan, Pengfei; Tao, Jin; Ma, Fusheng; Zhang, Ning
2018-05-01
Magnetodielectric (MD) materials have attracted considerable attention due to their intriguing physics and potential future applications. In this work, polycrystalline (1 - x)(Ba0.88Ca0.12)(Ti0.88Zr0.12)O3 - xCoFe2O4 (x = 0.10, 0.20, 0.30, 0.40) ceramic have been prepared via sol-gel method. The room temperature magnetic and ferroelectric behaviors of the synthesized composites were investigated. For the composite with x = 0.40, a MD ratio of 5.37% was achieved under a magnetic field of 1.5 T at f = 1 kHz. The measured "butterfly hysteresis" MD curves exhibit an obvious dielectric anomaly. Theoretical analysis suggests that the observed magnetodielectric effect is attributed to the magnetoresistance effect and magnetoelectric coupling.
Al+Si Interface Optical Properties Obtained in the Si Solar Cell Configuration
Subedi, Indra; Silverman, Timothy J.; Deceglie, Michael G.; ...
2017-10-18
Al is a commonly used material for rear side metallization in commercial silicon (Si) wafer solar cells. In this study, through-the-silicon spectroscopic ellipsometry is used in a test sample to measure Al+Si interface optical properties like those in Si wafer solar cells. Two different spectroscopic ellipsometers are used for measurement of Al+Si interface optical properties over the 1128-2500 nm wavelength range. For validation, the measured interface optical properties are used in a ray tracing simulation over the 300-2500 nm wavelength range for an encapsulated Si solar cell having random pyramidal texture. The ray tracing model matches well with the measuredmore » total reflectance at normal incidence of a commercially available Si module. The Al+Si optical properties presented here enable quantitative assessment of major irradiance/current flux losses arising from reflection and parasitic absorption in encapsulated Si solar cells.« less
Al+Si Interface Optical Properties Obtained in the Si Solar Cell Configuration
DOE Office of Scientific and Technical Information (OSTI.GOV)
Subedi, Indra; Silverman, Timothy J.; Deceglie, Michael G.
Al is a commonly used material for rear side metallization in commercial silicon (Si) wafer solar cells. In this study, through-the-silicon spectroscopic ellipsometry is used in a test sample to measure Al+Si interface optical properties like those in Si wafer solar cells. Two different spectroscopic ellipsometers are used for measurement of Al+Si interface optical properties over the 1128-2500 nm wavelength range. For validation, the measured interface optical properties are used in a ray tracing simulation over the 300-2500 nm wavelength range for an encapsulated Si solar cell having random pyramidal texture. The ray tracing model matches well with the measuredmore » total reflectance at normal incidence of a commercially available Si module. The Al+Si optical properties presented here enable quantitative assessment of major irradiance/current flux losses arising from reflection and parasitic absorption in encapsulated Si solar cells.« less
Gao, Chengde; Wei, Pingpin; Feng, Pei; Xiao, Tao; Shuai, Cijun; Peng, Shuping
2015-03-25
Nano SiO2 and MgO particles were incorporated into β-tricalcium phosphate (β-TCP) scaffolds to improve the mechanical and biological properties. The porous cylindrical β-TCP scaffolds doped with 0.5 wt % SiO2, 1.0 wt % MgO, 0.5 wt % SiO2 + 1.0 wt % MgO were fabricated via selective laser sintering respectively and undoped β-TCP scaffold was also prepared as control. The phase composition and mechanical strength of the scaffolds were evaluated. X-ray diffraction analysis indicated that the phase transformation from β-TCP to α-TCP was inhibited after the addition of MgO. The compressive strength of scaffold was improved from 3.12 ± 0.36 MPa (β-TCP) to 5.74 ± 0.62 MPa (β-TCP/SiO2), 9.02 ± 0.55 MPa (β-TCP/MgO) and 10.43 ± 0.28 MPa (β-TCP/SiO2/MgO), respectively. The weight loss and apatite-forming ability of the scaffolds were evaluated by soaking them in simulated body fluid. The results demonstrated that both SiO2 and MgO dopings slowed down the degradation rate and improved the bioactivity of β-TCP scaffolds. In vitro cell culture studies indicated that SiO2 and MgO dopings facilitated cell attachment and proliferation. Combined addition of SiO2 and MgO were found optimal in enhancing both the mechanical and biological properties of β-TCP scaffold.
Gao, Chengde; Wei, Pingpin; Feng, Pei; Xiao, Tao; Shuai, Cijun; Peng, Shuping
2015-01-01
Nano SiO2 and MgO particles were incorporated into β-tricalcium phosphate (β-TCP) scaffolds to improve the mechanical and biological properties. The porous cylindrical β-TCP scaffolds doped with 0.5 wt % SiO2, 1.0 wt % MgO, 0.5 wt % SiO2 + 1.0 wt % MgO were fabricated via selective laser sintering respectively and undoped β-TCP scaffold was also prepared as control. The phase composition and mechanical strength of the scaffolds were evaluated. X-ray diffraction analysis indicated that the phase transformation from β-TCP to α-TCP was inhibited after the addition of MgO. The compressive strength of scaffold was improved from 3.12 ± 0.36 MPa (β-TCP) to 5.74 ± 0.62 MPa (β-TCP/SiO2), 9.02 ± 0.55 MPa (β-TCP/MgO) and 10.43 ± 0.28 MPa (β-TCP/SiO2/MgO), respectively. The weight loss and apatite-forming ability of the scaffolds were evaluated by soaking them in simulated body fluid. The results demonstrated that both SiO2 and MgO dopings slowed down the degradation rate and improved the bioactivity of β-TCP scaffolds. In vitro cell culture studies indicated that SiO2 and MgO dopings facilitated cell attachment and proliferation. Combined addition of SiO2 and MgO were found optimal in enhancing both the mechanical and biological properties of β-TCP scaffold. PMID:25815597
Mean field analysis of algorithms for scale-free networks in molecular biology
2017-01-01
The sampling of scale-free networks in Molecular Biology is usually achieved by growing networks from a seed using recursive algorithms with elementary moves which include the addition and deletion of nodes and bonds. These algorithms include the Barabási-Albert algorithm. Later algorithms, such as the Duplication-Divergence algorithm, the Solé algorithm and the iSite algorithm, were inspired by biological processes underlying the evolution of protein networks, and the networks they produce differ essentially from networks grown by the Barabási-Albert algorithm. In this paper the mean field analysis of these algorithms is reconsidered, and extended to variant and modified implementations of the algorithms. The degree sequences of scale-free networks decay according to a powerlaw distribution, namely P(k) ∼ k−γ, where γ is a scaling exponent. We derive mean field expressions for γ, and test these by numerical simulations. Generally, good agreement is obtained. We also found that some algorithms do not produce scale-free networks (for example some variant Barabási-Albert and Solé networks). PMID:29272285
Mean field analysis of algorithms for scale-free networks in molecular biology.
Konini, S; Janse van Rensburg, E J
2017-01-01
The sampling of scale-free networks in Molecular Biology is usually achieved by growing networks from a seed using recursive algorithms with elementary moves which include the addition and deletion of nodes and bonds. These algorithms include the Barabási-Albert algorithm. Later algorithms, such as the Duplication-Divergence algorithm, the Solé algorithm and the iSite algorithm, were inspired by biological processes underlying the evolution of protein networks, and the networks they produce differ essentially from networks grown by the Barabási-Albert algorithm. In this paper the mean field analysis of these algorithms is reconsidered, and extended to variant and modified implementations of the algorithms. The degree sequences of scale-free networks decay according to a powerlaw distribution, namely P(k) ∼ k-γ, where γ is a scaling exponent. We derive mean field expressions for γ, and test these by numerical simulations. Generally, good agreement is obtained. We also found that some algorithms do not produce scale-free networks (for example some variant Barabási-Albert and Solé networks).
CHARMM-GUI Membrane Builder toward realistic biological membrane simulations.
Wu, Emilia L; Cheng, Xi; Jo, Sunhwan; Rui, Huan; Song, Kevin C; Dávila-Contreras, Eder M; Qi, Yifei; Lee, Jumin; Monje-Galvan, Viviana; Venable, Richard M; Klauda, Jeffery B; Im, Wonpil
2014-10-15
CHARMM-GUI Membrane Builder, http://www.charmm-gui.org/input/membrane, is a web-based user interface designed to interactively build all-atom protein/membrane or membrane-only systems for molecular dynamics simulations through an automated optimized process. In this work, we describe the new features and major improvements in Membrane Builder that allow users to robustly build realistic biological membrane systems, including (1) addition of new lipid types, such as phosphoinositides, cardiolipin (CL), sphingolipids, bacterial lipids, and ergosterol, yielding more than 180 lipid types, (2) enhanced building procedure for lipid packing around protein, (3) reliable algorithm to detect lipid tail penetration to ring structures and protein surface, (4) distance-based algorithm for faster initial ion displacement, (5) CHARMM inputs for P21 image transformation, and (6) NAMD equilibration and production inputs. The robustness of these new features is illustrated by building and simulating a membrane model of the polar and septal regions of E. coli membrane, which contains five lipid types: CL lipids with two types of acyl chains and phosphatidylethanolamine lipids with three types of acyl chains. It is our hope that CHARMM-GUI Membrane Builder becomes a useful tool for simulation studies to better understand the structure and dynamics of proteins and lipids in realistic biological membrane environments. Copyright © 2014 Wiley Periodicals, Inc.
Modelling and simulation techniques for membrane biology.
Burrage, Kevin; Hancock, John; Leier, André; Nicolau, Dan V
2007-07-01
One of the most important aspects of Computational Cell Biology is the understanding of the complicated dynamical processes that take place on plasma membranes. These processes are often so complicated that purely temporal models cannot always adequately capture the dynamics. On the other hand, spatial models can have large computational overheads. In this article, we review some of these issues with respect to chemistry, membrane microdomains and anomalous diffusion and discuss how to select appropriate modelling and simulation paradigms based on some or all the following aspects: discrete, continuous, stochastic, delayed and complex spatial processes.
Subatomic electronic feature from dynamic motion of Si dimer defects in Bi nanolines on Si(001)
NASA Astrophysics Data System (ADS)
Kirkham, C. J.; Longobardi, M.; Köster, S. A.; Renner, Ch.; Bowler, D. R.
2017-08-01
Scanning tunneling microscopy (STM) reveals unusual sharp features in otherwise defect-free Bi nanolines self-assembled on Si(001). They appear as subatomic thin lines perpendicular to the Bi nanoline at positive biases and as atomic size beads at negative biases. Density functional theory (DFT) simulations show that these features can be attributed to buckled Si dimers substituting for Bi dimers in the nanoline, where the sharp feature is the counterintuitive signature of these dimers flipping during scanning. The perfect correspondence between the STM data and the DFT simulation demonstrated in this paper highlights the detailed understanding we have of the complex Bi-Si(001) Haiku system. This discovery has applications in the patterning of Si dangling bonds for nanoscale electronics.
Effects of Simulated Rare Earth Recycling Wastewaters on Biological Nitrification.
Fujita, Yoshiko; Barnes, Joni; Eslamimanesh, Ali; Lencka, Malgorzata M; Anderko, Andrzej; Riman, Richard E; Navrotsky, Alexandra
2015-08-18
Increasing rare earth element (REE) supplies by recycling and expanded ore processing will result in generation of new wastewaters. In some cases, disposal to a sewage treatment plant may be favored, but plant performance must be maintained. To assess the potential effects of such wastewaters on biological treatment, model nitrifying organisms Nitrosomonas europaea and Nitrobacter winogradskyi were exposed to simulated wastewaters containing varying levels of yttrium or europium (10, 50, and 100 ppm), and the extractant tributyl phosphate (TBP, at 0.1 g/L). Y and Eu additions at 50 and 100 ppm inhibited N. europaea, even when virtually all of the REE was insoluble. Provision of TBP with Eu increased N. europaea inhibition, although TBP alone did not substantially alter activity. For N. winogradskyi cultures, Eu or Y additions at all tested levels induced significant inhibition, and nitrification shut down completely with TBP addition. REE solubility was calculated using the previously developed MSE (Mixed-Solvent Electrolyte) thermodynamic model. The model calculations reveal a strong pH dependence of solubility, typically controlled by the precipitation of REE hydroxides but also likely affected by the formation of unknown phosphate phases, which determined aqueous concentrations experienced by the microorganisms.
Theory and simulation of photogeneration and transport in Si-SiOx superlattice absorbers
2011-01-01
Si-SiOx superlattices are among the candidates that have been proposed as high band gap absorber material in all-Si tandem solar cell devices. Owing to the large potential barriers for photoexited charge carriers, transport in these devices is restricted to quantum-confined superlattice states. As a consequence of the finite number of wells and large built-in fields, the electronic spectrum can deviate considerably from the minibands of a regular superlattice. In this article, a quantum-kinetic theory based on the non-equilibrium Green's function formalism for an effective mass Hamiltonian is used for investigating photogeneration and transport in such devices for arbitrary geometry and operating conditions. By including the coupling of electrons to both photons and phonons, the theory is able to provide a microscopic picture of indirect generation, carrier relaxation, and inter-well transport mechanisms beyond the ballistic regime. PMID:21711827
Finite element simulation of cutting grey iron HT250 by self-prepared Si3N4 ceramic insert
NASA Astrophysics Data System (ADS)
Wang, Bo; Wang, Li; Zhang, Enguang
2017-04-01
The finite element method has been able to simulate and solve practical machining problems, achieve the required accuracy and the highly reliability. In this paper, the simulation models based on the material properties of the self-prepared Si3N4 insert and HT250 were created. Using these models, the results of cutting force, cutting temperature and tool wear rate were obtained, and tool wear mode was predicted after cutting simulation. These approaches may develop as the new method for testing new cutting-tool materials, shortening development cycle and reducing the cost.
NASA Astrophysics Data System (ADS)
Fiameni, S.; Famengo, A.; Agresti, F.; Boldrini, S.; Battiston, S.; Saleemi, M.; Johnsson, M.; Toprak, M. S.; Fabrizio, M.
2014-06-01
Magnesium silicide (Mg2Si)-based alloys are promising candidates for thermoelectric (TE) energy conversion in the middle-high temperature range. The detrimental effect of the presence of MgO on the TE properties of Mg2Si based materials is widely known. For this reason, the conditions used for synthesis and sintering were optimized to limit oxygen contamination. The effect of Bi doping on the TE performance of dense Mg2Si materials was also investigated. Synthesis was performed by ball milling in an inert atmosphere starting from commercial Mg2Si powder and Bi powder. The samples were consolidated, by spark plasma sintering, to a density >95%. The morphology, and the composition and crystal structure of samples were characterized by field-emission scanning electronic microscopy and x-ray diffraction, respectively. Moreover, determination of Seebeck coefficients and measurement of electrical and thermal conductivity were performed for all the samples. Mg2Si with 0.1 mol% Bi doping had a ZT value of 0.81, indicative of the potential of this method for fabrication of n-type bulk material with good TE performance.
NASA Astrophysics Data System (ADS)
Briggs, P. J.; Walker, A. B.; Herbert, D. C.
1998-05-01
A one-dimensional self-consistent bipolar Monte Carlo simulation code has been used to model carrier mobilities in strained doped SiGe and the base-collector region of Si/SiGe/Si and SiC/Si heterojunction bipolar transistors (HBTs) with wide collectors, to study the variation of the cutoff frequency 0268-1242/13/5/005/img6 with collector current density 0268-1242/13/5/005/img7. Our results show that while the presence of strain enhances the electron mobility, the scattering from alloy disorder and from ionized impurities reduces the electron mobility so much that it is less than that of Si at the same doping level, leading to larger base transit times 0268-1242/13/5/005/img8 and hence poorer 0268-1242/13/5/005/img6 performance for large 0268-1242/13/5/005/img7 for an Si/SiGe/Si HBT than for an SiC/Si HBT. At high values of 0268-1242/13/5/005/img7, we demonstrate the formation of a parasitic electron barrier at the base-collector interface which causes a sharp increase in 0268-1242/13/5/005/img8 and hence a dramatic reduction in 0268-1242/13/5/005/img6. Based on a comparison of the height of this parasitic barrier with estimates from an analytical model, we suggest a physical mechanism for base pushout after barrier formation that differs somewhat from that given for the analytical model.
A kinetic model for the thermal nitridation of SiO2/Si
NASA Technical Reports Server (NTRS)
Vasquez, R. P.; Madhukar, A.
1986-01-01
To explain the observed nitrogen distributions in thermally nitridated SiO2 films, a kinetic model is proposed in which the nitridation process is simulated, using the first-order chemical kinetics and Arrhenius dependence of the diffusion and reaction rates on temperature. The calculations show that initially, as the substrate reacts with diffusing nitrogen, a nitrogen-rich oxynitride forms at the SiO2-Si interface, while at nitridation temperatures above 1000 C, an oxygen-rich oxynitride subsequently forms at the interface, due to reaction of the substrate with an increasingly concentrated oxygen displaced by the slower nitridation of the SiO2. This sequence of events results in a nitrogen distribution in which the peak of the interfacial nitrogen concentration occurs away from the interface. The results are compared with the observed nitrogen distribution. The calculated results have correctly predicted the positions of the interfacial nitrogen peaks at the temperatures of 800, 1000, and 1150 C. To account for the observed width of the interfacial nitrogen distribution, it was found necessary to include in the simulations the effect of interfacial strain.
RNA major groove modifications improve siRNA stability and biological activity
Terrazas, Montserrat; Kool, Eric T.
2009-01-01
RNA 5-methyl and 5-propynyl pyrimidine analogs were substituted into short interfering RNAs (siRNAs) to probe major groove steric effects in the active RNA-induced silencing complex (RISC). Synthetic RNA guide strands containing varied combinations of propynyl and methyl substitution revealed that all C-5 substitutions increased the thermal stability of siRNA duplexes containing them. Cellular gene suppression experiments using luciferase targets in HeLa cells showed that the bulky 5-propynyl modification was detrimental to RNA interference activity, despite its stabilization of the helix. Detrimental effects of this substitution were greatest at the 5′-half of the guide strand, suggesting close steric approach of proteins in the RISC complex with that end of the siRNA/mRNA duplex. However, substitutions with the smaller 5-methyl group resulted in gene silencing activities comparable to or better than that of wild-type siRNA. The major groove modifications also increased the serum stability of siRNAs. PMID:19042976
Processing and Structural Advantages of the Sylramic-iBN SiC Fiber for SiC/SiC Components
NASA Technical Reports Server (NTRS)
Yun, H. M.; Dicarlo, J. A.; Bhatt, R. T.; Hurst, J. B.
2008-01-01
The successful high-temperature application of complex-shaped SiC/SiC components will depend on achieving as high a fraction of the as-produced fiber strength as possible during component fabrication and service. Key issues center on a variety of component architecture, processing, and service-related factors that can reduce fiber strength, such as fiber-fiber abrasion during architecture shaping, surface chemical attack during interphase deposition and service, and intrinsic flaw growth during high-temperature matrix formation and composite creep. The objective of this paper is to show that the NASA-developed Sylramic-iBN SiC fiber minimizes many of these issues for state-of-the-art melt-infiltrated (MI) SiC/BN/SiC composites. To accomplish this, data from various mechanical tests are presented that compare how different high performance SiC fiber types retain strength during formation of complex architectures, during processing of BN interphases and MI matrices, and during simulated composite service at high temperatures.
Fractal propagation method enables realistic optical microscopy simulations in biological tissues
Glaser, Adam K.; Chen, Ye; Liu, Jonathan T.C.
2017-01-01
Current simulation methods for light transport in biological media have limited efficiency and realism when applied to three-dimensional microscopic light transport in biological tissues with refractive heterogeneities. We describe here a technique which combines a beam propagation method valid for modeling light transport in media with weak variations in refractive index, with a fractal model of refractive index turbulence. In contrast to standard simulation methods, this fractal propagation method (FPM) is able to accurately and efficiently simulate the diffraction effects of focused beams, as well as the microscopic heterogeneities present in tissue that result in scattering, refractive beam steering, and the aberration of beam foci. We validate the technique and the relationship between the FPM model parameters and conventional optical parameters used to describe tissues, and also demonstrate the method’s flexibility and robustness by examining the steering and distortion of Gaussian and Bessel beams in tissue with comparison to experimental data. We show that the FPM has utility for the accurate investigation and optimization of optical microscopy methods such as light-sheet, confocal, and nonlinear microscopy. PMID:28983499
Enhancing elastic stress relaxation in SiGe/Si heterostructures by Si pillar necking
NASA Astrophysics Data System (ADS)
Isa, F.; Salvalaglio, M.; Arroyo Rojas Dasilva, Y.; Jung, A.; Isella, G.; Erni, R.; Timotijevic, B.; Niedermann, P.; Gröning, P.; Montalenti, F.; von Känel, H.
2016-10-01
We demonstrate that the elastic stress relaxation mechanism in micrometre-sized, highly mismatched heterostructures may be enhanced by employing patterned substrates in the form of necked pillars, resulting in a significant reduction of the dislocation density. Compositionally graded Si1-xGex crystals were grown by low energy plasma enhanced chemical vapour deposition, resulting in tens of micrometres tall, three-dimensional heterostructures. The patterned Si(001) substrates consist of micrometre-sized Si pillars either with the vertical {110} or isotropically under-etched sidewalls resulting in narrow necks. The structural properties of these heterostructures were investigated by defect etching and transmission electron microscopy. We show that the dislocation density, and hence the competition between elastic and plastic stress relaxation, is highly influenced by the shape of the substrate necks and their proximity to the mismatched epitaxial material. The SiGe dislocation density increases monotonically with the crystal width but is significantly reduced by the substrate under-etching. The drop in dislocation density is interpreted as a direct effect of the enhanced compliance of the under-etched Si pillars, as confirmed by the three-dimensional finite element method simulations of the elastic energy distribution.
NASA Astrophysics Data System (ADS)
Trincă, Lucia Carmen; Fântânariu, Mircea; Solcan, Carmen; Trofin, Alina Elena; Burtan, Liviu; Acatrinei, Dumitru Mihai; Stanciu, Sergiu; Istrate, Bogdan; Munteanu, Corneliu
2015-10-01
Magnesium based alloys, especially Mg-Ca alloys, are biocompatible substrates with mechanical properties similar to those of bones. The biodegradable alloys of Mg-Ca provide sufficient mechanical strength in load carrying applications as opposed to biopolymers and also they avoid stress shielding and secondary surgery inherent with permanent metallic implant materials. The main issue facing a biodegradable Mg-Ca alloy is the fast degradation in the aggressive physiological environment of the body. The alloy's corrosion is proportional with the dissolution of the Mg in the body: the reaction with the water generates magnesium hydroxide and hydrogen. The accelerated corrosion will lead to early loss of the alloy's mechanical integrity. The degradation rate of an alloy can be improved mainly through tailoring the composition and by carrying out surface treatments. This research focuses on the ability to adjust degradation rate of Mg-Ca alloys by an original method and studies the biological activity of the resulted specimens. A new Mg-Ca alloy, with a Si gradient concentration from the surface to the interior of the material, was obtained. The surface morphology was investigated using scanning electron microscopy (VegaTescan LMH II, SE detector, 30 kV), X-ray diffraction (X'Pert equipment) and energy dispersive X-ray (Bruker EDS equipment). In vivo degradation behavior, biological compatibility and activity of Mg-Ca alloys with/without Si gradient concentration were studied with an implant model (subcutaneous and bony) in rats. The organism response to implants was characterized by using radiological (plain X-rays and computed tomography), biochemical and histological methods of investigation. The results sustained that Si gradient concentration can be used to control the rate of degradation of the Mg-Ca alloys for enhancing their biologic activity in order to facilitate bone tissue repair.
Eissing, Thomas; Kuepfer, Lars; Becker, Corina; Block, Michael; Coboeken, Katrin; Gaub, Thomas; Goerlitz, Linus; Jaeger, Juergen; Loosen, Roland; Ludewig, Bernd; Meyer, Michaela; Niederalt, Christoph; Sevestre, Michael; Siegmund, Hans-Ulrich; Solodenko, Juri; Thelen, Kirstin; Telle, Ulrich; Weiss, Wolfgang; Wendl, Thomas; Willmann, Stefan; Lippert, Joerg
2011-01-01
Today, in silico studies and trial simulations already complement experimental approaches in pharmaceutical R&D and have become indispensable tools for decision making and communication with regulatory agencies. While biology is multiscale by nature, project work, and software tools usually focus on isolated aspects of drug action, such as pharmacokinetics at the organism scale or pharmacodynamic interaction on the molecular level. We present a modeling and simulation software platform consisting of PK-Sim® and MoBi® capable of building and simulating models that integrate across biological scales. A prototypical multiscale model for the progression of a pancreatic tumor and its response to pharmacotherapy is constructed and virtual patients are treated with a prodrug activated by hepatic metabolization. Tumor growth is driven by signal transduction leading to cell cycle transition and proliferation. Free tumor concentrations of the active metabolite inhibit Raf kinase in the signaling cascade and thereby cell cycle progression. In a virtual clinical study, the individual therapeutic outcome of the chemotherapeutic intervention is simulated for a large population with heterogeneous genomic background. Thereby, the platform allows efficient model building and integration of biological knowledge and prior data from all biological scales. Experimental in vitro model systems can be linked with observations in animal experiments and clinical trials. The interplay between patients, diseases, and drugs and topics with high clinical relevance such as the role of pharmacogenomics, drug–drug, or drug–metabolite interactions can be addressed using this mechanistic, insight driven multiscale modeling approach. PMID:21483730
NASA Astrophysics Data System (ADS)
Weicht, J. A.; Hamelmann, F. U.; Behrens, G.
2016-02-01
Silicon-based thin film tandem solar cells consist of one amorphous (a-Si) and one microcrystalline (μc-Si) silicon solar cell. The Staebler - Wronski effect describes the light- induced degradation and temperature-dependent healing of defects of silicon-based solar thin film cells. The solar cell degradation depends strongly on operation temperature. Until now, only the light-induced degradation (LID) of the amorphous layer was examined in a-Si/μc-Si solar cells. The LID is also observed in pc-Si single function solar cells. In our work we show the influence of the light-induced degradation of the μc-Si layer on the diode equivalent circuit. The current-voltage-curves (I-V-curves) for the initial state of a-Si/pc-Si modules are measured. Afterwards the cells are degraded under controlled conditions at constant temperature and constant irradiation. At fixed times the modules are measured at standard test conditions (STC) (AM1.5, 25°C cell temperature, 1000 W/m2) for controlling the status of LID. After the degradation the modules are annealed at dark conditions for several hours at 120°C. After the annealing the dangling bonds in the amorphous layer are healed, while the degradation of the pc-Si is still present, because the healing of defects in pc-Si solar cells needs longer time or higher temperatures. The solar cells are measured again at STC. With this laboratory measured I-V-curves we are able to separate the values of the diode model: series Rs and parallel resistance Rp, saturation current Is and diode factor n.
The finite element simulation analysis research of 38CrSi cylindrical power spinning
NASA Astrophysics Data System (ADS)
Liang, Wei; Lv, Qiongying; Zhao, Yujuan; Lv, Yunxia
2018-01-01
In order to grope for the influence of the main cylindrical spinning process parameters on the spinning process, this paper combines with real tube power spinning process and uses ABAQUS finite element analysis software to simulate the tube power spinning process of 38CrSi steel materials, through the analysis of the stress, strain of the part forming process, analyzes the influence of the thickness reduction and the feed rate to the forming process, and analyzes the variation of the spinning force, finally determines the reasonable main spinning process parameters combination.
Elucidating the role of surface chemistry on cationic phosphorus dendrimer-siRNA complexation.
Deriu, Marco A; Tsapis, Nicolas; Noiray, Magali; Grasso, Gianvito; El Brahmi, Nabil; Mignani, Serge; Majoral, Jean-Pierre; Fattal, Elias; Danani, Andrea
2018-06-14
In the field of dendrimers targeting small interfering RNA (siRNA) delivery, dendrimer structural properties, such as the flexibility/rigidity ratio, play a crucial role in the efficiency of complexation. However, advances in organic chemistry have enabled the development of dendrimers that differ only by a single atom on their surface terminals. This is the case for cationic phosphorus dendrimers functionalized with either pyrrolidinium (DP) or morpholinium (DM) terminal groups. This small change was shown to strongly affect the dendrimer-siRNA complexation, leading to more efficient anti-inflammatory effects in the case of DP. Reasons for this different behavior can hardly be inferred only by biological in vitro and in vivo experiments due to the high number of variables and complexity of the investigated biological system. However, an understanding of how small chemical surface changes may completely modify the overall dendrimer-siRNA complexation is a significant breakthrough towards the design of efficient dendrimers for nucleic acid delivery. Herein, we present experimental and computational approaches based on isothermal titration calorimetry and molecular dynamics simulations to elucidate the molecular reasons behind different efficiencies and activities of DP and DM. Results of the present research highlight how chemical surface modifications may drive the overall dendrimer-siRNA affinity by influencing enthalpic and entropic contributions of binding free energy. Moreover, this study elucidates molecular reasons related to complexation stoichiometry that may be crucial in determining the dendrimer complexation efficiency.
NASA Technical Reports Server (NTRS)
Zhu, Dongming
2016-01-01
This presentation briefly reviews the SiC/SiC major environmental and environment-fatigue degradations encountered in simulated turbine combustion environments, and thus NASA environmental barrier coating system evolution for protecting the SiC/SiC Ceramic Matrix Composites for meeting the engine performance requirements. The presentation will review several generations of NASA EBC materials systems, EBC-CMC component system technologies for SiC/SiC ceramic matrix composite combustors and turbine airfoils, highlighting the temperature capability and durability improvements in simulated engine high heat flux, high pressure, high velocity, and with mechanical creep and fatigue loading conditions. This paper will also focus on the performance requirements and design considerations of environmental barrier coatings for next generation turbine engine applications. The current development emphasis is placed on advanced NASA candidate environmental barrier coating systems for SiC/SiC CMCs, their performance benefits and design limitations in long-term operation and combustion environments. The efforts have been also directed to developing prime-reliant, self-healing 2700F EBC bond coat; and high stability, lower thermal conductivity, and durable EBC top coats. Major technical barriers in developing environmental barrier coating systems, the coating integrations with next generation CMCs having the improved environmental stability, erosion-impact resistance, and long-term fatigue-environment system durability performance will be described. The research and development opportunities for turbine engine environmental barrier coating systems by utilizing improved compositions, state-of-the-art processing methods, and simulated environment testing and durability modeling will be briefly discussed.
NASA Technical Reports Server (NTRS)
Zhu, Dongming; Fox, Dennis S.; Ghosn, Louis J.; Harder, Bryan
2011-01-01
Environmental barrier coatings will play a crucial role in future advanced gas turbine engines because of their ability to significantly extend the temperature capability and stability of SiC/SiC ceramic matrix composite (CMC) engine components, thus improving the engine performance. In order to develop high performance, robust coating systems for engine components, appropriate test approaches simulating operating temperature gradient and stress environments for evaluating the critical coating properties must be established. In this paper, thermal gradient mechanical testing approaches for evaluating creep and fatigue behavior of environmental barrier coated SiC/SiC CMC systems will be described. The creep and fatigue behavior of Hafnia and ytterbium silicate environmental barrier coatings on SiC/SiC CMC systems will be reported in simulated environmental exposure conditions. The coating failure mechanisms will also be discussed under the heat flux and stress conditions.
STSE: Spatio-Temporal Simulation Environment Dedicated to Biology.
Stoma, Szymon; Fröhlich, Martina; Gerber, Susanne; Klipp, Edda
2011-04-28
Recently, the availability of high-resolution microscopy together with the advancements in the development of biomarkers as reporters of biomolecular interactions increased the importance of imaging methods in molecular cell biology. These techniques enable the investigation of cellular characteristics like volume, size and geometry as well as volume and geometry of intracellular compartments, and the amount of existing proteins in a spatially resolved manner. Such detailed investigations opened up many new areas of research in the study of spatial, complex and dynamic cellular systems. One of the crucial challenges for the study of such systems is the design of a well stuctured and optimized workflow to provide a systematic and efficient hypothesis verification. Computer Science can efficiently address this task by providing software that facilitates handling, analysis, and evaluation of biological data to the benefit of experimenters and modelers. The Spatio-Temporal Simulation Environment (STSE) is a set of open-source tools provided to conduct spatio-temporal simulations in discrete structures based on microscopy images. The framework contains modules to digitize, represent, analyze, and mathematically model spatial distributions of biochemical species. Graphical user interface (GUI) tools provided with the software enable meshing of the simulation space based on the Voronoi concept. In addition, it supports to automatically acquire spatial information to the mesh from the images based on pixel luminosity (e.g. corresponding to molecular levels from microscopy images). STSE is freely available either as a stand-alone version or included in the linux live distribution Systems Biology Operational Software (SB.OS) and can be downloaded from http://www.stse-software.org/. The Python source code as well as a comprehensive user manual and video tutorials are also offered to the research community. We discuss main concepts of the STSE design and workflow. We
NASA Astrophysics Data System (ADS)
Liu, D. R.; Mangelinck-Noël, N.; Gandin, Ch-A.; Zimmermann, G.; Sturz, L.; Nguyen Thi, H.; Billia, B.
2016-03-01
A two-dimensional multi-scale cellular automaton - finite element (CAFE) model is used to simulate grain structure evolution and microsegregation formation during solidification of refined Al-7wt%Si alloys under microgravity. The CAFE simulations are first qualitatively compared with the benchmark experimental data under microgravity. Qualitative agreement is obtained for the position of columnar to equiaxed transition (CET) and the CET transition mode (sharp or progressive). Further comparisons of the distributions of grain elongation factor and equivalent diameter are conducted and reveal a fair quantitative agreement.
NASA Astrophysics Data System (ADS)
Liu, C. L.; Chu, Paul K.; Yang, D. Z.
2007-04-01
Diamond-like carbon (DLC) coatings were produced with a Si/SiCx interlayer by a hybrid plasma immersion ion implantation and deposition process to improve the adhesion between the carbon layer and surgical NiTi alloy substrate. The structure, mechanical properties, corrosion resistance and biocompatibility of the coatings were evaluated in vitro by Raman spectroscopy, pin-on-disk tests, potentiodynamic polarization tests and simulated fluid immersion tests. The DLC coatings with a Si/SiCx interlayer of a suitable thickness have better adhesion, lower friction coefficients and enhanced corrosion resistance. In the simulated body fluid tests, the coatings exhibit effective corrosion protection and good biocompatibility as indicated by PC12 cell cultures. DLC films fabricated on a Si/SiCx interlayer have high potential as protective coatings for biomedical NiTi materials.
Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide
NASA Astrophysics Data System (ADS)
Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.
2013-09-01
Sponge-like Si nanostructures embedded in SiO2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations.
SEGR in SiO$${}_2$$ –Si$$_3$$ N$$_4$$ Stacks
DOE Office of Scientific and Technical Information (OSTI.GOV)
Javanainen, Arto; Ferlet-Cavrois, Veronique; Bosser, Alexandre
2014-04-17
This work presents experimental SEGR data for MOS-devices, where the gate dielectrics are are made of stacked SiO 2–Si 3N 4 structures. Also a semi-empirical model for predicting the critical gate voltage in these structures under heavy-ion exposure is proposed. Then statistical interrelationship between SEGR cross-section data and simulated energy deposition probabilities in thin dielectric layers is discussed.
NASA Technical Reports Server (NTRS)
Zhu, Dongming
2016-01-01
This presentation reviews NASA environmental barrier coating (EBC) system development programs and the coating materials evolutions for protecting the SiC/SiC Ceramic Matrix Composites in order to meet the next generation engine performance requirements. The presentation focuses on several generations of NASA EBC systems, EBC-CMC component system technologies for SiC/SiC ceramic matrix composite combustors and turbine airfoils, highlighting the temperature capability and durability improvements in simulated engine high heat flux, high pressure, high velocity, and with mechanical creep and fatigue loading conditions. The current EBC development emphasis is placed on advanced NASA 2700F candidate environmental barrier coating systems for SiC/SiC CMCs, their performance benefits and design limitations in long-term operation and combustion environments. Major technical barriers in developing environmental barrier coating systems, the coating integrations with next generation CMCs having the improved environmental stability, erosion-impact resistance, and long-term fatigue-environment system durability performance are described. The research and development opportunities for advanced turbine airfoil environmental barrier coating systems by utilizing improved compositions, state-of-the-art processing methods, and simulated environment testing and durability modeling are discussed.
Rogue taxa phenomenon: a biological companion to simulation analysis
Westover, Kristi M.; Rusinko, Joseph P.; Hoin, Jon; Neal, Matthew
2013-01-01
To provide a baseline biological comparison to simulation study predictions about the frequency of rogue taxa effects, we evaluated the frequency of a rogue taxa effect using viral data sets which differed in diversity. Using a quartet-tree framework, we measured the frequency of a rogue taxa effect in three data sets of increasing genetic variability (within viral serotype, between viral serotype, and between viral family) to test whether the rogue taxa was correlated with the mean sequence diversity of the respective data sets. We found a slight increase in the percentage of rogues as nucleotide diversity increased. Even though the number of rogues increased with diversity, the distribution of the types of rogues (friendly, crazy, or evil) did not depend on the diversity and in the case of the order-level data set the net rogue effect was slightly positive. This study, assessing frequency of the rogue taxa effect using biological data, indicated that simulation studies may over-predict the prevalence of the rogue taxa effect. Further investigations are necessary to understand which types of data sets are susceptible to a negative rogue effect and thus merit the removal of taxa from large phylogenetic reconstructions. PMID:23707704
DOE Office of Scientific and Technical Information (OSTI.GOV)
Valenciaga, Y; Prout, D; Chatziioannou, A
2015-06-15
Purpose: To examine the effect of different scintillator surface treatments (BGO crystals) on the fraction of scintillation photons that exit the crystal and reach the photodetector (SiPM). Methods: Positron Emission Tomography is based on the detection of light that exits scintillator crystals, after annihilation photons deposit energy inside these crystals. A considerable fraction of the scintillation light gets trapped or absorbed after going through multiple internal reflections on the interfaces surrounding the crystals. BGO scintillator crystals generate considerably less scintillation light than crystals made of LSO and its variants. Therefore, it is crucial that the small amount of light producedmore » by BGO exits towards the light detector. The surface treatment of scintillator crystals is among the factors affecting the ability of scintillation light to reach the detectors. In this study, we analyze the effect of different crystal surface treatments on the fraction of scintillation light that is detected by the solid state photodetector (SiPM), once energy is deposited inside a BGO crystal. Simulations were performed by a Monte Carlo based software named GATE, and validated by measurements from individual BGO crystals coupled to Philips digital-SiPM sensor (DPC-3200). Results: The results showed an increment in light collection of about 4 percent when only the exit face of the BGO crystal, is unpolished; compared to when all the faces are polished. However, leaving several faces unpolished caused a reduction of at least 10 percent of light output when the interaction occurs as far from the exit face of the crystal as possible compared to when it occurs very close to the exit face. Conclusion: This work demonstrates the advantages on light collection from leaving unpolished the exit face of BGO crystals. The configuration with best light output will be used to obtain flood images from BGO crystal arrays coupled to SiPM sensors.« less
2006-01-06
ISS012-E-14620 (6 Jan. 2006) --- A portion of Lake Puma Yumco and parts of Tibet and China are featured in this image photographed by an Expedition 12 crew member on the International Space Station. The alpine lakes of the Tibetan Plateau are some of the most remote in the world. This image depicts Lake Puma Yumco during the winter season. The lake is located at an elevation of 5,030 meters above sea level, and is considered ultraoligotrophic in that nutrient concentrations in both the water column and lake sediments are extremely low. Water in such lakes tends to be blue to blue-green and have high clarity due to the lack of abundant photosynthesizing organisms such as phytoplankton. The maximum dimensions of the lake are 31 kilometers by 14 kilometers, indicating that the ice blocks range from less than ten to hundreds of meters in diameter. According to scientists, the ice pattern is caused by repeated cycles of freezing, fracturing, and refreezing of the ice due to variations in temperature and wind-induced ice motion. A prominent white linear feature across the center of the lake (partially visible in upper left in this image) is suggestive of an ice ridge formed by east-west winds subsequently highlighted by snow.
2006-01-12
ISS012-E-15050 (12 Jan. 2006) --- Sandusky Bay is featured in this image photographed by an Expedition 12 crew member on the International Space Station. Sandusky Bay appears with brown muddy water (left) in this view. The bay leads out into southern Lake Erie (top). The small city of Sandusky occupies the northeastern shore of the bay (center of the image). Highway 6 can be seen arcing around the south side of the city. Ferries connect Sandusky to Pelee Island (not visible) in the middle of the lake. In earlier days, Sandusky Bay was known as one of the best protected port sites in the Great Lakes. The most striking aspect of this image is the flow lines of the brown water in and out of the mouth of the bay. Slight movement of lake surface water, driven mainly by wind, causes a small ebb and flow of bay water. Sediment-charged water is derived from agricultural fields along the Sandusky River upstream. Mud plumes in Lake Erie originate from prior pulses of muddy water from the bay. According to scientists studying the station photos, it appears that water was flowing into the bay when this image was taken. Sandusky lies midway between Toledo and Cleveland, both about an hours drive away.
Methods for improving simulations of biological systems: systemic computation and fractal proteins
Bentley, Peter J.
2009-01-01
Modelling and simulation are becoming essential for new fields such as synthetic biology. Perhaps the most important aspect of modelling is to follow a clear design methodology that will help to highlight unwanted deficiencies. The use of tools designed to aid the modelling process can be of benefit in many situations. In this paper, the modelling approach called systemic computation (SC) is introduced. SC is an interaction-based language, which enables individual-based expression and modelling of biological systems, and the interactions between them. SC permits a precise description of a hypothetical mechanism to be written using an intuitive graph-based or a calculus-based notation. The same description can then be directly run as a simulation, merging the hypothetical mechanism and the simulation into the same entity. However, even when using well-designed modelling tools to produce good models, the best model is not always the most accurate one. Frequently, computational constraints or lack of data make it infeasible to model an aspect of biology. Simplification may provide one way forward, but with inevitable consequences of decreased accuracy. Instead of attempting to replace an element with a simpler approximation, it is sometimes possible to substitute the element with a different but functionally similar component. In the second part of this paper, this modelling approach is described and its advantages are summarized using an exemplar: the fractal protein model. Finally, the paper ends with a discussion of good biological modelling practice by presenting lessons learned from the use of SC and the fractal protein model. PMID:19324681
Jiang, Ming; Xiao, Haiyan; Peng, Shuming; Yang, Guixia; Liu, Zijiang; Qiao, Liang; Zu, Xiaotao
2018-05-02
In this study, the low-energy radiation responses of Si, Ge, and Si/Ge superlattice are investigated by an ab initio molecular dynamics method and the origins of their different radiation behaviors are explored. It is found that the radiation resistance of the Ge atoms that are around the interface of Si/Ge superlattice is comparable to bulk Ge, whereas the Si atoms around the interface are more difficult to be displaced than the bulk Si, showing enhanced radiation tolerance as compared with the bulk Si. The mechanisms for defect generation in the bulk and superlattice structures show somewhat different character, and the associated defects in the superlattice are more complex. Defect formation and migration calculations show that in the superlattice structure, the point defects are more difficult to form and the vacancies are less mobile. The enhanced radiation tolerance of the Si/Ge superlattice will benefit for its applications as electronic and optoelectronic devices under radiation environment.
NASA Astrophysics Data System (ADS)
Jiang, Ming; Xiao, Haiyan; Peng, Shuming; Yang, Guixia; Liu, Zijiang; Qiao, Liang; Zu, Xiaotao
2018-05-01
In this study, the low-energy radiation responses of Si, Ge, and Si/Ge superlattice are investigated by an ab initio molecular dynamics method and the origins of their different radiation behaviors are explored. It is found that the radiation resistance of the Ge atoms that are around the interface of Si/Ge superlattice is comparable to bulk Ge, whereas the Si atoms around the interface are more difficult to be displaced than the bulk Si, showing enhanced radiation tolerance as compared with the bulk Si. The mechanisms for defect generation in the bulk and superlattice structures show somewhat different character, and the associated defects in the superlattice are more complex. Defect formation and migration calculations show that in the superlattice structure, the point defects are more difficult to form and the vacancies are less mobile. The enhanced radiation tolerance of the Si/Ge superlattice will benefit for its applications as electronic and optoelectronic devices under radiation environment.
46 CFR 161.012-13 - Production tests and inspections.
Code of Federal Regulations, 2010 CFR
2010-10-01
... ten lights from each lot of lights produced. Each lot must not exceed 1,000 lights. At least nine of the ten lights, when tested in accordance with the test described in § 161.012-11(c), must meet the... random sample of ten lights must be taken and tested. If less than nine of these lights meet the test...
Wu, Na; Zhang, Xinxin; Li, Feifei; Zhang, Tao; Gan, Yong; Li, Juan
2015-01-01
Vaginal small interfering RNA (siRNA) delivery provides a promising strategy for the prevention and treatment of vaginal diseases. However, the densely cross-linked mucus layer on the vaginal wall severely restricts nanoparticle-mediated siRNA delivery to the vaginal epithelium. In order to overcome this barrier and enhance vaginal mucus penetration, we prepared spray-dried powders containing siRNA-loaded nanoparticles. Powders with Pluronic F127 (F127), hydroxypropyl methyl cellulose (HPMC), and mannitol as carriers were obtained using an ultrasound-assisted spray-drying technique. Highly dispersed dry powders with diameters of 5-15 μm were produced. These powders showed effective siRNA protection and sustained release. The mucus-penetrating properties of the powders differed depending on their compositions. They exhibited different potential of opening mesh size of molecular sieve in simulated vaginal mucus system. A powder formulation with 0.6% F127 and 0.1% HPMC produced the maximum increase in the pore size of the model gel used to simulate vaginal mucus by rapidly extracting water from the gel and interacting with the gel; the resulting modulation of the molecular sieve effect achieved a 17.8-fold improvement of siRNA delivery in vaginal tract and effective siRNA delivery to the epithelium. This study suggests that powder formulations with optimized compositions have the potential to alter the steric barrier posed by mucus and hold promise for effective vaginal siRNA delivery.
Chang, Cheng-Nan; Cheng, Hong-Bang; Chao, Allen C
2004-03-15
In this paper, various forms of Nernst equations have been developed based on the real stoichiometric relationship of biological nitrification and denitrification reactions. Instead of using the Nernst equation based on a one-to-one stoichiometric relation for the oxidizing and the reducing species, the basic Nernst equation is modified into slightly different forms. Each is suitable for simulating the redox potential (ORP) variation of a specific biological nitrification or denitrification process. Using the data published in the literature, the validity of these developed Nernst equations has been verified by close fits of the measured ORP data with the calculated ORP curve. The simulation results also indicate that if the biological process is simulated using an incorrect form of Nernst equation, the calculated ORP curve will not fit the measured data. Using these Nernst equations, the ORP value that corresponds to a predetermined degree of completion for the biochemical reaction can be calculated. Thus, these Nernst equations will enable a more efficient on-line control of the biological process.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Senaratne, C. L.; Kouvetakis, J.; Gallagher, J. D.
Novel hydride chemistries are employed to deposit light-emitting Ge{sub 1-y}Sn{sub y} alloys with y ≤ 0.1 by Ultra-High Vacuum Chemical Vapor Deposition (UHV-CVD) on Ge-buffered Si wafers. The properties of the resultant materials are systematically compared with similar alloys grown directly on Si wafers. The fundamental difference between the two systems is a fivefold (and higher) decrease in lattice mismatch between film and virtual substrate, allowing direct integration of bulk-like crystals with planar surfaces and relatively low dislocation densities. For y ≤ 0.06, the CVD precursors used were digermane Ge₂H₆ and deuterated stannane SnD₄. For y ≥ 0.06, the Gemore » precursor was changed to trigermane Ge₃H₈, whose higher reactivity enabled the fabrication of supersaturated samples with the target film parameters. In all cases, the Ge wafers were produced using tetragermane Ge₄H₁₀ as the Ge source. The photoluminescence intensity from Ge{sub 1–y}Sn{sub y}/Ge films is expected to increase relative to Ge{sub 1–y}Sn{sub y}/Si due to the less defected interface with the virtual substrate. However, while Ge{sub 1–y}Sn{sub y}/Si films are largely relaxed, a significant amount of compressive strain may be present in the Ge{sub 1–y}Sn{sub y}/Ge case. This compressive strain can reduce the emission intensity by increasing the separation between the direct and indirect edges. In this context, it is shown here that the proposed CVD approach to Ge{sub 1–y}Sn{sub y}/Ge makes it possible to approach film thicknesses of about 1 μm, for which the strain is mostly relaxed and the photoluminescence intensity increases by one order of magnitude relative to Ge{sub 1–y}Sn{sub y}/Si films. The observed strain relaxation is shown to be consistent with predictions from strain-relaxation models first developed for the Si{sub 1–x}Ge{sub x}/Si system. The defect structure and atomic distributions in the films are studied in detail using advanced
NASA Astrophysics Data System (ADS)
Pourmajidian, Maedeh; McDermid, Joseph R.
2018-03-01
The present study investigates the selective oxidation of a 0.1C-6Mn-2Si medium-Mn advanced high-strength steel during austenization annealing heat treatments as a function of process atmosphere oxygen partial pressure and annealing time. It was determined that the surface oxide growth kinetics followed a parabolic rate law with the minimum rate belonging to the lowest oxygen partial pressure atmosphere at a dew point of 223 K (- 50 °C). The chemistry of the surface and subsurface oxides was studied using STEM + EELS on the sample cross sections, and it was found that the surface oxides formed under the 223 K (- 50 °C) dew-point atmosphere consisted of a layered configuration of SiO2, MnSiO3, and MnO, while in the case of the higher pO2 process atmospheres, only MnO was detected at the surface. Consistent with the Wagner calculations, it was shown that the transition to internal oxidation for Mn occurred under the 243 K (- 30 °C) and 278 K (+ 5 °C) dew-point atmospheres. However, the predictions of the external to internal oxidation for Si using the Wagner model did not correlate well with the experimental findings nor did the predictions of the Mataigne et al. model for multi-element alloys. Investigations of the internal oxide network at the grain boundaries revealed a multilayer oxide structure composed of amorphous SiO2 and crystalline MnSiO3, respectively, at the oxide core and outer shell. A mechanism for the formation of the oxide morphologies observed, based on kinetic and thermodynamic factors, was proposed. It is expected that only the fine and nodule-like MnO oxides formed on the surface of the samples annealed under the 278 K (+ 5 °C) dew-point process atmosphere for 60 and 120 seconds are sufficiently thin and of the desired dispersed morphology to promote reactive wetting by the molten galvanizing bath.
Viewing speech modulates activity in the left SI mouth cortex.
Möttönen, Riikka; Järveläinen, Juha; Sams, Mikko; Hari, Riitta
2005-02-01
The ability to internally simulate other persons' actions is important for social interaction. In monkeys, neurons in the premotor cortex are activated both when the monkey performs mouth or hand actions and when it views or listens to actions made by others. Neuronal circuits with similar "mirror-neuron" properties probably exist in the human Broca's area and primary motor cortex. Viewing other person's hand actions also modulates activity in the primary somatosensory cortex SI, suggesting that the SI cortex is related to the human mirror-neuron system. To study the selectivity of the SI activation during action viewing, we stimulated the lower lip (with tactile pulses) and the median nerves (with electric pulses) in eight subjects to activate their SI mouth and hand cortices while the subjects either rested, listened to other person's speech, viewed her articulatory gestures, or executed mouth movements. The 55-ms SI responses to lip stimuli were enhanced by 16% (P<0.01) in the left hemisphere during speech viewing whereas listening to speech did not modulate these responses. The 35-ms responses to median-nerve stimulation remained stable during speech viewing and listening. Own mouth movements suppressed responses to lip stimuli bilaterally by 74% (P<0.001), without any effect on responses to median-nerve stimuli. Our findings show that viewing another person's articulatory gestures activates the left SI cortex in a somatotopic manner. The results provide further evidence for the view that SI is involved in "mirroring" of other persons' actions.
[Non-biological 3D printed simulator for training in percutaneous nephro- lithotripsy].
Alyaev, Yu G; Sirota, E S; Bezrukov, E A; Ali, S Kh; Bukatov, M D; Letunovskiy, A V; Byadretdinov, I Sh
2018-03-01
To develop a non-biological 3D printed simulator for training and preoperative planning in percutaneous nephrolithotripsy (PCNL), which allows doctors to master and perform all stages of the operation under ultrasound and fluoroscopy guidance. The 3D model was constructed using multislice spiral computed tomography (MSCT) images of a patient with staghorn urolithiasis. The MSCT data were processed and used to print the model. The simulator consisted of two parts: a non-biological 3D printed soft model of a kidney with reproduced intra-renal vascular and collecting systems and a printed 3D model of a human body. Using this 3D printed simulator, PCNL was performed in the interventional radiology operating room under ultrasound and fluoroscopy guidance. The designed 3D printed model of the kidney completely reproduces the individual features of the intra-renal structures of the particular patient. During the training, all the main stages of PCNL were performed successfully: the puncture, dilation of the nephrostomy tract, endoscopic examination, intra-renal lithotripsy. Our proprietary 3D-printed simulator is a promising development in the field of endourologic training and preoperative planning in the treatment of complicated forms of urolithiasis.
Mudedla, Sathish Kumar; Azhagiya Singam, Ettayapuram Ramaprasad; Balamurugan, Kanagasabai; Subramanian, Venkatesan
2015-11-11
The complexation of small interfering RNA (siRNA) with positively charged gold nanoclusters has been studied in the present investigation with the help of classical molecular dynamics and steered molecular dynamics simulations accompanied by free energy calculations. The results show that gold nanoclusters form a stable complex with siRNA. The wrapping of siRNA around the gold nanocluster depends on the size and charge on the surface of the gold cluster. The binding pattern of the gold nanocluster with siRNA is also influenced by the presence of another cluster. The interaction between the positively charged amines in the gold nanocluster and the negatively charged phosphate group in the siRNA is responsible for the formation of complexes. The binding free energy value increases with the size of the gold cluster and the number of positive charges present on the surface of the gold nanocluster. The results reveal that the binding energy of small gold nanoclusters increases in the presence of another gold nanocluster while the binding of large gold nanoclusters decreases due to the introduction of another gold nanocluster. Overall, the findings have clearly demonstrated the effect of size and charge of gold nanoclusters on their interaction pattern with siRNA.
Experimental simulation of ranging action using Si photonic crystal modulator and optical antenna
NASA Astrophysics Data System (ADS)
Furukado, Yuya; Abe, Hiroshi; Hinakura, Yosuke; Baba, Toshihiko
2018-02-01
Time of flight LiDARs are used for auto-driving of vehicles, while FMCW LiDARs potentially achieve a higher sensitivity. In this study, we fabricated and tested each component of a FMCW LiDAR based on Si photonics and experimentally simulated the ranging action. Here, we drove a Si photonic crystal slow light modulator with linearly frequency-chirped signal in the frequency band of 500-1000 MHz and a repetition frequency of 100 kHz, to generate FM-signal light from a narrow-linewidth laser source. Next, we branched the signal light into two paths. One was inserted into a fiber delay line of 20-320 m and its output was irradiated to a photonic crystal slow beam steering device acting as an optical antenna via the free-space transmission. When the irradiation angle was optimized so that the antenna gain took maximum for a set laser wavelength, light was efficiently coupled into the antenna. We mixed the light output from the antenna with reference light of the other path with no delay, and detected it by balanced photodiodes. We observed a beat signal whose frequency well agreed with the theoretical value predicted from the length of the delay line. Thus, we succeeded in the experimental simulation of the FMCW LiDAR. We also observed a spectral sequence around the beat spectrum, in which the inter-frequency spacing equals the repetition frequency and corresponds to a range resolution of 30 cm which will be improved by expanding the modulation bandwidth.
Superconducting fluctuation effect in CaFe0.88Co0.12AsF
NASA Astrophysics Data System (ADS)
Xiao, H.; Gao, B.; Ma, Y. H.; Li, X. J.; Mu, G.; Hu, T.
2016-11-01
Out-of-plane angular dependent torque measurements were performed on CaFe0.88Co0.12AsF single crystals. Superconducting fluctuations, featured by magnetic field enhanced and exponential temperature dependent diamagnetism, are observed above the superconducting transition temperature T c, which is similar to that of cuprate superconductors, but less pronounced. In addition, the ratio of T c versus superfluid density follows well the Uemura line of high-T c cuprates, which suggests the exotic nature of the superconductivity in CaFe0.88Co0.12AsF.
NASA Astrophysics Data System (ADS)
Wang, Tao; Hu, Mingshan; Yang, Bin; Wang, Xiaolin; Liu, Jingquan
2018-03-01
Porous nC-Si/SiOx photoluminescent nanostructured layer is fabricated by direct, precursor-free microplasma irradiation on Si substrate in air. It is confirmed that the deposited layer has porous and cluster-like structures by scanning electron microscopy (SEM) and profile scanning. Fourier transform infrared transmission (FTIR), X-ray diffraction (XRD) and X-ray photoelectron spectrum (XPS) results indicate the produced layer is actually composed of nanocrystalline silicon (nC-Si) embedded in SiOx matrix. Transmission electron microscopy (TEM) and Raman results show the mean particle size of nC-Si is mainly between 2 and 4 nm and the highest crystalline volume fraction reaches 86.9%. The photoluminescence (PL) measurement of nC-Si/SiOx layer exhibited a broad band centered at 1.7-1.9 eV, ranging from 1.2-2.4 eV, and could be tuned by varying the applied voltage. The synthetical mechanisms are discussed to explain the PL properties of the layers. We propose that the energetic ions bombing induced by high compressed electric field near the Si surface is the main reason for porous nC-Si/SiOx formation. Maskless deposition of the line pattern of nC-Si/SiOx layer was also successfully fabricated. This simple, maskless, vacuum-free and precursor-free technique could be used in various potential optoelectronics and biological applications in the future.
Hou, Liyuan; Yang, Jucai; Liu, Yuming
2017-04-01
The structures and properties of Ho-doped Si clusters, including their adiabatic electron affinities (AEAs), simulated photoelectron spectra (PESs), stabilities, magnetic moments, and charge-transfer characteristics, were systematically investigated using four density-functional methods. The results show that the double-hybrid functional (which includes an MP2 correlation component) can accurately predict the ground-state structure and properties of Ho-doped Si clusters. The ground-state structures of HoSi n (n = 3-9) are sextuplet electronic states. The structures of these Ho-doped Si clusters (aside from HoSi 7 ) are substitutional. The ground-state structures of HoSi n - are quintuplet electronic states. Their predicted AEAs are in excellent agreement with the experimental ones. The mean absolute error in the theoretical AEAs of HoSi n (n = 4-9) is only 0.04 eV. The simulated PESs for HoSi n - (n = 5-9) are in good agreement with the experimental PESs. Based on its simulated PES and theoretical AEA, we reassigned the experimental PES of HoSi 4 - and obtained an experimental AEA of 2.2 ± 0.1 eV. The dissociation energies of Ho from HoSi n and HoSi n - (n = 3-9) were evaluated to test the relative stabilities of the clusters. HOMO-LUMO gap analysis indicated that doping the Si clusters with the rare-earth metal atom significantly increases their photochemical reactivity. Natural population analysis showed that the magnetic moments of HoSi n (n = 3-9) and their anions derive mainly from the Ho atom. It was also found that the magnetic moments of Ho in the HoSi n clusters are larger than the magnetic moment of an isolated Ho atom.
Paralinear Oxidation of CVD SiC in Simulated Fuel-Rich Combustion
NASA Technical Reports Server (NTRS)
Fox, Dennis S.; Opila, Elizabeth J.; Hann, Raiford E.
2000-01-01
The oxidation kinetics of CVD SiC were measured by thermogravimetric analysis (TGA) in a 4H2 (central dot) 12H2O (central dot) 10CO (central dot) 7CO2 (central dot) 67N2 gas mixture flowing at 0.44 cm/s at temperatures between 1300 and 1450 C in fused quartz furnace tubes at I atm total pressure. The SiC was oxidized to form solid SiO2. At less than or = 1350 C, the SiO2 was in turn volatilized. Volatilization kinetics were consistent with the thermodynamic predictions based on SiO formation. These two simultaneous reactions resulted in overall paralinear kinetics. A curve fitting technique was used to determine the linear and parabolic rate constants from the paralinear kinetic data. Volatilization of the protective SiO2 scale resulted in accelerated consumption of SiC. Recession rates under conditions more representative of actual combustors were estimated from the furnace data.
Rogue taxa phenomenon: a biological companion to simulation analysis.
Westover, Kristi M; Rusinko, Joseph P; Hoin, Jon; Neal, Matthew
2013-10-01
To provide a baseline biological comparison to simulation study predictions about the frequency of rogue taxa effects, we evaluated the frequency of a rogue taxa effect using viral data sets which differed in diversity. Using a quartet-tree framework, we measured the frequency of a rogue taxa effect in three data sets of increasing genetic variability (within viral serotype, between viral serotype, and between viral family) to test whether the rogue taxa was correlated with the mean sequence diversity of the respective data sets. We found a slight increase in the percentage of rogues as nucleotide diversity increased. Even though the number of rogues increased with diversity, the distribution of the types of rogues (friendly, crazy, or evil) did not depend on the diversity and in the case of the order-level data set the net rogue effect was slightly positive. This study, assessing frequency of the rogue taxa effect using biological data, indicated that simulation studies may over-predict the prevalence of the rogue taxa effect. Further investigations are necessary to understand which types of data sets are susceptible to a negative rogue effect and thus merit the removal of taxa from large phylogenetic reconstructions. Copyright © 2013 Elsevier Inc. All rights reserved.
2006-01-06
ISS012-E-14621 (6 Jan. 2006) --- A portion of Lake Puma Yumco and parts of Tibet and China are featured in this image photographed by an Expedition 12 crew member on the International Space Station. The alpine lakes of the Tibetan Plateau are some of the most remote in the world. This image depicts Lake Puma Yumco during the winter season. The lake is located at an elevation of 5,030 meters above sea level, and is considered ultraoligotrophic in that nutrient concentrations in both the water column and lake sediments are extremely low. Water in such lakes tends to be blue to blue-green and have high clarity due to the lack of abundant photosynthesizing organisms such as phytoplankton. Water color change in these lakes is usually due to shallowing of the water blue indicating deeper water. The maximum dimensions of the lake are 31 kilometers by 14 kilometers, indicating that the ice blocks range from less than ten to hundreds of meters in diameter. According to scientists, the ice pattern is caused by repeated cycles of freezing, fracturing, and refreezing of the ice due to variations in temperature and wind-induced ice motion. A prominent white linear feature across the center of the lake (not visible in this image) is suggestive of an ice ridge formed by east-west winds subsequently highlighted by snow.
Surface Dangling-Bond States and Band Lineups in Hydrogen-Terminated Si, Ge, and Ge/Si Nanowires
NASA Astrophysics Data System (ADS)
Kagimura, R.; Nunes, R. W.; Chacham, H.
2007-01-01
We report an ab initio study of the electronic properties of surface dangling-bond (SDB) states in hydrogen-terminated Si and Ge nanowires with diameters between 1 and 2 nm, Ge/Si nanowire heterostructures, and Si and Ge (111) surfaces. We find that the charge transition levels ɛ(+/-) of SDB states behave as a common energy reference among Si and Ge wires and Si/Ge heterostructures, at 4.3±0.1eV below the vacuum level. Calculations of ɛ(+/-) for isolated atoms indicate that this nearly constant value is a periodic-table atomic property.
Utilization of a terrestrial cyanobacterium, Nostoc sp. HK-01, for space habitation
NASA Astrophysics Data System (ADS)
Kimura, Shunta; Tomita-Yokotani, Kaori; Arai, Mayumi; Yamashita, Masamichi; Katoh, Hiroshi; Ajioka, Reiko; Inoue, Kotomi
2016-07-01
A terrestrial cyanobacterium, Nostoc sp. HK-01 (hereafter HK-01), has several useful abilities for space habitation; photosynthesis, nitrogen fixation, and space environmental tolerances to vacuum, UV, gamma-ray, heavy particle beam, low and high temperature. Space environmental tolerances are important for transportation to Mars. HK-01 can grow on Martian regolith simulant (MRS) in vitro. Furthermore, HK-01 is useful as food. HK-01 may be utilized as oxygen supply, soil formation and food material for bio-chemical circulation in closed bio-ecosystems, including space habitation such as Mars. HK-01 was adopted as a biological material for the "TANPOPO" mission (JAXA et al.,), because of their high environmental tolerances. The "TANPOPO" mission is performing the space exposure experiments on the Japan Experimental Module (JEM) of the International Space Station (ISS). The results of these experiments will show the ability of HK-01 to survive in space.
A framework for stochastic simulations and visualization of biological electron-transfer dynamics
NASA Astrophysics Data System (ADS)
Nakano, C. Masato; Byun, Hye Suk; Ma, Heng; Wei, Tao; El-Naggar, Mohamed Y.
2015-08-01
Electron transfer (ET) dictates a wide variety of energy-conversion processes in biological systems. Visualizing ET dynamics could provide key insight into understanding and possibly controlling these processes. We present a computational framework named VizBET to visualize biological ET dynamics, using an outer-membrane Mtr-Omc cytochrome complex in Shewanella oneidensis MR-1 as an example. Starting from X-ray crystal structures of the constituent cytochromes, molecular dynamics simulations are combined with homology modeling, protein docking, and binding free energy computations to sample the configuration of the complex as well as the change of the free energy associated with ET. This information, along with quantum-mechanical calculations of the electronic coupling, provides inputs to kinetic Monte Carlo (KMC) simulations of ET dynamics in a network of heme groups within the complex. Visualization of the KMC simulation results has been implemented as a plugin to the Visual Molecular Dynamics (VMD) software. VizBET has been used to reveal the nature of ET dynamics associated with novel nonequilibrium phase transitions in a candidate configuration of the Mtr-Omc complex due to electron-electron interactions.
Performance and Durability of Environmental Barrier Coatings on SiC/SiC Ceramic Matrix Composites
NASA Technical Reports Server (NTRS)
Zhu, Dongming; Harder, Bryan; Bhatt, Ramakrishna
2016-01-01
This presentation highlights advanced environmental barrier coating (EBC) and SiC-SiC Ceramic Matrix Composites (CMC) systems for next generation turbine engines. The emphasis will be placed on fundamental coating and CMC property evaluations; and the integrated system performance and degradation mechanisms in simulated laboratory turbine engine testing environments. Long term durability tests in laser rig simulated high heat flux the rmomechanical creep and fatigue loading conditions will also be presented. The results can help improve the future EBC-CMC system designs, validating the advanced EBC-CMC technologies for hot section turbine engine applications.
Wu, Xiaoyu; Chang, Hector; Mello, Charlene; Nagarajan, Ramanathan; Narsimhan, Ganesan
2013-01-28
Explicit solvent molecular dynamics (MD) simulation was carried out for the antimicrobial peptides (i) Cecropin P1 and C-terminus cysteine modified Cecropin P1 (Cecropin P1 C) in solution, (ii) Cecropin P1 and Cecropin P1 C adsorbed onto coesite -Si - O - and Si - O - H surfaces, and (iii) Cecropin P1 C tethered to coesite -Si - O - surface with either (PEO)(3) or (PEO)(6) linker. Low energy structures for Cecropin P1 and Cecropin P1 C in solution consists of two regions of high α helix probability with a sharp bend, consistent with the available structures of other antimicrobial peptides. The structure of Cecropin P1 C at low ionic strength of 0.02 M exhibits two regions of high α helix probability (residues AKKLEN and EGI) whereas at higher ionic strength of 0.12 M, the molecule was more compact and had three regions of higher α helix probability (residues TAKKLENSA, ISE, and AIQG) with an increase in α helical content from 15.6% to 18.7% as a result of shielding of electrostatic interactions. In the presence of Cecropin P1 C in the vicinity of -Si - O - surface, there is a shift in the location of two peaks in H - O - H density profile to larger distances (2.95 Å and 7.38 Å compared to 2.82 Å and 4.88 Å in the absence of peptide) with attenuated peak intensity. This attenuation is found to be more pronounced for the first peak. H-bond density profile in the vicinity of -Si - O - surface exhibited a single peak in the presence of Cecropin P1 C (at 2.9 Å) which was only slightly different from the profile in the absence of polypeptide (2.82 Å) thus indicating that Cecropin P1 C is not able to break the H-bond formed by the silica surface. The α helix probability for different residues of adsorbed Cecropin P1 C on -Si - O - surface is not significantly different from that of Cecropin P1 C in solution at low ionic strength of 0.02 M whereas there is a decrease in the probability in the second (residues ISE) and third (residues AIQG) α helical regions at
NASA Astrophysics Data System (ADS)
Wu, Xiaoyu; Chang, Hector; Mello, Charlene; Nagarajan, Ramanathan; Narsimhan, Ganesan
2013-01-01
Explicit solvent molecular dynamics (MD) simulation was carried out for the antimicrobial peptides (i) Cecropin P1 and C-terminus cysteine modified Cecropin P1 (Cecropin P1 C) in solution, (ii) Cecropin P1 and Cecropin P1 C adsorbed onto coesite -Si - O - and Si - O - H surfaces, and (iii) Cecropin P1 C tethered to coesite -Si - O - surface with either (PEO)3 or (PEO)6 linker. Low energy structures for Cecropin P1 and Cecropin P1 C in solution consists of two regions of high α helix probability with a sharp bend, consistent with the available structures of other antimicrobial peptides. The structure of Cecropin P1 C at low ionic strength of 0.02 M exhibits two regions of high α helix probability (residues AKKLEN and EGI) whereas at higher ionic strength of 0.12 M, the molecule was more compact and had three regions of higher α helix probability (residues TAKKLENSA, ISE, and AIQG) with an increase in α helical content from 15.6% to 18.7% as a result of shielding of electrostatic interactions. In the presence of Cecropin P1 C in the vicinity of -Si - O - surface, there is a shift in the location of two peaks in H - O - H density profile to larger distances (2.95 Å and 7.38 Å compared to 2.82 Å and 4.88 Å in the absence of peptide) with attenuated peak intensity. This attenuation is found to be more pronounced for the first peak. H-bond density profile in the vicinity of -Si - O - surface exhibited a single peak in the presence of Cecropin P1 C (at 2.9 Å) which was only slightly different from the profile in the absence of polypeptide (2.82 Å) thus indicating that Cecropin P1 C is not able to break the H-bond formed by the silica surface. The α helix probability for different residues of adsorbed Cecropin P1 C on -Si - O - surface is not significantly different from that of Cecropin P1 C in solution at low ionic strength of 0.02 M whereas there is a decrease in the probability in the second (residues ISE) and third (residues AIQG) α helical regions at
Nanotechnology-Based Strategies for siRNA Brain Delivery for Disease Therapy.
Zheng, Meng; Tao, Wei; Zou, Yan; Farokhzad, Omid C; Shi, Bingyang
2018-05-01
Small interfering RNA (siRNA)-based gene silencing technology has demonstrated significant potential for treating brain-associated diseases. However, effective and safe systemic delivery of siRNA into the brain remains challenging because of biological barriers such as enzymatic degradation, short circulation lifetime, the blood-brain barrier (BBB), insufficient tissue penetration, cell endocytosis, and cytosolic transport. Nanotechnology offers intriguing potential for addressing these challenges in siRNA brain delivery in conjunction with chemical and biological modification strategies. In this review, we outline the challenges of systemic delivery of siRNA-based therapy for brain diseases, highlight recent advances in the development and engineering of siRNA nanomedicines for various brain diseases, and discuss our perspectives on this exciting research field for siRNA-based therapy towards more effective brain disease therapy. Copyright © 2018 Elsevier Ltd. All rights reserved.
Dong, F.; Yue, G. Q.; Ames Lab. and Iowa State Univ., Ames, IA; ...
2017-03-24
First-principles molecular dynamic (MD) simulation and X-ray diffraction were employed to study the local structures of Pd–Si liquid at the eutectic composition (Pd 82Si 18). Here, a strong repulsion is found between Si atoms, and Si atoms prefer to be evenly distributed in the liquid. The dominate local structures around Si atoms are found to be with of a trigonal prism capped by three half-octahedra and an archimedean anti-prism. The populations of these clusters increase significantly upon cooling, and may play an important role in the formation of Pd 82Si 18 alloy glass.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dong, F.; Yue, G. Q.; Ames Lab. and Iowa State Univ., Ames, IA
First-principles molecular dynamic (MD) simulation and X-ray diffraction were employed to study the local structures of Pd–Si liquid at the eutectic composition (Pd 82Si 18). Here, a strong repulsion is found between Si atoms, and Si atoms prefer to be evenly distributed in the liquid. The dominate local structures around Si atoms are found to be with of a trigonal prism capped by three half-octahedra and an archimedean anti-prism. The populations of these clusters increase significantly upon cooling, and may play an important role in the formation of Pd 82Si 18 alloy glass.
NASA Technical Reports Server (NTRS)
Pujar, Vijay V.; Cawley, James D.; Levine, S. (Technical Monitor)
2000-01-01
Earlier results from computer simulation studies suggest a correlation between the spatial distribution of stacking errors in the Beta-SiC structure and features observed in X-ray diffraction patterns of the material. Reported here are experimental results obtained from two types of nominally Beta-SiC specimens, which yield distinct XRD data. These samples were analyzed using high resolution transmission electron microscopy (HRTEM) and the stacking error distribution was directly determined. The HRTEM results compare well to those deduced by matching the XRD data with simulated spectra, confirming the hypothesis that the XRD data is indicative not only of the presence and density of stacking errors, but also that it can yield information regarding their distribution. In addition, the stacking error population in both specimens is related to their synthesis conditions and it appears that it is similar to the relation developed by others to explain the formation of the corresponding polytypes.
SiC JFET Transistor Circuit Model for Extreme Temperature Range
NASA Technical Reports Server (NTRS)
Neudeck, Philip G.
2008-01-01
A technique for simulating extreme-temperature operation of integrated circuits that incorporate silicon carbide (SiC) junction field-effect transistors (JFETs) has been developed. The technique involves modification of NGSPICE, which is an open-source version of the popular Simulation Program with Integrated Circuit Emphasis (SPICE) general-purpose analog-integrated-circuit-simulating software. NGSPICE in its unmodified form is used for simulating and designing circuits made from silicon-based transistors that operate at or near room temperature. Two rapid modifications of NGSPICE source code enable SiC JFETs to be simulated to 500 C using the well-known Level 1 model for silicon metal oxide semiconductor field-effect transistors (MOSFETs). First, the default value of the MOSFET surface potential must be changed. In the unmodified source code, this parameter has a value of 0.6, which corresponds to slightly more than half the bandgap of silicon. In NGSPICE modified to simulate SiC JFETs, this parameter is changed to a value of 1.6, corresponding to slightly more than half the bandgap of SiC. The second modification consists of changing the temperature dependence of MOSFET transconductance and saturation parameters. The unmodified NGSPICE source code implements a T(sup -1.5) temperature dependence for these parameters. In order to mimic the temperature behavior of experimental SiC JFETs, a T(sup -1.3) temperature dependence must be implemented in the NGSPICE source code. Following these two simple modifications, the Level 1 MOSFET model of the NGSPICE circuit simulation program reasonably approximates the measured high-temperature behavior of experimental SiC JFETs properly operated with zero or reverse bias applied to the gate terminal. Modification of additional silicon parameters in the NGSPICE source code was not necessary to model experimental SiC JFET current-voltage performance across the entire temperature range from 25 to 500 C.
NASA Astrophysics Data System (ADS)
Ohta, Hiromichi; Watanabe, Takanobu; Ohdomari, Iwao
2008-10-01
Potential energy distribution of interstitial O2 molecule in the vicinity of SiO2/Si(001) interface is investigated by means of classical molecular simulation. A 4-nm-thick SiO2 film model is built by oxidizing a Si(001) substrate, and the potential energy of an O2 molecule is calculated at Cartesian grid points with an interval of 0.05 nm in the SiO2 film region. The result shows that the potential energy of the interstitial site gradually rises with approaching the interface. The potential gradient is localized in the region within about 1 nm from the interface, which coincides with the experimental thickness of the interfacial strained layer. The potential energy is increased by about 0.62 eV at the SiO2/Si interface. The result agrees with a recently proposed kinetic model for dry oxidation of silicon [Phys. Rev. Lett. 96, 196102 (2006)], which argues that the oxidation rate is fully limited by the oxidant diffusion.
Surface reactivity and hydroxyapatite formation on Ca5MgSi3O12 ceramics in simulated body fluid
NASA Astrophysics Data System (ADS)
Xu, Jian; Wang, Yaorong; Huang, Yanlin; Cheng, Han; Seo, Hyo Jin
2017-11-01
In this work, the new calcium-magnesium-silicate Ca5MgSi3O12 ceramic was made via traditional solid-state reaction. The bioactivities were investigated by immerging the as-made ceramics in simulated body fluid (SBF) for different time at body temperature (37 °C). Then the samples were taken to measure X-ray powder diffraction (XRD), Scanning electron microscopy (SEM), X-ray energy-dispersive spectra (EDS), and Fourier transform infrared spectroscopy (FT-IR) measurements. The bone-like hydroxyapatite nanoparticles formation was observed on the ceramic surfaces after the immersion in SBF solutions. Ca5MgSi3O12 ceramics possess the Young's modulus and the bending strength and of 96.3 ± 1.2 GPa and 98.7 ± 2.3 MPa, respectively. The data suggest that Ca5MgSi3O12 ceramics can quickly induce HA new layers after soaking in SBF. Ca5MgSi3O12 ceramics are potential to be used as biomaterials for bone-tissue repair. The cell adherence and proliferation experiments are conducted confirming the reliability of the ceramics as a potential candidate.
A Two-Zone Multigrid Model for SI Engine Combustion Simulation Using Detailed Chemistry
Ge, Hai-Wen; Juneja, Harmit; Shi, Yu; ...
2010-01-01
An efficient multigrid (MG) model was implemented for spark-ignited (SI) engine combustion modeling using detailed chemistry. The model is designed to be coupled with a level-set-G-equation model for flame propagation (GAMUT combustion model) for highly efficient engine simulation. The model was explored for a gasoline direct-injection SI engine with knocking combustion. The numerical results using the MG model were compared with the results of the original GAMUT combustion model. A simpler one-zone MG model was found to be unable to reproduce the results of the original GAMUT model. However, a two-zone MG model, which treats the burned and unburned regionsmore » separately, was found to provide much better accuracy and efficiency than the one-zone MG model. Without loss in accuracy, an order of magnitude speedup was achieved in terms of CPU and wall times. To reproduce the results of the original GAMUT combustion model, either a low searching level or a procedure to exclude high-temperature computational cells from the grouping should be applied to the unburned region, which was found to be more sensitive to the combustion model details.« less
The analysis of transient noise of PCB P/G network based on PI/SI co-simulation
NASA Astrophysics Data System (ADS)
Haohang, Su
2018-02-01
With the frequency of the space camera become higher than before, the power noise of the imaging electronic system become the important factor. Much more power noise would disturb the transmissions signal, and even influence the image sharpness and system noise. "Target impedance method" is one of the traditional design method of P/G network (power and ground network), which is shorted of transient power noise analysis and often made "over design". In this paper, a new design method of P/G network is provided which simulated by PI/SI co-simulation. The transient power noise can be simulated and then applied in the design of noise reduction, thus effectively controlling the change of the noise in the P/G network. The method can efficiently control the number of adding decoupling capacitor, and is very efficient and feasible to keep the power integrity.
Nagasaki, Masao; Doi, Atsushi; Matsuno, Hiroshi; Miyano, Satoru
2004-01-01
The research on modeling and simulation of complex biological systems is getting more important in Systems Biology. In this respect, we have developed Hybrid Function Petri net (HFPN) that was newly developed from existing Petri net because of their intuitive graphical representation and their capabilities for mathematical analyses. However, in the process of modeling metabolic, gene regulatory or signal transduction pathways with the architecture, we have realized three extensions of HFPN, (i) an entity should be extended to contain more than one value, (ii) an entity should be extended to handle other primitive types, e.g. boolean, string, (iii) an entity should be extended to handle more advanced type called object that consists of variables and methods, are necessary for modeling biological systems with Petri net based architecture. To deal with it, we define a new enhanced Petri net called hybrid functional Petri net with extension (HFPNe). To demonstrate the effectiveness of the enhancements, we model and simulate with HFPNe four biological processes that are diffcult to represent with the previous architecture HFPN.
LDRD Final Report for''Tactical Laser Weapons for Defense'' SI (Tracking Code 01-SI-011)
DOE Office of Scientific and Technical Information (OSTI.GOV)
Beach, R; Zapata, L
The focus of this project was a convincing demonstration of two new technological approaches to high beam quality; high average power solid-state laser systems that would be of interest for tactical laser weapon applications. Two pathways had been identified to such systems that built on existing thin disk and fiber laser technologies. This SI was used as seed funding to further develop and vet these ideas. Significantly, the LLNL specific enhancements to these proposed technology paths were specifically addressed for devising systems scaleable to the 100 kW average power level. In the course of performing this work we have establishedmore » an intellectual property base that protects and distinguishes us from other competitive approaches to the same end.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Khanna, A.; Electrical Computer and Systems Engineering, Rensselaer Polytechnic Institute, Troy, NY 12180; Dutta, P.S., E-mail: duttap@rpi.edu
Red phosphors with narrow emission around 615 nm (with FWHM~5–10 nm) having chemical compositions of A{sub 0.6}Ca{sub 2.16}Mo{sub 0.2}W{sub 0.8}O{sub 6}: Eu{sub 0.12}{sup 3+}/Na{sub 0.12}{sup +} (A=Mg, Sr) have been found to exhibit the highest luminescence amongst the molybdate–tungstate family when excited by sources in the 380–420 nm wavelength range. Thus they are most suitable for enhancing color rendering index and lowering color temperature in phosphor converted white LEDs (pc-WLEDs) with near-UV/blue LED excitation sources. The excitation band edge in the near UV/blue wavelength in the reported phosphor has been attributed to the coordination environment of the transition metal ionmore » (Mo{sup 6+}, W{sup 6+}) and host crystal structure. Furthermore the quantum efficiency of the phosphors has been enhanced by adjusting activator concentration, suitable compositional alloying using substitutional alkaline earth metal cations and charge compensation mechanisms. - Graphical abstract: The charge transfer excitation of orthorhombic Mg{sub 0.6}Ca{sub 2.16}Mo{sub 0.2}W{sub 0.8}O{sub 6}: Eu{sub 0.12}{sup 3+}/Na{sub 0.12}{sup +} is significantly higher than tetragonal CaMoO{sub 4}: Eu{sup 3+} phosphors making Mg{sub 0.6}Ca{sub 2.16}Mo{sub 0.2}W{sub 0.8}O{sub 6}: Eu{sub 0.12}{sup 3+}/Na{sub 0.12}{sup +} prime candidates for fabrication of warm white phosphor-converted LEDs. - Highlights: • LED excitable Mg{sub 0.6}Ca{sub 2.16}Mo{sub 0.2}W{sub 0.8}O{sub 6}: Eu{sub 0.12}{sup 3+}/Na{sub 0.12}{sup +} phosphors were synthesized. • These phosphors are 10 times more intense than CaMoO{sub 4}: Eu{sup 3+} red phosphors. • Their intensity and efficiency were enhanced by materials optimization techniques. • Such techniques include compositional alloying, charge compensation, etc.« less
Thermoelectric properties of Si/CoSi2 sub-micrometer composites prepared by melt-spinning technique
NASA Astrophysics Data System (ADS)
Xie, Jun; Ohishi, Yuji; Ichikawa, Satoshi; Muta, Hiroaki; Kurosaki, Ken; Yamanaka, Shinsuke
2017-05-01
We here report on the influence of CoSi2 precipitates on the thermoelectric properties of heavily doped p-type Si. A simple self-assembly process using a melt-spinning technique followed by spark plasma sintering is introduced to prepare bulk Si/CoSi2 composites with a nominal composition of (Si0.99B0.01)95Co5. Scanning and transmission electron microscopy observations present clear evidence of a sub-micrometer CoSi2 phase with a size ranging from 50 to 500 nm. These sub-micrometer precipitates resulted in a retention of the high electrical performance of heavily doped Si, while simultaneously reducing thermal conductivity by over 20% compared to a coarse CoSi2 phase (1-10 μm) in a comparative sample prepared by arc melting and spark plasma sintering. As a result, a figure of merit ZT value of 0.21 at 1073 K was achieved in the sub-micrometer Si/CoSi2, an increase of 16% compared with the ZT value for homogeneous p-type Si with a similar carrier concentration. This suggests that the self-assembled sub-micrometer inclusions effectively enhanced the thermoelectric performance of Si-based thermoelectric materials.
2006-01-06
ISS012-E-14619 (6 Jan. 2006) --- A portion of Lake Puma Yumco and parts of Tibet and China are featured in this image photographed by an Expedition 12 crew member on the International Space Station. The alpine lakes of the Tibetan Plateau are some of the most remote in the world. This image depicts Lake Puma Yumco during the winter season. The lake is located at an elevation of 5,030 meters above sea level, and is considered ultraoligotrophic in that nutrient concentrations in both the water column and lake sediments are extremely low. Water in such lakes tends to be blue to blue-green and have high clarity due to the lack of abundant photosynthesizing organisms such as phytoplankton. Water color change in these lakes is usually due to shallowing of the water blue indicating deeper water - as is visible in the depth transition along the west/southwestern shoreline (upper left). The maximum dimensions of the lake are 31 kilometers by 14 kilometers, indicating that the ice blocks range from less than ten to hundreds of meters in diameter. According to scientists, the ice pattern is caused by repeated cycles of freezing, fracturing, and refreezing of the ice due to variations in temperature and wind-induced ice motion. A prominent white linear feature across the center of the lake is suggestive of an ice ridge formed by east-west winds subsequently highlighted by snow.
2006-01-06
ISS012-E-14618 (6 Jan. 2006) --- A portion of Lake Puma Yumco and parts of Tibet and China are featured in this image photographed by an Expedition 12 crew member on the International Space Station. The alpine lakes of the Tibetan Plateau are some of the most remote in the world. This image depicts Lake Puma Yumco during the winter season. The lake is located at an elevation of 5,030 meters above sea level, and is considered ultraoligotrophic in that nutrient concentrations in both the water column and lake sediments are extremely low. Water in such lakes tends to be blue to blue-green and have high clarity due to the lack of abundant photosynthesizing organisms such as phytoplankton. Water color change in these lakes is usually due to shallowing of the water blue indicating deeper water - as is visible in the depth transition along the west/southwestern shoreline (left). The maximum dimensions of the lake are 31 kilometers by 14 kilometers, indicating that the ice blocks range from less than ten to hundreds of meters in diameter. According to scientists, the ice pattern is caused by repeated cycles of freezing, fracturing, and refreezing of the ice due to variations in temperature and wind-induced ice motion. A prominent white linear feature extending north-south across the center of the lake (lower center) is suggestive of an ice ridge formed by east-west winds subsequently highlighted by snow.
2006-03-01
ISS012-E-20585 (9 March 2006) --- A portion of Lake Poopo is featured in this image photographed by an Expedition 12 crewmember on the International Space Station. Lake Poopo sits high in the Bolivian Andes, catching runoff from its larger neighbor to the north - Lake Titicaca (not shown) - by way of the Desaguadero River (muddy area at the north end of the lake). Because Lake Poopo is very high in elevation (roughly 3400 meters or 11,000 feet above sea level), very shallow (generally less than 3 meters or 9 feet), and the regional climate is very dry, small changes in precipitation in the surrounding basin have large impacts on the water levels and areal extent of Lake Poopo. When the lake fills during wet periods, Poopo drains from the south end into Salar de Coipasa (not shown). Water levels in Poopo are important because it is one of South Americas largest saline lakes, and a prime stop for migratory birds, including flamingoes. Last November, water levels had dropped, exposing large tracts of salt and mud flats. A wet and cool period between December and the end of February resulted in flooding of Poopo with muddy waters from the Desaguadero River. NASA managers have tasked the station crew to track such changes, which are related to regional weather patterns. Lake Poopos sensitivity to precipitation in the high Andes (possibly reflecting larger climate cycles) provides an excellent visual indicator of these trends.
2006-03-09
ISS012-E-20586 (9 March 2006) --- A portion of Lake Poopo is featured in this image photographed by an Expedition 12 crewmember on the International Space Station. Lake Poopo sits high in the Bolivian Andes, catching runoff from its larger neighbor to the north - Lake Titicaca (not shown) - by way of the Desaguadero River (muddy area at the north end of the lake). Because Lake Poopo is very high in elevation (roughly 3400 meters or 11,000 feet above sea level), very shallow (generally less than 3 meters or 9 feet), and the regional climate is very dry, small changes in precipitation in the surrounding basin have large impacts on the water levels and areal extent of Lake Poopo. When the lake fills during wet periods, Poopo drains from the south end into Salar de Coipasa (not shown). Water levels in Poopo are important because it is one of South Americas largest saline lakes, and a prime stop for migratory birds, including flamingoes. Last November, water levels had dropped, exposing large tracts of salt and mud flats. A wet and cool period between December and the end of February resulted in flooding of Poopo with muddy waters from the Desaguadero River. NASA managers have tasked the station crew to track such changes, which are related to regional weather patterns. Lake Poopos sensitivity to precipitation in the high Andes (possibly reflecting larger climate cycles) provides an excellent visual indicator of these trends.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lin, Yangzheng; Cohen, Ronald E.; Stackhouse, Stephen
2014-11-10
In this study, we have performed quantum Monte Carlo (QMC) simulations and density functional theory calculations to study the equations of state of MgSiO 3 perovskite (Pv, bridgmanite) and post-perovskite (PPv) up to the pressure and temperature conditions of the base of Earth's lower mantle. The ground-state energies were derived using QMC simulations and the temperature-dependent Helmholtz free energies were calculated within the quasiharmonic approximation and density functional perturbation theory. The equations of state for both phases of MgSiO 3 agree well with experiments, and better than those from generalized gradient approximation calculations. The Pv-PPv phase boundary calculated from ourmore » QMC equations of state is also consistent with experiments, and better than previous local density approximation calculations. Lastly, we discuss the implications for double crossing of the Pv-PPv boundary in the Earth.« less
NASA Astrophysics Data System (ADS)
Lee, Byeong Hyeon; Han, Sangmin; Lee, Sang Yeol
2018-01-01
Amorphous silicon-zinc-tin-oxide (a-SZTO) thin film transistors (TFTs) have been fabricated depending on the silicon ratio in channel layers. The a-SZTO TFT exhibited high electrical properties, such as high mobility of 23 cm2 V-1 s-1, subthreshold swing of 0.74 V/decade and ION/OFF of 2.8 × 108, despite of the addition of Si suppressor. The physical mechanism on the change of the sheet resistance and the contact resistance in a-SZTO TFT has been investigated and proposed closely related with the Si ratio. Both resistances were increased as increasing Si ratio, which clearly indicated that the role of Si is a carrier suppressor directly leading to the increase of channel and contact resistances. To explain the role of Si as a carrier suppressor, the conduction band offset mechanism has been also proposed depending on the change of carrier concentration in channel layer and at the interface between electrode and channel layer. 2007.01-2011.12 Senior Researcher at korea institute of science and technology (KOREA). 2008.01-2011.12 Professor at University of Science and Technology (KOREA). 1995.01-2007.12 Professor at Yonsei University (KOREA). 2002.01-2003.12 Inviting Researcher at Los Alamos National Lab (USA). 1993.01-1995.12 Senior Researcher at Electronics and Telecommunications Research Institute (KOREA). 1992.01-1993.01 Research Associate at State University of New York at Buffalo (USA).
NASA Astrophysics Data System (ADS)
Gajdošová, Lenka; Seyringer, Dana
2017-02-01
We present the design and simulation of 20-channel, 50-GHz Si3N4 based AWG using three different commercial photonics tools, namely PHASAR from Optiwave Systems Inc., APSS from Apollo Photonics Inc. and RSoft from Synopsys Inc. For this purpose we created identical waveguide structures and identical AWG layouts in these tools and performed BPM simulations. For the simulations the same calculation conditions were used. These AWGs were designed for TM-polarized light with an AWG central wavelength of 850 nm. The output of all simulations, the transmission characteristics, were used to calculate the transmission parameters defining the optical properties of the simulated AWGs. These parameters were summarized and compared with each other. The results feature very good correlation between the tools and are comparable to the designed parameters in AWG-Parameters tool.
NASA Astrophysics Data System (ADS)
Kodama, Komomo; Takabe, Ryota; Deng, Tianguo; Toko, Kaoru; Suemasu, Takashi
2018-05-01
The operation of a BaSi2 homojunction solar cell is first demonstrated. In n+-BaSi2 (20 nm)/p-BaSi2 (500 nm)/p+-BaSi2 (50 nm) homojunction diodes on p+-Si(111) (resistivity ρ < 0.01 Ω cm), the internal quantum efficiency (IQE) under AM1.5 illumination becomes pronounced at wavelengths λ < 800 nm and exceeded 30% at λ = 500 nm. In contrast, the IQE values are small at λ < 600 nm in n+-BaSi2 (300 nm)/p-Si (ρ > 0.1 Ω cm) heterojunction diodes, but are high in the range between 600 and 1200 nm. The difference in spectral response demonstrates the photogenerated carrier separation by the built-in electric field in the homojunction diode.
INSTAR: simulating the biological cycle of a forest pest in Mediterranean pine stands
NASA Astrophysics Data System (ADS)
Suárez-Muñoz, María; Bonet García, Francisco J.; Hódar, José A.
2017-04-01
The pine processionary moth (Thaumetopoea pityocampa) is a typically Mediterranean forest pest feeding on pine needles during its larval stages. The outbreaks of this pest cause important landscape impacts and public health problems (i.e. larvae are very urticant). Larvae feed during winter months and cold temperature is the main limiting factor in their development. Therefore, rising temperatures are thought to benefit this species. Indeed, observations suggest that outbreaks are becoming more frequent and populations are shifting uphill. The objective of this work is to simulate the biological cycle of T. pityocampa to make predictions about where and when outbreaks will occur. Thus, we have created a model called INSTAR that will help to identify hotspots and foresee massive defoliation episodes. This will enhance the information available for the control of this pest. INSTAR is an Agent-Based Model, which allows the inclusion of important characteristics of the system: emergence, feedback (i.e. interaction between agents and their environment), adaptation (i.e. decision based on the mentioned interactions) and path dependence (i.e. possibilities at one time point are determined by past conditions). These characteristics arise from a set of functions simulating pine growth, processionary development, mortality and movement. These functions are easily extrapolable to other similar biological processes and therefore INSTAR aims at serving of example for other forest pest models. INSTAR is the first comprehensive approach to simulate the biological cycle of T pityocampa. It simulates the pest development in a given area, from which elevation and pine trees are considered. Moreover, it is also a good example of integrating environmental information into a population dynamic model: meteorological variables and soil moisture are obtained from a hydrological model (WiMMed, Herrero et al. 2009) executed for the area of interest. These variables are the inputs of the
NASA Astrophysics Data System (ADS)
Kodigala, Subba Ramaiah
2016-11-01
This article emphasizes verification of Fowler-Nordheim electron tunneling mechanism in the Ni/SiO2/n-4H SiC MOS devices by developing three different kinds of models. The standard semiconductor equations are categorically solved to obtain the change in Fermi energy level of semiconductor with effect of temperature and field that extend support to determine sustainable and accurate tunneling current through the oxide layer. The forward and reverse bias currents with variation of electric field are simulated with help of different models developed by us for MOS devices by applying adequate conditions. The latter is quite different from former in terms of tunneling mechanism in the MOS devices. The variation of barrier height with effect of quantum mechanical, temperature, and fields is considered as effective barrier height for the generation of current-field (J-F) curves under forward and reverse biases but quantum mechanical effect is void in the latter. In addition, the J-F curves are also simulated with variation of carrier concentration in the n-type 4H SiC semiconductor of MOS devices and the relation between them is established.
Design and simulation of proportional biological operational Mu-circuit.
Xu, Dechang; Cai, Zhipeng; Liu, Ke; Zeng, Xiangmiao; Ouyang, Yujing; Dai, Cuihong; Hou, Aiju; Cheng, Dayou; Li, Jianzhong
2015-03-01
It is challenging yet desirable to quantitatively control the expression of a target gene in practice. We design a device-Proportional Biological Operational Mu-circuit (P-BOM) incorporating AND/OR gate and operational amplifier into one circuit and explore its behaviors through simulation. The results imply that will be possible to regulate input-output proportionally by manipulating the RBS of hrpR, hrpS, tetR and output gene and used in the sensing of environmental weak signals such as dioxins.
Design of an ultraviolet fluorescence lidar for biological aerosol detection
NASA Astrophysics Data System (ADS)
Rao, Zhimin; Hua, Dengxin; He, Tingyao; Le, Jing
2016-09-01
In order to investigate the biological aerosols in the atmosphere, we have designed an ultraviolet laser induced fluorescence lidar based on the lidar measuring principle. The fluorescence lidar employs a Nd:YAG laser of 266 nm as an excited transmitter, and examines the intensity of the received light at 400 nm for biological aerosol concentration measurements. In this work, we firstly describe the designed configuration and the simulation to estimate the measure range and the system resolution of biological aerosol concentration under certain background radiation. With a relative error of less than 10%, numerical simulations show the system is able to monitor biological aerosols within detected distances of 1.8 km and of 7.3 km in the daytime and nighttime, respectively. Simulated results demonstrate the designed fluorescence lidar is capable to identify a minimum concentration of biological aerosols at 5.0×10-5 ppb in the daytime and 1.0×10-7 ppb in the nighttime at the range of 0.1 km. We believe the ultraviolet laser induced fluorescence lidar can be spread in the field of remote sensing of biological aerosols in the atmosphere.
NASA Astrophysics Data System (ADS)
Sriraman, Saravanapriyan; Aydil, Eray S.; Maroudas, Dimitrios
2002-07-01
Growth of hydrogenated amorphous silicon films (a-Si:H) on an initial H-terminated Si(001)(2 x1) substrate at T=500 K was studied through molecular-dynamics (MD) simulations of repeated impingement of SiH radicals to elucidate the effects of reactive minority species on the structural quality of the deposited films. The important reactions contributing to film growth were identified through detailed visualization of radical-surface interaction trajectories. These reactions include (i) insertion of SiH into Si-Si bonds, (ii) adsorption onto surface dangling bonds, (iii) surface H abstraction by impinging SiH radicals through an Eley-Rideal mechanism, (iv) surface adsorption by penetration into subsurface layers or dissociation leading to interstitial atomic hydrogen, (v) desorption of interstitial hydrogen into the gas phase, (vi) formation of higher surface hydrides through the exchange of hydrogen, and (vii) dangling-bond-mediated dissociation of surface hydrides into monohydrides. The MD simulations of a-Si:H film growth predict an overall surface reaction probability of 95% for the SiH radical that is in good agreement with experimental measurements. Structural and chemical characterization of the deposited films was based on the detailed analysis of evolution of the films' structure, surface morphology and roughness, surface reactivity, and surface composition. The analysis revealed that the deposited films exhibit high dangling bond densities and rough surface morphologies. In addition, the films are abundant in voids and columnar structures that are detrimental to producing device-quality a-Si:H thin films.
TH-A-BRD-01: Radiation Biology for Radiation Therapy Physicists
DOE Office of Scientific and Technical Information (OSTI.GOV)
Orton, C; Borras, C; Carlson, D
Mechanisms by which radiation kills cells and ways cell damage can be repaired will be reviewed. The radiobiological parameters of dose, fractionation, delivery time, dose rate, and LET will be discussed. The linear-quadratic model for cell survival for high and low dose rate treatments and the effect of repopulation will be presented and discussed. The rationale for various radiotherapy techniques such as conventional fractionation, hyperfractionation, hypofractionation, and low and high dose rate brachytherapy, including permanent implants, will be presented. The radiobiological principles underlying radiation protection guidelines and the different radiation dosimetry terms used in radiation biology and in radiation protectionmore » will be reviewed. Human data on radiation induced cancer, including increases in the risk of second cancers following radiation therapy, as well as data on radiation induced tissue reactions, such as cardiovascular effects, for follow up times up to 20–40 years, published by ICRP, NCRP and BEIR Committees, will be examined. The latest risk estimates per unit dose will be presented. Their adoption in recent radiation protection standards and guidelines and their impact on patient and workers safety in radiotherapy will be discussed. Biologically-guided radiotherapy (BGRT) provides a systematic method to derive prescription doses that integrate patient-specific information about tumor and normal tissue biology. Treatment individualization based on patient-specific biology requires the identification of biological objective functions to facilitate the design and comparison of competing treatment modalities. Biological objectives provide a more direct approach to plan optimization instead of relying solely on dose-based surrogates and can incorporate factors that alter radiation response, such as DNA repair, tumor hypoxia, and relative biological effectiveness. We review concepts motivating biological objectives and provide examples
Shih, Ying Tsang; Wu, Mong Kai; Li, Wei Chih; Kuan, Hon; Yang, Jer Ren; Shiojiri, Makoto; Chen, Miin Jang
2009-04-22
This study demonstrates amplified spontaneous emission (ASE) of the ultraviolet (UV) electroluminescence (EL) from ZnO at lambda~380 nm in the n-ZnO/ZnO nanodots-SiO(2) composite/p- Al(0.12)Ga(0.88)N heterojunction light-emitting diode. A SiO(2) layer embedded with ZnO nanodots was prepared on the p-type Al(0.12)Ga(0.88)N using spin-on coating of SiO(2) nanoparticles followed by atomic layer deposition (ALD) of ZnO. An n-type Al-doped ZnO layer was deposited upon the ZnO nanodots-SiO(2) composite layer also by the ALD technique. High-resolution transmission electron microscopy (HRTEM) reveals that the ZnO nanodots embedded in the SiO(2) matrix have diameters of 3-8 nm and the wurtzite crystal structure, which allows the transport of carriers through the thick ZnO nanodots-SiO(2) composite layer. The high quality of the n-ZnO layer was manifested by the well crystallized lattice image in the HRTEM picture and the low-threshold optically pumped stimulated emission. The low refractive index of the ZnO nanodots-SiO(2) composite layer results in the increase in the light extraction efficiency from n-ZnO and the internal optical feedback of UV EL into n-ZnO layer. Consequently, significant enhancement of the UV EL intensity and super-linear increase in the EL intensity, as well as the spectral narrowing, with injection current were observed owing to ASE in the n-ZnO layer.
NASA Astrophysics Data System (ADS)
Nath, Madhumita; Chatterjee, P.; Damon-Lacoste, J.; Roca i Cabarrocas, P.
2008-02-01
Hydrog enated amorphous/crystalline silicon "heterojunction with intrinsic thin layer (HIT)" solar cells have gained popularity after it was demonstrated by Sanyo that they can achieve stable conversion efficiencies, as high as crystalline silicon (c-Si) cells, but where the cost may be reduced with the help of amorphous silicon (a-Si:H) low temperature deposition technology. In this article, we study N-a-Si :H/P-c-Si front HIT structures, where light enters through the N-a-Si :H layer. The aim is to examine ways of improving the open-circuit voltage, using computer modeling in conjunction with experiments. We also assess under which conditions such improvements in Voc actually occur. Modeling indicates that for a density of states Nss⩾1013cm-2 on the surface of the P-c-Si wafer facing the emitter layer, Voc is entirely limited by this parameter and is lower than 0.5V. We also learn that it is possible to increase the Voc to ˜0.73V by reducing this defect density to ˜1010cm-2, by reducing the surface recombination speed of the electrons at the back P-c-Si/aluminum contact (SnL), and by improving the lifetime of the carriers (τ ) in the P-c-Si wafer to ˜5ms. Modeling further indicates that when τ ⩽0.1ms, the sensitivity of Voc to SnL vanishes, as very few back-diffusing electrons can reach the back contact. Improvements in Voc by decreasing both the defect density on the surface of the P-c-Si wafer facing the emitter layer and SnL have been achieved in practice by (a) improved passivation thanks to a thin intrinsic polymorphous silicon layer deposited on the c-Si wafer (instead of a-Si :H) and (b) using localized aluminum and back surface field layers to attain a lower SnL. Experimentally, a Voc of 0.675V has already been attained. Simulations indicate that the lifetime of carriers inside the P-c-Si wafer of these cells is ˜366μs and needs to be improved to achieve a higher Voc.
Thermopower behavior in the Gd5(Si0.1Ge0.9)4 magnetocaloric compound from 4 to 300 K
NASA Astrophysics Data System (ADS)
Sousa, J. B.; Braga, M. E.; Correia, F. C.; Carpinteiro, F.; Morellon, L.; Algarabel, P. A.; Ibarra, R.
2002-04-01
Recent studies on the orthorhombic Gd5(Si0.1Ge0.9)4 compound show, upon heating, a ferromagnetic to antiferromagnetic-like (AFM*) transition at TS=78 K, coupled with a first-order structural martensitic transformation keeping the orthorhombic symmetry but producing a large increase in the interlayer Si(Ge) distances leading to covalent bond-pair breaking. A second-order AFM*→(paramagnetic)PM transition occurs at TN=125 K. We report thermopower (S) measurements for the Gd5(SixGe1-x)4 series, performed on an x=0.1 sample, from 4 to 300 K, with increasing and decreasing temperatures through successive thermal cycling. Resistivity measurements show a systematic increase in the residual resistivity and a dramatic change in the ρ(T) behavior upon thermal cycling. In spite of this, the thermopower data show a common intrinsic behavior both in the ferromagnetic phase (T
COMMAND MODULE (C/M) - SPACECRAFT (S/C) 012 C/M - APOLLO/SATURN (A/S) 204 PREPARATIONS - CAPE
1967-01-03
S67-15717 (1967) --- Apollo Spacecraft 012 Command/Service Module is moved from H-134 to east stokes for mating to the Saturn Lunar Module Adapter No. 05 in the Manned Spacecraft Operations Building. S/C 012 will be flown on the Apollo/Saturn 204 mission.
APOLLO-SATURN (A/S)-204 - SPACECRAFT (S/C)- 012 COMMAND SERVICE MODULE (CSM) - A/S MATING - CAPE
1967-01-03
S67-15704 (3 Jan. 1967) --- Transfer of Apollo Spacecraft 012 Command/Service Module (CSM) for mating with the Saturn Lunar Module (LM) Adapter No.05 in the Manned Spacecraft Operations Building. Spacecraft 012 will be flown on the Apollo/Saturn 1 (204) mission. Photo credit: NASA
NASA Astrophysics Data System (ADS)
Hong, Yong C.; Kim, Jeong H.; Uhm, Han S.
2004-02-01
The threat of chemical and biological warfare agents in a domestic terrorist attack and in military conflict is increasing worldwide. Elimination and decontamination of chemical and biological warfare (CBW) agents are immediately required after such an attack. Simulated experiment for elimination of CBW agents by making use of atmospheric-pressure microwave plasma torches is carried out. Elimination of biological warfare agents indicated by the vitrification or burnout of sewage sludge powders and decomposition of toluene gas as a chemical agent stimulant are presented. A detailed characterization for the elimination of the simulant chemicals using Fourier transform infrared and gas chromatography is also presented.
Oxidation of SiC/BN/SiC Composites in Reduced Oxygen Partial Pressures
NASA Technical Reports Server (NTRS)
Opila, Elizabeth J.; Boyd, Meredith
2010-01-01
SiC fiber-reinforced SiC composites with a BN interphase are proposed for use as leading edge structures of hypersonic vehicles. The durability of these materials under hypersonic flight conditions is therefore of interest. Thermogravimetric analysis was used to characterize the oxidation kinetics of both the constituent fibers and composite coupons at four temperatures: 816, 1149, 1343, and 1538 C (1500, 2100, 2450, and 2800 F) and in oxygen partial pressures between 5% and 0.1% (balance argon) at 1 atm total pressure. One edge of the coupons was ground off so the effects of oxygen ingress into the composite could be monitored by post-test SEM and EDS. Additional characterization of the oxidation products was conducted by XPS and TOF-SIMS. Under most conditions, the BN oxidized rapidly, leading to the formation of borosilicate glass. Rapid initial oxidation followed by volatilization of boria lead to protective oxide formation and further oxidation was slow. At 1538C in 5% oxygen, both the fibers and coupons exhibited borosilicate glass formation and bubbling. At 1538C in 0.1% oxygen, active oxidation of both the fibers and the composites was observed leading to rapid SiC degradation. BN oxidation at 1538C in 0.1% oxygen was not significant.
Universal behavior of surface-dangling bonds in hydrogen-terminated Si, Ge, and Si/Ge nanowires.
NASA Astrophysics Data System (ADS)
Nunes, Ricardo; Kagimura, Ricardo; Chacham, Hélio
2007-03-01
We report an ab initio study of the electronic properties of surface dangling bond (SDB) states in hydrogen-terminated Si, Ge, and Si/Ge nanowires with diameters between 1 and 2 nm. We find that the charge transition levels ɛ(+/-) of SDB states are deep in the bandgap for Si wires, and shallow (near the valence band edge) for Ge wires. In both Si and Ge wires, the SDB states are localized. We also find that the SDB ɛ(+/-) levels behave as a ``universal" energy reference level among Si, Ge, and Si/Ge wires within a precision of 0.1 eV. By computing the average bewteen the electron affinity and ionization energy in the atomi limit of several atoms from the III, IV and V columns, we conjecture that the universality is a periodic-table atomic property.
NASA Astrophysics Data System (ADS)
Guisbiers, G.; Strehle, S.; Van Overschelde, O.; Wautelet, M.
2006-02-01
Residual stresses are commonly generated during the deposition process of thin films and can influence the reliability of the deposited systems e.g. due to fatigue, aging effects or debonding. Therefore, an evaluation of such stresses in thin films is of crucial importance for metallization of microelectronic devices and MEMS. Residual stresses can be determined experimentally by substrate curvature or X-ray diffraction measurements. The modeling of residual stresses generally deals with the calculation of the thermal ones alone. In the present work, a model is proposed, where intrinsic stresses are calculated explicitly based on the Tsui-Clyne model. The aim of this model, called self-consistent model, is to predict residual stresses in thin films independent on measurements. The simulated values are compared with experimental results for the following systems: Ta/Si, Mo/Si, Al/SiO2/Si and Pd/SiO2/Si.
Isotopic effects in sub-barrier fusion of Si + Si systems
NASA Astrophysics Data System (ADS)
Colucci, G.; Montagnoli, G.; Stefanini, A. M.; Esbensen, H.; Bourgin, D.; Čolović, P.; Corradi, L.; Faggian, M.; Fioretto, E.; Galtarossa, F.; Goasduff, A.; Grebosz, J.; Haas, F.; Mazzocco, M.; Scarlassara, F.; Stefanini, C.; Strano, E.; Szilner, S.; Urbani, M.; Zhang, G. L.
2018-04-01
Background: Recent measurements of fusion cross sections for the 28Si+28Si system revealed a rather unsystematic behavior; i.e., they drop faster near the barrier than at lower energies. This was tentatively attributed to the large oblate deformation of 28Si because coupled-channels (CC) calculations largely underestimate the 28Si+28Si cross sections at low energies, unless a weak imaginary potential is applied, probably simulating the deformation. 30Si has no permanent deformation and its low-energy excitations are of a vibrational nature. Previous measurements of this system reached only 4 mb, which is not sufficient to obtain information on effects that should show up at lower energies. Purpose: The aim of the present experiment was twofold: (i) to clarify the underlying fusion dynamics by measuring the symmetric case 30Si+30Si in an energy range from around the Coulomb barrier to deep sub-barrier energies, and (ii) to compare the results with the behavior of 28Si+28Si involving two deformed nuclei. Methods: 30Si beams from the XTU tandem accelerator of the Laboratori Nazionali di Legnaro of the Istituto Nazionale di Fisica Nucleare were used, bombarding thin metallic 30Si targets (50 μ g /cm2) enriched to 99.64 % in mass 30. An electrostatic beam deflector allowed the detection of fusion evaporation residues (ERs) at very forward angles, and angular distributions of ERs were measured. Results: The excitation function of 30Si+30Si was measured down to the level of a few microbarns. It has a regular shape, at variance with the unusual trend of 28Si+28Si . The extracted logarithmic derivative does not reach the LCS limit at low energies, so that no maximum of the S factor shows up. CC calculations were performed including the low-lying 2+ and 3- excitations. Conclusions: Using a Woods-Saxon potential the experimental cross sections at low energies are overpredicted, and this is a clear sign of hindrance, while the calculations performed with a M3Y + repulsion
Bidault, Xavier; Chaussedent, Stéphane; Blanc, Wilfried
2015-10-21
A simple transferable adaptive model is developed and it allows for the first time to simulate by molecular dynamics the separation of large phases in the MgO-SiO2 binary system, as experimentally observed and as predicted by the phase diagram, meaning that separated phases have various compositions. This is a real improvement over fixed-charge models, which are often limited to an interpretation involving the formation of pure clusters, or involving the modified random network model. Our adaptive model, efficient to reproduce known crystalline and glassy structures, allows us to track the formation of large amorphous Mg-rich Si-poor nanoparticles in an Mg-poor Si-rich matrix from a 0.1MgO-0.9SiO2 melt.
NASA Astrophysics Data System (ADS)
Wang, Kai; Wei, Ming; Zhang, Lijun; Du, Yong
2016-04-01
We realized a three-dimensional visualization of the morphology evolution and the growth behavior of the octahedral primary silicon in hypereutectic Al-20wtpctSi alloy during solidification in a real length scale by utilizing the phase-field simulation coupled with CALPHAD databases, and supported by key experiments. Moreover, through two-dimensional cut of the octahedral primary silicon at random angles, different morphologies observed in experiments, including triangle, square, trapezoid, rhombic, pentagon, and hexagon, were well reproduced.
Wu, Xiaohui; Yang, Yang; Wu, Gaoming; Mao, Juan; Zhou, Tao
2016-01-01
Applications of activated sludge models (ASM) in simulating industrial biological wastewater treatment plants (WWTPs) are still difficult due to refractory and complex components in influents as well as diversity in activated sludges. In this study, an ASM3 modeling study was conducted to simulate and optimize a practical coking wastewater treatment plant (CWTP). First, respirometric characterizations of the coking wastewater and CWTP biomasses were conducted to determine the specific kinetic and stoichiometric model parameters for the consecutive aeration-anoxic-aeration (O-A/O) biological process. All ASM3 parameters have been further estimated and calibrated, through cross validation by the model dynamic simulation procedure. Consequently, an ASM3 model was successfully established to accurately simulate the CWTP performances in removing COD and NH4-N. An optimized CWTP operation condition could be proposed reducing the operation cost from 6.2 to 5.5 €/m(3) wastewater. This study is expected to provide a useful reference for mathematic simulations of practical industrial WWTPs. Copyright © 2015 Elsevier Ltd. All rights reserved.
Surface structure analysis of BaSi2(100) epitaxial film grown on Si(111) using CAICISS
NASA Astrophysics Data System (ADS)
Okasaka, Shouta; Kubo, Osamu; Tamba, Daiki; Ohashi, Tomohiro; Tabata, Hiroshi; Katayama, Mitsuhiro
2015-05-01
Geometry and surface structure of a BaSi2(100) film on Si(111) formed by reactive deposition epitaxy (RDE) have been investigated using coaxial impact-collision ion scattering spectroscopy and atomic force microscopy. BaSi2(100) film can be grown only when the Ba deposition rate is sufficiently fast. It is revealed that a BaSi2(100) film grown at 600 °C has better crystallinity than a film grown at 750 °C owing to the mixture of planes other than (100) in the RDE process at higher temperatures. The azimuth angle dependence of the scattering intensity from Ba shows sixfold symmetry, indicating that the minimum height of surface steps on BaSi2(100) is half of the length of unit cell. By comparing the simulated azimuth angle dependences for more than ten surface models with experimental one, it is strongly indicated that the surface of a BaSi2(100) film grown on Si(111) is terminated by Si tetrahedra.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Schnabel, Manuel; Klein, Talysa R.; Jain, Nikhil
Solar cells made from bulk crystalline silicon (c-Si) dominate the market, but laboratory efficiencies have stagnated because the current record efficiency of 26.3% is already very close to the theoretical limit of 29.4% for a single-junction c-Si cell. In order to substantially boost the efficiency of Si solar cells we have been developing stacked III-V/Si tandem cells, recently attaining efficiencies above 32% in four-terminal configuration. In this contribution, we use state-of-the-art III-V cells coupled with equivalent circuit simulations to compare four-terminal (4T) to three- and two-terminal (3T, 2T) operation. Equivalent circuit simulations are used to show that tandem cells canmore » be operated just as efficiently using three terminals as with four terminals. However, care must be taken not to overestimate 3T efficiency, as the two circuits used to extract current interact, and a method is described to accurately determine this efficiency. Experimentally, a 4T GaInP/Si tandem cell utilizing an interdigitated back contact cell is shown, exhibiting a 4T efficiency of 31.5% and a 2T efficiency of 28.1%. In 3T configuration, it is used to verify the finding from simulation that 3T efficiency is overestimated when interactions between the two circuits are neglected. Considering these, a 3T efficiency approaching the 4T efficiency is found, showing that 3T operation is efficient, and an outlook on fully integrated high-efficiency 3T and 2T tandem cells is given.« less
Viscosity and Structure of CaO-SiO2-P2O5-FetO System with Varying P2O5 and FeO Content
NASA Astrophysics Data System (ADS)
Diao, Jiang; Gu, Pan; Liu, De-Man; Jiang, Lu; Wang, Cong; Xie, Bing
2017-10-01
A rotary viscosimeter and Raman spectrum were employed to measure the viscosity and structural information of the CaO-SiO2-P2O5-FetO system at 1673 K. The experimental data have been compared with the calculated results using different viscosity models. It shows that the National Physical Laboratory (NPL) and Pal models fit the CaO-SiO2-P2O5-FeOt system better. With the P2O5 content increasing from 5% to 14%, the viscosity increases from 0.12 Pa s to 0.27 Pa s. With the FeO content increasing from 30% to 40%, the viscosity decreases from 0.21 Pa s to 0.12 Pa s. Increasing FeO content makes the complicated molten melts become simple, and increasing P2O5 content will complicate the molten melts. The linear relation between viscosity and structure parameter Q(Si + P) was obtained by regression analysis. The calculated viscosity by using the optimized NPL and Pal model are almost identical with the fitted values.
2006-02-28
ISS012-E-18779 (28 Feb. 2006) --- Winter in the Dasht-e-Lut Desert, eastern Iran is featured in this image photographed by an Expedition 12 crew member on the International Space Station. The image takes advantage of the low angle of illumination to reveal linear geological structures of the Iranian mountain range bordering the western edge of the basin known as Dasht-e-Lut. The range rises 1818 meters (6000 feet) above sea level and lies 750 kilometers (466 miles) north of the Persian Gulf. The convoluted appearance results from erosion of folded and faulted rocks softer rocks erode away quickly, leaving more resistant rock to form linear ridges perpendicular to the direction of compression. While not a major oil producing region like the Zagros Fold Belt to the southwest, the mountains of east-central Iran contain economically important deposits of copper and other metals. Little vegetation is visible from space in the arid interior basin of the Dasht-e-Lut. Iran is climatically part of the Afro-Asian belt of deserts that stretch from the Cape Verde islands off West Africa all the way to Mongolia near Beijing. The patchy, elongated, light-colored feature in the foreground (parallel to the mountain range) is the northernmost of the Dasht dry lakes that stretch southward 300 kilometers (186 miles). High country is the source of precipitation-derived water in all near-tropical deserts. Agricultural fields (small dark patches in the image) that depend on this precipitation are located down slope near the margin of the dry, salty soils of the lake.
NASA Astrophysics Data System (ADS)
Srinivasan, A.; Rajendran, N.
2015-08-01
The effect of Si:Zr ratio on the in vitro bioactivity and electrochemical corrosion behavior of SiO2:ZrO2-mixed oxide-coated 316L stainless steel (SS) was evaluated in simulated body fluid (SBF) solution for 72, 120, and 168 h. Growth of Hydroxyapatite (HAp) was accelerated when Si content in the coating was increased. The Zr content in the coating improved the corrosion resistance of 316L SS rather than accelerating the HAp growth. When the Si:Zr ratio was 50:50, the coating exhibited significant improvement in corrosion resistance as well as HAp growth. The mechanism of HAp growth was proposed based on the change in surface zeta potential values of the coatings. Potentiodynamic polarization studies revealed about 10 and 5 times reduction in corrosion current density ( i corr) values for SiO2:ZrO2 (50:50)-coated 316L SS after 168 h of immersion compared to SiO2, ZrO2, and Si:Zr (70:30) coatings in SBF solutions thus confirming the superior corrosion resistance. The equivalent circuit parameters derived from electrochemical impedance spectroscopy studies further confirmed significant improvement in charge transfer resistance value even after 168 h of exposure.
NASA Astrophysics Data System (ADS)
Neilson, R.; Spera, F. J.; Ghiorso, M. S.
2014-12-01
Thermodynamic properties of silicate melts at high temperature (T) and pressure (P) are crucial to understanding Earth accretion, magma oceans, petrogenesis, and crustal growth. However, equations of state for silicate liquids at mantle conditions are scarce, due to experimental challenges. Molecular Dynamics (MD) simulations allow investigation of thermodynamic and transport properties of silicate melts at high P and T and enable the correlation of liquid structure with computed properties. Using classical MD, we studied liquid NaAlSi3O8 in the range 0-42 GPa and 3000-5137 K. Density ranged from 2.2 to 3.6 g/cm3, and all simulations were performed in the microcanonical (NEV) ensemble using the potential from Matsui (1998). An equation of state with internal energy E(V,T) was developed using the RT scaling-Vinet formulation (Ghiorso et al., 2009). From thermodynamic relationships, the Grüneisen parameter, isobaric expansivity, isothermal compressibility, heat capacity, and other functions are computed over the P-T range of the MD simulations. Diffusion coefficients (D) range from 1.5×10-9 to 5.9×10-8 m2/s and typically order Na>Al>O>Si at a given state point. Generally, D decreases with P and increases with T except for a low P anomalous region along the 3065 K isotherm. Anomalous diffusion for Al, Si, and O is congruent with laboratory experiments at P<10 GPa (e.g., Shimizu and Kushiro, 1984; Poe et al., 1997; Tinker and Lesher, 2001; Tinker et al., 2003). Activation energy for Na is on the order of -75.3 kJ/mol with activation volume -1.74 cm3/mol. The anomalous peak in diffusivity for Si and O occurs at ~3 GPa, which marks a subtle increase in the average coordination number (CN) for O around O from 9.35 to 10.31. The average CN for O around O generally increases with P, but it systematically drops at 8, 15, and 20 GPa for 3065, 3944, and 5137 K, respectively. The concentrations of AlO5 and SiO5 polyhedra maximize near 16 and 35 GPa, respectively.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mogaddam, N. A. P.; Turan, R.; Alagoz, A. S.
2008-12-15
SiGe nanocrystals have been formed in SiO{sub 2} matrix by cosputtering Si, Ge, and SiO{sub 2} independently on Si substrate. Effects of the annealing time and temperature on structural and compositional properties are studied by transmission electron microscopy, x-ray diffraction (XRD), and Raman spectroscopy measurements. It is observed that Ge-rich Si{sub (1-x)}Ge{sub x} nanocrystals do not hold their compositional uniformity when annealed at high temperatures for enough long time. A segregation process leading to separation of Ge and Si atoms from each other takes place. This process has been evidenced by a double peak formation in the XRD and Ramanmore » spectra. We attributed this phase separation to the differences in atomic size, surface energy, and surface diffusion disparity between Si and Ge atoms leading to the formation of nonhomogenous structure consist of a Si-rich SiGe core covered by a Ge-rich SiGe shell. This experimental observation is consistent with the result of reported theoretical and simulation methods.« less
Scaling of Multimillion-Atom Biological Molecular Dynamics Simulation on a Petascale Supercomputer.
Schulz, Roland; Lindner, Benjamin; Petridis, Loukas; Smith, Jeremy C
2009-10-13
A strategy is described for a fast all-atom molecular dynamics simulation of multimillion-atom biological systems on massively parallel supercomputers. The strategy is developed using benchmark systems of particular interest to bioenergy research, comprising models of cellulose and lignocellulosic biomass in an aqueous solution. The approach involves using the reaction field (RF) method for the computation of long-range electrostatic interactions, which permits efficient scaling on many thousands of cores. Although the range of applicability of the RF method for biomolecular systems remains to be demonstrated, for the benchmark systems the use of the RF produces molecular dipole moments, Kirkwood G factors, other structural properties, and mean-square fluctuations in excellent agreement with those obtained with the commonly used Particle Mesh Ewald method. With RF, three million- and five million-atom biological systems scale well up to ∼30k cores, producing ∼30 ns/day. Atomistic simulations of very large systems for time scales approaching the microsecond would, therefore, appear now to be within reach.
2004-11-16
MATLAB Algorithms for Rapid Detection and Embedding of Palindrome and Emordnilap Electronic Watermarks in Simulated Chemical and Biological Image ...and Emordnilap Electronic Watermarks in Simulated Chemical and Biological Image Data 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT...Conference on Chemical and Biological Defense Research. Held in Hunt Valley, Maryland on 15-17 November 2004., The original document contains color images
Xie, Xiangyang; Lin, Wen; Li, Mingyuan; Yang, Yang; Deng, Jianping; Liu, Hui; Chen, Ying; Fu, Xudong; Liu, Hong; Yang, Yanfang
2016-06-01
Because of the absence of tolerable and effective carriers for in vivo delivery, the applications of small interfering RNA (siRNA) in the clinic for therapeutic purposes have been limited. In this study, development of a novel siRNA delivery system based on ultrasound-sensitive nanobubbles (NBs, nano-sized echogenic liposomes) and cell-permeable peptides (CPPs) is described. A CPP-siRNA conjugate was entrapped in an NB, (CPP-siRNA)-NB, and the penetration of CPP-siRNA was temporally masked; local ultrasound stimulation triggered the release of CPP-siRNA from the NBs and activated its penetration. Subsequent research revealed that the (CPP-siRNA)-NBs had a mean particle size of 201 ± 2.05 nm and a siRNA entrapment efficiency >85%. In vitro release results indicated that >90% of the encapsulated CPP-siRNA was released from NBs in the presence of ultrasound, whereas <1.5% (30 min) was released in the absence of ultrasound. Cell experiments indicated higher cellular CPP-siRNA uptake of (CPP-siRNA)-NBs with ultrasound among the various formulations in human breast adenocarcinoma cells (HT-1080). Additionally, after systemic administration in mice, (CPP-siRNA)-NBs accumulated in the tumor, augmented c-myc silencing and delayed tumor progression. In conclusion, the application of (CPP-siRNA)-NBs with ultrasound may constitute an approach to selective targeted delivery of siRNA. Copyright © 2016 World Federation for Ultrasound in Medicine & Biology. Published by Elsevier Inc. All rights reserved.
Current Progress of siRNA/shRNA Therapeutics in Clinical Trials
Burnett, John C.; Rossi, John J.; Tiemann, Katrin
2012-01-01
Through a mechanism known as RNA interference (RNAi), small interfering RNA (siRNA) molecules can target complementary mRNA strands for degradation, thus specifically inhibiting gene expression. The ability of siRNAs to inhibit gene expression offers a mechanism that can be exploited for novel therapeutics. Indeed, over the past decade, at least 21 siRNA therapeutics have been developed for more than a dozen diseases, including various cancers, viruses, and genetic disorders. Like other biological drugs, RNAi-based therapeutics often require a delivery vehicle to transport them to the targeted cells. Thus, the clinical advancement of numerous siRNA drugs has relied on the development of siRNA carriers including biodegradable nanoparticles, lipids, bacteria, and attenuated viruses. Most therapies permit systemic delivery of the siRNA drug, while others use ex vivo delivery by autologous cell therapy. For some of the drugs, advancements in bioengineering and nanotechnology have led to improved control of delivery and release of the siRNA. Likewise, progress in molecular biology has allowed for improved design of the siRNA molecules. Here, we provide an overview of siRNA therapeutics in clinical trials, including their clinical progress, the challenges they have encountered, and the future they hold in the treatment of human diseases. PMID:21744502
Si cycling in a forest biogeosystem - the importance of transient state biogenic Si pools
NASA Astrophysics Data System (ADS)
Sommer, M.; Jochheim, H.; Höhn, A.; Breuer, J.; Zagorski, Z.; Busse, J.; Barkusky, D.; Meier, K.; Puppe, D.; Wanner, M.; Kaczorek, D.
2013-07-01
The relevance of biological Si cycling for dissolved silica (DSi) export from terrestrial biogeosystems is still in debate. Even in systems showing a high content of weatherable minerals, like Cambisols on volcanic tuff, biogenic Si (BSi) might contribute > 50% to DSi (Gerard et al., 2008). However, the number of biogeosystem studies is rather limited for generalized conclusions. To cover one end of controlling factors on DSi, i.e., weatherable minerals content, we studied a forested site with absolute quartz dominance (> 95%). Here we hypothesise minimal effects of chemical weathering of silicates on DSi. During a four year observation period (05/2007-04/2011), we quantified (i) internal and external Si fluxes of a temperate-humid biogeosystem (beech, 120 yr) by BIOME-BGC (version ZALF), (ii) related Si budgets, and (iii) Si pools in soil and beech, chemically as well as by SEM-EDX. For the first time two compartments of biogenic Si in soils were analysed, i.e., phytogenic and zoogenic Si pool (testate amoebae). We quantified an average Si plant uptake of 35 kg Si ha-1 yr-1 - most of which is recycled to the soil by litterfall - and calculated an annual biosilicification from idiosomic testate amoebae of 17 kg Si ha-1. The comparatively high DSi concentrations (6 mg L-1) and DSi exports (12 kg Si ha-1 yr-1) could not be explained by chemical weathering of feldspars or quartz dissolution. Instead, dissolution of a relictic, phytogenic Si pool seems to be the main process for the DSi observed. We identified canopy closure accompanied by a disappearance of grasses as well as the selective extraction of pine trees 30 yr ago as the most probable control for the phenomena observed. From our results we concluded the biogeosystem to be in a transient state in terms of Si cycling.
Effects of SiO2 and ZnO doping on mechanical and biological properties of 3D printed TCP scaffolds
Fielding, Gary A.; Bandyopadhyay, Amit; Bose, Susmita
2011-01-01
Objectives To evaluate the effects of SiO2 (0.5 wt %) and ZnO (0.25 wt %) dopants on the mechanical and biological properties of tricalcium phosphate (TCP) scaffolds with three dimensionally (3D) interconnected pores. Methods Scaffolds were created with a commercial 3D printer. Post sintering phase analysis was determined by x-ray diffraction. Surface morphology of the scaffolds was examined by field emission electron microscopy. Mechanical strength was evaluated with a screw driven universal testing machine. MTT assay was used for cellular proliferation characteristics and cellular morphology was examined by field emission electron microscopy. Results Addition of dopants into TCP increased the average density of pure TCP from 90.8 ± 0.8% to 94.1 ± 1.6% and retarded the β to α phase transformation at high sintering temperatures, which resulted in up to 2.5 fold increase in compressive strength. In vitro cell-materials interaction studies, carried out using hFOB cells, confirmed that the addition of SiO2 and ZnO to the scaffolds facilitates faster cell proliferation when compared to pure TCP scaffolds. Significance Addition of SiO2 and ZnO dopants to the TCP scaffolds showed increased mechanical strength as well as increased cellular proliferation. PMID:22047943
Yu, Yang; Stevensson, Baltzar; Edén, Mattias
2017-10-19
The short and intermediate range structures of a large series of bioactive borophosphosilicate (BPS) glasses were probed by solid-state nuclear magnetic resonance (NMR) spectroscopy and atomistic molecular dynamics (MD) simulations. Two BPS glass series were designed by gradually substituting SiO 2 by B 2 O 3 in the respective phosphosilicate base compositions 24.1Na 2 O-23.3CaO-48.6SiO 2 -4.0P 2 O 5 ("S49") and 24.6Na 2 O-26.7CaO-46.1SiO 2 -2.6P 2 O 5 ("S46"), the latter constituting the "45S5 Bioglass" utilized for bone grafting applications. The BPS glass networks are built by interconnected SiO 4 , BO 4 , and BO 3 moieties, whereas P exists mainly as orthophosphate anions, except for a minor network-associated portion involving P-O-Si and P-O-B [4] motifs, whose populations were estimated by heteronuclear 31 P{ 11 B} NMR experimentation. The high Na + /Ca 2+ contents give fragmented glass networks with large amounts of nonbridging oxygen (NBO) anions. The MD-generated glass models reveal an increasing propensity for NBO accommodation among the network units according to BO 4 < SiO 4 < BO 3 ≪ PO 4 . The BO 4 /BO 3 intermixing was examined by double-quantum-single-quantum correlation 11 B NMR experiments, which evidenced the presence of all three BO 3 -BO 3 , BO 3 -BO 4 , and BO 4 -BO 4 connectivities, with B [3] -O-B [4] bridges dominating. Notwithstanding that B [4] -O-B [4] linkages are disfavored, both NMR spectroscopy and MD simulations established their presence in these modifier-rich BPS glasses, along with non-negligible B [4] -NBO contacts, at odds with the conventional structural view of borosilicate glasses. We discuss the relative propensities for intermixing of the Si/B/P network formers. Despite the absence of pronounced preferences for Si-O-Si bond formation, the glass models manifest subtle subnanometer-sized structural inhomogeneities, where SiO 4 tetrahedra tend to self-associate into small chain/ring motifs embedded in BO 3 /BO 4 -dominated
NASA Astrophysics Data System (ADS)
Chen, Zhi-Hui; Yu, Zhong-Yuan; Lu, Peng-Fei; Liu, Yu-Min
2009-10-01
Depositions of Si, Ge and C atoms onto a preliminary Si (001) substrate at different temperatures are investigated by using the molecular dynamics method. The mechanism of atomic self-assembling occurring locally on the flat terraces between steps is suggested. Diffusion and arrangement patterns of adatoms at different temperatures are observed. At 900 K, the deposited atoms are more likely to form dimers in the perpendicular [110] direction due to the more favourable movement along the perpendicular [110] direction. C adatoms are more likely to break or reconstruct the dimers on the substrate surface and have larger diffusion distances than Ge and Si adatoms. Exchange between C adatoms and substrate atoms are obvious and the epitaxial thickness is small. Total potential energies of adatoms and substrate atoms involved in the simulation cell are computed. When a newly arrived adatom reaches the stable position, the potential energy of the system will decrease and the curves turns into a ladder-like shape. It is found that C adatoms can lead to more reduction of the system energy and the potential energy of the system will increase as temperature increases.
Wallace, Joseph B.; Chen, Di; Shao, Lin
2015-11-03
Understanding radiation effects on the mechanical properties of SiC composites is important to their application in advanced reactor designs. By means of molecular dynamics simulations, we found that due to strong interface bonding between the graphene layers and SiC, the sliding friction of SiC fibers is largely determined by the frictional behavior between graphene layers. Upon sliding, carbon displacements between graphene layers can act as seed atoms to induce the formation of single carbon atomic chains (SCACs) by pulling carbon atoms from the neighboring graphene planes. The formation, growth, and breaking of SCACs determine the frictional response to irradiation.
NiMnGa/Si Shape Memory Bimorph Nanoactuation
NASA Astrophysics Data System (ADS)
Lambrecht, Franziska; Lay, Christian; Aseguinolaza, Iván R.; Chernenko, Volodymyr; Kohl, Manfred
2016-12-01
The size dependences of thermal bimorph and shape memory effect of nanoscale shape memory alloy (SMA)/Si bimorph actuators are investigated in situ in a scanning electron microscope and by finite element simulations. By combining silicon nanomachining and magnetron sputtering, freestanding NiMnGa/Si bimorph cantilever structures with film/substrate thickness of 200/250 nm and decreasing lateral dimensions are fabricated. Electrical resistance and mechanical beam bending tests upon direct Joule heating demonstrate martensitic phase transformation and reversible thermal bimorph effect, respectively. Corresponding characteristics are strongly affected by the large temperature gradient in the order of 50 K/µm forming along the nano bimorph cantilever upon electro-thermal actuation, which, in addition, depends on the size-dependent heat conductivity in the Si nano layer. Furthermore, the martensitic transformation temperatures show a size-dependent decrease by about 40 K for decreasing lateral dimensions down to 200 nm. The effects of heating temperature and stress distribution on the nanoactuation performance are analyzed by finite element simulations revealing thickness ratio of SMA/Si of 90/250 nm to achieve an optimum SME. Differential thermal expansion and thermo-elastic effects are discriminated by comparative measurements and simulations on Ni/Si bimorph reference actuators.
First-Principles Investigation of Radiation Induced Defects in SiC and Si.
NASA Astrophysics Data System (ADS)
Windl, Wolfgang; Lenosky, Thomas J.; Kress, Joel D.; Voter, Arthur F.
1997-03-01
SiC shows promise as a structural material for fusion reactors, partly because of its low activation under neutron irradiation. This radiation, however, can cause damage to its crystal structure, thereby degrading its properties. The focus of this work is the understanding of this neutron-induced radiation damage to SiC. Neutrons interact with matter primarily by scattering off nuclei, an event which suddenly imparts energy and momentum to an atom. If enough energy is transferred, this scattering event creates structural damage, such as displacement of the impacted atom from its original position to an interstitial site. We performed quantum molecular dynamics simulations to determine the displacement energy threshold, i.e., the minimum energy transfer required to create damage. To do this, we used the self-consistent Demkov-Ortega-Grumbach-Sankey (DOGS) extension(A. A. Demkov et al.), Phys. Rev. B 52, 1618 (1995). of the Harris-functional local orbital LDA method of Sankey et al. In order to benchmark the quality of our methodology for studying radiation damage, we compare our results to those of calculations employing classical interatomic potentials; furthermore, we performed similar simulations for Si, where experimental data exist.
NASA Astrophysics Data System (ADS)
Nozawa, T.; Hinoki, T.; Katoh, Y.; Kohyama, A.
2002-12-01
Radiation effects on flexural properties of SiC/SiC composites fabricated by forced thermal gradient chemical vapor infiltration (F-CVI) process, reaction sintered (RS) process and polymer impregnation and pyrolysis (PIP) process were investigated. In this study, neutron irradiation at 1073 K up to 0.4×10 25 n/m 2 ( E>0.1 MeV) was performed. For F-CVI and RS SiC/SiC, due to the irradiation damage of interphase like pyrolytic carbon and boron nitride, which were sensitive to neutron irradiation, composite stiffness was slightly decreased. On the contrary, for PIP SiC/SiC, there was no significant change in stiffness before and after irradiation. Composite strength, however, was nearly stable against high-temperature irradiation with such a low fluence, except for RS SiC/SiC, since mechanical characteristics of fiber and matrix themselves were still stable to neutron irradiation. However RS SiC/SiC had a slight reduction of flexural strength due to the severe degradation of the interface by neutron irradiation.
Controlled growth of 3C-SiC and 6H-SiC films on low-tilt-angle vicinal (0001) 6H-SiC wafers
NASA Technical Reports Server (NTRS)
Powell, J. A.; Petit, J. B.; Edgar, J. H.; Jenkins, I. G.; Matus, L. G.
1991-01-01
It has been found that, with proper pregrowth surface treatment, 6H-SiC single-crystal films can be grown by chemical vapor deposition (CVD) at 1450 C on vicinal (0001) 6H-SiC with tilt angles as small as 0.1 deg. Previously, tilt angles of greater than 1.5 deg were required to achieve 6H on 6H at this growth temperature. In addition, 3C-SiC could be induced to grow within selected regions on the 6H substrate. the 3C regions contained few (or zero) double-positioning boundaries and a low density of stacking faults. A new growth model is proposed to explain the control of SiC polytype in this epitaxial film growth process.
Kim, Taejin; Afonin, Kirill A.; Viard, Mathias; Koyfman, Alexey Y; Sparks, Selene; Heldman, Eliahu; Grinberg, Sarina; Linder, Charles; Blumenthal, Robert P; Shapiro, Bruce A
2013-01-01
Specific small interfering RNAs (siRNAs) designed to silence different oncogenic pathways can be used for cancer therapy. However, non-modified naked siRNAs have short half-lives in blood serum and encounter difficulties in crossing biological membranes due to their negative charge. These obstacles can be overcome by using siRNAs complexed with bolaamphiphiles, consisting of two positively charged head groups that flank an internal hydrophobic chain. Bolaamphiphiles have relatively low toxicities, long persistence in the blood stream, and most importantly, in aqueous conditions can form poly-cationic micelles thus, becoming amenable to association with siRNAs. Herein, two different bolaamphiphiles with acetylcholine head groups attached to an alkyl chain in two distinct configurations are compared for their abilities to complex with siRNAs and deliver them into cells inducing gene silencing. Our explicit solvent molecular dynamics (MD) simulations showed that bolaamphiphiles associate with siRNAs due to electrostatic, hydrogen bonding, and hydrophobic interactions. These in silico studies are supported by various in vitro and in cell culture experimental techniques as well as by some in vivo studies. Results demonstrate that depending on the application, the extent of siRNA chemical protection, delivery efficiency, and further intracellular release can be varied by simply changing the type of bolaamphiphile used. PMID:23511334
NASA Astrophysics Data System (ADS)
Wang, Suyuan; Zheng, Jun; Xue, Chunlai; Li, Chuanbo; Zuo, Yuhua; Cheng, Buwen; Wang, Qiming
2017-11-01
We present the device simulations of analog and radio frequency (RF) performances of four double-gate pocket n-type tunneling field-effect transistors (NTFETs). The direct current (DC), analog and RF performances of the Ge-homo, GeSn-homo, GeSn/Ge and GeSn/GeSiSn NTFETs, are compared. The GeSn NTFETs greatly improve the on-state current (ION) and average subthreshold slope (SS), when compared with the Ge NTFET. Moreover, the GeSn/GeSiSn NTFET has the largest intrinsic gain (Av), and exhibits a suppressed ambipolar behavior, improved cut-off frequency (fT), and gain bandwidth product (GBW), according to the analyzed analog and RF figures of merit (FOM). Therefore, it can be concluded that the GeSn/GeSiSn NTFET has great potential as a promising candidate for the realization of future generation low-power analog/RF applications.
TH-AB-207A-01: Contrast-Enhanced CT: Correlation of Radiation Dose and Biological Effect
DOE Office of Scientific and Technical Information (OSTI.GOV)
Abadi, E; Sanders, J; Agasthya, G
2016-06-15
Purpose: The potential risk from CT is generally characterized in terms of radiation dose. The presence of iodinated-contrast medium increases radiation dose. However, it is unclear how much of this increase is biologically relevant. The purpose of this study was to establish the contribution of dose increase from iodine to biological effect. Methods: Radiation organ dose was estimated in 58 human (XCAT) phantoms “undergoing” chest CT examination (120 kVp, 9 mGy CTDI) on a simulated CT system (Definition Flash, Siemens) with and without iodinated-contrast agent (62.5 mL of iodine per subject). The dose without and with the presence of iodinemore » was compared to the increase in foci per cell (a surrogate of DNA damage) measured before and after similar CT exams without and with contrast agent (Piechowiak et al. 2015). The data were analyzed to ascertain how the enhancement in biological effect in contrast-enhanced CTs correlated with the increase in dose due to the presence of iodine. Results: The presence of iodinated-contrast in CT increased the organ doses by 2% to 50% on average. Typical values were heart (50%±7%), kidney (19%±7%), and liver (2%±3%). The corresponding increase in the average foci per cell was 107%±19%, indicating biological effect of iodine was greater than what would be anticipated from the iodine-initiated increase in radiation dose alone. Conclusion: Mean foci per cell and organ dose both increase in the presence of contrast agent. The former, however, is at least twice as large as the latter, indicating that iodine contributes to an increase in the probability of DNA damage not only as a consequence of increased x-ray energy deposition but also from other mechanisms. Hence iodine radiation dose, while relevant to be included in estimating the risk associated with contrast-enhanced CT, still can underestimate the biological effects.« less
Optical properties of silicene, Si/Ag(111), and Si/Ag(110)
NASA Astrophysics Data System (ADS)
Hogan, C.; Pulci, O.; Gori, P.; Bechstedt, F.; Martin, D. S.; Barritt, E. E.; Curcella, A.; Prevot, G.; Borensztein, Y.
2018-05-01
We present a state-of-the-art study of the optical properties of free-standing silicene and of single-layer Si one- and two-dimensional (1D and 2D) nanostructures supported on Ag(110) and Ag(111) substrates. Ab initio simulations of reflectance anisotropy spectroscopy (RAS) and surface differential reflectivity spectroscopy (SDRS) applied to the clean Ag surface and Si/Ag interfaces are compared with new measurements. For Si/Ag(110), we confirm a pentagonal nanoribbon geometry, strongly bonded to the substrate, and rule out competing zigzag chain and silicenelike models. For Si/Ag(111), we reproduce the main experimental features and isolate the optical signal of the epitaxial silicene overlayer. The absorption spectrum of a silicene sheet computed including excitonic and local field effects is found to be quite similar to that calculated within an independent particle approximation and shows strong modifications when adsorbed on a Ag substrate. Important details of the computational approach are examined and the origins of the RAS and SDRS signals are explained in terms of the interface and substrate response functions. Our study does not find any evidence for Si adlayers that retain the properties of freestanding silicene.
Scher, S; Packer, E; Sagan, C
1964-01-01
It has been postulated that the accidental introduction of terrestrial microorganisms to other planets during the course of space exploration might impede or bias the detection of organic matter and possible indigenous organisms, and thereby confuse subsequent studies of extraterrestrial life. To assess the likelihood of biological contamination of Mars, we have applied the principle of natural selection on a laboratory scale. Terrestrial microorganisms were collected from a variety of environments, including regions of high alkalinity, low mean daily temperature, and low annual rainfall. The air-dried soils were then subjected to a simulated Martian environment involving 12-hour freeze-thaw cycles from about -60 degrees C to about +20 degrees C; atmospheres of 95 per cent nitrogen, 5 percent carbon dioxide and low moisture content: < or = 0.1 atm pressure; and a total ultraviolet dose at 2537 angstrom of 10(9) erg cm-2. In some experiments, organic supplements were provided. Survivors were scored on supplemented agar. Preliminary results indicate a wide variety of survivors, even when no organic supplements were introduced. Survivors included obligate and facultative anaerobic spore-formers and non-spore-forming facultative anaerobic bacteria. Diurnal freezing and thawing was continued for six months. There was no significant loss of viability after the first freeze-thaw cycle. An extensive literature survey shows that survival of terrestrial microorganisms under individual simulated Martian conditions has been known for decades. The present investigation shows the absence of pronounced synergistic effects inhibiting survival. The probable existence of organic matter and moisture on Mars, at least in restricted locales and times, makes it especially likely that terrestrial microorganisms can also reproduce on Mars. The demonstration that all samples of terrestrial soil tested contain a population of microorganisms which survive in simulated Martian environments
Computational studies of physical properties of Nb-Si based alloys
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ouyang, Lizhi
2015-04-16
The overall goal is to provide physical properties data supplementing experiments for thermodynamic modeling and other simulations such as phase filed simulation for microstructure and continuum simulations for mechanical properties. These predictive computational modeling and simulations may yield insights that can be used to guide materials design, processing, and manufacture. Ultimately, they may lead to usable Nb-Si based alloy which could play an important role in current plight towards greener energy. The main objectives of the proposed projects are: (1) developing a first principles method based supercell approach for calculating thermodynamic and mechanic properties of ordered crystals and disordered latticesmore » including solid solution; (2) application of the supercell approach to Nb-Si base alloy to compute physical properties data that can be used for thermodynamic modeling and other simulations to guide the optimal design of Nb-Si based alloy.« less
He, Jieyue; Wang, Chunyan; Qiu, Kunpu; Zhong, Wei
2014-01-01
Motif mining has always been a hot research topic in bioinformatics. Most of current research on biological networks focuses on exact motif mining. However, due to the inevitable experimental error and noisy data, biological network data represented as the probability model could better reflect the authenticity and biological significance, therefore, it is more biological meaningful to discover probability motif in uncertain biological networks. One of the key steps in probability motif mining is frequent pattern discovery which is usually based on the possible world model having a relatively high computational complexity. In this paper, we present a novel method for detecting frequent probability patterns based on circuit simulation in the uncertain biological networks. First, the partition based efficient search is applied to the non-tree like subgraph mining where the probability of occurrence in random networks is small. Then, an algorithm of probability isomorphic based on circuit simulation is proposed. The probability isomorphic combines the analysis of circuit topology structure with related physical properties of voltage in order to evaluate the probability isomorphism between probability subgraphs. The circuit simulation based probability isomorphic can avoid using traditional possible world model. Finally, based on the algorithm of probability subgraph isomorphism, two-step hierarchical clustering method is used to cluster subgraphs, and discover frequent probability patterns from the clusters. The experiment results on data sets of the Protein-Protein Interaction (PPI) networks and the transcriptional regulatory networks of E. coli and S. cerevisiae show that the proposed method can efficiently discover the frequent probability subgraphs. The discovered subgraphs in our study contain all probability motifs reported in the experiments published in other related papers. The algorithm of probability graph isomorphism evaluation based on circuit simulation
2014-01-01
Background Motif mining has always been a hot research topic in bioinformatics. Most of current research on biological networks focuses on exact motif mining. However, due to the inevitable experimental error and noisy data, biological network data represented as the probability model could better reflect the authenticity and biological significance, therefore, it is more biological meaningful to discover probability motif in uncertain biological networks. One of the key steps in probability motif mining is frequent pattern discovery which is usually based on the possible world model having a relatively high computational complexity. Methods In this paper, we present a novel method for detecting frequent probability patterns based on circuit simulation in the uncertain biological networks. First, the partition based efficient search is applied to the non-tree like subgraph mining where the probability of occurrence in random networks is small. Then, an algorithm of probability isomorphic based on circuit simulation is proposed. The probability isomorphic combines the analysis of circuit topology structure with related physical properties of voltage in order to evaluate the probability isomorphism between probability subgraphs. The circuit simulation based probability isomorphic can avoid using traditional possible world model. Finally, based on the algorithm of probability subgraph isomorphism, two-step hierarchical clustering method is used to cluster subgraphs, and discover frequent probability patterns from the clusters. Results The experiment results on data sets of the Protein-Protein Interaction (PPI) networks and the transcriptional regulatory networks of E. coli and S. cerevisiae show that the proposed method can efficiently discover the frequent probability subgraphs. The discovered subgraphs in our study contain all probability motifs reported in the experiments published in other related papers. Conclusions The algorithm of probability graph isomorphism
Thermal conductivity of nanocrystalline SiGe alloys using molecular dynamics simulations
NASA Astrophysics Data System (ADS)
Abs da Cruz, Carolina; Katcho, Nebil A.; Mingo, Natalio; Veiga, Roberto G. A.
2013-10-01
We have studied the effect of nanocrystalline microstructure on the thermal conductivity of SiGe alloys using molecular dynamics simulations. Nanograins are modeled using both the coincidence site lattice and the Voronoi tessellation methods, and the thermal conductivity is computed using the Green-Kubo formalism. We analyze the dependence of the thermal conductivity with temperature, grain size L, and misorientation angle. We find a power dependence of L1/4 of the thermal conductivity with the grain size, instead of the linear dependence shown by non-alloyed nanograined systems. This dependence can be derived analytically underlines the important role that disorder scattering plays even when the grains are of the order of a few nm. This is in contrast to non-alloyed systems, where phonon transport is governed mainly by the boundary scattering. The temperature dependence is weak, in agreement with experimental measurements. The effect of angle misorientation is also small, which stresses the main role played by the disorder scattering.
Solving the critical thermal bowing in 3C-SiC/Si(111) by a tilting Si pillar architecture
NASA Astrophysics Data System (ADS)
Albani, Marco; Marzegalli, Anna; Bergamaschini, Roberto; Mauceri, Marco; Crippa, Danilo; La Via, Francesco; von Känel, Hans; Miglio, Leo
2018-05-01
The exceptionally large thermal strain in few-micrometers-thick 3C-SiC films on Si(111), causing severe wafer bending and cracking, is demonstrated to be elastically quenched by substrate patterning in finite arrays of Si micro-pillars, sufficiently large in aspect ratio to allow for lateral pillar tilting, both by simulations and by preliminary experiments. In suspended SiC patches, the mechanical problem is addressed by finite element method: both the strain relaxation and the wafer curvature are calculated at different pillar height, array size, and film thickness. Patches as large as required by power electronic devices (500-1000 μm in size) show a remarkable residual strain in the central area, unless the pillar aspect ratio is made sufficiently large to allow peripheral pillars to accommodate the full film retraction. A sublinear relationship between the pillar aspect ratio and the patch size, guaranteeing a minimal curvature radius, as required for wafer processing and micro-crack prevention, is shown to be valid for any heteroepitaxial system.
NASA Astrophysics Data System (ADS)
Gruber, G.; Cottom, J.; Meszaros, R.; Koch, M.; Pobegen, G.; Aichinger, T.; Peters, D.; Hadley, P.
2018-04-01
SiC based metal-oxide-semiconductor field-effect transistors (MOSFETs) have gained a significant importance in power electronics applications. However, electrically active defects at the SiC/SiO2 interface degrade the ideal behavior of the devices. The relevant microscopic defects can be identified by electron paramagnetic resonance (EPR) or electrically detected magnetic resonance (EDMR). This helps to decide which changes to the fabrication process will likely lead to further increases of device performance and reliability. EDMR measurements have shown very similar dominant hyperfine (HF) spectra in differently processed MOSFETs although some discrepancies were observed in the measured g-factors. Here, the HF spectra measured of different SiC MOSFETs are compared, and it is argued that the same dominant defect is present in all devices. A comparison of the data with simulated spectra of the C dangling bond (PbC) center and the silicon vacancy (VSi) demonstrates that the PbC center is a more suitable candidate to explain the observed HF spectra.
Effects of simulated rare earth recycling wastewaters on biological nitrification
Fujita, Yoshiko; Barnes, Joni; Eslamimanesh, Ali; ...
2015-07-16
Current efforts to increase domestic availability of rare-earth element (REE) supplies by recycling and expanded ore processing efforts will result in increased generation of associated wastewaters. In some cases disposal to a sewage treatment plant may be favored but plant performance must be maintained. To assess the potential effects of such wastewaters on biological wastewater treatment, model nitrifying organisms Nitrosomonas europaea and Nitrobacter winogradskyi were exposed to simulated wastewaters containing varying levels of yttrium or europium (10, 50 and 100 ppm), and the REE extractant tributyl phosphate (TBP, at 0.1 g/L). Y and Eu additions above 10 ppm inhibited N.more » europaea activity, even when initially virtually all of the REE was insoluble. The provision of TBP together with Eu increased inhibition of nitrite production by the N. europaea, although TBP alone did not substantially alter nitrifying activity N. winogradskyi was more sensitive to the stimulated wastewaters, with even 10 ppm Eu or Y inducing significant inhibition, and a complete shutdown of nitrifying activity occurred in the presence of the TBP. To analyze the availability of REEs in aqueous solutions, REE solubility has been calculated using the previously developed MSE (Mixed-Solvent Electrolyte) thermodynamic model. The model calculations reveal a strong pH dependence of solubility, which is typically controlled by the precipitation of REE hydroxides but may also be influenced by the formation of a phosphate phase.« less
Effects of simulated rare earth recycling wastewaters on biological nitrification
DOE Office of Scientific and Technical Information (OSTI.GOV)
Fujita, Yoshiko; Barnes, Joni; Eslamimanesh, Ali
Current efforts to increase domestic availability of rare-earth element (REE) supplies by recycling and expanded ore processing efforts will result in increased generation of associated wastewaters. In some cases disposal to a sewage treatment plant may be favored but plant performance must be maintained. To assess the potential effects of such wastewaters on biological wastewater treatment, model nitrifying organisms Nitrosomonas europaea and Nitrobacter winogradskyi were exposed to simulated wastewaters containing varying levels of yttrium or europium (10, 50 and 100 ppm), and the REE extractant tributyl phosphate (TBP, at 0.1 g/L). Y and Eu additions above 10 ppm inhibited N.more » europaea activity, even when initially virtually all of the REE was insoluble. The provision of TBP together with Eu increased inhibition of nitrite production by the N. europaea, although TBP alone did not substantially alter nitrifying activity N. winogradskyi was more sensitive to the stimulated wastewaters, with even 10 ppm Eu or Y inducing significant inhibition, and a complete shutdown of nitrifying activity occurred in the presence of the TBP. To analyze the availability of REEs in aqueous solutions, REE solubility has been calculated using the previously developed MSE (Mixed-Solvent Electrolyte) thermodynamic model. The model calculations reveal a strong pH dependence of solubility, which is typically controlled by the precipitation of REE hydroxides but may also be influenced by the formation of a phosphate phase.« less
Space electric field concentrated effect for Zr:SiO2 RRAM devices using porous SiO2 buffer layer
2013-01-01
To improve the operation current lowing of the Zr:SiO2 RRAM devices, a space electric field concentrated effect established by the porous SiO2 buffer layer was investigated and found in this study. The resistive switching properties of the low-resistance state (LRS) and high-resistance state (HRS) in resistive random access memory (RRAM) devices for the single-layer Zr:SiO2 and bilayer Zr:SiO2/porous SiO2 thin films were analyzed and discussed. In addition, the original space charge limited current (SCLC) conduction mechanism in LRS and HRS of the RRAM devices using bilayer Zr:SiO2/porous SiO2 thin films was found. Finally, a space electric field concentrated effect in the bilayer Zr:SiO2/porous SiO2 RRAM devices was also explained and verified by the COMSOL Multiphysics simulation model. PMID:24330524
Misfit dislocation gettering by substrate pit-patterning in SiGe films on Si(001)
DOE Office of Scientific and Technical Information (OSTI.GOV)
Grydlik, Martyna; Groiss, Heiko; Brehm, Moritz
2012-07-02
We show that suitable pit-patterning of a Si(001) substrate can strongly influence the nucleation and the propagation of dislocations during epitaxial deposition of Si-rich Si{sub 1-x}Ge{sub x} alloys, preferentially gettering misfit segments along pit rows. In particular, for a 250 nm layer deposited by molecular beam epitaxy at x{sub Ge} = 15%, extended film regions appear free of dislocations, by atomic force microscopy, as confirmed by transmission electron microscopy sampling. This result is quite general, as explained by dislocation dynamics simulations, which reveal the key role of the inhomogeneous distribution in stress produced by the pit-patterning.
NASA Astrophysics Data System (ADS)
Tsai, Yi-Chia; Li, Yiming; Samukawa, Seiji
2017-12-01
In this work, we numerically simulate the silicon (Si)/silicon carbide (SiC) quantum dot superlattice solar cell (SiC-QDSL) with aluminum oxide (Al2O3-QDSL) passivation. By exploiting the passivation layer of Al2O3, the high photocurrent and the conversion efficiency can be achieved without losing the effective bandgap. Based on the two-photon transition mechanism in an AM1.5 and a one sun illumination, the simulated short-circuit current (J sc) of 4.77 mA cm-2 is very close to the experimentally measured 4.75 mA cm-2, which is higher than those of conventional SiC-QDSLs. Moreover, the efficiency fluctuation caused by the structural variation is less sensitive by using the passivation layer. A high conversion efficiency of 17.4% is thus estimated by adopting the QD’s geometry used in the experiment; and, it can be further boosted by applying a hexagonal QD formation with an inter-dot spacing of 0.3 nm.
Tsai, Yi-Chia; Li, Yiming; Samukawa, Seiji
2017-12-01
In this work, we numerically simulate the silicon (Si)/silicon carbide (SiC) quantum dot superlattice solar cell (SiC-QDSL) with aluminum oxide (Al 2 O 3 -QDSL) passivation. By exploiting the passivation layer of Al 2 O 3 , the high photocurrent and the conversion efficiency can be achieved without losing the effective bandgap. Based on the two-photon transition mechanism in an AM1.5 and a one sun illumination, the simulated short-circuit current (J sc ) of 4.77 mA cm -2 is very close to the experimentally measured 4.75 mA cm -2 , which is higher than those of conventional SiC-QDSLs. Moreover, the efficiency fluctuation caused by the structural variation is less sensitive by using the passivation layer. A high conversion efficiency of 17.4% is thus estimated by adopting the QD's geometry used in the experiment; and, it can be further boosted by applying a hexagonal QD formation with an inter-dot spacing of 0.3 nm.
NASA Astrophysics Data System (ADS)
Juntarapaso, Yada
Scanning Acoustic Microscopy (SAM) is one of the most powerful techniques for nondestructive evaluation and it is a promising tool for characterizing the elastic properties of biological tissues/cells. Exploring a single cell is important since there is a connection between single cell biomechanics and human cancer. Scanning acoustic microscopy (SAM) has been accepted and extensively utilized for acoustical cellular and tissue imaging including measurements of the mechanical and elastic properties of biological specimens. SAM provides superb advantages in that it is non-invasive, can measure mechanical properties of biological cells or tissues, and fixation/chemical staining is not necessary. The first objective of this research is to develop a program for simulating the images and contrast mechanism obtained by high-frequency SAM. Computer simulation algorithms based on MatlabRTM were built for simulating the images and contrast mechanisms. The mechanical properties of HeLa and MCF-7 cells were computed from the measurement data of the output signal amplitude as a function of distance from the focal planes of the acoustics lens which is known as V(z) . Algorithms for simulating V(z) responses involved the calculation of the reflectance function and were created based on ray theory and wave theory. The second objective is to design transducer arrays for SAM. Theoretical simulations based on Field II(c) programs of the high frequency ultrasound array designs were performed to enhance image resolution and volumetric imaging capabilities. Phased array beam forming and dynamic apodization and focusing were employed in the simulations. The new transducer array design will be state-of-the-art in improving the performance of SAM by electronic scanning and potentially providing a 4-D image of the specimen.
Monte Carlo simulation of proton track structure in biological matter
Quinto, Michele A.; Monti, Juan M.; Weck, Philippe F.; ...
2017-05-25
Here, understanding the radiation-induced effects at the cellular and subcellular levels remains crucial for predicting the evolution of irradiated biological matter. In this context, Monte Carlo track-structure simulations have rapidly emerged among the most suitable and powerful tools. However, most existing Monte Carlo track-structure codes rely heavily on the use of semi-empirical cross sections as well as water as a surrogate for biological matter. In the current work, we report on the up-to-date version of our homemade Monte Carlo code TILDA-V – devoted to the modeling of the slowing-down of 10 keV–100 MeV protons in both water and DNA –more » where the main collisional processes are described by means of an extensive set of ab initio differential and total cross sections.« less
Monte Carlo simulation of proton track structure in biological matter
DOE Office of Scientific and Technical Information (OSTI.GOV)
Quinto, Michele A.; Monti, Juan M.; Weck, Philippe F.
Here, understanding the radiation-induced effects at the cellular and subcellular levels remains crucial for predicting the evolution of irradiated biological matter. In this context, Monte Carlo track-structure simulations have rapidly emerged among the most suitable and powerful tools. However, most existing Monte Carlo track-structure codes rely heavily on the use of semi-empirical cross sections as well as water as a surrogate for biological matter. In the current work, we report on the up-to-date version of our homemade Monte Carlo code TILDA-V – devoted to the modeling of the slowing-down of 10 keV–100 MeV protons in both water and DNA –more » where the main collisional processes are described by means of an extensive set of ab initio differential and total cross sections.« less
Using multi-criteria analysis of simulation models to understand complex biological systems
Maureen C. Kennedy; E. David Ford
2011-01-01
Scientists frequently use computer-simulation models to help solve complex biological problems. Typically, such models are highly integrated, they produce multiple outputs, and standard methods of model analysis are ill suited for evaluating them. We show how multi-criteria optimization with Pareto optimality allows for model outputs to be compared to multiple system...
Characterization of Si p-i-n diode for scanning transmission ion microanalysis of biological samples
NASA Astrophysics Data System (ADS)
Devès, G.; Matsuyama, S.; Barbotteau, Y.; Ishii, K.; Ortega, R.
2006-05-01
The performance of a silicon p-i-n diode (Hamamatsu S1223-01) for the detection of charged particles was investigated and compared with the response of a standard passivated implanted planar silicon (PIPS) detector. The photodiode was characterized by ion beam induced charge collection with a micrometer spatial resolution using proton and alpha particle beams in the 1-3MeV energy range. Results indicate that homogeneity, energy resolution, and reproducibility of detection of charged particles enable the use of the low cost silicon p-i-n device as a replacement of conventional PIPS detector during scanning transmission ion microanalysis experiments. The Si p-i-n diode detection setup was successfully applied to scanning transmission ion microscopy determination of subcellular compartments on human cancer cultured cells.
NASA Astrophysics Data System (ADS)
Rahmani, Faezeh; Khosravinia, Hossein
2016-08-01
Theoretical studies on the optimization of Silicon (Si) parameters as the base of betavoltaic battery have been presented using Monte Carlo simulations and the state equations in semiconductor to obtain maximum power. Si with active area of 1 cm2 has been considered in p-n junction and Schottky barrier structure to collect the radiation induced-charge from 10 mCi cm-2 of Nickle-63 (63Ni) Source. The results show that the betavoltaic conversion efficiency in the Si p-n structure is about 2.7 times higher than that in the Ni/Si Schottky barrier structure.
BioFVM: an efficient, parallelized diffusive transport solver for 3-D biological simulations
Ghaffarizadeh, Ahmadreza; Friedman, Samuel H.; Macklin, Paul
2016-01-01
Motivation: Computational models of multicellular systems require solving systems of PDEs for release, uptake, decay and diffusion of multiple substrates in 3D, particularly when incorporating the impact of drugs, growth substrates and signaling factors on cell receptors and subcellular systems biology. Results: We introduce BioFVM, a diffusive transport solver tailored to biological problems. BioFVM can simulate release and uptake of many substrates by cell and bulk sources, diffusion and decay in large 3D domains. It has been parallelized with OpenMP, allowing efficient simulations on desktop workstations or single supercomputer nodes. The code is stable even for large time steps, with linear computational cost scalings. Solutions are first-order accurate in time and second-order accurate in space. The code can be run by itself or as part of a larger simulator. Availability and implementation: BioFVM is written in C ++ with parallelization in OpenMP. It is maintained and available for download at http://BioFVM.MathCancer.org and http://BioFVM.sf.net under the Apache License (v2.0). Contact: paul.macklin@usc.edu. Supplementary information: Supplementary data are available at Bioinformatics online. PMID:26656933
Engineering functional inorganic-organic hybrid systems: advances in siRNA therapeutics.
Shen, Jianliang; Zhang, Wei; Qi, Ruogu; Mao, Zong-Wan; Shen, Haifa
2018-03-21
Cancer treatment still faces a lot of obstacles such as tumor heterogeneity, drug resistance and systemic toxicities. Beyond the traditional treatment modalities, exploitation of RNA interference (RNAi) as an emerging approach has immense potential for the treatment of various gene-caused diseases including cancer. The last decade has witnessed enormous research and achievements focused on RNAi biotechnology. However, delivery of small interference RNA (siRNA) remains a key challenge in the development of clinical RNAi therapeutics. Indeed, functional nanomaterials play an important role in siRNA delivery, which could overcome a wide range of sequential physiological and biological obstacles. Nanomaterial-formulated siRNA systems have potential applications in protection of siRNA from degradation, improving the accumulation in the target tissues, enhancing the siRNA therapy and reducing the side effects. In this review, we explore and summarize the role of functional inorganic-organic hybrid systems involved in the siRNA therapeutic advancements. Additionally, we gather the surface engineering strategies of hybrid systems to optimize for siRNA delivery. Major progress in the field of inorganic-organic hybrid platforms including metallic/non-metallic cores modified with organic shells or further fabrication as the vectors for siRNA delivery is discussed to give credit to the interdisciplinary cooperation between chemistry, pharmacy, biology and medicine.
Nanopatterning of Si(001) for bottom-up fabrication of nanostructures.
Hu, Yanfang; Kalachahi, Hedieh Hosseinzadeh; Das, Amal K; Koch, Reinhold
2012-04-27
The epitaxial growth of Si on Si(001) under conditions at which the (2 × n) superstructure is forming has been investigated by scanning tunneling microscopy and Monte Carlo simulations. Our experiments reveal a periodic change of the surface morphology with the surface coverage of Si. A regular (2 × n) stripe pattern is observed at coverages of 0.7-0.9 monolayers that periodically alternates with less dense surface structures at lower Si surface coverages. The MC simulations show that the growth of Si is affected by step-edge barriers, which favors the formation of a rather uniform two-dimensional framework-like configuration. Subsequent deposition of Ge onto the (2 × n) stripe pattern yields a dense array of small Ge nanostructures.
Wu, Mingyan; Sabisch, Julian E C; Song, Xiangyun; Minor, Andrew M; Battaglia, Vincent S; Liu, Gao
2013-01-01
To address the significant challenges associated with large volume change of micrometer-sized Si particles as high-capacity anode materials for lithium-ion batteries, we demonstrated a simple but effective strategy: using Si nanoparticles as a structural and conductive additive, with micrometer-sized Si as the main lithium-ion storage material. The Si nanoparticles connected into the network structure in situ during the charge process, to provide electronic connectivity and structure stability for the electrode. The resulting electrode showed a high specific capacity of 2500 mAh/g after 30 cycles with high initial Coulombic efficiency (73%) and good rate performance during electrochemical lithiation and delithiation: between 0.01 and 1 V vs Li/Li(+).
RQL Sector Rig Testing of SiC/SiC Combustor Liners
NASA Technical Reports Server (NTRS)
Verrilli, Michael J.; Martin, Lisa C.; Brewer, David N.
2002-01-01
Combustor liners, manufactured from silicon carbide fiber-reinforced silicon carbide (SiC/SiC) were tested for 260 hr using a simulated gas turbine engine cycle. This report documents the results of the last 56 hr of testing. Damage occurred in one of the six different components that make up the combustor liner set, the rich zone liner. Cracks in the rich zone liner initiated at the leading edge due to stresses resulting from the component attachment configuration. Thin film thermocouples and fiber optic pyrometers were used to measure the rich zone liner's temperature and these results are reported.
NASA Astrophysics Data System (ADS)
Powell, C. J.; Werner, W. S. M.; Smekal, W.
2007-09-01
We report on the use of the NIST Database for the Simulation of Electron Spectra for Surface Analysis (SESSA) to determine N 1s, O 1s, and Si 2p3/2 photoelectron intensities for a 25 Å SiON film on a Si substrate with different distributions of N in the film. These simulations were made to assess the distinguishability of angle-resolved x-ray photoelectron spectroscopy (ARXPS) signals for each N distribution. Our approach differs from conventional simulations of ARXPS data in that we do not neglect elastic scattering of the photoelectrons and the finite solid angle of the analyzer. Appreciable dispersion of the photoelectron intensities was found only for the N 1s intensities at an emission angle of 75° (with respect to the surface normal). Conventional analyses of ARXPS data that include such large emission angles are unlikely to be valid due to angle-dependent changes of the attenuation length. We demonstrate the magnitude of elastic-scattering and analyzer solid-angle effects on the calculated angular distributions.
Simulating biological processes: stochastic physics from whole cells to colonies.
Earnest, Tyler M; Cole, John A; Luthey-Schulten, Zaida
2018-05-01
The last few decades have revealed the living cell to be a crowded spatially heterogeneous space teeming with biomolecules whose concentrations and activities are governed by intrinsically random forces. It is from this randomness, however, that a vast array of precisely timed and intricately coordinated biological functions emerge that give rise to the complex forms and behaviors we see in the biosphere around us. This seemingly paradoxical nature of life has drawn the interest of an increasing number of physicists, and recent years have seen stochastic modeling grow into a major subdiscipline within biological physics. Here we review some of the major advances that have shaped our understanding of stochasticity in biology. We begin with some historical context, outlining a string of important experimental results that motivated the development of stochastic modeling. We then embark upon a fairly rigorous treatment of the simulation methods that are currently available for the treatment of stochastic biological models, with an eye toward comparing and contrasting their realms of applicability, and the care that must be taken when parameterizing them. Following that, we describe how stochasticity impacts several key biological functions, including transcription, translation, ribosome biogenesis, chromosome replication, and metabolism, before considering how the functions may be coupled into a comprehensive model of a 'minimal cell'. Finally, we close with our expectation for the future of the field, focusing on how mesoscopic stochastic methods may be augmented with atomic-scale molecular modeling approaches in order to understand life across a range of length and time scales.
Simulating biological processes: stochastic physics from whole cells to colonies
NASA Astrophysics Data System (ADS)
Earnest, Tyler M.; Cole, John A.; Luthey-Schulten, Zaida
2018-05-01
The last few decades have revealed the living cell to be a crowded spatially heterogeneous space teeming with biomolecules whose concentrations and activities are governed by intrinsically random forces. It is from this randomness, however, that a vast array of precisely timed and intricately coordinated biological functions emerge that give rise to the complex forms and behaviors we see in the biosphere around us. This seemingly paradoxical nature of life has drawn the interest of an increasing number of physicists, and recent years have seen stochastic modeling grow into a major subdiscipline within biological physics. Here we review some of the major advances that have shaped our understanding of stochasticity in biology. We begin with some historical context, outlining a string of important experimental results that motivated the development of stochastic modeling. We then embark upon a fairly rigorous treatment of the simulation methods that are currently available for the treatment of stochastic biological models, with an eye toward comparing and contrasting their realms of applicability, and the care that must be taken when parameterizing them. Following that, we describe how stochasticity impacts several key biological functions, including transcription, translation, ribosome biogenesis, chromosome replication, and metabolism, before considering how the functions may be coupled into a comprehensive model of a ‘minimal cell’. Finally, we close with our expectation for the future of the field, focusing on how mesoscopic stochastic methods may be augmented with atomic-scale molecular modeling approaches in order to understand life across a range of length and time scales.
Solid-phase crystallization of amorphous Si films on glass and Si wafer
NASA Astrophysics Data System (ADS)
Lee, Dong Nyung
2011-11-01
When amorphous silicon films deposited on glass by physical or chemical vapor deposition are annealed, they undergo crystallization by nucleation and growth. The growth rate of Si crystallites is the highest in their <111> directions along or nearly along the film surface. The directed crystallization is likely to develop the <110>//ND or <111>//ND oriented Si crystallites. As the annealing temperature increases, the equiaxed crystallization increases, which in turn increases the random orientation. When amorphous Si is under a stress of the order of 0.1 GPa at about 540 °C, the tensile stress increases the growth rate of Si grains, whereas the compressive stress decreases the growth rate. However, the crystal growth rate increases with the increasing hydrostatic pressure, when the pressure is of the order of GPa at 530-540 °C. These phenomena have been discussed based on the directed crystallization model advanced before, which has been further elaborated.
Current progress of siRNA/shRNA therapeutics in clinical trials.
Burnett, John C; Rossi, John J; Tiemann, Katrin
2011-09-01
Through a mechanism known as RNA interference (RNAi), small interfering RNA (siRNA) molecules can target complementary mRNA strands for degradation, thus specifically inhibiting gene expression. The ability of siRNAs to inhibit gene expression offers a mechanism that can be exploited for novel therapeutics. Indeed, over the past decade, at least 21 siRNA therapeutics have been developed for more than a dozen diseases, including various cancers, viruses, and genetic disorders. Like other biological drugs, RNAi-based therapeutics often require a delivery vehicle to transport them to the targeted cells. Thus, the clinical advancement of numerous siRNA drugs has relied on the development of siRNA carriers, including biodegradable nanoparticles, lipids, bacteria, and attenuated viruses. Most therapies permit systemic delivery of the siRNA drug, while others use ex vivo delivery by autologous cell therapy. Advancements in bioengineering and nanotechnology have led to improved control of delivery and release of some siRNA therapeutics. Likewise, progress in molecular biology has allowed for improved design of the siRNA molecules. Here, we provide an overview of siRNA therapeutics in clinical trials, including their clinical progress, the challenges they have encountered, and the future they hold in the treatment of human diseases. Copyright © 2011 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Landscape cultivation alters δ30Si signature in terrestrial ecosystems.
NASA Astrophysics Data System (ADS)
Vandevenne, F. I.; Delvaux, C.; Huyghes, H.; Ronchi, B.; Govers, G.; Barão, A. L.; Clymans, W.; Meire, P.; André, L.; Struyf, E.
2014-12-01
Despite increasing recognition of the importance of biological Si cycling in controlling dissolved Si (DSi) in soil and stream water, effects of human cultivation on the Si cycle remain poorly understood. Sensitive tracer techniques to identify and quantify Si in the soil-plant-water system could be highly relevant in addressing these uncertainties. Stable Si isotopes are promising tools to define Si sources and sinks along the ecosystem flow path, as intense fractionation occurs during chemical weathering and uptake of dissolved Si in plants. Yet they remain underexploited in the end product of the soil-plant system: the soil water. Here, stable Si isotope ratios (δ30Si) of dissolved Si in soil water were measured along a land use gradient (continuous forest, continuous pasture, young cropland and continuous cropland) with similar parent material (loess) and homogenous bulk mineralogical and climatological properties (Belgium). Soil water δ30Si signatures are clearly separated along the gradient, with highest average signatures in continuous cropland (+1.61‰), intermediate in pasture (+1.05‰) and young cropland (+0.89 ‰) and lowest in forest soil water (+0.62‰). Our data do not allow distinguishing biological from pedogenic/lithogenic processes, but point to a strong interaction of both. We expect that increasing export of light isotopes in disturbed land uses (i.e. through agricultural harvest), and higher recycling of 28Si and elevated weathering intensity (including clay dissolution) in forest systems will largely determine soil water δ30Si signatures of our systems. Our results imply that soil water δ30Si signature is biased through land management before it reaches rivers and coastal zones, where other fractionation processes take over (e.g. diatom uptake and reverse weathering in floodplains). In particular, a direct role of agriculture systems in lowering export Si fluxes towards rivers and coastal systems has been shown. Stable Si isotopes have
Landscape cultivation alters δ30Si signature in terrestrial ecosystems
NASA Astrophysics Data System (ADS)
Vandevenne, Floor; Delvaux, Claire; Hughes, Harold; Ronchi, Benedicta; Clymans, Wim; Barao, Ana Lucia; Govers, Gerard; Cornelis, Jean Thomas; André, Luc; Struyf, Eric
2015-04-01
Despite increasing recognition of the importance of biological Si cycling in controlling dissolved Si (DSi) in soil and stream water, effects of human cultivation on the Si cycle remain poorly understood. Sensitive tracer techniques to identify and quantify Si in the soil-plant-water system could be highly relevant in addressing these uncertainties. Stable Si isotopes are promising tools to define Si sources and sinks along the ecosystem flow path, as intense fractionation occurs during chemical weathering and uptake of dissolved Si in plants. Yet they remain underexploited in the end product of the soil-plant system: the soil water. Here, stable Si isotope ratios (δ30Si) of dissolved Si in soil water were measured along a land use gradient (continuous forest, continuous pasture, young cropland and continuous cropland) with similar parent material (loess) and homogenous bulk mineralogical and climatological (Belgium). Soil water δ30Si signatures are clearly separated along the gradient, with highest average signatures in continuous cropland (+1.61%), intermediate in pasture (+1.05%) and young cropland (+0.89%) and lowest in forest soil water (+0.62%). Our data do not allow distinguishing biological from pedogenic/lithogenic processes, but point to a strong interaction of both. We expect that increasing export of light isotopes in disturbed land uses (i.e. through agricultural harvest), and higher recycling of 28Si and elevated weathering intensity (including clay dissolution) in forest systems will largely determine soil water δ30Si signatures of our systems. Our results imply that soil water δ30Si signature is biased through land management before it reaches rivers and coastal zones, where other fractionation processes take over (e.g. diatom uptake and reverse weathering in floodplains). In particular, a direct role of agriculture systems in lowering export Si fluxes towards rivers and coastal systems has been shown. Stable Si isotopes have a large potential
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lu, Zhou; Chang, Yih Chung; Gao, Hong
2014-06-21
We present a generally applicable experimental method for the direct measurement of nascent spin-orbit state distributions of atomic photofragments based on the detection of vacuum ultraviolet (VUV)-excited autoionizing-Rydberg (VUV-EAR) states. The incorporation of this VUV-EAR method in the application of the newly established VUV-VUV laser velocity-map-imaging-photoion (VMI-PI) apparatus has made possible the branching ratio measurement for correlated spin-orbit state resolved product channels, CO(ã{sup 3}Π; v) + O({sup 3}P{sub 0,1,2}) and CO(Χ{sup ~1}Σ{sup +}; v) + O({sup 3}P{sub 0,1,2}), formed by VUV photoexcitation of CO{sub 2} to the 4s(1{sub 0}{sup 1}) Rydberg state at 97,955.7 cm{sup −1}. The total kinetic energy releasemore » (TKER) spectra obtained from the O{sup +} VMI-PI images of O({sup 3}P{sub 0,1,2}) reveal the formation of correlated CO(ã{sup 3}Π; v = 0–2) with well-resolved v = 0–2 vibrational bands. This observation shows that the dissociation of CO{sub 2} to form the spin-allowed CO(ã{sup 3}Π; v = 0–2) + O({sup 3}P{sub 0,1,2}) channel has no potential energy barrier. The TKER spectra for the spin-forbidden CO(Χ{sup ~1}Σ{sup +}; v) + O({sup 3}P{sub 0,1,2}) channel were found to exhibit broad profiles, indicative of the formation of a broad range of rovibrational states of CO(Χ{sup ~1}Σ{sup +}) with significant vibrational populations for v = 18–26. While the VMI-PI images for the CO(ã{sup 3}Π; v = 0–2) + O({sup 3}P{sub 0,1,2}) channel are anisotropic, indicating that the predissociation of CO{sub 2} 4s(1{sub 0}{sup 1}) occurs via a near linear configuration in a time scale shorter than the rotational period, the angular distributions for the CO(Χ{sup ~1}Σ{sup +}; v) + O({sup 3}P{sub 0,1,2}) channel are close to isotropic, revealing a slower predissociation process, which possibly occurs on a triplet surface via an intersystem crossing mechanism.« less
Scaling of Multimillion-Atom Biological Molecular Dynamics Simulation on a Petascale Supercomputer
DOE Office of Scientific and Technical Information (OSTI.GOV)
Schulz, Roland; Lindner, Benjamin; Petridis, Loukas
2009-01-01
A strategy is described for a fast all-atom molecular dynamics simulation of multimillion-atom biological systems on massively parallel supercomputers. The strategy is developed using benchmark systems of particular interest to bioenergy research, comprising models of cellulose and lignocellulosic biomass in an aqueous solution. The approach involves using the reaction field (RF) method for the computation of long-range electrostatic interactions, which permits efficient scaling on many thousands of cores. Although the range of applicability of the RF method for biomolecular systems remains to be demonstrated, for the benchmark systems the use of the RF produces molecular dipole moments, Kirkwood G factors,more » other structural properties, and mean-square fluctuations in excellent agreement with those obtained with the commonly used Particle Mesh Ewald method. With RF, three million- and five million atom biological systems scale well up to 30k cores, producing 30 ns/day. Atomistic simulations of very large systems for time scales approaching the microsecond would, therefore, appear now to be within reach.« less
NASA Astrophysics Data System (ADS)
Ghiorso, M. S.; Cutler, I.; Nevins, D.; Spera, F. J.
2009-12-01
Equilibrium Molecular Dynamics (MD) simulations are applied to molten CaAl2Si2O8 using a Coulomb-Born-Mayer-van der Waals pair potential form and parameters from Matsui (1996, GRL 23:395). Experiments were performed in the microcanonical ensemble (NEV) using 8000 atoms, a 1 fs time step, and simulation durations of 50 ps. Computations were carried out every 500 K over a temperature range of 2500 - 5000 K along 21 isochores to yield a grid of 141 state points spanning the pressure range 0-800 GPa. Atomic coordination statistics are determined by counting nearest neighbor configurations up to a cutoff distance defined by the first minima of the pair correlation function. A thermodynamic model (and EOS) for this liquid is developed from the MD simulation results by combining the Rosenfeld-Tarazona (1998, Mol Phys 95:141) potential energy-temperature scaling law with the Universal EOS (1986, J Phys C, 19:L467). The resulting model is used to estimate thermodynamic properties and the sound speed of the liquid near zero pressure and these compare favorably to physical experiments. By contrast to our previous work (DOI: 10.1016/j.gca.2009.08.012), which utilized an alternate pair potential, no structural phase transition is required to thermodynamically model these results — a single parameterization describes the properties of the system over the entire range of ~4-fold compression. Our analysis indicates the existence of polyamorphism with a critical point at ~0.6 GPa and ~3000 K. A modeled Hugoniot is consistent with the low-pressure shock experiments of Rigden et al. (JGR 94:9508) but inconsistent with the more recent measurements of Asimow and Ahrens (EOS 89,MR32B-04). The latter experiments are matched with a model isentrope emanating from just above the zero pressure melting point of anorthite, which also coincides with the initial conditions of the shock. The MD simulations reveal that near zero-pressure, CaAl2Si2O8 liquid is dominated by Si in tetrahedral
Simulated impact of RTS,S/AS01 vaccination programs in the context of changing malaria transmission.
Brooks, Alan; Briët, Olivier J T; Hardy, Diggory; Steketee, Richard; Smith, Thomas A
2012-01-01
The RTS,S/AS01 pre-erythrocytic malaria vaccine is in phase III clinical trials. It is critical to anticipate where and how it should be implemented if trials are successful. Such planning may be complicated by changing levels of malaria transmission. Computer simulations were used to examine RTS,S/AS01 impact, using a vaccine profile based on phase II trial results, and assuming that protection decays only slowly. Settings were simulated in which baseline transmission (in the absence of vaccine) was fixed or varied between 2 and 20 infectious mosquito bites per person per annum (ibpa) over ten years. Four delivery strategies were studied: routine infant immunization (EPI), EPI plus infant catch-up, EPI plus school-based campaigns, and EPI plus mass campaigns. Impacts in changing transmission settings were similar to those in fixed settings. Assuming a persistent effect of vaccination, at 2 ibpa, the vaccine averted approximately 5-7 deaths per 1000 doses of vaccine when delivered via mass campaigns, but the benefit was less at higher transmission levels. EPI, catch-up and school-based strategies averted 2-3 deaths per 1000 doses in settings with 2 ibpa. In settings where transmission was decreasing or increasing, EPI, catch-up and school-based strategies averted approximately 3-4 deaths per 1000 doses. Where transmission is changing, it appears to be sufficient to consider simulations of pre-erythrocytic vaccine impact at a range of initial transmission levels. At 2 ibpa, mass campaigns averted the most deaths and reduced transmission, but this requires further study. If delivered via EPI, RTS,S/AS01 could avert approximately 6-11 deaths per 1000 vaccinees in all examined settings, similar to estimates for pneumococcal conjugate vaccine in African infants. These results support RTS,S/AS01 implementation via EPI, for example alongside vector control interventions, providing that the phase III trials provide support for our assumptions about efficacy.
2006-03-02
ISS012-E-23057 (2 March 2006) --- Ekuma River and Etosha Pan, Namibia are featured in this close-up image photographed by an Expedition 12 crewmember on the International Space Station. Etosha Pan, northern Namibia, is a large (120 kilometers or 75 mile long) dry lakebed in the Kalahari Desert. The lake and surrounds are protected today as one of Namibias largest wildlife parks. Herds of elephant occupy the dense mopane woodland on the south side of the lake. Mopane trees are common throughout south-central Africa, and host the mopane worm (the larval form of the Mopane Emperor Moth)an important source of protein for rural communities. According to scientists, about 16,000 years ago, when ice sheets were melting across Northern Hemisphere land masses, a wet climate phase in southern Africa filled Etosha Lake. Today, Etosha Pan is seldom seen with even a thin sheet of water covering the salt pan. This view shows the point where the Ekuma River flows into the salt lake. The Ekuma River is almost never seen with water, but in early 2006 rainfall twice the average amount in the rivers catchment generated flow. Greens and browns show vegetation and algae growing in different depths of water where the river enters the dry lake. Typically, little river water or sediment reaches the dry lake because water seeps into the riverbed along its 250 kilometers (155 miles) course, reducing discharge along the way. In this image, there was enough surface flow to reach the Pan, but too little water reached the mouth of the river to flow beyond the inlet bay. The unusual levels of precipitation also filled several small, usually dry lakes to the north of Etosha Pan.
2006-01-24
ISS012-E-15918 (24 Jan. 2006) --- Belle Isle and a portion of Newfoundland, Canada are featured in this image photographed by an Expedition 12 crew member on the International Space Station. Belle Isle (center) is surrounded by sea ice in this recent winter view. Belle Isle lies in the strait between the Island of Newfoundland and Labrador (the mainland portion of Canadas province of Newfoundland). Small islands along the coast of Labrador appear in the top left corner. In this key location Belle Isle lies on the shortest shipping lanes between the Great Lakes and Europe, and also on the main north-south shipping route to Hudson Bay and the Northwest Territories. Snow and ice in this recent winter view obliterate the dozens of glacier-scoured lakes that dot the surface of the island. The single community of Belle Isle Landing on the southeast tip is equally hard to see. Ice patterns also show that the island lies at the meeting point of two sea currents. The Labrador Current flows from the northwest (top left), and a smaller current, driven by dominant westerly winds, flows from the southwest (lower left) out of the narrow Belle Isle Strait (out of frame lower left). Flow lines in sea ice indicate the sense of movement of the ice. Ice floes embedded in the Labrador Current appear in the upper part of the image as a relatively open pattern. Sea ice with a denser pattern enters from the lower left corner, banking against the west side of Belle Isle. Tendrils flow around capes at either end of the island, with an ice-free shadow on the opposite, downstream side. Eddies (center) in the ice patterns show where the currents interact, north and west of the island.
2006-01-28
ISS012-E-16633 (28 Jan. 2006) --- Savannah River Site, South Carolina is featured in this image photographed by an Expedition 12 crew member on the International Space Station. Situated between the South Carolina piedmont and the Atlantic Ocean, the Savannah River Site is an important part of the US Department of Energys nuclear program. Construction of the site originally called the Savannah River Plant began in 1951 for the purpose of generating radioactive materials, primarily the hydrogen isotope tritium and plutonium-239, necessary for nuclear weapons production during the Cold War. A total of five nuclear reactors occupy the central portion of the site and operated throughout 1953-1992. Following the end of the Cold War in 1991 activities at the Savannah River Site are now focused on disposal of nuclear wastes, environmental cleanup of the site itself, and development of advanced remediation technologies. The Savannah River Site is located in the Sand Hills region of South Carolina and includes an area of 800 square kilometers (300 square miles). The southern half of the Site (building clusters with reflective white rooftops) is shown. The nearby Savannah River and its tributary creeks provided a ready source of water for the nuclear reactors; to this end, two artificial lakes (L Lake and Par Pond) were constructed. The meandering channel of the River and its floodplain, characterized by grey-brown sediments, extends from northwest to southeast across the left portion of the image. The proximity of the River, and the permeable nature of the geological materials under laying the site (sand, clay, gravel, and carbonate rocks), necessitate extensive and ongoing environmental monitoring and cleanup efforts to reduce potential contamination of local water sources. According to NASA scientists, final remediation of wastes posing threats to surface and groundwater is scheduled to occur by 2025.
2006-03-21
ISS012-E-21250 (2 March 2006) --- Dust and smog in northeast China are featured in this image photographed by an Expedition 12 crewmember on the International Space Station. Much of the land surface is obscured in this oblique image of the North China Plain and parts of Inner Mongolia. In the center of the view a mass of gray smogmainly industrial pollution and smoke from domestic burningobscures Beijing and surrounding cities. Numerous plumes with their source points appear within the mass. Beijing suffers some of the worst air pollution in the world from these chronic sources, and the characteristic colors and textures of the smog can be easily seen through windows of the International Space Station. The coastline of Bo Hai Bay, 300 kilometers east of Beijing, is visible at left. The light brown material in Bo Hai Bay is sediment from the Yellow and other rivers. Separated from the smog mass by a band of puffy, white cumulus clouds is a light brown plume of dust. The line of white cloud has developed along the escarpment that separates the heavily populated North China Plain location of the largest population agglomeration on Earth and the sparsely populated semi-desert plains of Inner Mongolia. Observers saw a number of dust events in most Northern Hemisphere deserts in the spring of 2006, and the Gobi and the Takla Makan deserts of western China were no exception. Dust plumes originating in these deserts typically extend eastward hundreds of kilometers, regularly depositing dust on Beijing, the Korean peninsula and Japan. Some plumes even extend over the Pacific Ocean. In extreme cases, visible masses of Gobi-derived dust have reached North America.
Ichikawa, Kazuhisa; Suzuki, Takashi; Murata, Noboru
2010-11-30
Molecular events in biological cells occur in local subregions, where the molecules tend to be small in number. The cytoskeleton, which is important for both the structural changes of cells and their functions, is also a countable entity because of its long fibrous shape. To simulate the local environment using a computer, stochastic simulations should be run. We herein report a new method of stochastic simulation based on random walk and reaction by the collision of all molecules. The microscopic reaction rate P(r) is calculated from the macroscopic rate constant k. The formula involves only local parameters embedded for each molecule. The results of the stochastic simulations of simple second-order, polymerization, Michaelis-Menten-type and other reactions agreed quite well with those of deterministic simulations when the number of molecules was sufficiently large. An analysis of the theory indicated a relationship between variance and the number of molecules in the system, and results of multiple stochastic simulation runs confirmed this relationship. We simulated Ca²(+) dynamics in a cell by inward flow from a point on the cell surface and the polymerization of G-actin forming F-actin. Our results showed that this theory and method can be used to simulate spatially inhomogeneous events.
NASA Astrophysics Data System (ADS)
Heo, N. H.; Yoon, G. G.
2010-04-01
The solubility of sulfur is calculated in 0.1 %Mn-added 3 %Si-Fe alloys. The segregation kinetics of sulfur is compared in the alloy containing 95 ppm sulfur, depending on the annealing atmosphere. The effects of pre-annealing and annealing atmosphere on final annealing texture are investigated. Segregation behaviors of sulfur at free surfaces and grain boundaries are compared and, during the selective growth, the importance of the grain boundary concentration of sulfur is emphasized. Finally, a correlation between the development of the annealing texture and segregation kinetics of sulfur in the alloy strip is discussed.
NASA Astrophysics Data System (ADS)
Shu, Gequn; Pan, Jiaying; Wei, Haiqiao; Shi, Ning
2013-03-01
Knock in spark-ignition(SI) engines severely limits engine performance and thermal efficiency. The researches on knock of downsized SI engine have mainly focused on structural design, performance optimization and advanced combustion modes, however there is little for simulation study on the effect of cooled exhaust gas recirculation(EGR) combined with downsizing technologies on SI engine performance. On the basis of mean pressure and oscillating pressure during combustion process, the effect of different levels of cooled EGR ratio, supercharging and compression ratio on engine dynamic and knock characteristic is researched with three-dimensional KIVA-3V program coupled with pressure wave equation. The cylinder pressure, combustion temperature, ignition delay timing, combustion duration, maximum mean pressure, and maximum oscillating pressure at different initial conditions are discussed and analyzed to investigate potential approaches to inhibiting engine knock while improving power output. The calculation results of the effect of just cooled EGR on knock characteristic show that appropriate levels of cooled EGR ratio can effectively suppress cylinder high-frequency pressure oscillations without obvious decrease in mean pressure. Analysis of the synergistic effect of cooled EGR, supercharging and compression ratio on knock characteristic indicates that under the condition of high supercharging and compression ratio, several times more cooled EGR ratio than that under the original condition is necessarily utilized to suppress knock occurrence effectively. The proposed method of synergistic effect of cooled EGR and downsizing technologies on knock characteristic, analyzed from the aspects of mean pressure and oscillating pressure, is an effective way to study downsized SI engine knock and provides knock inhibition approaches in practical engineering.
NASA Astrophysics Data System (ADS)
Powell, C. J.; Smekal, W.; Werner, W. S. M.
2005-09-01
We describe a new NIST database for the Simulation of Electron Spectra for Surface Analysis (SESSA). This database provides data for the many parameters needed in quantitative Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS). In addition, AES and XPS spectra can be simulated for layered samples. The simulated spectra, for layer compositions and thicknesses specified by the user, can be compared with measured spectra. The layer compositions and thicknesses can then be adjusted to find maximum consistency between simulated and measured spectra. In this way, AES and XPS can provide more detailed characterization of multilayer thin-film materials. We report on the use of SESSA for determining the thicknesses of HfO2, ZrO2, HfSiO4, and ZrSiO4 films on Si by angle-resolved XPS. Practical effective attenuation lengths (EALs) have been computed from SESSA as a function of film thickness and photoelectron emission angle (i.e., to simulate the effects of tilting the sample). These EALs have been compared with similar values obtained from the NIST Electron Effective-Attenuation-Length Database (SRD 82). Generally good agreement was found between corresponding EAL values, but there were differences for film thicknesses less than the inelastic mean free path of the photoelectrons in the overlayer film. These differences are due to a simplifying approximation in the algorithm used to compute EALs in SRD 82. SESSA, with realistic cross sections for elastic and inelastic scattering in the film and substrate materials, is believed to provide more accurate EALs than SRD 82 for thin-film thickness measurements, particularly in applications where the film and substrate have different electron-scattering properties.
NASA Astrophysics Data System (ADS)
Pandey, Rahul; Chaujar, Rishu
2016-12-01
In this work, a novel four-terminal perovskite/SiC-based rear contact silicon tandem solar cell device has been proposed and simulated to achieve 27.6% power conversion efficiency (PCE) under single AM1.5 illumination. 20.9% efficient semitransparent perovskite top subcell has been used for perovskite/silicon tandem architecture. The tandem structure of perovskite-silicon solar cells is a promising method to achieve efficient solar energy conversion at low cost. In the four-terminal tandem configuration, the cells are connected independently and hence avoids the need for current matching between top and bottom subcell, thus giving greater design flexibility. The simulation analysis shows, PCE of 27.6% and 22.4% with 300 μm and 10 μm thick rear contact Si bottom subcell, respectively. This is a substantial improvement comparing to transparent perovskite solar cell and c-Si solar cell operated individually. The impact of perovskite layer thickness, monomolecular, bimolecular, and trimolecular recombination have also been obtained on the performance of perovskite top subcell. Reported PCEs of 27.6% and 22.4% are 1.25 times and 1.42 times higher as compared to experimentally available efficiencies of 22.1% and 15.7% in 300 μm and 10 μm thick stand-alone silicon solar cell devices, respectively. The presence of SiC significantly suppressed the interface recombination in bottom silicon subcell. Detailed realistic technology computer aided design (TCAD) analysis has been performed to predict the behaviour of the device.
Safari, Fatemeh; Tamaddon, Ali M; Zarghami, Nosratollah; Abolmali, S; Akbarzadeh, Abolfazl
2016-09-01
Gene silencing by siRNA (short interfering RNA)-targeted human telomerase reverse transcriptase (hTERT) is considered a successful strategy for cancer gene therapy. Polyelectrolyte complexes (PEC) of siRNA and cationic polymers such as polyethyleneimine (PEI) have been widely used for cellular transfection; however, they demonstrate some disadvantages such as cytotoxicity and extracellular matrix restrictions. PEG grafting technology was used in an attempt to improve the biocompatibility of PECs. Considering that this technology may compromise the cellular uptake of PECs, we aimed to study the effect of degree of PEI PEGylation on the carrier cytotoxicity, cellular association, and transfection efficiency of hTERT siRNA in the lung cancer cell line A549. Activated NHS ester of methoxy PEG-COOH 5 KDa was grafted to hyperbranched PEI 25 KDa in the molar ratios of 0.2 and 1. The copolymers were characterized by (1)H-NMR spectroscopy. PECs of PEI or PEG-g-PEI with siRNA, alone or co-incubated with heparin sulfate, were studied by the ethidium bromide exclusion assay. Cytotoxicity of the polymers (PEG-g-PEI vs PEI), alone and upon formation of PEC nanoparticles with hTERT siRNA, was determined by a validated MTT assay, in comparison to a scrambled control sequence, in A549 human lung carcinoma cells. The cellular uptake of the PECs of FITC-labeled siRNA was investigated by flow cytometry at different N/P ratios, and the silencing effect of the transfected siRNA was compared to that of the control sequence for different PECs by real time RT-PCR. The cytotoxicity of PEI decreased significantly by PEG grafting, even at a low degree of PEGylation. Moreover, the nonspecific cytotoxicity of PECs decreased by PEG grafting. PECs of PEG-g-PEI showed more biologic stability on incubation with heparin sulfate. Average particle size and zeta potential of PEC nanoparticles were diminished for those of PEG-g-PEI. The cellular association was more pronounced at an N/P ratio of 2.5 for
Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire.
Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C; Luo, Tengfei
2015-11-16
Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics.
Ultra-low Thermal Conductivity in Si/Ge Hierarchical Superlattice Nanowire
Mu, Xin; Wang, Lili; Yang, Xueming; Zhang, Pu; To, Albert C.; Luo, Tengfei
2015-01-01
Due to interfacial phonon scattering and nanoscale size effect, silicon/germanium (Si/Ge) superlattice nanowire (SNW) can have very low thermal conductivity, which is very attractive for thermoelectrics. In this paper, we demonstrate using molecular dynamics simulations that the already low thermal conductivity of Si/Ge SNW can be further reduced by introducing hierarchical structure to form Si/Ge hierarchical superlattice nanowire (H-SNW). The structural hierarchy introduces defects to disrupt the periodicity of regular SNW and scatters coherent phonons, which are the key contributors to thermal transport in regular SNW. Our simulation results show that periodically arranged defects in Si/Ge H-SNW lead to a ~38% reduction of the already low thermal conductivity of regular Si/Ge SNW. By randomizing the arrangement of defects and imposing additional surface complexities to enhance phonon scattering, further reduction in thermal conductivity can be achieved. Compared to pure Si nanowire, the thermal conductivity reduction of Si/Ge H-SNW can be as large as ~95%. It is concluded that the hierarchical structuring is an effective way of reducing thermal conductivity significantly in SNW, which can be a promising path for improving the efficiency of Si/Ge-based SNW thermoelectrics. PMID:26568511
NASA Technical Reports Server (NTRS)
Benkel, Samantha; Zhu, Dongming
2011-01-01
Advanced environmental barrier coatings are being developed to protect SiC/SiC ceramic matrix composites in harsh combustion environments. The current coating development emphasis has been placed on the significantly improved cyclic durability and combustion environment stability in high-heat-flux and high velocity gas turbine engine environments. Environmental barrier coating systems based on hafnia (HfO2) and ytterbium silicate, HfO2-Si nano-composite bond coat systems have been processed and their stability and thermal conductivity behavior have been evaluated in simulated turbine environments. The incorporation of Silicon Carbide Nanotubes (SiCNT) into high stability (HfO2) and/or HfO2-silicon composite bond coats, along with ZrO2, HfO2 and rare earth silicate composite top coat systems, showed promise as excellent environmental barriers to protect the SiC/SiC ceramic matrix composites.
Scattering mechanisms in shallow undoped Si/SiGe quantum wells
Laroche, Dominique; Huang, S. -H.; Nielsen, Erik; ...
2015-10-07
We report the magneto-transport study and scattering mechanism analysis of a series of increasingly shallow Si/SiGe quantum wells with depth ranging from ~ 100 nm to ~ 10 nm away from the heterostructure surface. The peak mobility increases with depth, suggesting that charge centers near the oxide/semiconductor interface are the dominant scattering source. The power-law exponent of the electron mobility versus density curve, μ ∝ n α, is extracted as a function of the depth of the Si quantum well. At intermediate densities, the power-law dependence is characterized by α ~ 2.3. At the highest achievable densities in the quantummore » wells buried at intermediate depth, an exponent α ~ 5 is observed. Lastly, we propose and show by simulations that this increase in the mobility dependence on the density can be explained by a non-equilibrium model where trapped electrons smooth out the potential landscape seen by the two-dimensional electron gas.« less
Mass balances for a biological life support system simulation model
NASA Technical Reports Server (NTRS)
Volk, Tyler; Rumel, John D.
1987-01-01
Design decisions to aid the development of future space-based biological life support systems (BLSS) can be made with simulation models. Here the biochemical stoichiometry is developed for: (1) protein, carbohydrate, fat, fiber, and lignin production in the edible and inedible parts of plants; (2) food consumption and production of organic solids in urine, feces, and wash water by the humans; and (3) operation of the waste processor. Flux values for all components are derived for a steady-state system with wheat as the sole food source.
NASA Technical Reports Server (NTRS)
Carpenter, Kenneth G.; Schrijver, Carolus J.; Karovska, Margarita
2006-01-01
The ultra-sharp images of the Stellar Imager (SI) will revolutionize our view of many dynamic astrophysical processes: The 0.1 milliarcsec resolution of this deep-space telescope will transform point sources into extended sources, and simple snapshots into spellbinding evolving views. SI s science focuses on the role of magnetism in the Universe, particularly on magnetic activity on the surfaces of stars like the Sun. SI s prime goal is to enable long-term forecasting of solar activity and the space weather that it drives in support of the Living With a Star program in the Exploration Era by imaging a sample of magnetically active stars with enough resolution to map their evolving dynamo patterns and their internal flows. By exploring the Universe at ultra-high resolution, SI will also revolutionize our understanding of the formation of planetary systems, of the habitability and climatology of distant planets, and of many magnetohydrodynamically controlled structures and processes in the Universe.
Menshutkin, V V; Kazanskiĭ, A B; Levchenko, V F
2010-01-01
The history of rise and development of evolutionary methods in Saint Petersburg school of biological modelling is traced and analyzed. Some pioneering works in simulation of ecological and evolutionary processes, performed in St.-Petersburg school became an exemplary ones for many followers in Russia and abroad. The individual-based approach became the crucial point in the history of the school as an adequate instrument for construction of models of biological evolution. This approach is natural for simulation of the evolution of life-history parameters and adaptive processes in populations and communities. In some cases simulated evolutionary process was used for solving a reverse problem, i. e., for estimation of uncertain life-history parameters of population. Evolutionary computations is one more aspect of this approach application in great many fields. The problems and vistas of ecological and evolutionary modelling in general are discussed.
NASA Astrophysics Data System (ADS)
Korayem, M. H.; Habibi Sooha, Y.; Rastegar, Z.
2018-05-01
Manipulation of the biological particles by atomic force microscopy is used to transfer these particles inside body's cells, diagnosis and destruction of the cancer cells and drug delivery to damaged cells. According to the impossibility of simultaneous observation of this process, the importance of modeling and simulation can be realized. The contact of the tip with biological particle is important during manipulation, therefore, the first step of the modeling is choosing appropriate contact model. Most of the studies about contact between atomic force microscopy and biological particles, consider the biological particle as an elastic material. This is not an appropriate assumption because biological cells are basically soft and this assumption ignores loading history. In this paper, elastic and viscoelastic JKR theories were used in modeling and simulation of the 3D manipulation for three modes of tip-particle sliding, particle-substrate sliding and particle-substrate rolling. Results showed that critical force and time in motion modes (sliding and rolling) for two elastic and viscoelastic states are very close but these magnitudes were lower in the viscoelastic state. Then, three friction models, Coulomb, LuGre and HK, were used for tip-particle sliding mode in the first phase of manipulation to make results closer to reality. In both Coulomb and LuGre models, critical force and time are very close for elastic and viscoelastic states but in general critical force and time prediction of HK model was higher than LuGre and the LuGre model itself had higher prediction than Coulomb.
Evolution of Radiation Induced Defects in SiC: A Multiscale Simulation Approach
NASA Astrophysics Data System (ADS)
Jiang, Hao
Because of various excellent properties, SiC has been proposed for many applications in nuclear reactors including cladding layers in fuel rod, fission products container in TRISO fuel, and first wall/blanket in magnetic controlled fusion reactors. Upon exposure to high energy radiation environments, point defects and defect clusters are generated in materials in amounts significantly exceeding their equilibrium concentrations. The accumulation of defects can lead to undesired consequences such as crystalline-to-amorphous transformation1, swelling, and embrittlement, and these phenomena can adversely affect the lifetime of SiC based components in nuclear reactors. It is of great importance to understand the accumulation process of these defects in order to estimate change in properties of this material and to design components with superior ability to withstand radiation damages. Defect clusters are widely in SiC irradiated at the operation temperatures of various reactors. These clusters are believed to cause more than half of the overall swelling of irradiated SiC and can potentially lead to lowered thermal conductivity and mechanical strength. It is critical to understand the formation and growth of these clusters. Diffusion of these clusters is one importance piece to determine the growth rate of clusters; however it is unclear so far due to the challenges in simulating rare events. Using a combination of kinetic Activation Relaxation Technique with empirical potential and ab initio based climbing image nudged elastic band method, I performed an extensive search of the migration paths of the most stable carbon tri-interstitial cluster in SiC. This research reveals paths with the lowest energy barriers to migration, rotation, and dissociation of the most stable cluster. Based on these energy barriers, I concluded defect clusters are thermally immobile at temperatures lower than 1500 K and can dissociate into smaller clusters and single interstitials at
NASA Astrophysics Data System (ADS)
England, Troy; Curry, Matthew; Carr, Steve; Swartzentruber, Brian; Lilly, Michael; Bishop, Nathan; Carrol, Malcolm
2015-03-01
Fast, low-power quantum state readout is one of many challenges facing quantum information processing. Single electron transistors (SETs) are potentially fast, sensitive detectors for performing spin readout of electrons bound to Si:P donors. From a circuit perspective, however, their output impedance and nonlinear conductance are ill suited to drive the parasitic capacitance typical of coaxial conductors used in cryogenic environments, necessitating a cryogenic amplification stage. We will discuss calibration data, as well as modeling and simulation of cryogenic silicon-germanium (SiGe) heterojunction bipolar transistor (HBT) circuits connected to a silicon SET and operating at 4 K. We find a continuum of solutions from simple, single-HBT amplifiers to more complex, multi-HBT circuits suitable for integration, with varying noise levels and power vs. bandwidth tradeoffs. This work was performed, in part, at the Center for Integrated Nanotechnologies, a U.S. DOE Office of Basic Energy Sciences user facility. Sandia National Laboratories is a multi-program laboratory operated by Sandia Corporation, a Lockheed-Martin Company, for the U. S. Department of Energy under Contract No. DE-AC04-94AL85000.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Parmar, Kusum, E-mail: prmrkusum@gmail.com; Sharma, Hakikat; Negi, N. S.
2016-05-23
Lead free (Na{sub 0.5}Bi{sub 0.5}) {sub 0.99}La{sub 0.01}Ti{sub 0.988} Fe{sub 0.012}O{sub 3} (NBLTF) system has been synthesized by sol gel method without and with 2 mol% excess of Na and Bi. X-ray diffraction patterns of NBLTF samples confirm perovskite structure having rhombohedral R3c phase symmetry. Metal oxide band observed at ~ 629 cm{sup −1} wavnumber in FTIR spectra also confirm formation of perovskite phase in samples. Microstructural analysis exhibits dense crystal growth having better grains connectivity for NBLTF sample with 2 mol% excess Na/Bi which is supported by room temperature DC resistivity measurements. Dense crystal growth and low leakage currentmore » with 2 mol% excess Na/Bi is reported to improve multiferroic properties of NBLTF sample and provides new insight to explore single phase lead free multiferroic system.« less
Zhang, Rong; Saito, Ryuta; Mano, Yui; Sumiyoshi, Akira; Kanamori, Masayuki; Sonoda, Yukihiko; Kawashima, Ryuta; Tominaga, Teiji
2016-10-01
Convection-enhanced delivery (CED) of therapeutic agents is a promising local delivery technique that has been extensively studied as a treatment for CNS diseases over the last two decades. One continuing challenge of CED is accurate and consistent delivery of the agents to the target. The present study focused on a new type of therapeutic agent, NK012, a novel SN-38-loaded polymeric micelle. Local delivery profiles of NK012 and SN-38 were studied using rodent brain and intracranial rodent brain tumor models. First, the cytotoxicity of NK012 against glioma cell lines was determined in vitro. Proliferations of glioma cells were significantly reduced after exposure to NK012. Then, the distribution and local toxicity after CED delivery of NK012 and SN-38 were evaluated in vivo. Volume of distribution of NK012 after CED was much larger than that of SN-38. Histological examination revealed minimum brain tissue damage in rat brains after delivery of 40 µg NK012 but severe damage with SN-38 at the same dose. Subsequently, the efficacy of NK012 delivered via CED was tested in 9L and U87MG rodent orthotopic brain tumor models. CED of NK012 displayed excellent efficacy in the 9L and U87MG orthotopic brain tumor models. Furthermore, NK012 and gadolinium diamide were co-delivered via CED to monitor the NK012 distribution using MRI. Volume of NK012 distribution evaluated by histology and MRI showed excellent agreement. CED of NK012 represents an effective treatment option for malignant gliomas. MRI-guided CED of NK012 has potential for clinical application.
A New Ordered Si/SiO2 phase: Infrared Spectroscopy Analysis and Modeling
NASA Astrophysics Data System (ADS)
Bradley, J.; Herbots, N.; Shaw, J.; Atluri, V.; Queeney, K. T.; Chabal, Y. J.
2003-10-01
A new ordered Si/SiO2 phase is grown by conventional oxidation on ordered, OH-terminated (1x1)Si(100) surfaces formed at room temperature in ambient using a wet chemical cleaning method [1, 2] combined with conventional oxidation. Si atoms within 1-2.5 nm thick SiO2 are found to be in registry with respect to Si atoms in the Si(100). The degree of ordering is characterized by combining ion channeling with nuclear resonance analysis, as well as Reflective High Energy Electron Diffraction (RHEED), and High Resolution Transmission Electron Microscopy (HRTRM) and is found to be confined to a 2nm region in the SiO2[1]. Time-of-Flight Secondary Ion Mass Spectrometry (ToF-SIMS) and Elastic Recoil Deflection (ERD) were used to profile silicon, oxygen, carbon, and hydrogen coverage within the ordered interphase. Most recently, infrared spectroscopy [2] was employed to investigate the bonding at the ordered Si/SiO2 interface and compare the suboxides region to conventional thermal oxides. Infrared spectroscopy shows that the TO red-shift due to SiOx cross-bonding at the Si/SiO2 interface is 50 % smaller and occurs more abruptly than in conventional thermal oxides. This indicates a more homogeneous bonding environment between Si and SiO2, which is consistent with the presence of an ordered phase. Using these results, we are modeling the structure of the 2 nm interphase with 3DSTRING [3]. This Monte Carlo Simulation enables us to compare the channeling spectra with the experimental data for the possible phase configuration in ordered SiOx on Si. [1] N. Herbots, V. Atluri, J. D. Bradley, J. Xiang, S. Banerjee, Q.Hurst, US Patent #6,613,677, Granted 9/2/2003 [2] N. Herbots, J. M. Shaw, Q. B. Hurst, M. P. Grams, R. J. Culbertson, D. J. Smith, V. Atluri, P. Zimmerman, and K. T. Queeney, Mat. Sci. Eng. B B87, 303-316 (2001). [3] K. T. Queeney, N. Herbots, Justin, M. Shaw, V. Atluri, Y. J. Chabal (to be published)
Ichibangase, T; Ohba, Y; Kishikawa, N; Nakashima, K; Kuroda, N
2014-03-01
8-Amino-5-chloro-7-phenylpyrido[3,4-d]pyridazine-1,4(2H,3H)dione (L-012) was recently synthesized as a new chemiluminescence (CL) probe; the light intensity and the sensitivity of L-012 are higher than those of other CL probes such as luminol. Previously, our group developed four lophine-based CL enhancers of the horseradish peroxidase (HRP)-catalyzed CL oxidation of luminol, namely 2-(4-hydroxyphenyl)-4,5-diphenylimidazole (HDI), 2-(4-hydroxyphenyl)-4,5-di(2-pyridyl)imidazole (HPI), 4-(4,5-diphenyl-1H-imidazol-2-yl)phenylboronic acid (DPA), and 4-[4,5-di(2-pyridyl)-1H-imidazol-2-yl]phenylboronic acid (DPPA), and showed that DPPA was suitable for the photographic detection of HRP. In this study, we replaced luminol with L-012 and evaluated these as L-012-dependent CL enhancers. In addition, to detect HRP and/or H2O2 with higher sensitivity, each detection condition for the L-012-HRP-H2O2 enhanced CL was optimized. All the derivatives enhanced the L-012-dependent CL as well as luminol CL; HPI generated the highest enhanced luminescence. Under optimized conditions for HRP detection, the detection limit of HRP was 0.08 fmol. By contrast, the detection limit of HRP with the enhanced L-012-dependent CL using 4-iodophenol, which is a common enhancer of luminol CL, was 1.1 fmol. With regard to H2O2 detection, the detection limits for enhanced CL with HPI and 4-iodophenol were 0.29 and 1.5 pmol, respectively. Therefore, it is demonstrated that HPI is the most superior L-012-dependent CL enhancer. Copyright © 2013 John Wiley & Sons, Ltd.
Undoped Si/SiGe Depletion-Mode Few-Electron Double Quantum Dots
NASA Astrophysics Data System (ADS)
Borselli, Matthew; Huang, Biqin; Ross, Richard; Croke, Edward; Holabird, Kevin; Hazard, Thomas; Watson, Christopher; Kiselev, Andrey; Deelman, Peter; Alvarado-Rodriguez, Ivan; Schmitz, Adele; Sokolich, Marko; Gyure, Mark; Hunter, Andrew
2011-03-01
We have successfully formed a double quantum dot in the sSi/SiGe material system without need for intentional dopants. In our design, a two-dimensional electron gas is formed in a strained silicon well by forward biasing a global gate. Lateral definition of quantum dots is established with reverse-biased gates with ~ 40 nm critical dimensions. Low-temperature capacitance and Hall measurements confirm electrons are confined in the Si-well with mobilities > 10 4 cm 2 / V - s . Further characterization identifies practical gate bias limits for this design and will be compared to simulation. Several double dot devices have been brought into the few-electron Coulomb blockade regime as measured by through-dot transport. Honeycomb diagrams and nonlinear through-dot transport measurements are used to quantify dot capacitances and addition energies of several meV. Sponsored by United States Department of Defense. Approved for Public Release, Distribution Unlimited.
The Effect of SiC Polytypes on the Heat Distribution Efficiency of a Phase Change Memory.
NASA Astrophysics Data System (ADS)
Aziz, M. S.; Mohammed, Z.; Alip, R. I.
2018-03-01
The amorphous to crystalline transition of germanium-antimony-tellurium (GST) using three types of silicon carbide’s structure as a heating element was investigated. Simulation was done using COMSOL Multiphysic 5.0 software with separate heater structure. Silicon carbide (SiC) has three types of structure; 3C-SiC, 4H-SiC and 6H-SiC. These structures have a different thermal conductivity. The temperature of GST and phase transition of GST can be obtained from the simulation. The temperature of GST when using 3C-SiC, 4H-SiC and 6H-SiC are 467K, 466K and 460K, respectively. The phase transition of GST from amorphous to crystalline state for three type of SiC’s structure can be determined in this simulation. Based on the result, the thermal conductivity of SiC can affecting the temperature of GST and changed of phase change memory (PCM).
Equivalent circuit model of Ge/Si separate absorption charge multiplication avalanche photodiode
NASA Astrophysics Data System (ADS)
Wang, Wei; Chen, Ting; Yan, Linshu; Bao, Xiaoyuan; Xu, Yuanyuan; Wang, Guang; Wang, Guanyu; Yuan, Jun; Li, Junfeng
2018-03-01
The equivalent circuit model of Ge/Si Separate Absorption Charge Multiplication Avalanche Photodiode (SACM-APD) is proposed. Starting from the carrier rate equations in different regions of device and considering the influences of non-uniform electric field, noise, parasitic effect and some other factors, the equivalent circuit model of SACM-APD device is established, in which the steady-state and transient current voltage characteristics can be described exactly. In addition, the proposed Ge/Si SACM APD equivalent circuit model is embedded in PSpice simulator. The important characteristics of Ge/Si SACM APD such as dark current, frequency response, shot noise are simulated, the simulation results show that the simulation with the proposed model are in good agreement with the experimental results.
Design of siRNA Therapeutics from the Molecular Scale
Angart, Phillip; Vocelle, Daniel; Chan, Christina; Walton, S. Patrick
2013-01-01
While protein-based therapeutics is well-established in the market, development of nucleic acid therapeutics has lagged. Short interfering RNAs (siRNAs) represent an exciting new direction for the pharmaceutical industry. These small, chemically synthesized RNAs can knock down the expression of target genes through the use of a native eukaryotic pathway called RNA interference (RNAi). Though siRNAs are routinely used in research studies of eukaryotic biological processes, transitioning the technology to the clinic has proven challenging. Early efforts to design an siRNA therapeutic have demonstrated the difficulties in generating a highly-active siRNA with good specificity and a delivery vehicle that can protect the siRNA as it is transported to a specific tissue. In this review article, we discuss design considerations for siRNA therapeutics, identifying criteria for choosing therapeutic targets, producing highly-active siRNA sequences, and designing an optimized delivery vehicle. Taken together, these design considerations provide logical guidelines for generating novel siRNA therapeutics. PMID:23976875
Modeling and Simulation of a 5.8kV SiC PiN Diode for Inductive Pulsed Plasma Thruster Applications
NASA Technical Reports Server (NTRS)
Toftul, Alexandra; Hudgins, Jerry L.; Polzin, Kurt A.; Martin, Adam K.
2014-01-01
Current ringing in an Inductive Pulsed Plasma Thruster (IPPT) can lead to reduced energy efficiency, excess heating, and wear on circuit components such as capacitors and solid state devices. Clamping off the current using a fast turn-off power diode is an effective way to reduce current ringing and increase energy efficiency. A diode with a shorter reverse recovery time will allow the least amount of current to ring back through the circuit, as well as minimize switching losses. The reverse recovery response of a new 5.8 kilovolt SiC PiN diode from Cree, Inc. in the IPPT plasma drive circuit is investigated using a physicsbased Simulink model, and compared with that of a 5SDF 02D6004 5.5 kilovolt fast-switching Si diode from ABB. Parameter extraction was carried out for each diode using both datasheet specifications and experimental waveforms, in order to most accurately adapt the model to the specific device. Further experimental data will be discussed using a flat-plate IPPT developed at NASA Marshall Space Flight Center and used to verify the simulation results. A final quantitative measure of circuit efficiency will be described for both the Si and SiC diode configuration.
Uzayisenga, Viviane; Lin, Xiao-Dong; Li, Li-Mei; Anema, Jason R; Yang, Zhi-Lin; Huang, Yi-Fan; Lin, Hai-Xin; Li, Song-Bo; Li, Jian-Feng; Tian, Zhong-Qun
2012-06-19
Au-seed Ag-growth nanoparticles of controllable diameter (50-100 nm), and having an ultrathin SiO(2) shell of controllable thickness (2-3 nm), were prepared for shell-isolated nanoparticle-enhanced Raman spectroscopy (SHINERS). Their morphological, optical, and material properties were characterized; and their potential for use as a versatile Raman signal amplifier was investigated experimentally using pyridine as a probe molecule and theoretically by the three-dimensional finite-difference time-domain (3D-FDTD) method. We show that a SiO(2) shell as thin as 2 nm can be synthesized pinhole-free on the Ag surface of a nanoparticle, which then becomes the core. The dielectric SiO(2) shell serves to isolate the Raman-signal enhancing core and prevent it from interfering with the system under study. The SiO(2) shell also hinders oxidation of the Ag surface and nanoparticle aggregation. It significantly improves the stability and reproducibility of surface-enhanced Raman scattering (SERS) signal intensity, which is essential for SERS applications. Our 3D-FDTD simulations show that Ag-core SHINERS nanoparticles yield at least 2 orders of magnitude greater enhancement than Au-core ones when excited with green light on a smooth Ag surface, and thus add to the versatility of our SHINERS method.
Shape transition in nano-pits after solid-phase etching of SiO{sub 2} by Si islands
DOE Office of Scientific and Technical Information (OSTI.GOV)
Leroy, F.; Curiotto, S.; Cheynis, F.
2015-05-11
We study the nano-pits formed during the etching of a SiO{sub 2} film by reactive Si islands at T≈1000 °C. Combining low energy electron microscopy, atomic force microscopy, kinetic Monte Carlo simulations, and an analytic model based on reaction and diffusion at the solid interface, we show that the shape of the nanopits depend on the ratio R/x{sub s} with R the Si island radius and x{sub s} the oxygen diffusion-length at the Si/SiO{sub 2} interface. For small R/x{sub s}, nanopits exhibit a single-well V-shape, while a double-well W-shape is found for larger R/x{sub s}. The analysis of the transition revealsmore » that x{sub s}∼60 nm at T≈1000 °C.« less
Molecular dynamics simulations of the first charge of a Li-ion-Si-anode nanobattery.
Galvez-Aranda, Diego E; Ponce, Victor; Seminario, Jorge M
2017-04-01
Rechargeable lithium-ion batteries are the most popular devices for energy storage but still a lot of research needs to be done to improve their cycling and storage capacity. Silicon has been proposed as an anode material because of its large theoretical capacity of ∼3600 mAh/g. Therefore, focus is needed on the lithiation process of silicon anodes where it is known that the anode increases its volume more than 300%, producing cracking and other damages. We performed molecular dynamics atomistic simulations to study the swelling, alloying, and amorphization of a silicon nanocrystal anode in a full nanobattery model during the first charging cycle. A dissolved salt of lithium hexafluorophosphate in ethylene carbonate was chosen as the electrolyte solution and lithium cobalt oxide as cathode. External electric fields are applied to emulate the charging, causing the migration of the Li-ions from the cathode to the anode, by drifting through the electrolyte solution, thus converting pristine Si gradually into Li 14 Si 5 when fully lithiated. When the electric field is applied to the nanobattery, the temperature never exceeds 360 K due to a temperature control imposed resembling a cooling mechanism. The volume of the anode increases with the amorphization of the silicon as the external field is applied by creating a layer of LiSi alloy between the electrolyte and the silicon nanocrystal and then, at the arrival of more Li-ions changing to an alloy, where the drift velocity of Li-ions is greater than the velocity in the initial nanocrystal structure. Charge neutrality is maintained by concerted complementary reduction-oxidation reactions at the anode and cathode, respectively. In addition, the nanobattery model developed here can be used to study charge mobility, current density, conductance and resistivity, among several other properties of several candidate materials for rechargeable batteries and constitutes the initial point for further studies on the formation of
Landscape cultivation alters δ30Si signature in terrestrial ecosystems
Vandevenne, Floor I.; Delvaux, Claire; Hughes, Harold J.; André, Luc; Ronchi, Benedicta; Clymans, Wim; Barão, Lúcia; Govers, Gerard; Meire, Patrick; Struyf, Eric
2015-01-01
Despite increasing recognition of the relevance of biological cycling for Si cycling in ecosystems and for Si export from soils to fluvial systems, effects of human cultivation on the Si cycle are still relatively understudied. Here we examined stable Si isotope (δ30Si) signatures in soil water samples across a temperate land use gradient. We show that – independent of geological and climatological variation – there is a depletion in light isotopes in soil water of intensive croplands and managed grasslands relative to native forests. Furthermore, our data suggest a divergence in δ30Si signatures along the land use change gradient, highlighting the imprint of vegetation cover, human cultivation and intensity of disturbance on δ30Si patterns, on top of more conventionally acknowledged drivers (i.e. mineralogy and climate). PMID:25583031
Matsuoka, Yukiko; Ghosh, Samik; Kitano, Hiroaki
2009-01-01
The discovery by design paradigm driving research in synthetic biology entails the engineering of de novo biological constructs with well-characterized input–output behaviours and interfaces. The construction of biological circuits requires iterative phases of design, simulation and assembly, leading to the fabrication of a biological device. In order to represent engineered models in a consistent visual format and further simulating them in silico, standardization of representation and model formalism is imperative. In this article, we review different efforts for standardization, particularly standards for graphical visualization and simulation/annotation schemata adopted in systems biology. We identify the importance of integrating the different standardization efforts and provide insights into potential avenues for developing a common framework for model visualization, simulation and sharing across various tools. We envision that such a synergistic approach would lead to the development of global, standardized schemata in biology, empowering deeper understanding of molecular mechanisms as well as engineering of novel biological systems. PMID:19493898
ExoMol line lists XXIV: a new hot line list for silicon monohydride, SiH
NASA Astrophysics Data System (ADS)
Yurchenko, Sergei N.; Sinden, Frances; Lodi, Lorenzo; Hill, Christian; Gorman, Maire N.; Tennyson, Jonathan
2018-02-01
SiH has long been observed in the spectrum of our Sun and other cool stars. Computed line lists for the main isotopologues of silicon monohydride, 28SiH, 29SiH, 30SiH and 28SiD are presented. These line lists consider rotation-vibration transitions within the ground X 2Π electronic state as well as transitions to the low-lying A 2Δ and a 4Σ- states. Ab initio potential energy (PECs) and dipole moment curves along with spin-orbit and electronic angular momentum couplings between them are calculated using the multireference configuration interaction level of theory with the MOLPRO package. The PEC for the ground X 2Π state is refined to available experimental data with a typical accuracy of around 0.01 cm-1 or better. The 28SiH line list includes 11 785 rovibronic states and 1724 841 transitions with associated Einstein-A coefficients for angular momentum J up to 82.5 and covering wavenumbers up to 31 340 cm-1 (λ < 0.319 μm). Spectra are simulated using the new line list and comparisons made with various experimental spectra. These line lists are applicable up to temperatures of 5000 K, making them relevant to astrophysical objects such as exoplanetary atmospheres and cool stars and opening up the possibility of detection in the interstellar medium. These line lists, called SiGHTLY, are available at the ExoMol (www.exomol.com) and CDS data base websites.
NASA Astrophysics Data System (ADS)
Khosrownejad, S. M.; Curtin, W. A.
2017-10-01
Fracture is the main cause of degradation and capacity fading in lithiated silicon during cycling. Experiments on the fracture of lithiated silicon show conflicting results, and so mechanistic models can help interpret experiments and guide component design. Here, large-scale K-controlled atomistic simulations of crack propagation (R-curve KI vs. Δa) are performed at LixSi compositions x = 0.5 , 1.0 , 1.5 for as-quenched/relaxed samples and at x = 0.5 , 1.0 for samples created by discharging from higher Li compositions. In all cases, the fracture mechanism is void nucleation, growth, and coalescence. In as-quenched materials, with increasing Li content the plastic flow stress and elastic moduli decrease but void nucleation and growth happen at smaller stress, so that the initial fracture toughness KIc ≈ 1.0 MPa√{ m} decreases slightly but the initial fracture energy JIc ≈ 10.5J/m2 is similar. After 10 nm of crack growth, the fracture toughnesses increase and become similar at KIc ≈ 1.9 MPa√{ m} across all compositions. Plane-strain equi-biaxial expansion simulations of uncracked samples provide complementary information on void nucleation and growth. The simulations are interpreted within the framework of Gurson model for ductile fracture, which predicts JIc = ασy D where α ≃ 1 and D is the void spacing, and good agreement is found. In spite of flowing plastically, the fracture toughness of LixSi is low because voids nucleate within nano-sized distances ahead of the crack (D ≈ 1nm). Scaling simulation results to experimental conditions, reasonable agreement with experimentally-estimated fracture toughnesses is obtained. The discharging process facilitates void nucleation but decreases the flow stress (as shown previously), leading to enhanced fracture toughness at all levels of crack growth. Therefore, the fracture behavior of lithiated silicon at a given composition is not a material property but instead depends on the history of charging
Tice, Jesse B; Chizmeshya, Andrew V G; Groy, Thomas L; Kouvetakis, John
2009-07-06
The compounds Ph(3)SnSiH(3) and Ph(3)SnGeH(3) (Ph = C(6)H(5)) have been synthesized as colorless solids containing Sn-MH(3) (M = Si, Ge) moieties that are stable in air despite the presence of multiple and highly reactive Si-H and Ge-H bonds. These molecules are of interest since they represent potential model compounds for the design of new classes of IR semiconductors in the Si-Ge-Sn system. Their unexpected stability and high solubility also makes them a safe, convenient, and potentially useful delivery source of -SiH(3) and -GeH(3) ligands in molecular synthesis. The structure and composition of both compounds has been determined by chemical analysis and a range of spectroscopic methods including multinuclear NMR. Single crystal X-ray structures were determined and indicated that both compounds condense in a Z = 2 triclinic (P1) space group with lattice parameters (a = 9.7754(4) A, b = 9.8008(4) A, c = 10.4093(5) A, alpha = 73.35(10)(o), beta = 65.39(10)(o), gamma = 73.18(10)(o)) for Ph(3)SnSiH(3) and (a = 9.7927(2) A, b = 9.8005(2) A, c = 10.4224(2) A, alpha = 74.01(3)(o), beta = 65.48(3)(o), gamma = 73.43(3)(o)) for Ph(3)SnGeH(3). First principles density functional theory simulations are used to corroborate the molecular structures of Ph(3)SnSiH(3) and Ph(3)SnGeH(3), gain valuable insight into the relative stability of the two compounds, and provide correlations between the Si-Sn and Ge-Sn bonds in the molecules and those in tetrahedral Si-Ge-Sn solids.
A finite element simulation of biological conversion processes in landfills.
Robeck, M; Ricken, T; Widmann, R
2011-04-01
Landfills are the most common way of waste disposal worldwide. Biological processes convert the organic material into an environmentally harmful landfill gas, which has an impact on the greenhouse effect. After the depositing of waste has been stopped, current conversion processes continue and emissions last for several decades and even up to 100years and longer. A good prediction of these processes is of high importance for landfill operators as well as for authorities, but suitable models for a realistic description of landfill processes are rather poor. In order to take the strong coupled conversion processes into account, a constitutive three-dimensional model based on the multiphase Theory of Porous Media (TPM) has been developed at the University of Duisburg-Essen. The theoretical formulations are implemented in the finite element code FEAP. With the presented calculation concept we are able to simulate the coupled processes that occur in an actual landfill. The model's theoretical background and the results of the simulations as well as the meantime successfully performed simulation of a real landfill body will be shown in the following. Copyright © 2010 Elsevier Ltd. All rights reserved.
NASA Technical Reports Server (NTRS)
Joshi, R. P.
2001-01-01
The objective of this work was to conduct a modeling study of SiC P-N junction diodes operating under high reverse biased conditions. Analytical models and numerical simulation capabilities were to be developed for self-consistent electro-thermal analysis of the diode current-voltage (I-V) characteristics. Data from GRC indicate that screw dislocations are unavoidable in large area SiC devices, and lead to changes in the SiC diode electrical response characteristics under high field conditions. For example, device instability and failures linked to internal current filamentation have been observed. The physical origin of these processes is not well understood, and quantitative projections of the electrical behavior under high field and temperature conditions are lacking. Thermal calculations for SiC devices have not been reported in the literature either. So estimates or projections of peak device temperatures and power limitations do not exist. This numerical study and simulation analysis was aimed at resolving some of the above issues. The following tasks were successfully accomplished: (1) Development of physically based models using one- and two-dimensional drift-diffusion theory for the transport behavior and I-V characteristics; (2) One- and two-dimensional heat flow to account for internal device heating. This led to calculations of the internal temperature profiles, which in turn, were used to update the electrical transport parameters for a self-consistent analysis. The temperature profiles and the peak values were thus obtainable for a given device operating condition; (3) Inclusion of traps assumed to model the presence of internal screw dislocations running along the longitudinal direction; (4) Predictions of the operating characteristics with and without heating as a function of applied bias with and without traps. Both one and two-dimensional cases were implemented; (5) Assessment of device stability based on the operating characteristics. The
Ren, Mengguo; Lu, Xiaonan; Deng, Lu; Kuo, Po-Hsuen; Du, Jincheng
2018-05-23
The effect of B2O3/SiO2 substitution in SrO-containing 55S4.3 bioactive glasses on glass structure and properties, such as ionic diffusion and glass transition temperature, was investigated by combining experiments and molecular dynamics simulations with newly developed potentials. Both short-range (such as bond length and bond angle) and medium-range (such as polyhedral connection and ring size distribution) structures were determined as a function of glass composition. The simulation results were used to explain the experimental results for glass properties such as glass transition temperature and bioactivity. The fraction of bridging oxygen increased linearly with increasing B2O3 content, resulting in an increase in overall glass network connectivity. Ion diffusion behavior was found to be sensitive to changes in glass composition and the trend of the change with the level of substitution is also temperature dependent. The differential scanning calorimetry (DSC) results show a decrease in glass transition temperature (Tg) with increasing B2O3 content. This is explained by the increase in ion diffusion coefficient and decrease in ion diffusion energy barrier in glass melts, as suggested by high-temperature range (above Tg) ion diffusion calculations as B2O3/SiO2 substitution increases. In the low-temperature range (below Tg), the Ea for modifier ions increased with B2O3/SiO2 substitution, which can be explained by the increase in glass network connectivity. Vibrational density of states (VDOS) were calculated and show spectral feature changes as a result of the substitution. The change in bioactivity with B2O3/SiO2 substitution is discussed with the change in pH value and release of boric acid into the solution.
Somogyi, Endre; Glazier, James A.
2017-01-01
Biological cells are the prototypical example of active matter. Cells sense and respond to mechanical, chemical and electrical environmental stimuli with a range of behaviors, including dynamic changes in morphology and mechanical properties, chemical uptake and secretion, cell differentiation, proliferation, death, and migration. Modeling and simulation of such dynamic phenomena poses a number of computational challenges. A modeling language describing cellular dynamics must naturally represent complex intra and extra-cellular spatial structures and coupled mechanical, chemical and electrical processes. Domain experts will find a modeling language most useful when it is based on concepts, terms and principles native to the problem domain. A compiler must then be able to generate an executable model from this physically motivated description. Finally, an executable model must efficiently calculate the time evolution of such dynamic and inhomogeneous phenomena. We present a spatial hybrid systems modeling language, compiler and mesh-free Lagrangian based simulation engine which will enable domain experts to define models using natural, biologically motivated constructs and to simulate time evolution of coupled cellular, mechanical and chemical processes acting on a time varying number of cells and their environment. PMID:29303160
Somogyi, Endre; Glazier, James A
2017-04-01
Biological cells are the prototypical example of active matter. Cells sense and respond to mechanical, chemical and electrical environmental stimuli with a range of behaviors, including dynamic changes in morphology and mechanical properties, chemical uptake and secretion, cell differentiation, proliferation, death, and migration. Modeling and simulation of such dynamic phenomena poses a number of computational challenges. A modeling language describing cellular dynamics must naturally represent complex intra and extra-cellular spatial structures and coupled mechanical, chemical and electrical processes. Domain experts will find a modeling language most useful when it is based on concepts, terms and principles native to the problem domain. A compiler must then be able to generate an executable model from this physically motivated description. Finally, an executable model must efficiently calculate the time evolution of such dynamic and inhomogeneous phenomena. We present a spatial hybrid systems modeling language, compiler and mesh-free Lagrangian based simulation engine which will enable domain experts to define models using natural, biologically motivated constructs and to simulate time evolution of coupled cellular, mechanical and chemical processes acting on a time varying number of cells and their environment.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Powell, C.J.; Smekal, W.; Werner, W.S.M.
2005-09-09
We describe a new NIST database for the Simulation of Electron Spectra for Surface Analysis (SESSA). This database provides data for the many parameters needed in quantitative Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS). In addition, AES and XPS spectra can be simulated for layered samples. The simulated spectra, for layer compositions and thicknesses specified by the user, can be compared with measured spectra. The layer compositions and thicknesses can then be adjusted to find maximum consistency between simulated and measured spectra. In this way, AES and XPS can provide more detailed characterization of multilayer thin-film materials. Wemore » report on the use of SESSA for determining the thicknesses of HfO2, ZrO2, HfSiO4, and ZrSiO4 films on Si by angle-resolved XPS. Practical effective attenuation lengths (EALs) have been computed from SESSA as a function of film thickness and photoelectron emission angle (i.e., to simulate the effects of tilting the sample). These EALs have been compared with similar values obtained from the NIST Electron Effective-Attenuation-Length Database (SRD 82). Generally good agreement was found between corresponding EAL values, but there were differences for film thicknesses less than the inelastic mean free path of the photoelectrons in the overlayer film. These differences are due to a simplifying approximation in the algorithm used to compute EALs in SRD 82. SESSA, with realistic cross sections for elastic and inelastic scattering in the film and substrate materials, is believed to provide more accurate EALs than SRD 82 for thin-film thickness measurements, particularly in applications where the film and substrate have different electron-scattering properties.« less
NASA Technical Reports Server (NTRS)
Zhu, Dongming; Evans, Laura J.; McCue, Terry R.; Harder, Bryan
2016-01-01
Environmental barrier coated SiC-SiC ceramic matrix composites (CMCs) systems will play a crucial role in next generation turbine engines for hot-section component applications because of their ability to significantly increase engine operating temperatures with improved efficiency, reduce engine weight and cooling requirements. Advanced HfO2 and rare earth silicate environmental barrier coatings (EBCs), along with multicomponent hafnium and rare earth silicide EBC bond coats have been developed. The coating degradation mechanisms in the laboratory simulated engine thermal cycling, and fatigue-creep operating environments are also being investigated. This paper will focus on the microstructural and compositional evolutions of an advanced environmental barrier coating system on a SiC-SiC CMC substrate during the high temperature simulated durability tests, by using a Field Emission Gun Scanning Electron Microscopy, Energy Dispersive Spectroscopy (EDS) and Wavelength Dispersive Spectroscopy (WDS). The effects of Calcium-Magnesium-Alumino-Silicate (CMAS) from road sand or volcano-ash deposits on the degradation mechanisms of the environmental barrier coating systems will also be discussed. The detailed analysis results help understand the EBC-CMC system performance, aiming at the durability improvements to achieve more robust, prime-reliant environmental barrier coatings.
Numerical Simulation of Thawing Process of Biological Tissue
NASA Astrophysics Data System (ADS)
Momose, Noboru; Tada, Yukio; Hayashi, Yujiro
Heat transfer and simplified physicochemical model for thawing of the frozen biological cell element consisting of cell and extracellular region was proposed. The melting of intra-and extra-cellular ice, the water transport through cell membrane and other microscale behavior during thawing process were discussed as a function of temperature. Recovery of the cell volume and change of osmotic pressure difference during thawing were clarified theortically in connection with heating velocity, initial cell volume and membrane permeability. Extending this model, the thawing of cellular tissue consisted of numerous cell elements was also simulated. There was a position where osmotic pressure difference became maximum during thawing. Summarizing these results, the thawing damage due to osmotic stress was discussed in relation with the heating operation and the size effect of tissue.
Processing of In-Situ Al-AlN Metal Matrix Composites via Direct Nitridation Method
1998-04-01
to prepare the aluminum melts with desired chemical compositions. Table 1. Chemical compositions of the starting materials. Alloy Mg Fe Cr Si Ni Al...Al 0.001 0.11 0.001 0.04 0.005 bal. Alloy Al Fe Cr Si Ni Mg Mg 0.01 0.12 0.001 0.03 0.006 bal. The ingots were initially cut to chunks with...hours. Figure 26 shows the optical micrographs obtained from the ingots after nitridation reaction of the alloys initially containing Al- 5wt .% Si
Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching
NASA Astrophysics Data System (ADS)
Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun
2004-01-01
Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.
1976-04-01
Analyses of Westinghouse Sij^ Starting Powder ( wt %) Al 0.08 Ag < Ü.001 B 0.001 Ca 0.016 Cr 0.01 Fe > O.i Mg 0.001 Mn 0.05 Mo < 0.003 Ni < 0.01...and atter milling, showed that the WC and plastic contamination in the milled powders were in the range of 1.5-3 wt "» and 0.7-1.5 wt0», respectively...Oxidation of I As, John Witley, New York (1966). 14 FIGURE CAPTIONS Figure 1 - Experimental phase relations in the Si NI -Si0o-Y 0 system determined
Numerical study of Si nanoparticle formation by SiCl4 hydrogenation in RF plasma
NASA Astrophysics Data System (ADS)
Rehmet, Christophe; Cao, Tengfei; Cheng, Yi
2016-04-01
Nanocrystalline silicon (nc-Si) is a promising material for many applications related to electronics and optoelectronics. This work performs numerical simulations in order to understand a new process with high deposition rate production of nc-Si in a radio-frequency plasma reactor. Inductive plasma formation, reaction kinetics and nanoparticle formation have been considered in a sophisticated model. Results show that the plasma parameters could be adjusted in order to improve selectivity between nanoparticle and molecule formation and, thus, the deposition rate. Also, a parametric study helps to optimize the system with appropriate operating conditions.
2006-03-04
ISS012-E-19051 (4 March 2006) --- Newport, R.I., is featured in this image photographed by an Expedition 12 crew member on the International Space Station. Newport is well-known as a summer destination, but winter snow blankets the city in this image. One of the first settlements by Europeans in the Americas, the region was initially settled by colonists seeking religious freedom denied them in Europe, but over time some of them perceived a need to escape the strictures of the dominant Puritan faith. Newport, founded in 1639, became a bastion of the Baptist faith and exemplified one of the basic precepts of the United States Constitution separation of church and state. Throughout the 17th and 18th centuries, Newport consolidated its position as one of the premier ports of the United States. The 19th and early 20th centuries saw a decline in commercial shipping at Newport and its rebirth as a recreational destination. Many of the leading industrialist families of the time, such as the Vanderbilts, built grand summer mansions in Newport that are now open to visitors. The United States Navy has also had a strong presence in the Newport area since 1869, exemplified by the establishment of the Naval War College in 1884 (image top center). The College still serves as an educational institution for naval officers. The gray-brown metropolitan area of Newport is thrown into sharp contrast by the surrounding snow-covered open fields and rural areas to the east and southwest. The Clairborne Pell (also known as Newport) Bridge connects Jamestown, R.I. on Conanicut Island to Newport at image top. The main span of this suspension bridge is 1600 feet (485 meters) long and crosses the East Passage of Narragansett Bay. The Sachuest Point National Wildlife Refuge (image lower right) supports over 200 bird species, including the largest winter harlequin duck population on the East Coast.
2006-01-12
ISS012-E-15035 (12 Jan. 2006) --- The confluence of the Ohio and Mississippi Rivers at Cairo, Illinois is featured in this image photographed by an Expedition 12 crew member on the International Space Station. The Ohio River becomes a tributary of the Mississippi River directly to the south of Cairo, Illinois, a small city on the spit of land where the rivers converge (at center of image). Brown sediment-laden water flowing generally northeast to south from the Ohio River is distinct from the green and relatively sediment-poor water (northwest- to south-flowing) of the Mississippi River. The coloration of the rivers in this image is reversed from the usual condition of a green Ohio and a brown Mississippi. According to scientists, this suggests that recent precipitation in the Ohio River watershed, with very high rainfall over the Appalachians and the northeastern United States in December 2005, has led to a greater sediment load in the Ohio waters. The distinct boundary between the two rivers waters indicates that little to no mixing occurs even 3-4 miles (5-6 kilometers) downstream. The city of Cairo became a prosperous port following the Civil War due to increased riverboat and railroad commerce. Small features on the Ohio are river barges and indicate the continued importance of Cairo as a transport hub. Flooding of the Ohio and Mississippi Rivers presents a continual danger to the city; this danger is lessened by the Birds Point-New Madrid Floodway that begins directly to the south of the river confluence. The floodway lowers flood stages upstream (such as at Cairo) and adjacent to the floodway during major flood events. Part of the extensive levee system associated with flood control of the Mississippi River is visible in the image. Barlow Bottoms (image right), located in adjacent Kentucky, are a wetlands bird watching location that is replenished by periodic floods and releases of Ohio River water.
Back scattering involving embedded silicon nitride (SiN) nanoparticles for c-Si solar cells
NASA Astrophysics Data System (ADS)
Ghosh, Hemanta; Mitra, Suchismita; Siddiqui, M. S.; Saxena, A. K.; Chaudhuri, Partha; Saha, Hiranmay; Banerjee, Chandan
2018-04-01
A novel material, structure and method of synthesis for dielectric light trapping have been presented in this paper. First, the light scattering behaviour of silicon nitride nanoparticles have been theoretically studied in order to find the optimized size for dielectric back scattering by FDTD simulations from Lumerical Inc. The optical results have been used in electrical analysis and thereby, estimate the effect of nanoparticles on efficiency of the solar cells depending on substrate thickness. Experimentally, silicon nitride (SiN) nanoparticles have been formed using hydrogen plasma treatment on SiN layer deposited by Plasma Enhanced Chemical Vapour Deposition (PECVD). The size and area coverage of the nanoparticles were controlled by varying the working pressure, power density and treatment duration. The nanoparticles were integrated with partial rear contact c-Si solar cells as dielectric back reflector structures for the light trapping in thin silicon solar cells. Experimental results revealed the increases of current density by 2.7% in presence of SiN nanoparticles.
Besozzi, Daniela; Pescini, Dario; Mauri, Giancarlo
2014-01-01
Tau-leaping is a stochastic simulation algorithm that efficiently reconstructs the temporal evolution of biological systems, modeled according to the stochastic formulation of chemical kinetics. The analysis of dynamical properties of these systems in physiological and perturbed conditions usually requires the execution of a large number of simulations, leading to high computational costs. Since each simulation can be executed independently from the others, a massive parallelization of tau-leaping can bring to relevant reductions of the overall running time. The emerging field of General Purpose Graphic Processing Units (GPGPU) provides power-efficient high-performance computing at a relatively low cost. In this work we introduce cuTauLeaping, a stochastic simulator of biological systems that makes use of GPGPU computing to execute multiple parallel tau-leaping simulations, by fully exploiting the Nvidia's Fermi GPU architecture. We show how a considerable computational speedup is achieved on GPU by partitioning the execution of tau-leaping into multiple separated phases, and we describe how to avoid some implementation pitfalls related to the scarcity of memory resources on the GPU streaming multiprocessors. Our results show that cuTauLeaping largely outperforms the CPU-based tau-leaping implementation when the number of parallel simulations increases, with a break-even directly depending on the size of the biological system and on the complexity of its emergent dynamics. In particular, cuTauLeaping is exploited to investigate the probability distribution of bistable states in the Schlögl model, and to carry out a bidimensional parameter sweep analysis to study the oscillatory regimes in the Ras/cAMP/PKA pathway in S. cerevisiae. PMID:24663957
Zielonka, Jacek; Lambeth, J. David; Kalyanaraman, Balaraman
2014-01-01
L-012, a luminol-based chemiluminescent (CL) probe, is widely used in vitro and in vivo to detect NADPH oxidase (Nox)-derived superoxide (O2·−) and identify Nox inhibitors. Yet understanding of the free radical chemistry of L-012 probe is still lacking. We report that peroxidase and H2O2 induce superoxide dismutase (SOD)-sensitive, L-012-derived CL in the presence of oxygen. O2·− alone does not react with L-012 to emit luminescence. Self-generated O2·− during oxidation of L-012 and luminol-analogs artifactually induce CL inhibitable by SOD. These aspects make assays based on luminol analogs less than ideal for specific detection and identification of O2·− and NOX inhibitors. PMID:24080119
Response of biological uv dosimeters to the simulated extraterrestrial uv radiation
NASA Astrophysics Data System (ADS)
Bérces, A.; Rontó, G.; Kerékgyártó, T.; Kovács, G.; Lammer, H.
In the Laboratory polycrystalline uracil thin layer and bacteriophage T7 detectors have been developed for UV dosimetry on the EarthSs surface. Exponential response of the uracil polycrystal has been detected both by absorption spectroscopy and measurements of the refractive index under the influence of terrestrial solar radiation or using UV-C sources. In UV biological dosimetry the UV dose scale is additive starting at a value of zero according to the definition of CIE (Technical Report TC-6-18). The biological dose can be defined by a measured end-effect. In our dosimeters (phage T7 and uracil dosimeter) exposed to natural (terrestrial) UV radiation the proportion of pyrimidin photoproducts among the total photoproducts is smaller than 0.1 and the linear correlation between the biological and physical dose is higher than 0.9. According to the experimental data this linear relationship is often not valid. We observed that UV radiation did not only induce dimerisation but shorter wavelengths caused monomerisation of pyrimidin dimers. Performing the irradiation in oxygen free environment and using a Deuterium lamp as UV source, we could increase monomerisation against dimerisation thus the DNA-based dosimetrySs additivity rule is not fulfilled in these conditions. In this study we will demonstrate those non-linear experiments which constitute the basis of our biological experiments on the International Space Station.
Interstellar detection of the intersystem line Si II lambda 2335 toward zeta Ophiuchi
NASA Technical Reports Server (NTRS)
Cardelli, Jason A.; Sofia, Ulysses J.; Savage, Blair D.; Keenan, Francis P.; Dufton, Philip L.
1994-01-01
We report on the detection of the weak intersystem transistion of Si II lambda 2335 A in the sight line toward zeta Oph using the Ech-B mode (3.5 km/s resolution) of the Goddard High Resolution Spectrograph. The high-quality spectrum is characterized by an empirically measured signal-to-noise of 450, in excellent agreement with that expected from photon-statistics. The measured equivalent width of the Si II line is W(sub lambda) = 0.48 +/- 0.12 mA. Using the new experimental f-value of Calamai, Smith, and Bergeson, we find a Si II column density of 2.34 (+/- 0.58) x 10(exp 15) atoms/sq cm and (Si/H)(sub zeta Oph) = 1.78 (+/- 0.44) x 10(exp -6) for the principal absorbing component(s) at v(sub sun) approx. = -15 km/s. Analysis of the Si II lambda 1808 absorption over the same velocity range using the new experimental f-value of Bergeson & Lawler yields a column density (corrected for saturation) that is consistent within the weak line errors and confirms the relative accuracies of these new f-values. Furthermore, these results indicate that accurate abundances can now be derived for Si II, particularly from the weak Si II lambda 2335 A since it is free of saturation effects. For the zeta Oph v(sub sun) approx. = -15 km/s component(s), we find that greater than 95% of the available cosmic abundance (i.e. the 1989 meteoritic abundances of Anders & Grevesse) of Mg, Fe, and Si is 'missing' from the gas phase and is presumably locked up in the dust. These elements are present in the dust grains in ratios of Fe/Si approximately equals 0.9 and Mg/Si approximately equals 1.1, consistent with the ratio of their cosmic abundances. These ratios are in sharp contrast to more diffuse clouds like those seen toward the high-latitude halo star HD 93521 where in the dust Fe/Si approximately equals 1.8 and Mg/Si approximately equals 2.1.
NASA Astrophysics Data System (ADS)
Rulis, P.; Chen, J.; Ouyang, L.; Ching, W.-Y.; Su, X.; Garofalini, S. H.
2005-06-01
The electronic structure and bonding of a realistic model of an intergranular glassy film (IGF) was studied with multiple computational methods. The model has a Si-O-N glassy region sandwiched between crystalline basal planes of β-Si3N4 and contains a total of 798 atoms. It was constructed with periodic boundary conditions via classical molecular dynamics (MD) techniques using an accurate multibody atomic potential. The model was then further relaxed by the VASP (Vienna ab initio simulation package) program. It is shown that the VASP-relaxed structure reduces the total energy from the MD-relaxed structure by only 47.38eV , validating the accuracy of the multiatom potential used. The calculated electronic structure shows the IGF model to be an insulator with a sizable gap of almost 3eV . Quasidefectlike states can be identified near the band edges arising from the more strained Si-N and Si-O bonds at the interface. Calculation of the Mulliken effective charge and bond order values indicates that the bonds in the glassy region and at the interface can be enhanced and weakened by distortions in the bond length and bond angle. The states at the top of the valence band are derived mostly from the crystalline part of the Si-N bonding while the states at the bottom of the conduction band are dominated by the Si-O bonding in the glassy region. Calculation of the electrostatic potential across the interface shows an average band offset of about 1.5eV between the crystalline β-Si3N4 and the glassy Si-O-N region which could be related to the space charge model for IGF.
Fine-tuned PEGylation of chitosan to maintain optimal siRNA-nanoplex bioactivity.
Guţoaia, Andra; Schuster, Liane; Margutti, Simona; Laufer, Stefan; Schlosshauer, Burkhard; Krastev, Rumen; Stoll, Dieter; Hartmann, Hanna
2016-06-05
Polyethylene glycol (PEG) is a widely used modification for drug delivery systems. It reduces undesired interaction with biological components, aggregation of complexes and serves as a hydrophilic linker of ligands for targeted drug delivery. However, PEGylation can also lead to undesired changes in physicochemical characteristics of chitosan/siRNA nanoplexes and hamper gene silencing. To address this conflicting issue, PEG-chitosan copolymers were synthesized with stepwise increasing degrees of PEG substitution (1.5% to 8.0%). Subsequently formed PEG-chitosan/siRNA nanoplexes were characterized physicochemically and biologically. The results showed that small ratios of chitosan PEGylation did not affect nanoplex stability and density. However, higher PEGylation ratios reduced nanoplex size and charge, as well as cell uptake and final siRNA knockdown efficiency. Therefore, we recommend fine-tuning of PEGylation ratios to generate PEG-chitosan/siRNA delivery systems with maximum bioactivity. The degree of PEGylation for chitosan/siRNA nanoplexes should be kept low in order to maintain optimal nanoplex efficiency. Copyright © 2016 Elsevier Ltd. All rights reserved.
France-Lanord, Arthur; Soukiassian, Patrick; Glattli, Christian; Wimmer, Erich
2016-03-14
In an effort to extend the reach of current ab initio calculations to simulations requiring millions of configurations for complex systems such as heterostructures, we have parameterized the third-generation Charge Optimized Many-Body (COMB3) potential using solely ab initio total energies, forces, and stress tensors as input. The quality and the predictive power of the new forcefield are assessed by computing properties including the cohesive energy and density of SiO2 polymorphs, surface energies of alpha-quartz, and phonon densities of states of crystalline and amorphous phases of SiO2. Comparison with data from experiments, ab initio calculations, and molecular dynamics simulations using published forcefields including BKS (van Beest, Kramer, and van Santen), ReaxFF, and COMB2 demonstrates an overall improvement of the new parameterization. The computed temperature dependence of the thermal conductivity of crystalline alpha-quartz and the Kapitza resistance of the interface between crystalline Si(001) and amorphous silica is in excellent agreement with experiment, setting the stage for simulations of complex nanoscale heterostructures.
Feng, Xian-Bin; Zhu, Yong-Jiu; Li, Xi; He, Yong-Feng; Zhao, Jian-Hua; Yang, De-Guo
2013-01-01
Under the conditions of simulated micro-habitat in laboratory, and by using experimental ecological methods, this paper evaluated the suitability index (HSI) of young Procypris rabaudi for habitat factors (substrate, light intensity and water depth). The habitat suitability models of the young P. rabaudi were established, and the minimum habitat area of the young P. rabaudi was estimated. The young P. rabaudi preferred the habitats with the gravel diameter from 10 to 15 cm, light intensity from 0.2 to 1.8 lx, and water depth from 0 to 15 cm (distance from the bottom of the tank). The three suitability index models of the substrate, light intensity and water depth for the young P. rabaudi were SI(s) = 1.7338e(-0.997x)(SI(S) is the suitability index of substrate, and x is the gravel diameter; R2 = 0.89, P < 0.01), SI(L) = 3.0121e(-1.339x)(SI(L) is the suitability index of light intensity, and x is the light intensity; R2 = 0.93, P < 0.01), and SI(W) = 2.4055e(-1.245x)(SI(W) is the suitability index of water depth, and x is the water depth; R2 = 0.97, P < 0.01), respectively. Arithmetic mean model HSI = (SI(S)+SI(L)+SI(W))/3 was most available for the estimation of the habitat suitability of young P. rabaudi. A total of seven groups of young P. rabaudi which established and maintained a relatively stable habitat area range were found. This habitat area ranged from 628 to 2015 cm2, with an average of 1114 cm2.
Self-learning kinetic Monte Carlo simulations of diffusion in ferromagnetic α -Fe–Si alloys
DOE Office of Scientific and Technical Information (OSTI.GOV)
Nandipati, Giridhar; Jiang, Xiujuan; Vemuri, Rama S.
Diffusion in α-Fe-Si alloys is studied using AKSOME, an on-lattice self-learning KMC code, in the ferromagnetic state. Si diffusivity in the α-Fe matrix were obtained with and without the magnetic disorder in various temperature ranges. In addition we studied vacancy diffusivity in ferromagnetic α-Fe at various Si concentrations up to 12.5at.% in the temperature range of 350–550 K. The results were compared with available experimental and theoretical values in the literature. Local Si-atom dependent activation energies for vacancy hops were calculated using a broken-model and were stored in a database. The migration barrier and prefactors for Si-diffusivity were found tomore » be in reasonable agreement with available modeling results in the literature. Magnetic disorder has a larger effect on the prefactor than on the migration barrier. Prefactor was approximately an order of magnitude and the migration barrier a tenth of an electron-volt higher with magnetic disorder when compared to a fully ferromagnetic ordered state. In addition, the correlation between various have a larger effect on the Si-diffusivity extracted in various temperature range than the magnetic disorder. In the case of vacancy diffusivity, the migration barrier more or less remained constant while the prefactor decreased with increasing Si concentration in the disordered or A2-phase of Fe-Si alloy. Important vacancy-Si/Fe atom exchange processes and their activation barriers were also identified and discuss the effect of energetics on the formation of ordered phases in Fe-Si alloys.« less
Hayashi, Junsuke; Nishigaki, Misa; Ochi, Yosuke; Wada, Shun-Ichi; Wada, Fumito; Nakagawa, Osamu; Obika, Satoshi; Harada-Shiba, Mariko; Urata, Hidehito
2018-07-01
Small interfering RNAs (siRNAs) are an active agent to induce gene silencing and they have been studied for becoming a biological and therapeutic tool. Various 2'-O-modified RNAs have been extensively studied to improve the nuclease resistance. However, the 2'-O-modified siRNA activities were often decreased by modification, since the bulky 2'-O-modifications inhibit to form a RNA-induced silencing complex (RISC). We developed novel prodrug-type 2'-O-methyldithiomethyl (MDTM) siRNA, which is converted into natural siRNA in an intracellular reducing environment. Prodrug-type 2'-O-MDTM siRNAs modified at the 5'-end side including 5'-end nucleotide and the seed region of the antisense strand exhibited much stronger gene silencing effect than non-prodrug-type 2'-O-methyl (2'-O-Me) siRNAs. Furthermore, the resistances for nuclease digestion of siRNAs were actually enhanced by 2'-O-MDTM modifications. Our results indicate that 2'-O-MDTM modifications improve the stability of siRNA in serum and they are able to be introduced at any positions of siRNA. Copyright © 2018 Elsevier Ltd. All rights reserved.
Buckling reversal of the Si(111) bilayer termination of 2-dimensional ErSi2 upon H dosing
NASA Astrophysics Data System (ADS)
Wetzel, P.; Pirri, C.; Gewinner, G.
1997-05-01
Hydrogen-induced reconstruction of 2-dimensional (2D) ErSi2 epitaxially grown on Si(111) is studied by Auger-electron diffraction (AED) and low-energy electron diffraction (LEED). The intensity of the Er MNN Auger line is measured vs. polar angle along the [1 - 2 1] and [- 1 2 - 1] azimuths for clean and H-saturated (1 × 1) ErSi2 silicides. The atomic structure of clean 2D silicide, previously established by AED as well as other techniques, consists of a hexagonal monolayer of Er located underneath a buckled Si layer comparable to the Si(111) substrate double layers. Moreover, for clean 2D ErSi2 only the B-type orientation is observed, i.e. the buckled Si top layer is always rotated by 180° around the surface normal relative to the relevant double layers of the substrate. After atomic H saturation, AED reveals drastic changes in the silicide structure involving a major most remarkable reconstruction of the Si bilayer termination. The latter is found to switch from B-type to A-type orientation upon H dosing, i.e. H-saturated 2D ErSi2 exhibits a buckled Si top layer oriented in the same way as the substrate double layers. A comparison with single scattering cluster simulations demonstrates that the latter phenomenon is accompanied by a large expansion of the Er-Si interlayer spacing close to 0.3 Å.
Thermal stability and dielectric properties of nano-SiO2-doped cellulose
NASA Astrophysics Data System (ADS)
Zhang, Song; Tang, Chao; Hao, Jian; Wang, Xiaobo
2017-07-01
We report the thermal stability and dielectric properties of nano-SiO2-doped cellulose. Molecular dynamics simulations were performed using an undoped cellulose model (C0), a nano-SiO2-doped cellulose model with untreated surface unsaturated bonds (C1), and a nano-SiO2-doped cellulose model for which surface unsaturated O atoms were treated with -H and surface unsaturated Si atoms were treated with -OH (C2). The simulation results showed that the mechanical properties of C1 and C2 were better than those of C0 and were optimal when the content of nano-SiO2 was 5%. The simulation results for C2 were more accurate than those for the other models, and thus, C2 provides theoretical support for the construction of a reasonable model of nano-SiO2 and cellulose in the future. The temperature at which the free volume fraction of C2 jumps was 50 K higher than that for C0, and the thermal stability of C2 was better than that of C0. Experimental results showed that the maximum tensile strength of the insulation paper was obtained when the content of nano-SiO2 was 5%. Moreover, at this content of nano-SiO2, the dielectric constant was lowest and closest to that of transformer insulation oil, which will improve the distribution of the electric field and thus the overall breakdown performance of oil-paper insulation systems.
Ibrahim Elmi, Omar; Cristini-Robbe, Odile; Chen, Minyu; Wei, Bin; Bernard, Rémy; Okada, Etienne; Yarekha, Dmitri A; Ouendi, Saliha; Portier, Xavier; Gourbilleau, Fabrice; Xu, Tao; Stievenard, Didier
2018-04-26
This paper describes an original design leading to the field effect passivation of Si n+-p junctions. Ordered Ag nanoparticle (Ag-NP) arrays with optimal size and coverage fabricated by means of nanosphere lithography and thermal evaporation, were embedded in ultrathin-Al2O3/SiNx:H stacks on the top of implanted Si n+-p junctions, to achieve effective surface passivation. One way to characterize surface passivation is to use photocurrent, sensitive to recombination centers. We evidenced an improvement of photocurrent by a factor of 5 with the presence of Ag nanoparticles. Finite-difference time-domain (FDTD) simulations combining with semi-quantitative calculations demonstrated that such gain was mainly due to the enhanced field effect passivation through the depleted region associated with the Ag-NPs/Si Schottky contacts. © 2018 IOP Publishing Ltd.
9 CFR 114.4 - Identification of biological products.
Code of Federal Regulations, 2010 CFR
2010-01-01
... 9 Animals and Animal Products 1 2010-01-01 2010-01-01 false Identification of biological products... REQUIREMENTS FOR BIOLOGICAL PRODUCTS § 114.4 Identification of biological products. Suitable tags or labels of... biological products, all component parts to be combined to form a biological product, all biological products...
NASA Technical Reports Server (NTRS)
Nieh, C. W.; Lin, T. L.
1989-01-01
This paper reports an in situ transmission electron microscopy study on the epitaxial growth of CoSi2 on Si(111) from a 10-nm-thick amorphous mixture of Co and Si in the ratio 1:2, which was formed by codeposition of Co and Si near room temperature. Nuclei of CoSi2 are observed in the as-deposited film. These nuclei are epitaxial and extend through the whole film thickness. Upon annealing, these columnar epitaxial CoSi2 grains grow laterally at temperatures as low as 50 C. The kinetics of this lateral epitaxial growth was studied at temperatures between 50 and 150 C. The activation energy of the growth process is 0.8 + or - 0.1 eV.
ERIC Educational Resources Information Center
Shegog, Ross; Lazarus, Melanie M.; Murray, Nancy G.; Diamond, Pamela M.; Sessions, Nathalie; Zsigmond, Eva
2012-01-01
The transgenic mouse model is useful for studying the causes and potential cures for human genetic diseases. Exposing high school biology students to laboratory experience in developing transgenic animal models is logistically prohibitive. Computer-based simulation, however, offers this potential in addition to advantages of fidelity and reach.…
NASA Astrophysics Data System (ADS)
Endichi, A.; Zaari, H.; Benyoussef, A.; El Kenz, A.
2018-06-01
The magnetic behavior of LaCr2Si2C compound is investigated in this work, using first principle methods, Monte Carlo simulation (MCS) and mean field approximation (MFA). The structural, electronic and magnetic properties are described using ab initio method in the framework of the Generalized Gradient Approximation (GGA), and the Full Potential-Linearized Augmented Plane Wave (FP-LAPW) method implemented in the WIEN2K packages. We have also computed the coupling terms between magnetic atoms which are used in Hamiltonian model. A theoretical study realized by mean field approximation and Monte Carlo Simulation within the Ising model is used to more understand the magnetic properties of this compound. Thereby, our results showed a ferromagnetic ordering of the Cr magnetic moments below the Curie temperature of 30 K (Tc < 30 K) in LaCr2Si2C. Other parameters are also computed as: the magnetization, the energy, the specific heat and the susceptibility. This material shows the small sign of supra-conductivity; and future researches could be focused to enhance the transport and magnetic properties of this system.
NASA Technical Reports Server (NTRS)
Appleby, Matthew P.; Morscher, Gregory N.; Zhu, Dongming
2016-01-01
Recent studies have successfully shown the use of electrical resistance (ER)measurements to monitor room temperature damage accumulation in SiC fiber reinforced SiC matrix composites (SiCf/SiC) Ceramic Matrix Composites (CMCs). In order to determine the feasibility of resistance monitoring at elevated temperatures, the present work investigates the temperature dependent electrical response of various MI (Melt Infiltrated)-CVI (Chemical Vapor Infiltrated) SiC/SiC composites containing Hi-Nicalon Type S, Tyranno ZMI and SA reinforcing fibers. Test were conducted using a commercially available isothermal testing apparatus as well as a novel, laser-based heating approach developed to more accurately simulate thermomechanical testing of CMCs. Secondly, a post-test inspection technique is demonstrated to show the effect of high-temperature exposure on electrical properties. Analysis was performed to determine the respective contribution of the fiber and matrix to the overall composite conductivity at elevated temperatures. It was concluded that because the silicon-rich matrix material dominates the electrical response at high temperature, ER monitoring would continue to be a feasible method for monitoring stress dependent matrix cracking of melt-infiltrated SiC/SiC composites under high temperature mechanical testing conditions. Finally, the effect of thermal gradients generated during localized heating of tensile coupons on overall electrical response of the composite is determined.
Liu, Cong; Zhang, Erlin
2015-03-01
Ti-10Cu sintered alloy has shown strong antibacterial properties against S. aureus and E. coli and good cell biocompatibility, which displays potential application in dental application. The corrosion behaviors of the alloy in five different simulated biological solutions have been investigated by electrochemical technology, surface observation, roughness measurement and immersion test. Five different simulated solutions were chosen to simulate oral condition, oral condition with F(-) ion, human body fluids with different pH values and blood system. It has been shown that Ti-10Cu alloy exhibits high corrosion rate in Saliva pH 3.5 solution and Saliva pH 6.8 + 0.2F solution but low corrosion rate in Hank's, Tyrode's and Saliva pH 6.8 solutions. The corrosion rate of Ti-10Cu alloy was in a order of Hank's, Tyrode's, Saliva pH 6.8, Saliva-pH 3.5 and Saliva pH 6.8 + 0.2F from slow to fast. All results indicated acid and F(-) containing conditions prompt the corrosion reaction of Ti-Cu alloy. It was suggested that the Cu ion release in the biological environments, especially in the acid and F(-) containing condition would lead to high antibacterial properties without any cell toxicity, displaying wide potential application of this alloy.
9 CFR 114.6 - Mixing biological products.
Code of Federal Regulations, 2013 CFR
2013-01-01
... 9 Animals and Animal Products 1 2013-01-01 2013-01-01 false Mixing biological products. 114.6... BIOLOGICAL PRODUCTS § 114.6 Mixing biological products. Each biological product, when in liquid form, shall be mixed thoroughly in a single container. During bottling operations, the product shall be...
9 CFR 114.6 - Mixing biological products.
Code of Federal Regulations, 2014 CFR
2014-01-01
... 9 Animals and Animal Products 1 2014-01-01 2014-01-01 false Mixing biological products. 114.6... BIOLOGICAL PRODUCTS § 114.6 Mixing biological products. Each biological product, when in liquid form, shall be mixed thoroughly in a single container. During bottling operations, the product shall be...
9 CFR 114.6 - Mixing biological products.
Code of Federal Regulations, 2011 CFR
2011-01-01
... 9 Animals and Animal Products 1 2011-01-01 2011-01-01 false Mixing biological products. 114.6... BIOLOGICAL PRODUCTS § 114.6 Mixing biological products. Each biological product, when in liquid form, shall be mixed thoroughly in a single container. During bottling operations, the product shall be...
9 CFR 114.6 - Mixing biological products.
Code of Federal Regulations, 2012 CFR
2012-01-01
... 9 Animals and Animal Products 1 2012-01-01 2012-01-01 false Mixing biological products. 114.6... BIOLOGICAL PRODUCTS § 114.6 Mixing biological products. Each biological product, when in liquid form, shall be mixed thoroughly in a single container. During bottling operations, the product shall be...
9 CFR 114.6 - Mixing biological products.
Code of Federal Regulations, 2010 CFR
2010-01-01
... 9 Animals and Animal Products 1 2010-01-01 2010-01-01 false Mixing biological products. 114.6... BIOLOGICAL PRODUCTS § 114.6 Mixing biological products. Each biological product, when in liquid form, shall be mixed thoroughly in a single container. During bottling operations, the product shall be...
SiGe nano-heteroepitaxy on Si and SiGe nano-pillars.
Mastari, M; Charles, M; Bogumilowicz, Y; Thai, Q M; Pimenta-Barros, P; Argoud, M; Papon, A M; Gergaud, P; Landru, D; Kim, Y; Hartmann, J M
2018-07-06
In this paper, SiGe nano-heteroepitaxy on Si and SiGe nano-pillars was investigated in a 300 mm industrial reduced pressure-chemical vapour deposition tool. An integration scheme based on diblock copolymer patterning was used to fabricate nanometre-sized templates for the epitaxy of Si and SiGe nano-pillars. Results showed highly selective and uniform processes for the epitaxial growth of Si and SiGe nano-pillars. 200 nm thick SiGe layers were grown on Si and SiGe nano-pillars and characterised by atomic force microscopy, x-ray diffraction and transmission electron microscopy. Smooth SiGe surfaces and full strain relaxation were obtained in the 650 °C-700 °C range for 2D SiGe layers grown either on Si or SiGe nano-pillars.
Designing highly active siRNAs for therapeutic applications.
Walton, S Patrick; Wu, Ming; Gredell, Joseph A; Chan, Christina
2010-12-01
The discovery of RNA interference (RNAi) generated considerable interest in developing short interfering RNAs (siRNAs) for understanding basic biology and as the active agents in a new variety of therapeutics. Early studies showed that selecting an active siRNA was not as straightforward as simply picking a sequence on the target mRNA and synthesizing the siRNA complementary to that sequence. As interest in applying RNAi has increased, the methods for identifying active siRNA sequences have evolved from focusing on the simplicity of synthesis and purification, to identifying preferred target sequences and secondary structures, to predicting the thermodynamic stability of the siRNA. As more specific details of the RNAi mechanism have been defined, these have been incorporated into more complex siRNA selection algorithms, increasing the reliability of selecting active siRNAs against a single target. Ultimately, design of the best siRNA therapeutics will require design of the siRNA itself, in addition to design of the vehicle and other components necessary for it to function in vivo. In this minireview, we summarize the evolution of siRNA selection techniques with a particular focus on one issue of current importance to the field, how best to identify those siRNA sequences likely to have high activity. Approaches to designing active siRNAs through chemical and structural modifications will also be highlighted. As the understanding of how to control the activity and specificity of siRNAs improves, the potential utility of siRNAs as human therapeutics will concomitantly grow. © 2010 The Authors Journal compilation © 2010 FEBS.
Dimensional isotropy of 6H and 3C SiC under neutron irradiation
DOE Office of Scientific and Technical Information (OSTI.GOV)
Snead, Lance L.; Katoh, Yutai; Koyanagi, Takaaki
2016-01-16
This investigation experimentally determines the as-irradiated crystal axes dimensional change of the common polytypes of SiC considered for nuclear application. Single crystal α-SiC (6H), β-SiC (3C), CVD β-SiC, and single crystal Si have been neutron irradiated near 60 °C from 2 × 10 23 to 2 × 10 26 n/m 2 (E > 0.1 MeV), or about 0.02–20 dpa, in order to study the effect of irradiation on bulk swelling and strain along independent crystalline axes. Single crystal, powder diffractometry and density measurement have been carried out. For all neutron doses where the samples remained crystalline all SiC materials demonstratedmore » equivalent swelling behavior. Moreover the 6H–SiC expanded isotropically. The magnitude of the swelling followed a ~0.77 power law against dose consistent with a microstructure evolution driven by single interstitial (carbon) mobility. Extraordinarily large ~7.8% volume expansion in SiC was observed prior to amorphization. Above ~0.9 × 10 25 n/m 2 (E > 0.1 MeV) all SiC materials became amorphous with an identical swelling: a 11.7% volume expansion, lowering the density to 2.84 g/cm 3. As a result, the as-amorphized density was the same at the 2 × 10 25 and 2 × 10 26 n/m 2 (E > 0.1 MeV) dose levels.« less
NASA Astrophysics Data System (ADS)
Wu, Lijuan; Wu, Changlin; Liu, Guangwan; Liao, Nannan; Zhao, Fang; Yang, Xuxia; Qu, Hongyuan; Peng, Bo; Chen, Li; Yang, Guang
2016-12-01
siRNA delivery remains highly challenging because of its hydrophilic and anionic nature and its sensitivity to nuclease degradation. Effective siRNA loading and improved transfection efficiency into cells represents a key problem. In our study, we prepared Chitosan/Hyaluronic acid-siRNA multilayer films through layer-by-layer self-assembly, in which siRNAs can be effectively loaded and protected. The construction process was characterized by FTIR, 13C NMR (CP/MAS), UV-vis spectroscopy, and atomic force microscopy (AFM). We presented the controlled-release performance of the films during incubation in 1 M NaCl solution for several days through UV-vis spectroscopy and polyacrylamide gel electrophoresis (PAGE). Additionally, we verified the stability and integrity of the siRNA loaded on multilayer films. Finally, the biological efficacy of the siRNA delivery system was evaluated via cells adhesion and gene silencing analyses in eGFP-HEK 293T cells. This new type of surface-mediated non-viral multilayer films may have considerable potential in the localized and controlled-release delivery of siRNA in mucosal tissues, and tissue engineering application.
A new gold standard approach to characterize the transport of Si across cell membranes in animals.
Garneau, Alexandre P; Marcoux, Andrée-Anne; Frenette-Cotton, Rachelle; Bélanger, Richard; Isenring, Paul
2018-01-11
Silicon (Si) is increasingly recognized as an essential trace element in animals, especially since the identification of mammalian Si transport systems and Si responsive genes not long ago. During many years, however, efforts to gain substantial insight into the biological role of this element in animals have achieved partial success due in part to the unavailability of validated protocols to study Si movement across biological membranes. To circumvent such limitations, we have developed a general transport assay in which cellular Si content was determined by automated electrothermal atomic absorption spectrophotometry. We have found this assay to provide great analytic sensitivity with Si detection thresholds of less than 1 µM, that is, below or very close to the concentration range of animal cells. We have also found this assay to provide valid and cost-effective determinations in Si transport studies while requiring workable quantities of samples. The protocol described here should thus become gold standard toward accelerated progress in the field of Si transport. © 2018 Wiley Periodicals, Inc.
Composition dependence of spin transition in (Mg,Fe)SiO 3 bridgmanite
Dorfman, Susannah M.; Badro, James; Rueff, Jean -Pascal; ...
2015-10-01
Spin transitions in (Mg,Fe)SiO 3 bridgmanite have important implications for the chemistry and dynamics of Earth’s lower mantle, but have been complex to characterize in experiments. We examine the spin state of Fe in highly Fe-enriched bridgmanite synthesized from enstatites with measured compositions (Mg 0.61Fe 0.38Ca 0.01)SiO 3 and (Mg 0.25Fe 0.74Ca 0.01)SiO 3. Bridgmanite was synthesized at 78-88 GPa and 1800-2400 K and X-ray emission spectra were measured on decompression to 1 bar (both compositions) and compression to 126 GPa ((Mg 0.61Fe 0.38Ca 0.01)SiO 3 only) without additional laser heating. Observed spectra confirm that Fe in these bridgmanites ismore » dominantly high spin in the lower mantle. However, the total spin moment begins to decrease at ~50 GPa in the 74% FeSiO 3 composition. Lastly, these results support density functional theory predictions of a lower spin transition pressure in highly Fe-enriched bridgmanite and potentially explain the high solubility of FeSiO 3 in bridgmanite at pressures corresponding to Earth’s deep lower mantle.« less
NASA Astrophysics Data System (ADS)
Samanta, Piyas
2017-10-01
The conduction mechanism of gate leakage current through thermally grown silicon dioxide (SiO2) films on (100) p-type silicon has been investigated in detail under negative bias on the degenerately doped n-type polysilicon (n+-polySi) gate. The analysis utilizes the measured gate current density J G at high oxide fields E ox in 5.4 to 12 nm thick SiO2 films between 25 and 300 °C. The leakage current measured up to 300 °C was due to Fowler-Nordheim (FN) tunneling of electrons from the accumulated n +-polySi gate in conjunction with Poole Frenkel (PF) emission of trapped-electrons from the electron traps located at energy levels ranging from 0.6 to 1.12 eV (depending on the oxide thickness) below the SiO2 conduction band (CB). It was observed that PF emission current I PF dominates FN electron tunneling current I FN at oxide electric fields E ox between 6 and 10 MV/cm and throughout the temperature range studied here. Understanding of the mechanism of leakage current conduction through SiO2 films plays a crucial role in simulation of time-dependent dielectric breakdown (TDDB) of metaloxide-semiconductor (MOS) devices and to precisely predict the normal operating field or applied gate voltage for lifetime projection of the MOS integrated circuits.
Towards radiation hard converter material for SiC-based fast neutron detectors
NASA Astrophysics Data System (ADS)
Tripathi, S.; Upadhyay, C.; Nagaraj, C. P.; Venkatesan, A.; Devan, K.
2018-05-01
In the present work, Geant4 Monte-Carlo simulations have been carried out to study the neutron detection efficiency of the various neutron to other charge particle (recoil proton) converter materials. The converter material is placed over Silicon Carbide (SiC) in Fast Neutron detectors (FNDs) to achieve higher neutron detection efficiency as compared to bare SiC FNDs. Hydrogenous converter material such as High-Density Polyethylene (HDPE) is preferred over other converter materials due to the virtue of its high elastic scattering reaction cross-section for fast neutron detection at room temperature. Upon interaction with fast neutrons, hydrogenous converter material generates recoil protons which liberate e-hole pairs in the active region of SiC detector to provide a detector signal. The neutron detection efficiency offered by HDPE converter is compared with several other hydrogenous materials viz., 1) Lithium Hydride (LiH), 2) Perylene, 3) PTCDA . It is found that, HDPE, though providing highest efficiency among various studied materials, cannot withstand high temperature and harsh radiation environment. On the other hand, perylene and PTCDA can sustain harsh environments, but yields low efficiency. The analysis carried out reveals that LiH is a better material for neutron to other charge particle conversion with competent efficiency and desired radiation hardness. Further, the thickness of LiH has also been optimized for various mono-energetic neutron beams and Am-Be neutron source generating a neutron fluence of 109 neutrons/cm2. The optimized thickness of LiH converter for fast neutron detection is found to be ~ 500 μm. However, the estimated efficiency for fast neutron detection is only 0.1%, which is deemed to be inadequate for reliable detection of neutrons. A sensitivity study has also been done investigating the gamma background effect on the neutron detection efficiency for various energy threshold of Low-Level Discriminator (LLD). The detection
Bulk properties and near-critical behaviour of SiO2 fluid
NASA Astrophysics Data System (ADS)
Green, Eleanor C. R.; Artacho, Emilio; Connolly, James A. D.
2018-06-01
Rocky planets and satellites form through impact and accretion processes that often involve silicate fluids at extreme temperatures. First-principles molecular dynamics (FPMD) simulations have been used to investigate the bulk thermodynamic properties of SiO2 fluid at high temperatures (4000-6000 K) and low densities (500-2240 kg m-3), conditions which are relevant to protoplanetary disc condensation. Liquid SiO2 is highly networked at the upper end of this density range, but depolymerises with increasing temperature and volume, in a process characterised by the formation of oxygen-oxygen (Odbnd O) pairs. The onset of vaporisation is closely associated with the depolymerisation process, and is likely to be non-stoichiometric at high temperature, initiated via the exsolution of O2 molecules to leave a Si-enriched fluid. By 6000 K the simulated fluid is supercritical. A large anomaly in the constant-volume heat capacity occurs near the critical temperature. We present tabulated thermodynamic properties for silica fluid that reconcile observations from FPMD simulations with current knowledge of the SiO2 melting curve and experimental Hugoniot curves.
2013-01-01
SiOxNy films with a low nitrogen concentration (< 4%) have been prepared on Si substrates at 400°C by atmospheric-pressure plasma oxidation-nitridation process using O2 and N2 as gaseous precursors diluted in He. Interface properties of SiOxNy films have been investigated by analyzing high-frequency and quasistatic capacitance-voltage characteristics of metal-oxide-semiconductor capacitors. It is found that addition of N into the oxide increases both interface state density (Dit) and positive fixed charge density (Qf). After forming gas anneal, Dit decreases largely with decreasing N2/O2 flow ratio from 1 to 0.01 while the change of Qf is insignificant. These results suggest that low N2/O2 flow ratio is a key parameter to achieve a low Dit and relatively high Qf, which is effective for field effect passivation of n-type Si surfaces. PMID:23634872
NASA Astrophysics Data System (ADS)
Eddowes, M. H.; Mills, T. N.; Delpy, D. T.
1995-05-01
A Monte Carlo model of light backscattered from turbid media has been used to simulate the effects of weak localization in biological tissues. A validation technique is used that implies that for the scattering and absorption coefficients and for refractive index mismatches found in tissues, the Monte Carlo method is likely to provide more accurate results than the methods previously used. The model also has the ability to simulate the effects of various illumination profiles and other laboratory-imposed conditions. A curve-fitting routine has been developed that might be used to extract the optical coefficients from the angular intensity profiles seen in experiments on turbid biological tissues, data that could be obtained in vivo.
NASA Astrophysics Data System (ADS)
Ojha, Akash; Samantaray, Mihir; Nath Thatoi, Dhirendra; Sahoo, Seshadev
2018-03-01
Direct Metal Laser Sintering (DMLS) process is a laser based additive manufacturing process, which built complex structures from powder materials. Using high intensity laser beam, the process melts and fuse the powder particles makes dense structures. In this process, the laser beam in terms of heat flux strikes the powder bed and instantaneously melts and joins the powder particles. The partial solidification and temperature distribution on the powder bed endows a high cooling rate and rapid solidification which affects the microstructure of the build part. During the interaction of the laser beam with the powder bed, multiple modes of heat transfer takes place in this process, that make the process very complex. In the present research, a comprehensive heat transfer and solidification model of AlSi10Mg in direct metal laser sintering process has been developed on ANSYS 17.1.0 platform. The model helps to understand the flow phenomena, temperature distribution and densification mechanism on the powder bed. The numerical model takes into account the flow, heat transfer and solidification phenomena. Simulations were carried out for sintering of AlSi10Mg powders in the powder bed having dimension 3 mm × 1 mm × 0.08 mm. The solidification phenomena are incorporated by using enthalpy-porosity approach. The simulation results give the fundamental understanding of the densification of powder particles in DMLS process.
Molecular dynamics simulations of the first charge of a Li-ion—Si-anode nanobattery
Galvez-Aranda, Diego E.; Ponce, Victor; Seminario, Jorge M.
2017-03-16
Rechargeable lithium-ion batteries are the most popular devices for energy storage but still a lot of research needs to be done to improve their cycling and storage capacity. Silicon has been proposed as an anode material because of its large theoretical capacity of ~3600 mAh/g. Therefore, focus is needed on the lithiation process of silicon anodes where it is known that the anode increases its volume more than 300%, producing cracking and other damages. In this study, we performed molecular dynamics atomistic simulations to study the swelling, alloying, and amorphization of a silicon nanocrystal anode in a full nanobattery modelmore » during the first charging cycle. A dissolved salt of lithium hexafluorophosphate in ethylene carbonate was chosen as the electrolyte solution and lithium cobalt oxide as cathode. External electric fields are applied to emulate the charging, causing the migration of the Li-ions from the cathode to the anode, by drifting through the electrolyte solution, thus converting pristine Si gradually into Li 14Si 5 when fully lithiated. When the electric field is applied to the nanobattery, the temperature never exceeds 360 K due to a temperature control imposed resembling a cooling mechanism. The volume of the anode increases with the amorphization of the silicon as the external field is applied by creating a layer of LiSi alloy between the electrolyte and the silicon nanocrystal and then, at the arrival of more Li-ions changing to an alloy, where the drift velocity of Li-ions is greater than the velocity in the initial nanocrystal structure. Charge neutrality is maintained by concerted complementary reduction-oxidation reactions at the anode and cathode, respectively. Also, the nanobattery model developed here can be used to study charge mobility, current density, conductance and resistivity, among several other properties of several candidate materials for rechargeable batteries and constitutes the initial point for further studies on the
Nano-textured fluidic biochip as biological filter for selective survival of neuronal cells.
Han, Hsieh-Cheng; Lo, Hung-Chun; Wu, Chia-Yu; Chen, Kuei-Hsien; Chen, Li-Chyong; Ou, Keng-Liang; Hosseinkhani, Hossein
2015-06-01
This is an innovative study to engineer biological filter to evaluate the effect of template surface structure and physiochemical properties that can be used for wide variety of applications in biological, health care as well as environmental protection. Specifically, planar silicon (Si) wafer and arrayed Si nano-tips (SiNT) templates were fabricated and coated with gold for various lengths of time to study the effect of surface charge, surface roughness, and hydrophilicity on biological activity of rat pheochromocytoma cell lines PC12. The initial growth and proliferation of PC12 cells on Si and SiNT templates showed an antipathy for the ultra-sharp SiNTs templates. In contrast, the same cells demonstrated a preferable adherence to and proliferation on planar Si templates, resulting in higher cell densities by three orders of magnitude than those on SiNT templates. It is hypothesized that SiNTs array does generate nano-fluidic effect such that the effective contact region for aqueous solution on SiNTs is lower than that on planar Si templates, thus decreasing adsorbable area for cell viability and survival. Moreover, the effect of the gold coating on cell number density was analyzed in terms of the surface roughness, zeta potential and wetting properties of the templates. It was determined that surface charge, as measured by the zeta potential, strongly correlated with the trend observed in the surface cell density, whereas no such correlation was observed for surface roughness or wetting properties in the ranges of our experiment conditions. © 2014 Wiley Periodicals, Inc.
Bhate, Devaki; Jain, Sanjay; Kale, Rahul; Muglikar, Sangeeta
2015-01-01
Background: Chlorhexidine (CHX) is considered as a gold standard of antimicrobial rinses. Various herbal oral rinses are available in the market. However, little is known of its effectiveness. Aim: The aim of this study was to evaluate the clinical changes after the usage of herbal oral rinse and 0.12% CHX. Materials and Methods: In a randomized clinical trial, 76 patients with dental plaque-induced gingivitis were assigned to Group I (Herbal Oral Rinse - Hiora®) and 76 patients with dental plaque-induced gingivitis to Group II (0.12% Chlorhexidine-Peridex®). Gingival index and Plaque index scores were recorded at baseline and 21 days after scaling. Results: Intragroup comparison in both groups showed that plaque index and gingival index scores were statistically significant after 21 days as compared to baseline. Intergroup comparison showed that plaque index scores and gingival index scores were statistically significant in Group II as compared to Group I. Conclusion: When herbal oral rinse was compared to 0.12% CHX, 0.12% CHX mouth rinse effectively reduced the clinical symptoms of plaque-induced gingivitis. PMID:26392686
2005-11-28
ISS012-E-09567 (28 Nov. 2005) --- Houston Ship Channel, Texas is featured in this image photographed by an Expedition 12 crewmember on the International Space Station. This view depicts the San Jacinto River portion of the Houston Ship Channel, one of the United States' busiest sea ports. The Channel provides a conduit between the continental interior and the Gulf of Mexico for both petrochemical products and Midwestern grain. The original watercourse for the Channel, Buffalo Bayou, has its headwaters 30 miles to the west of the city of Houston and has been used to move goods to the sea since at least 1836. Wakes of ships traveling along the channel are visible to the south of the Goat Islands (bright oblong islands at top center of image). The close proximity to Texas oilfields led to the establishment of numerous petrochemical refineries along the waterway, such as the Exxon Mobil Baytown installation on the eastern bank of the San Jacinto River. While much of the Ship Channel is associated with heavy industry, two icons of Texas history are also located along its length. A close search of the photo's details reveals both the battleship U.S.S. Texas and the neighboring San Jacinto Monument. The Texas saw service during both World Wars, and is the last remaining example of a dreadnought-class battleship in existence. The nearby San Jacinto Monument commemorates the 1836 battle in which Texas won its independence from Mexico. The monument itself is a 570 feet (173 meters) high shaft topped by a 34 feet (10 meters) high star, making it 15 feet (5 meters) higher than the Washington Monument in Washington, D.C. The Houston Ship Channel has been periodically widened and deepened to accommodate ever-larger ships, and is currently 530 feet (161 meters) wide by 45 feet (14 meters) deep by 50 miles (80 kilometers) long. The islands in the ship channel are part of the ongoing channel widening and deepening project--created by dredge spoils, salt marshes and bird islands are
NASA Technical Reports Server (NTRS)
Zhu, Dongming; Halbig, Michael Charles; Sing, Mrityunjay
2014-01-01
The environmental stability and thermal gradient cyclic durability performance of SA Tyrannohex composites were investigated for turbine engine component applications. The work has been focused on investigating the combustion rig recession, cyclic thermal stress resistance and thermomechanical low cycle fatigue of uncoated and environmental barrier coated Tyrannohex SiC SA composites in simulated turbine engine combustion water vapor, thermal gradients, and mechanical loading conditions. Flexural strength degradations have been evaluated, and the upper limits of operating temperature conditions for the SA composite material systems are discussed based on the experimental results.
Advanced Environmental Barrier Coating Development for SiC-SiC Ceramic Matrix Composite Components
NASA Technical Reports Server (NTRS)
Zhu, Dongming; Harder, Bryan; Hurst, Janet B.; Halbig, Michael Charles; Puleo, Bernadette J.; Costa, Gustavo; Mccue, Terry R.
2017-01-01
This presentation reviews the NASA advanced environmental barrier coating (EBC) system development for SiC-SiC Ceramic Matrix Composite (CMC) combustors particularly under the NASA Environmentally Responsible Aviation, Fundamental Aeronautics and Transformative Aeronautics Concepts Programs. The emphases have been placed on the current design challenges of the 2700-3000F capable environmental barrier coatings for low NOX emission combustors for next generation turbine engines by using advanced plasma spray based processes, and the coating processing and integration with SiC-SiC CMCs and component systems. The developments also have included candidate coating composition system designs, degradation mechanisms, performance evaluation and down-selects; the processing optimizations using TriplexPro Air Plasma Spray Low Pressure Plasma Spray (LPPS), Plasma Spray Physical Vapor Deposition and demonstration of EBC-CMC systems. This presentation also highlights the EBC-CMC system temperature capability and durability improvements under the NASA development programs, as demonstrated in the simulated engine high heat flux, combustion environments, in conjunction with high heat flux, mechanical creep and fatigue loading testing conditions.
NASA Astrophysics Data System (ADS)
Y Chou, H.; Afanas'ev, V. V.; Thoan, N. H.; Adelmann, C.; Lin, H. C.; Houssa, M.; Stesmans, A.
2012-10-01
Electrical analysis of interfaces of (100)Si, (100)InP, and (100)In0.53Ga0.47As with TaSiOx (Ta/Si≈1) films atomic-layer deposited using SiCl4, TaCl5, and H2O precursors suggests Ta silicate as a good insulating and surface passivating layer on all three semiconductors. However, when a positive voltage is applied to the top metal electrode in a metal/ TaSiOx /semiconductor configuration, considerable hysteresis of the capacitance-voltage curves, both at 300 and 77 K, is universally observed indicating electron injection and trapping in the insulator. To shed some light on the origin of this charge instability, we analyzed interface band alignment of the studied interfaces using the spectroscopies of internal photoemission and photoconductivity measurements. The latter reveals that independently of the semiconductor substrate material, TaSiOx layers exhibit a bandgap of only 4.5±0.1 eV, typical for a Ta2O5 network. The density of electron states associated with this narrow-gap network may account for the enhanced electron injection and trapping. Furthermore, while a sufficiently high energy barrier for electrons between Si and TaSiOx (3.1±0.1 eV) is found, much lower IPE thresholds are encountered at the (100)InP/TaSiOx and (100) In0.53Ga0.47As/TaSiOx interfaces, i.e., 2.4 and 2.0 eV, respectively. The lower barrier may be related by the formation of narrow-gap In-rich interlayers between AIIIBV semiconductors and TaSiOx.
NASA Astrophysics Data System (ADS)
Lee, Soojin; Cho, Woon Jo; Kim, Yang Do; Kim, Eun Kyu; Park, Jae Gwan
2005-07-01
White-light-emitting Si nanoparticles were prepared from the sodium silicide (NaSi) precursor. The photoluminescence of colloidal Si nanoparticles has been fitted by effective mass approximation (EMA). We analyzed the correlation between experimental photoluminescence and simulated fitting curves. Both the mean diameter and the size dispersion of the white-light-emitting Si nanoparticles were estimated.
NASA Astrophysics Data System (ADS)
Sommer, M.; Jochheim, H.; Höhn, A.; Breuer, J.; Zagorski, Z.; Busse, J.; Barkusky, D.; Puppe, D.; Wanner, M.; Kaczorek, D.
2012-12-01
The relevance of biological Si cycling for dissolved silica (DSi) export from terrestrial biogeosystems is still in debate. Even in systems showing a high content of weatherable minerals, like Cambisols on volcanic tuff, biogenic Si (BSi) might contribute > 50% to total DSi (Gerard et~al., 2008). However, the actual number of biogeosystem studies is rather limited for generalised conclusions. To cover one end of controlling factors on DSi - weatherable minerals content - we studied a~forested site with absolute quartz dominance (> 95%). Hence, we hypothesise minimal effects of chemical weathering of silicates on DSi. During a~four year observation period (May 2007-April 2011) we quantified (i) internal and external Si fluxes of a temperate-humid biogeosystem (beech, 120 yr) by BIOME-BGC (vers. ZALF), (ii) related Si budgets, and, (iii) Si pools in soil and beech, chemically as well as by SEM-EDX. For the first time both compartments of biogenic Si in soils were analysed, i.e. phytogenic and zoogenic Si pool (testate amoebae). We quantified an average Si plant uptake of 35 kg Si ha-1 yr-1 - most of which is recycled to the soil by litterfall - and calculated an annual biosilicification from idiosomic testate amoebae of 17 kg Si ha-1. High DSi concentrations (6 mg l-1) and DSi exports (12 kg Si ha-1 yr-1) could not be explained by chemical weathering of feldspars or quartz dissolution. Instead, dissolution of a relictic phytolith Si pool seems to be the main process for the DSi observed. We identified forest management, i.e. selective extraction of pine trees 20 yr ago followed by a disappearance of grasses, as the most probable control for the phenomena observed and hypothesised the biogeosystem to be in a transient state in terms of Si cycling.
NASA Astrophysics Data System (ADS)
Samaraweera, Nalaka; Chan, Kin L.; Mithraratne, Kumar
2018-05-01
Si and Si/Ge based nanostructures of reduced lattice thermal conductivity are widely attractive for developing efficient thermoelectric materials. In this study, we demonstrate the reduced thermal conductivity of Si nanotwinned random layer (NTRL) structures over corresponding superlattice and twin-free counterparts. The participation ratio analysis of vibrational modes shows that a possible cause of thermal conductivity reduction is phonon localization due to the random arrangement of twin boundaries. Via non-equilibrium molecular dynamic simulations, it is shown that ~23 and ~27% reductions over superlattice counterparts and ~55 and 53% over twin-free counterparts can be attained for the structures of total lengths of 90 and 170 nm, respectively. Furthermore, a random twin boundary distribution is applied for Si/Ge random layer structures seeking further reduction of thermal conductivity. A significant reduction in thermal conductivity of Si/Ge structures exceeding the thermal insulating performance of the corresponding amorphous Si structure by ~31% for a total length of 90 nm can be achieved. This reduction is as high as ~98% compared to the twin-free Si counterpart. It is demonstrated that application of randomly organised nanoscale twin boundaries is a promising nanostructuring strategy towards developing efficient Si and Si/Ge based thermoelectric materials in the future.
2011-01-01
Background The increasing use of computational simulation experiments to inform modern biological research creates new challenges to annotate, archive, share and reproduce such experiments. The recently published Minimum Information About a Simulation Experiment (MIASE) proposes a minimal set of information that should be provided to allow the reproduction of simulation experiments among users and software tools. Results In this article, we present the Simulation Experiment Description Markup Language (SED-ML). SED-ML encodes in a computer-readable exchange format the information required by MIASE to enable reproduction of simulation experiments. It has been developed as a community project and it is defined in a detailed technical specification and additionally provides an XML schema. The version of SED-ML described in this publication is Level 1 Version 1. It covers the description of the most frequent type of simulation experiments in the area, namely time course simulations. SED-ML documents specify which models to use in an experiment, modifications to apply on the models before using them, which simulation procedures to run on each model, what analysis results to output, and how the results should be presented. These descriptions are independent of the underlying model implementation. SED-ML is a software-independent format for encoding the description of simulation experiments; it is not specific to particular simulation tools. Here, we demonstrate that with the growing software support for SED-ML we can effectively exchange executable simulation descriptions. Conclusions With SED-ML, software can exchange simulation experiment descriptions, enabling the validation and reuse of simulation experiments in different tools. Authors of papers reporting simulation experiments can make their simulation protocols available for other scientists to reproduce the results. Because SED-ML is agnostic about exact modeling language(s) used, experiments covering models from
Waltemath, Dagmar; Adams, Richard; Bergmann, Frank T; Hucka, Michael; Kolpakov, Fedor; Miller, Andrew K; Moraru, Ion I; Nickerson, David; Sahle, Sven; Snoep, Jacky L; Le Novère, Nicolas
2011-12-15
The increasing use of computational simulation experiments to inform modern biological research creates new challenges to annotate, archive, share and reproduce such experiments. The recently published Minimum Information About a Simulation Experiment (MIASE) proposes a minimal set of information that should be provided to allow the reproduction of simulation experiments among users and software tools. In this article, we present the Simulation Experiment Description Markup Language (SED-ML). SED-ML encodes in a computer-readable exchange format the information required by MIASE to enable reproduction of simulation experiments. It has been developed as a community project and it is defined in a detailed technical specification and additionally provides an XML schema. The version of SED-ML described in this publication is Level 1 Version 1. It covers the description of the most frequent type of simulation experiments in the area, namely time course simulations. SED-ML documents specify which models to use in an experiment, modifications to apply on the models before using them, which simulation procedures to run on each model, what analysis results to output, and how the results should be presented. These descriptions are independent of the underlying model implementation. SED-ML is a software-independent format for encoding the description of simulation experiments; it is not specific to particular simulation tools. Here, we demonstrate that with the growing software support for SED-ML we can effectively exchange executable simulation descriptions. With SED-ML, software can exchange simulation experiment descriptions, enabling the validation and reuse of simulation experiments in different tools. Authors of papers reporting simulation experiments can make their simulation protocols available for other scientists to reproduce the results. Because SED-ML is agnostic about exact modeling language(s) used, experiments covering models from different fields of research
NASA Astrophysics Data System (ADS)
Perný, M.; Šály, V.; Packa, J.; Mikolášek, M.; Váry, M.; Huran, J.; Hrubčín, L.; Skuratov, V. A.; Arbet, J.
2017-04-01
The photovoltaic efficiency of heterostructures a-SiC/c-Si may be the same or even better in comparison with conventional silicon structures when suitable adjustment of technological parameters is realized. The main advantage of heterojunction formed amorphous SiC thin film and crystalline silicon compared to standard crystalline solar cell lies in high build-in voltage and thus a high open-circuit voltage. Solar cells can be exposed to various influences of hard environment. A deterioration of properties of heterostructures (a-SiC/c-Si) due to irradiation is examined in our paper using impedance spectroscopy method. Xe ions induced damage is reflected in changes of proposed AC equivalent circuit elements. AC equivalent circuit was proposed and verified using numerical simulations. Impedance spectra were also measured at different DC bias voltages due to a more detailed understanding correlation between Xe ions induced damage and transport phenomenon in the heterostructure.
High-Temperature Corrosion Behavior of SiBCN Fibers for Aerospace Applications.
Ji, Xiaoyu; Wang, Shanshan; Shao, Changwei; Wang, Hao
2018-06-13
Amorphous SiBCN fibers possessing superior stability against oxidation have become a desirable candidate for high-temperature aerospace applications. Currently, investigations on the high-temperature corrosion behavior of these fibers for the application in high-heat engines are insufficient. Here, our polymer-derived SiBCN fibers were corroded at 1400 °C in air and simulated combustion environments. The fibers' structural evolution after corrosion in two different conditions and the potential mechanisms are investigated. It shows that the as-prepared SiBCN fibers mainly consist of amorphous networks of SiN 3 C, SiN 4 , B-N hexatomic rings, free carbon clusters, and BN 2 C units. High-resolution transmission electron microscopy cross-section observations combined with energy-dispersive spectrometry/electron energy-loss spectroscopy analysis exhibit a trilayer structure with no detectable cracks for fibers after corrosion, including the outermost SiO 2 layer, the h-BN grain-contained interlayer, and the uncorroded fiber core. A high percentage of water vapor contained in the simulated combustion environment triggers the formation of abundant α-cristobalite nanoparticles dispersing in the amorphous SiO 2 phase, which are absent in fibers corroded in air. The formation of h-BN grains in the interlayer could be ascribed to the sacrificial effects of free carbon clusters, Si-C, and Si-N units reacting with oxygen diffusing inward, which protects h-BN grains formed by networks of B-N hexatomic rings in original SiBCN fibers. These results improve our understanding of the corrosion process of SiBCN fibers in a high-temperature oxygen- and water-rich atmosphere.
NASA Astrophysics Data System (ADS)
Sarkar, Subhendu Sinha; Katiyar, Ajit K.; Sarkar, Arijit; Dhar, Achintya; Rudra, Arun; Khatri, Ravinder K.; Ray, Samit Kumar
2018-04-01
It is important to investigate the growth dynamics of Ge adatoms under different surface stress regimes of the patterned dielectric to control the selective growth of self-assembled Ge nanostructures on silicon. In the present work, we have studied the growth of Ge by molecular beam epitaxy on nanometer scale patterned Si3N4/Si(001) substrates generated using electron beam lithography. The pitch of the patterns has been varied to investigate its effect on the growth of Ge in comparison to un-patterned Si3N4. For the patterned Si3N4 film, Ge did not desorbed completely from the Si3N4 film and hence no site selective growth pattern is observed. Instead, depending upon the pitch, Ge growth has occurred in different growth modes around the openings in the Si3N4. For the un-patterned substrate, the morphology exhibits the occurrence of uniform 3D clustering of Ge adatoms on Si3N4 film. This variation in the growth modes of Ge is attributed to the variation of residual stress in the Si3N4 film for different pitch of holes, which has been confirmed theoretically through Comsol Multiphysics simulation. The variation in stress for different pitches resulted in modulation of surface energy of the Si3N4 film leading to the different growth modes of Ge.
Advanced Environmental Barrier Coatings Development for Si-Based Ceramics
NASA Technical Reports Server (NTRS)
Zhu, Dong-Ming; Choi, R. Sung; Robinson, Raymond C.; Lee, Kang N.; Bhatt, Ramakrishna T.; Miller, Robert A.
2005-01-01
Advanced environmental barrier coating concepts based on multi-component HfO2 (ZrO2) and modified mullite systems are developed for monolithic Si3N4 and SiC/SiC ceramic matrix composite (CMC) applications. Comprehensive testing approaches were established using the water vapor cyclic furnace, high pressure burner rig and laser heat flux steam rig to evaluate the coating water vapor stability, cyclic durability, radiation and erosion resistance under simulated engine environments. Test results demonstrated the feasibility and durability of the environmental barrier coating systems for 2700 to 3000 F monolithic Si3N4 and SiC/SiC CMC component applications. The high-temperature-capable environmental barrier coating systems are being further developed and optimized in collaboration with engine companies for advanced turbine engine applications.
Computer Simulation of Protein-Protein and Protein-Peptide Interactions
1983-12-08
a full molecular dynamic z simulation is performed, with resulting dipolar re - laxation. However, this is prohibitive when a large . number of...1993 Dr. Mike Marron Program Manager Molecular Biology Office of Naval Research 800 N. Quincy Street Arlington, VA 22217 Dear Mike, Here is the...rztnbutior is unLi--ited. , 93-30630 98 12 12/08/93 01/0/92-;03/31/93: Final Report, Computer Simulation of Protein-Protein and Protein-Peptide
NASA Astrophysics Data System (ADS)
Furuta, T.; Maeyama, T.; Ishikawa, K. L.; Fukunishi, N.; Fukasaku, K.; Takagi, S.; Noda, S.; Himeno, R.; Hayashi, S.
2015-08-01
In this research, we used a 135 MeV/nucleon carbon-ion beam to irradiate a biological sample composed of fresh chicken meat and bones, which was placed in front of a PAGAT gel dosimeter, and compared the measured and simulated transverse-relaxation-rate (R2) distributions in the gel dosimeter. We experimentally measured the three-dimensional R2 distribution, which records the dose induced by particles penetrating the sample, by using magnetic resonance imaging. The obtained R2 distribution reflected the heterogeneity of the biological sample. We also conducted Monte Carlo simulations using the PHITS code by reconstructing the elemental composition of the biological sample from its computed tomography images while taking into account the dependence of the gel response on the linear energy transfer. The simulation reproduced the experimental distal edge structure of the R2 distribution with an accuracy under about 2 mm, which is approximately the same as the voxel size currently used in treatment planning.
Furuta, T; Maeyama, T; Ishikawa, K L; Fukunishi, N; Fukasaku, K; Takagi, S; Noda, S; Himeno, R; Hayashi, S
2015-08-21
In this research, we used a 135 MeV/nucleon carbon-ion beam to irradiate a biological sample composed of fresh chicken meat and bones, which was placed in front of a PAGAT gel dosimeter, and compared the measured and simulated transverse-relaxation-rate (R2) distributions in the gel dosimeter. We experimentally measured the three-dimensional R2 distribution, which records the dose induced by particles penetrating the sample, by using magnetic resonance imaging. The obtained R2 distribution reflected the heterogeneity of the biological sample. We also conducted Monte Carlo simulations using the PHITS code by reconstructing the elemental composition of the biological sample from its computed tomography images while taking into account the dependence of the gel response on the linear energy transfer. The simulation reproduced the experimental distal edge structure of the R2 distribution with an accuracy under about 2 mm, which is approximately the same as the voxel size currently used in treatment planning.
The thermal expansion of (Fe1-y Ni y )Si.
Hunt, Simon A; Wann, Elizabeth T H; Dobson, David P; Vočadlo, Lindunka; Wood, Ian G
2017-08-23
We have measured the thermal expansion of (Fe 1-y Ni y )Si for y = 0, 0.1 and 0.2, between 40 and 1273 K. Above ~700 K the unit-cell volumes of the samples decrease approximately linearly with increasing Ni content. Below ~200 K the unit-cell volume of FeSi falls to a value between that of (Fe 0.9 Ni 0.1 )Si and (Fe 0.8 Ni 0.2 )Si. We attribute this extra contraction of the FeSi, which is a narrow band-gap semiconductor, to the depopulation of the conduction band at low temperatures; in the two alloys the additional electrons introduced by the substitution of Ni lead to the conduction band always being populated. We have fit the unit-cell volume data with a Debye internal energy model of thermal expansion and an additional volume term, above 800 K, to take account of the volumetric changes associated with changes in the composition of the sample. Using the thermophysical parameters of the fit we have estimated the band gap in FeSi to be 21(1) meV and the unit-cell volume change in FeSi associated with the depopulation of the conduction band to be 0.066(35) Å 3 /unit-cell.
Studying Si/SiGe disordered alloys within effective mass theory
NASA Astrophysics Data System (ADS)
Gamble, John; Montaño, Inès; Carroll, Malcolm S.; Muller, Richard P.
Si/SiGe is an attractive material system for electrostatically-defined quantum dot qubits due to its high-quality crystalline quantum well interface. Modeling the properties of single-electron quantum dots in this system is complicated by the presence of alloy disorder, which typically requires atomistic techniques in order to treat properly. Here, we use the NEMO-3D empirical tight binding code to calibrate a multi-valley effective mass theory (MVEMT) to properly handle alloy disorder. The resulting MVEMT simulations give good insight into the essential physics of alloy disorder, while being extremely computationally efficient and well-suited to determining statistical properties. Sandia is a multi-program laboratory managed and operated by Sandia Corporation, a wholly owned subsidiary of Lockheed Martin Corporation, for the US Department of Energy's National Nuclear Security Administration under Contract No. DE-AC04-94AL85000.
Improved BN Coatings on SiC Fibers in SiC Matrices
NASA Technical Reports Server (NTRS)
Morscher, Gregory N.; Bhatt, Ramakrishna; Yun, Hee-Mann; DiCarlo, James A.
2004-01-01
Modifications of BN-based coatings that are used as interfacial layers between the fibers and matrices of SiCfiber/SiC-matrix composite materials have been investigated to improve the thermomechanical properties of these materials. Such interfacial coating layers, which are also known as interphases (not to be confused with interphase in the biological sense), contribute to strength and fracture toughness of a fiber/matrix composite material by providing for limited amounts of fiber/matrix debonding and sliding to absorb some of the energy that would otherwise contribute to the propagation of cracks. Heretofore, the debonding and sliding have been of a type called inside debonding because they have taken place predominantly on the inside surfaces of the BN layers that is, at the interfaces between the SiC fibers and the interphases. The modifications cause the debonding and sliding to include more of a type, called outside debonding, that takes place at the outside surfaces of the BN layers that is, at the interfaces between the interphases and the matrix (see figure). One of the expected advantages of outside debonding is that unlike in inside debonding, the interphases would remain on the crack-bridging fibers. The interphases thus remaining should afford additional protection against oxidation at high temperature and should delay undesired fiber/fiber fusion and embrittlement of the composite material. A secondary benefit of outside debonding is that the interphase/matrix interfaces could be made more compliant than are the fiber/interphase interfaces, which necessarily incorporate the roughness of the SiC fibers. By properly engineering BN interphase layers to favor outside debonding, it should be possible, not only to delay embrittlement at intermediate temperatures, but also to reduce the effective interfacial shear strength and increase the failure strain and toughness of the composite material. Two techniques have been proposed and partially experimentally
9 CFR 106.1 - Biological products; exemption.
Code of Federal Regulations, 2012 CFR
2012-01-01
... AGRICULTURE VIRUSES, SERUMS, TOXINS, AND ANALOGOUS PRODUCTS; ORGANISMS AND VECTORS EXEMPTION FOR BIOLOGICAL PRODUCTS USED IN DEPARTMENT PROGRAMS OR UNDER DEPARTMENT CONTROL OR SUPERVISION § 106.1 Biological products... 9 Animals and Animal Products 1 2012-01-01 2012-01-01 false Biological products; exemption. 106.1...
9 CFR 106.1 - Biological products; exemption.
Code of Federal Regulations, 2014 CFR
2014-01-01
... AGRICULTURE VIRUSES, SERUMS, TOXINS, AND ANALOGOUS PRODUCTS; ORGANISMS AND VECTORS EXEMPTION FOR BIOLOGICAL PRODUCTS USED IN DEPARTMENT PROGRAMS OR UNDER DEPARTMENT CONTROL OR SUPERVISION § 106.1 Biological products... 9 Animals and Animal Products 1 2014-01-01 2014-01-01 false Biological products; exemption. 106.1...
9 CFR 106.1 - Biological products; exemption.
Code of Federal Regulations, 2013 CFR
2013-01-01
... AGRICULTURE VIRUSES, SERUMS, TOXINS, AND ANALOGOUS PRODUCTS; ORGANISMS AND VECTORS EXEMPTION FOR BIOLOGICAL PRODUCTS USED IN DEPARTMENT PROGRAMS OR UNDER DEPARTMENT CONTROL OR SUPERVISION § 106.1 Biological products... 9 Animals and Animal Products 1 2013-01-01 2013-01-01 false Biological products; exemption. 106.1...
9 CFR 106.1 - Biological products; exemption.
Code of Federal Regulations, 2010 CFR
2010-01-01
... AGRICULTURE VIRUSES, SERUMS, TOXINS, AND ANALOGOUS PRODUCTS; ORGANISMS AND VECTORS EXEMPTION FOR BIOLOGICAL PRODUCTS USED IN DEPARTMENT PROGRAMS OR UNDER DEPARTMENT CONTROL OR SUPERVISION § 106.1 Biological products... 9 Animals and Animal Products 1 2010-01-01 2010-01-01 false Biological products; exemption. 106.1...
9 CFR 106.1 - Biological products; exemption.
Code of Federal Regulations, 2011 CFR
2011-01-01
... AGRICULTURE VIRUSES, SERUMS, TOXINS, AND ANALOGOUS PRODUCTS; ORGANISMS AND VECTORS EXEMPTION FOR BIOLOGICAL PRODUCTS USED IN DEPARTMENT PROGRAMS OR UNDER DEPARTMENT CONTROL OR SUPERVISION § 106.1 Biological products... 9 Animals and Animal Products 1 2011-01-01 2011-01-01 false Biological products; exemption. 106.1...
Advanced Environmental Barrier Coating Development for SiC-SiC Ceramic Matrix Composite Components
NASA Technical Reports Server (NTRS)
Zhu, Dongming; Harder, Bryan; Bhatt, Ramakrishna; Kiser, Doug; Wiesner, Valerie L.
2016-01-01
This presentation reviews the NASA advanced environmental barrier coating (EBC) system development for SiCSiC Ceramic Matrix Composite (CMC) components for next generation turbine engines. The emphasis has been placed on the current design challenges of the 2700F environmental barrier coatings; coating processing and integration with SiCSiC CMCs and component systems; and performance evaluation and demonstration of EBC-CMC systems. This presentation also highlights the EBC-CMC system temperature capability and durability improvements through advanced compositions and architecture designs, as shown in recent simulated engine high heat flux, combustion environment, in conjunction with mechanical creep and fatigue loading testing conditions.
Software for Processing Flight and Simulated Data of the ATIC Experiment
NASA Technical Reports Server (NTRS)
Panov, A. D.; Adams, J. H., Jr.; Ahn, H. S.; Bashindzhagyan, G. L.; Batkov, K. E.; Case, G.; Christl, M.; Chang, J.; Fazely, A. R.; Ganel, O.;
2002-01-01
ATIC (Advanced Thin Ionization Calorimeter) is a balloon borne experiment designed to measure the cosmic ray composition for elements from hydrogen to iron and their energy spectra from approx.50 GeV to near 100 TeV. It consists of a Si-matrix detector to determine the charge of a CR particle, a scintillator hodoscope for tracking, carbon interaction targets and a fully active BGO calorimeter. ATIC had its first flight from McMurdo, Antarctica from 28/12/2000 to 13/01/2001. The ATIC flight collected approximately 25 million events. A C++-class library for building different programs for processing flight and simulated data of the ATIC balloon experiment is described. This library is compatible with the ROOT-system and includes classes and methods for solving a number of problems as the following: Reading data files in different formats (raw-data format, ROOT-format, ASCII-format, different formats for simulated data); Transferring all these formats to the only inner format of the library; Reconstruction of trajectories of primary particles with BGO calorimeter only. The Monte-Carlo simulations with GEANT code were used to obtain the basic tables for computing error corridors and chi(sup 2)-values for the trajectories. Obtaining error corridors for searching for signal of primary particle in the Si-matrix; Searching for hit of primary particle in the Si-matrix with using of error corridor and other criteria (chi(sup 2)-values, agreement between signals in Si-matrix and in the upper layer of scintillator and others); Determination of charge of primary particle; Determination of energy deposit in BGO calorimeter.
Moessbauer spectroscopy of Mg(0.9)Fe(0.1)SiO3 perovskite
NASA Technical Reports Server (NTRS)
Jeanloz, Raymond; O'Neill, Bridget; Pasternak, Moshe P.; Taylor, R. D.; Bohlen, Steven R.
1992-01-01
Ambient pressure Moessbauer spectra of Mg(0.9)Fe-57(0.1)SiO3 perovskite synthesized at pressure-temperature conditions of about 50 GPa and 1700 K show that the iron is entirely high-spin Fe(2+) and appears to be primarily located in the octahedral site within the crystal structure. We observe broad Moessbauer lines, suggesting a distribution of electric-field gradients caused by disorder associated with the Fe ions. Also, the perovskite exhibits magnetic ordering at temperatures lower than 5 K, implying that there is a magnetic contribution to the absolute ('third-law') entropy of this phase.
Pai, Yi-Hao; Lin, Gong-Ru
2011-01-17
By depositing Si-rich SiOx nano-rod in nano-porous anodic aluminum oxide (AAO) membrane using PECVD, the spatially confined synthesis of Si quantum-dots (Si-QDs) with ultra-bright photoluminescence spectra are demonstrated after low-temperature annealing. Spatially confined SiOx nano-rod in nano-porous AAO membrane greatly increases the density of nucleated positions for Si-QD precursors, which essentially impedes the route of thermally diffused Si atoms and confines the degree of atomic self-aggregation. The diffusion controlled growth mechanism is employed to determine the activation energy of 6.284 kJ mole(-1) and diffusion length of 2.84 nm for SiO1.5 nano-rod in nano-porous AAO membrane. HRTEM results verify that the reduced geometric dimension of the SiOx host matrix effectively constrain the buried Si-QD size at even lower annealing temperature. The spatially confined synthesis of Si-QD essentially contributes the intense PL with its spectral linewidth shrinking from 210 to 140 nm and its peak intensity enhancing by two orders of magnitude, corresponding to the reduction on both the average Si-QD size and its standard deviation from 2.6 to 2.0 nm and from 25% to 12.5%, respectively. The red-shifted PL wavelength of the Si-QD reveals an inverse exponential trend with increasing temperature of annealing, which is in good agree with the Si-QD size simulation via the atomic diffusion theory.
Testing of small and large sign support systems FOIL test number : 92F012
DOT National Transportation Integrated Search
1994-07-01
This test report contains the results of a crash test performed at the Federal Outdoor Impact Laboratory (FOIL) in McLean, Virginia. The test was performed on a small sign support system at 20 mi/h (8.9 m/s), test 92F012. The vehicle used for these t...
NASA Technical Reports Server (NTRS)
Zhu, Dongming
2014-01-01
Ceramic environmental barrier coatings (EBC) and SiCSiC ceramic matrix composites (CMCs) will play a crucial role in future aircraft propulsion systems because of their ability to significantly increase engine operating temperatures, improve component durability, reduce engine weight and cooling requirements. Advanced EBC systems for SiCSiC CMC turbine and combustor hot section components are currently being developed to meet future turbine engine emission and performance goals. One of the significant material development challenges for the high temperature CMC components is to develop prime-reliant, high strength and high temperature capable environmental barrier coating bond coat systems, since the current silicon bond coat cannot meet the advanced EBC-CMC temperature and stability requirements. In this paper, advanced NASA HfO2-Si based EBC bond coat systems for SiCSiC CMC combustor and turbine airfoil applications are investigated. The coating design approach and stability requirements are specifically emphasized, with the development and implementation focusing on Plasma Sprayed (PS) and Electron Beam-Physic Vapor Deposited (EB-PVD) coating systems and the composition optimizations. High temperature properties of the HfO2-Si based bond coat systems, including the strength, fracture toughness, creep resistance, and oxidation resistance were evaluated in the temperature range of 1200 to 1500 C. Thermal gradient heat flux low cycle fatigue and furnace cyclic oxidation durability tests were also performed at temperatures up to 1500 C. The coating strength improvements, degradation and failure modes of the environmental barrier coating bond coat systems on SiCSiC CMCs tested in simulated stress-environment interactions are briefly discussed and supported by modeling. The performance enhancements of the HfO2-Si bond coat systems with rare earth element dopants and rare earth-silicon based bond coats are also highlighted. The advanced bond coat systems, when
NASA Astrophysics Data System (ADS)
Martin, G. B.; Kirtman, B.; Spera, F. J.
2010-12-01
Computational studies implementing Density Functional Theory (DFT) methods have become very popular in the Materials Sciences in recent years. DFT codes are now used routinely to simulate properties of geomaterials—mainly silicates and geochemically important metals such as Fe. These materials are ubiquitous in the Earth’s mantle and core and in terrestrial exoplanets. Because of computational limitations, most First Principles Molecular Dynamics (FPMD) calculations are done on systems of only 100 atoms for a few picoseconds. While this approach can be useful for calculating physical quantities related to crystal structure, vibrational frequency, and other lattice-scale properties (especially in crystals), it would be useful to be able to compute larger systems especially for extracting transport properties and coordination statistics. Previous studies have used codes such as VASP where CPU time increases as N2, making calculations on systems of more than 100 atoms computationally very taxing. SIESTA (Soler, et al. 2002) is a an order-N (linear-scaling) DFT code that enables electronic structure and MD computations on larger systems (N 1000) by making approximations such as localized numerical orbitals. Here we test the applicability of SIESTA to simulate geosilicates in the liquid and glass state. We have used SIESTA for MD simulations of liquid Mg2SiO4 at various state points pertinent to the Earth’s mantle and congruous with those calculated in a previous DFT study using the VASP code (DeKoker, et al. 2008). The core electronic wave functions of Mg, Si, and O were approximated using pseudopotentials with a core cutoff radius of 1.38, 1.0, and 0.61 Angstroms respectively. The Ceperly-Alder parameterization of the Local Density Approximation (LDA) was used as the exchange-correlation functional. Known systematic overbinding of LDA was corrected with the addition of a pressure term, P 1.6 GPa, which is the pressure calculated by SIESTA at the experimental
Simulated and Real Sheet-of-Light 3D Object Scanning Using a-Si:H Thin Film PSD Arrays.
Contreras, Javier; Tornero, Josep; Ferreira, Isabel; Martins, Rodrigo; Gomes, Luis; Fortunato, Elvira
2015-11-30
A MATLAB/SIMULINK software simulation model (structure and component blocks) has been constructed in order to view and analyze the potential of the PSD (Position Sensitive Detector) array concept technology before it is further expanded or developed. This simulation allows changing most of its parameters, such as the number of elements in the PSD array, the direction of vision, the viewing/scanning angle, the object rotation, translation, sample/scan/simulation time, etc. In addition, results show for the first time the possibility of scanning an object in 3D when using an a-Si:H thin film 128 PSD array sensor and hardware/software system. Moreover, this sensor technology is able to perform these scans and render 3D objects at high speeds and high resolutions when using a sheet-of-light laser within a triangulation platform. As shown by the simulation, a substantial enhancement in 3D object profile image quality and realism can be achieved by increasing the number of elements of the PSD array sensor as well as by achieving an optimal position response from the sensor since clearly the definition of the 3D object profile depends on the correct and accurate position response of each detector as well as on the size of the PSD array.
Radek, Manuel; Liedke, Bartosz; Schmidt, Bernd; Voelskow, Matthias; Bischoff, Lothar; Lundsgaard Hansen, John; Nylandsted Larsen, Arne; Bougeard, Dominique; Böttger, Roman; Prucnal, Slawomir; Posselt, Matthias; Bracht, Hartmut
2017-01-01
Crystalline and preamorphized isotope multilayers are utilized to investigate the dependence of ion beam mixing in silicon (Si), germanium (Ge), and silicon germanium (SiGe) on the atomic structure of the sample, temperature, ion flux, and electrical doping by the implanted ions. The magnitude of mixing is determined by secondary ion mass spectrometry. Rutherford backscattering spectrometry in channeling geometry, Raman spectroscopy, and transmission electron microscopy provide information about the structural state after ion irradiation. Different temperature regimes with characteristic mixing properties are identified. A disparity in atomic mixing of Si and Ge becomes evident while SiGe shows an intermediate behavior. Overall, atomic mixing increases with temperature, and it is stronger in the amorphous than in the crystalline state. Ion-beam-induced mixing in Ge shows no dependence on doping by the implanted ions. In contrast, a doping effect is found in Si at higher temperature. Molecular dynamics simulations clearly show that ion beam mixing in Ge is mainly determined by the thermal spike mechanism. In the case of Si thermal spike, mixing prevails at low temperature whereas ion beam-induced enhanced self-diffusion dominates the atomic mixing at high temperature. The latter process is attributed to highly mobile Si di-interstitials formed under irradiation and during damage annealing. PMID:28773172
Radek, Manuel; Liedke, Bartosz; Schmidt, Bernd; Voelskow, Matthias; Bischoff, Lothar; Hansen, John Lundsgaard; Larsen, Arne Nylandsted; Bougeard, Dominique; Böttger, Roman; Prucnal, Slawomir; Posselt, Matthias; Bracht, Hartmut
2017-07-17
Crystalline and preamorphized isotope multilayers are utilized to investigate the dependence of ion beam mixing in silicon (Si), germanium (Ge), and silicon germanium (SiGe) on the atomic structure of the sample, temperature, ion flux, and electrical doping by the implanted ions. The magnitude of mixing is determined by secondary ion mass spectrometry. Rutherford backscattering spectrometry in channeling geometry, Raman spectroscopy, and transmission electron microscopy provide information about the structural state after ion irradiation. Different temperature regimes with characteristic mixing properties are identified. A disparity in atomic mixing of Si and Ge becomes evident while SiGe shows an intermediate behavior. Overall, atomic mixing increases with temperature, and it is stronger in the amorphous than in the crystalline state. Ion-beam-induced mixing in Ge shows no dependence on doping by the implanted ions. In contrast, a doping effect is found in Si at higher temperature. Molecular dynamics simulations clearly show that ion beam mixing in Ge is mainly determined by the thermal spike mechanism. In the case of Si thermal spike, mixing prevails at low temperature whereas ion beam-induced enhanced self-diffusion dominates the atomic mixing at high temperature. The latter process is attributed to highly mobile Si di-interstitials formed under irradiation and during damage annealing.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bondarenko, Anton; Vyvenko, Oleg
2014-02-21
Dislocation network (DN) at hydrophilically bonded Si wafers interface is placed in space charge region (SCR) of a Schottky diode at a depth of about 150 nm from Schottky electrode for simultaneous investigation of its electrical and luminescent properties. Our recently proposed pulsed traps refilling enhanced luminescence (Pulsed-TREL) technique based on the effect of transient luminescence induced by refilling of charge carrier traps with electrical pulses is further developed and used as a tool to establish DN energy levels responsible for D1 band of dislocation-related luminescence in Si (DRL). In present work we do theoretical analysis and simulation of trapsmore » refilling kinetics dependence on refilling pulse magnitude (Vp) in two levels model: shallow and deep. The influence of initial charge state of deep level on shallow level occupation-Vp dependence is discussed. Characteristic features predicted by simulations are used for Pulsed-TREL experimental results interpretation. We conclude that only shallow (∼0.1 eV from conduction and valence band) energetic levels in the band gap participate in D1 DRL.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Seo, D. H.; Das Arulsamy, A.; Rider, A. E.
A simple, effective, and innovative approach based on ion-assisted self-organization is proposed to synthesize size-selected Si quantum dots (QDs) on SiC substrates at low substrate temperatures. Using hybrid numerical simulations, the formation of Si QDs through a self-organization approach is investigated by taking into account two distinct cases of Si QD formation using the ionization energy approximation theory, which considers ionized in-fluxes containing Si{sup 3+} and Si{sup 1+} ions in the presence of a microscopic nonuniform electric field induced by a variable surface bias. The results show that the highest percentage of the surface coverage by 1 and 2 nmmore » size-selected QDs was achieved using a bias of -20 V and ions in the lowest charge state, namely, Si{sup 1+} ions in a low substrate temperature range (227-327 deg. C). As low substrate temperatures ({<=}500 deg. C) are desirable from a technological point of view, because (i) low-temperature deposition techniques are compatible with current thin-film Si-based solar cell fabrication and (ii) high processing temperatures can frequently cause damage to other components in electronic devices and destroy the tandem structure of Si QD-based third-generation solar cells, our results are highly relevant to the development of the third-generation all-Si tandem photovoltaic solar cells.« less
NASA Astrophysics Data System (ADS)
Seo, D. H.; Rider, A. E.; Das Arulsamy, A.; Levchenko, I.; Ostrikov, K.
2010-01-01
A simple, effective, and innovative approach based on ion-assisted self-organization is proposed to synthesize size-selected Si quantum dots (QDs) on SiC substrates at low substrate temperatures. Using hybrid numerical simulations, the formation of Si QDs through a self-organization approach is investigated by taking into account two distinct cases of Si QD formation using the ionization energy approximation theory, which considers ionized in-fluxes containing Si3+ and Si1+ ions in the presence of a microscopic nonuniform electric field induced by a variable surface bias. The results show that the highest percentage of the surface coverage by 1 and 2 nm size-selected QDs was achieved using a bias of -20 V and ions in the lowest charge state, namely, Si1+ ions in a low substrate temperature range (227-327 °C). As low substrate temperatures (≤500 °C) are desirable from a technological point of view, because (i) low-temperature deposition techniques are compatible with current thin-film Si-based solar cell fabrication and (ii) high processing temperatures can frequently cause damage to other components in electronic devices and destroy the tandem structure of Si QD-based third-generation solar cells, our results are highly relevant to the development of the third-generation all-Si tandem photovoltaic solar cells.
Shakiba, Mohammad; Parson, Nick; Chen, X-Grant
2016-06-30
The hot deformation behavior of Al-0.12Fe-0.1Si alloys with varied amounts of Cu (0.002-0.31 wt %) was investigated by uniaxial compression tests conducted at different temperatures (400 °C-550 °C) and strain rates (0.01-10 s -1 ). The results demonstrated that flow stress decreased with increasing deformation temperature and decreasing strain rate, while flow stress increased with increasing Cu content for all deformation conditions studied due to the solute drag effect. Based on the experimental data, an artificial neural network (ANN) model was developed to study the relationship between chemical composition, deformation variables and high-temperature flow behavior. A three-layer feed-forward back-propagation artificial neural network with 20 neurons in a hidden layer was established in this study. The input parameters were Cu content, temperature, strain rate and strain, while the flow stress was the output. The performance of the proposed model was evaluated using the K-fold cross-validation method. The results showed excellent generalization capability of the developed model. Sensitivity analysis indicated that the strain rate is the most important parameter, while the Cu content exhibited a modest but significant influence on the flow stress.
Response of an invasive liana to simulated herbivory: implications for its biological control
NASA Astrophysics Data System (ADS)
Raghu, S.; Dhileepan, K.; Treviño, M.
2006-05-01
Pre-release evaluation of the efficacy of biological control agents is often not possible in the case of many invasive species targeted for biocontrol. In such circumstances simulating herbivory could yield significant insights into plant response to damage, thereby improving the efficiency of agent prioritisation, increasing the chances of regulating the performance of invasive plants through herbivory and minimising potential risks posed by release of multiple herbivores. We adopted this approach to understand the weaknesses herbivores could exploit, to manage the invasive liana, Macfadyena unguis-cati. We simulated herbivory by damaging the leaves, stem, root and tuber of the plant, in isolation and in combination. We also applied these treatments at multiple frequencies. Plant response in terms of biomass allocation showed that at least two severe defoliation treatments were required to diminish this liana's climbing habit and reduce its allocation to belowground tuber reserves. Belowground damage appears to have negligible effect on the plant's biomass production and tuber damage appears to trigger a compensatory response. Plant response to combinations of different types of damage did not differ significantly to that from leaf damage. This suggests that specialist herbivores in the leaf-feeding guild capable of removing over 50% of the leaf tissue may be desirable in the biological control of this invasive species.
NASA Astrophysics Data System (ADS)
Nejad, Marjan A.; Mücksch, Christian; Urbassek, Herbert M.
2017-02-01
Adsorption of insulin on polar and nonpolar surfaces of crystalline SiO2 (cristobalite and α -quartz) is studied using molecular dynamics simulation. Acceleration techniques are used in order to sample adsorption phase space efficiently and to identify realistic adsorption conformations. We find major differences between the polar and nonpolar surfaces. Electrostatic interactions govern the adsorption on polar surfaces and can be described by the alignment of the protein dipole with the surface dipole; hence spreading of the protein on the surface is irrelevant. On nonpolar surfaces, on the other hand, van-der-Waals interaction dominates, inducing surface spreading of the protein.
NASA Astrophysics Data System (ADS)
Christensen, Claire Petra
Across diverse fields ranging from physics to biology, sociology, and economics, the technological advances of the past decade have engendered an unprecedented explosion of data on highly complex systems with thousands, if not millions of interacting components. These systems exist at many scales of size and complexity, and it is becoming ever-more apparent that they are, in fact, universal, arising in every field of study. Moreover, they share fundamental properties---chief among these, that the individual interactions of their constituent parts may be well-understood, but the characteristic behaviour produced by the confluence of these interactions---by these complex networks---is unpredictable; in a nutshell, the whole is more than the sum of its parts. There is, perhaps, no better illustration of this concept than the discoveries being made regarding complex networks in the biological sciences. In particular, though the sequencing of the human genome in 2003 was a remarkable feat, scientists understand that the "cellular-level blueprints" for the human being are cellular-level parts lists, but they say nothing (explicitly) about cellular-level processes. The challenge of modern molecular biology is to understand these processes in terms of the networks of parts---in terms of the interactions among proteins, enzymes, genes, and metabolites---as it is these processes that ultimately differentiate animate from inanimate, giving rise to life! It is the goal of systems biology---an umbrella field encapsulating everything from molecular biology to epidemiology in social systems---to understand processes in terms of fundamental networks of core biological parts, be they proteins or people. By virtue of the fact that there are literally countless complex systems, not to mention tools and techniques used to infer, simulate, analyze, and model these systems, it is impossible to give a truly comprehensive account of the history and study of complex systems. The author
Ultrahigh broadband photoresponse of SnO2 nanoparticle thin film/SiO2/p-Si heterojunction.
Ling, Cuicui; Guo, Tianchao; Lu, Wenbo; Xiong, Ya; Zhu, Lei; Xue, Qingzhong
2017-06-29
The SnO 2 /Si heterojunction possesses a large band offset and it is easy to control the transportation of carriers in the SnO 2 /Si heterojunction to realize high-response broadband detection. Therefore, we investigated the potential of the SnO 2 nanoparticle thin film/SiO 2 /p-Si heterojunction for photodetectors. It is demonstrated that this heterojunction shows a stable, repeatable and broadband photoresponse from 365 nm to 980 nm. Meanwhile, the responsivity of the device approaches a high value in the range of 0.285-0.355 A W -1 with the outstanding detectivity of ∼2.66 × 10 12 cm H 1/2 W -1 and excellent sensitivity of ∼1.8 × 10 6 cm 2 W -1 , and its response and recovery times are extremely short (<0.1 s). This performance makes the device stand out among previously reported oxide or oxide/Si based photodetectors. In fact, the photosensitivity and detectivity of this heterojunction are an order of magnitude higher than that of 2D material based heterojunctions such as (Bi 2 Te 3 )/Si and MoS 2 /graphene (photosensitivity of 7.5 × 10 5 cm 2 W -1 and detectivity of ∼2.5 × 10 11 cm H 1/2 W -1 ). The excellent device performance is attributed to the large Fermi energy difference between the SnO 2 nanoparticle thin film and Si, SnO 2 nanostructure, oxygen vacancy defects and thin SiO 2 layer. Consequently, practical highly-responsive broadband PDs may be actualized in the future.
Gujrati, Maneesh; Malamas, Anthony; Shin, Tesia; Jin, Erlei; Sun, Lulu; Lu, Zheng-Rong
2015-01-01
Small interfering RNA (siRNA) has garnered much attention in recent years as a promising avenue for cancer gene therapy due to its ability to silence disease-related genes. Effective gene silencing is contingent upon the delivery of siRNA into the cytosol of target cells and requires the implementation of delivery systems possessing multiple functionalities to overcome delivery barriers. The present work explores the multifunctional properties and biological activity of a recently developed cationic lipid carrier, (1-aminoethyl)iminobis[N-(oleicylcysteinyl-1-amino-ethyl)propionamide]) (ECO). The physicochemical properties and biological activity of ECO/siRNA nanoparticles were assessed over a range of N/P ratios to optimize the formulation. Potent and sustained luciferase silencing in a U87 glioblastoma cell line was observed, even in the presence of serum proteins. ECO/siRNA nanoparticles exhibited pH-dependent membrane disruption at pH levels corresponding to various stages of the intracellular trafficking pathway. It was found that disulfide linkages created during nanoparticle formation enhanced the protection of siRNA from degradation and facilitated site-specific siRNA release in the cytosol by glutathione-mediated reduction. Confocal microscopy confirmed that ECO/siRNA nanoparticles readily escaped from late endosomes prior to cytosolic release of the siRNA cargo. These results demonstrate that the rationally designed multifunctionality of ECO/siRNA nanoparticles is critical for intracellular siRNA delivery and the continuing development of safe and effective delivery systems. PMID:25020033
ERIC Educational Resources Information Center
Lee, Aimee T.; Hairston, Rosalina V.; Thames, Rachel; Lawrence, Tonya; Herron, Sherry S.
2002-01-01
Describes the Lateblight computer simulation implemented in the general biology laboratory and science methods course for elementary teachers to reinforce the processes of science and allow students to engage, explore, explain, elaborate, and evaluate the methods of building concepts in science. (Author/KHR)