Sample records for bismuth silicon oxide

  1. Hydrothermal synthesis of bismuth germanium oxide

    DOEpatents

    Boyle, Timothy J.

    2016-12-13

    A method for the hydrothermal synthesis of bismuth germanium oxide comprises dissolving a bismuth precursor (e.g., bismuth nitrate pentahydrate) and a germanium precursor (e.g., germanium dioxide) in water and heating the aqueous solution to an elevated reaction temperature for a length of time sufficient to produce the eulytite phase of bismuth germanium oxide (E-BGO) with high yield. The E-BGO produced can be used as a scintillator material. For example, the air stability and radioluminescence response suggest that the E-BGO can be employed for medical applications.

  2. Dental discoloration caused by bismuth oxide in MTA in the presence of sodium hypochlorite.

    PubMed

    Marciano, Marina Angélica; Duarte, Marco Antonio Hungaro; Camilleri, Josette

    2015-12-01

    The aim of this research was to analyse the dental discolouration caused by mineral trioxide aggregate (MTA) induced by bismuth oxide and also assess the colour stability of other dental cements. Bismuth oxide, calcium tungstate and zirconium oxide were placed in contact with sodium hypochlorite for 24 h after which they were dried and photographed. Phase analyses were performed by X-ray diffraction (XRD) of radiopacifiers before and after immersion in sodium hypochlorite. Furthermore, teeth previously immersed in water or sodium hypochlorite were filled with MTA Angelus, Portland cement (PC), PC with 20 % zirconium oxide, PC with 20 % calcium tungstate and Biodentine. Teeth were immersed for 28 days in Hank's balanced salt solution after which they were sectioned and characterized using scanning electron microscopy (SEM) with energy-dispersive mapping and stereomicroscopy. Bismuth oxide in contact with sodium hypochlorite exhibited a change in colour from light yellow to dark brown. XRD analysis demonstrated peaks for radiopacifier and sodium chloride in samples immersed in sodium hypochlorite. The SEM images of the dentine to material interface showed alteration in material microstructure for MTA Angelus and Biodentine with depletion in calcium content in the material. The energy-dispersive maps showed migration of radiopacifier and silicon in dentine. MTA Angelus in contact with a tooth previously immersed in sodium hypochlorite resulted in colour alteration at the cement/dentine interface. MTA Angelus should not be used after irrigation with sodium hypochlorite as this will result in tooth discoloration.

  3. Bismuth-Silicon and Bismuth-Polyurethane Composite Shields for Breast Protection in Chest Computed Tomography Examinations

    PubMed Central

    Mehnati, Parinaz; Arash, Mehran; Akhlaghi, Parisa

    2018-01-01

    The article aims at constructing protective composite shields for breasts in chest computed tomography and investigating the effects of applying these new bismuth composites on dose and image quality. Polyurethane and silicon with 5% of bismuth were fabricated as a protective shield. At first, their efficiency in attenuating the X-ray beam was investigated by calculating the total attenuation coefficients at diagnostic energy range. Then, a physical chest phantom was scanned without and with these shields at tube voltage of 120 kVp, and image parameters together with dose values were studied. The results showed that these two shields have great effects on attenuating the X-ray beam, especially for lower energies (<40 kV), and in average, the attenuation coefficients of bismuth-polyurethane composite are higher in this energy range. The maximum relative differences between the average Hounsfield units (HUs) and noises of images without and with shield for both composites in 13 regions of interest were 4.5% and 15.7%, respectively. Moreover, primary investigation confirmed the ability of both shields (especially polyurethane-bismuth composite) in dose reduction. Comparing these two composites regarding the amount of dose reduction, the changes in HU and noise, and attenuation coefficients in diagnostic energy range, it seems that polyurethane composite is more useful for dose reduction, especially for higher tube voltages. PMID:29628636

  4. Bismuth oxide aqueous colloidal nanoparticles inhibit Candida albicans growth and biofilm formation

    PubMed Central

    Hernandez-Delgadillo, Rene; Velasco-Arias, Donaji; Martinez-Sanmiguel, Juan Jose; Diaz, David; Zumeta-Dube, Inti; Arevalo-Niño, Katiushka; Cabral-Romero, Claudio

    2013-01-01

    Multiresistance among microorganisms to common antimicrobials has become one of the most significant concerns in modern medicine. Nanomaterials are a new alternative to successfully treat the multiresistant microorganisms. Nanostructured materials are used in many fields, including biological sciences and medicine. Recently, it was demonstrated that the bactericidal activity of zero-valent bismuth colloidal nanoparticles inhibited the growth of Streptococcus mutans; however the antimycotic potential of bismuth nanostructured derivatives has not yet been studied. The main objective of this investigation was to analyze the fungicidal activity of bismuth oxide nanoparticles against Candida albicans, and their antibiofilm capabilities. Our results showed that aqueous colloidal bismuth oxide nanoparticles displayed antimicrobial activity against C. albicans growth (reducing colony size by 85%) and a complete inhibition of biofilm formation. These results are better than those obtained with chlorhexidine, nystatin, and terbinafine, the most effective oral antiseptic and commercial antifungal agents. In this work, we also compared the antimycotic activities of bulk bismuth oxide and bismuth nitrate, the precursor metallic salt. These results suggest that bismuth oxide colloidal nanoparticles could be a very interesting candidate as a fungicidal agent to be incorporated into an oral antiseptic. Additionally, we determined the minimum inhibitory concentration for the synthesized aqueous colloidal Bi2O3 nanoparticles. PMID:23637533

  5. METHOD OF PREPARING URANIUM, THORIUM, OR PLUTONIUM OXIDES IN LIQUID BISMUTH

    DOEpatents

    Davidson, J.K.; Robb, W.L.; Salmon, O.N.

    1960-11-22

    A method is given for forming compositions, as well as the compositions themselves, employing uranium hydride in a liquid bismuth composition to increase the solubility of uranium, plutonium and thorium oxides in the liquid bismuth. The finely divided oxide of uranium, plutonium. or thorium is mixed with the liquid bismuth and uranium hydride, the hydride being present in an amount equal to about 3 at. %, heated to about 5OO deg C, agitated and thereafter cooled and excess resultant hydrogen removed therefrom.

  6. Facile formation of metallic bismuth/bismuth oxide heterojunction on porous carbon with enhanced photocatalytic activity.

    PubMed

    Zhang, Liping; Ghimire, Pramila; Phuriragpitikhon, Jenjira; Jiang, Baojiang; Gonçalves, Alexandre A S; Jaroniec, Mietek

    2018-03-01

    Bismuth/bismuth oxide heterojunction on porous carbon (Bi 0 /Bi 2 O 3 @C) was successfully prepared by a surfactant-assisted sol-gel method. This composite photocatalyst was fabricated by depositing Bi 2 O 3 and metallic bismuth nanoparticles (NPs) on porous carbon sheets. Bi NPs were created by in-situ reduction of Bi 2 O 3 with amorphous carbon. During the synthesis, bismuth and carbon precursors were mixed in different ratios, resulting in distinct amounts of metallic bismuth in the composites. The composites showed large specific surface area and pore volume as well as strong light absorption ability due to the existing carbon. In addition, the plasmonic bismuth NPs were found to behave as a noble metal, which is able to generate hot charge carriers under visible light irradiation. Photocatalytic performance of the Bi 0 /Bi 2 O 3 @C composites was investigated by degradation of methylene blue. It turned out that the composites showed much higher efficiency as compared to bare Bi 2 O 3 , which may be attributed to the synergistic effects of porous structures, improved optical absorption, and surface plasmon resonance. Copyright © 2017 Elsevier Inc. All rights reserved.

  7. The Effects of Bismuth Oxide on Microstructures and Magnetic Properties of Mn-Mg-Al Ferrites

    NASA Astrophysics Data System (ADS)

    Nekouee, Kh. A.; Rahimi, A. H.; Haghighi, M. Alineghad; Ehsani, N.

    2018-04-01

    In the present paper, the effects of bismuth oxide as an additive on microstructure and magnetic properties of Mg0.9Mn0.1Al0.4Fe1.6O4 were investigated. Mg-Mn-Al ferrite powders were prepared by the conventional solid state synthesis method. Two different amounts of bismuth oxide (2.5 wt.% and 5 wt.%) were utilized as the sintering aid and their microstructure and physical properties were compared to those of the sample without additives. X-ray diffraction (XRD) analysis indicated that crystal lattice distortion due to the microstructural constraints as the result from incorporation of bismuth oxide into the microstructure was developed by adding bismuth oxide. XRD Rietveld refinement was used to define the cation distribution and to refine the lattice parameter and oxygen parameter for the sample without bismuth oxide as (Mg0.16Mn0.02Al0.15Fe0.77)A(Mg0.74Mn0.08Al0.25Fe0.83)BO4 and 8.3308 Å and 0.2542, respectively. Microstructure studies show that a bismuth rich liquid phase forms during the sintering at 1250°C, which enhances the densification of sintered bodies up to 13% (a relative density of 93%). Magnetization of sintered samples were increased from 21.1 emu/g to 26.2 emu/g upon addition of 2.5 wt.% bismuth oxide and then decreased to 24.9 emu/g when 5 wt.% bismuth oxide was added.

  8. A novel structure photonic crystal fiber based on bismuth-oxide for optical parametric amplification

    NASA Astrophysics Data System (ADS)

    Jin, Cang; Yuan, Jinhui; Yu, Chongxiu

    2010-11-01

    The heavy metal oxide glasses containing bismuth such as bismuth sesquioxide show unique high refractive index. In addition, the bismuth-oxide based glass does not include toxic elements such as Pb, As, Se, Te, and exhibits well chemical, mechanical and thermal stability. Hence, it is used to fabricate high nonlinear fiber for nonlinear optical application. Although the bismuth-oxide based high nonlinear fiber can be fusion-spliced to conventional silica fibers and have above advantages, yet it suffers from large group velocity dispersion because of material chromatic dispersion which restricts its utility. In regard to this, the micro-structure was introduced to adjust the dispersion of bismuth-oxide high nonlinear fiber in the 1550nm wave-band. In this paper, a hexagonal solid-core micro-structure is developed to balance its dispersion and nonlinearity. Our simulation and calculation results show that the bismuth-oxide based photonic crystal fiber has near zero dispersion around 1550nm where the optical parametric amplification suitable wavelength is. Its dispersion slop in the communication wavelength range is also relatively flat. Moreover, both nonlinear coefficient and model filed distribution were simulated, respectively.

  9. Oxide layer stability in lead-bismuth at high temperature

    NASA Astrophysics Data System (ADS)

    Martín, F. J.; Soler, L.; Hernández, F.; Gómez-Briceño, D.

    2004-11-01

    Materials protection by 'in situ' oxidation has been studied in stagnant lead-bismuth, with different oxygen levels (H 2/H 2O ratios of 0.3 and 0.03), at temperatures from 535 °C to 600 °C and times from 100 to 3000 h. The materials tested were the martensitic steels F82Hmod, EM10 and T91 and the austenitic stainless steels, AISI 316L and AISI 304L. The results obtained point to the existence of an apparent threshold temperature above which corrosion occurs and the formation of a protective and stable oxide layer is not possible. This threshold temperature depends on material composition, oxygen concentration in the liquid lead-bismuth and time. The threshold temperature is higher for the austenitic steels, especially for the AISI 304L, and it increases with the oxygen concentration in the lead-bismuth. The oxide layer formed disappear with time and, after 3000 h all the materials, except AISI 304L, suffer corrosion, more severe for the martensitic steels and at the highest temperature tested.

  10. Evaluation of the strength and radiopacity of Portland cement with varying additions of bismuth oxide.

    PubMed

    Saliba, E; Abbassi-Ghadi, S; Vowles, R; Camilleri, J; Hooper, S; Camilleri, J

    2009-04-01

    To study the effect of addition of various proportions of bismuth oxide on compressive strength and radiopacity of Portland cement. The compressive strength of white Portland cement and cement replaced with 10, 15, 20, 25 and 30% bismuth oxide was evaluated by testing cylinders 6 mm in diameter and 12 mm high. Twelve cylinders were tested for each material under study. The radiopacity of the cements tested was evaluated using an aluminium step-wedge and densitometer. The optical density was compared with the relevant thickness of aluminium (Al). Statistical analysis was performed using Analysis of Variance (ANOVA) with P = 0.05 and Tukey test to perform multiple comparison tests. Various additions of bismuth oxide had no significant effect on the strength of the material when compared with the unmodified Portland cement (P > 0.05). The radiopacity of the cements tested ranged from 2.02 mm Al for Portland cement to 9.79 mm Al for the highest bismuth replacement. Addition of bismuth oxide did not affect the compressive strength of Portland cement. All the bismuth oxide cement mixtures had radio-opacities higher than 3 mm thickness of aluminium.

  11. Bismuth oxide nanotubes-graphene fiber-based flexible supercapacitors

    NASA Astrophysics Data System (ADS)

    Gopalsamy, Karthikeyan; Xu, Zhen; Zheng, Bingna; Huang, Tieqi; Kou, Liang; Zhao, Xiaoli; Gao, Chao

    2014-07-01

    Graphene-bismuth oxide nanotube fiber as electrode material for constituting flexible supercapacitors using a PVA/H3PO4 gel electrolyte is reported with a high specific capacitance (Ca) of 69.3 mF cm-2 (for a single electrode) and 17.3 mF cm-2 (for the whole device) at 0.1 mA cm-2, respectively. Our approach opens the door to metal oxide-graphene hybrid fibers and high-performance flexible electronics.Graphene-bismuth oxide nanotube fiber as electrode material for constituting flexible supercapacitors using a PVA/H3PO4 gel electrolyte is reported with a high specific capacitance (Ca) of 69.3 mF cm-2 (for a single electrode) and 17.3 mF cm-2 (for the whole device) at 0.1 mA cm-2, respectively. Our approach opens the door to metal oxide-graphene hybrid fibers and high-performance flexible electronics. Electronic supplementary information (ESI) available: Equations and characterization. SEM images of GGO, XRD and XPS of Bi2O3 NTs, HRTEM images and EDX Spectra of Bi2O3 NT5-GF, CV curves of Bi2O3NT5-GF, Bi2O3 NTs and bismuth nitrate in three-electrode system (vs. Ag/AgCl). CV and GCD curves of Bi2O3 NT1-GF and Bi2O3 NT3-GF. See DOI: 10.1039/c4nr02615b

  12. Bismuth silicate glass containing heavy metal oxide as a promising radiation shielding material

    NASA Astrophysics Data System (ADS)

    Elalaily, Nagia A.; Abou-Hussien, Eman M.; Saad, Ebtisam A.

    2016-12-01

    Optical and FTIR spectroscopic measurements and electron paramagnetic resonance (EPR) properties have been utilized to investigate and characterize the given compositions of binary bismuth silicate glasses. In this work, it is aimed to study the possibility of using the prepared bismuth silicate glasses as a good shielding material for γ-rays in which adding bismuth oxide to silicate glasses causes distinguish increase in its density by an order of magnitude ranging from one to two more than mono divalent oxides. The good thermal stability and high density of the bismuth-based silicate glass encourage many studies to be undertaken to understand its radiation shielding efficiency. For this purpose a glass containing 20% bismuth oxide and 80% SiO2 was prepared using the melting-annealing technique. In addition the effects of adding some alkali heavy metal oxides to this glass, such as PbO, BaO or SrO, were also studied. EPR measurements show that the prepared glasses have good stability when exposed to γ-irradiation. The changes in the FTIR spectra due to the presence of metal oxides were referred to the different housing positions and physical properties of the respective divalent Sr2+, Ba2+ and Pb2+ ions. Calculations of optical band gap energies were presented for some selected glasses from the UV data to support the probability of using these glasses as a gamma radiation shielding material. The results showed stability of both optical and magnetic spectra of the studied glasses toward gamma irradiation, which validates their irradiation shielding behavior and suitability as the radiation shielding candidate materials.

  13. Bismuth oxide nanotubes-graphene fiber-based flexible supercapacitors.

    PubMed

    Gopalsamy, Karthikeyan; Xu, Zhen; Zheng, Bingna; Huang, Tieqi; Kou, Liang; Zhao, Xiaoli; Gao, Chao

    2014-08-07

    Graphene-bismuth oxide nanotube fiber as electrode material for constituting flexible supercapacitors using a PVA/H3PO4 gel electrolyte is reported with a high specific capacitance (Ca) of 69.3 mF cm(-2) (for a single electrode) and 17.3 mF cm(-2) (for the whole device) at 0.1 mA cm(-2), respectively. Our approach opens the door to metal oxide-graphene hybrid fibers and high-performance flexible electronics.

  14. Bismuth-based oxide semiconductors: Mild synthesis and practical applications

    NASA Astrophysics Data System (ADS)

    Timmaji, Hari Krishna

    In this dissertation study, bismuth based oxide semiconductors were prepared using 'mild' synthesis techniques---electrodeposition and solution combustion synthesis. Potential environmental remediation and solar energy applications of the prepared oxides were evaluated. Bismuth vanadate (BiVO4) was prepared by electrodeposition and solution combustion synthesis. A two step electrosynthesis strategy was developed and demonstrated for the first time. In the first step, a Bi film was first electrodeposited on a Pt substrate from an acidic BiCl3 medium. Then, this film was anodically stripped in a medium containing hydrolyzed vanadium precursor, to generate Bi3+, and subsequent BiVO4 formation by in situ precipitation. The photoelectrochemical data were consistent with the in situ formation of n-type semiconductor films. In the solution combustion synthesis procedure, BiVO4 powders were prepared using bismuth nitrate pentahydrate as the bismuth precursor and either vanadium chloride or vanadium oxysulfate as the vanadium precursor. Urea, glycine, or citric acid was used as the fuel. The effect of the vanadium precursor on the photocatalytic activity of combustion synthesized BiVO 4 was evaluated in this study. Methyl orange was used as a probe to test the photocatalytic attributes of the combustion synthesized (CS) samples, and benchmarked against a commercial bismuth vanadate sample. The CS samples showed superior activity to the commercial benchmark sample, and samples derived from vanadium chloride were superior to vanadium oxysulfate counterparts. The photoelectrochemical properties of the various CS samples were also studied and these samples were shown to be useful both for environmental photocatalytic remediation and water photooxidation applications. Silver bismuth tungstate (AgBiW2O8) nanoparticles were prepared for the first time by solution combustion synthesis by using silver nitrate, bismuth nitrate, sodium tungstate as precursors for Ag, Bi, and W

  15. Visible emission from bismuth-doped yttrium oxide thin films for lighting and display applications.

    PubMed

    Scarangella, Adriana; Fabbri, Filippo; Reitano, Riccardo; Rossi, Francesca; Priolo, Francesco; Miritello, Maria

    2017-12-11

    Due to the great development of light sources for several applications from displays to lighting, great efforts are devoted to find stable and efficient visible emitting materials. Moreover, the requirement of Si compatibility could enlarge the range of applications inside microelectronic chips. In this scenario, we have studied the emission properties of bismuth doped yttrium oxide thin films grown on crystalline silicon. Under optical pumping at room temperature a stable and strong visible luminescence has been observed. In particular, by the involvement of Bi ions in the two available lattice sites, the emission can be tuned from violet to green by changing the excitation wavelength. Moreover, under electron beam at low accelerating voltages (3 keV) a blue emission with high efficiency and excellent stability has been recorded. The color is generated by the involvement of Bi ions in both the lattice sites. These peculiarities make this material interesting as a luminescent medium for applications in light emitting devices and field emission displays by opening new perspectives for the realization of silicon-technology compatible light sources operating at room temperature.

  16. Fast oxygen diffusion in bismuth oxide probed by quasielastic neutron scattering

    DOE PAGES

    Mamontov, Eugene

    2016-09-24

    In this paper, we present the first, to our knowledge, study of solid state oxygen translational diffusion by quasielastic neutron scattering. Such studies in the past might have been precluded by relatively low diffusivities of oxygen anions in the temperature range amenable to neutron scattering experiments. To explore the potential of the quasielastic scattering technique, which can deduce atomic diffusion jump length of oxygen anions through the momentum transfer dependence of the scattering signal, we have selected the fastest known oxygen conductor, bismuth oxide. Finally, we have found the oxygen anion jump length in excellent agreement with the nearest oxygen-vacancymore » distance in the anion sublattice of the fluorite-related structure of bismuth oxide.« less

  17. Assessment of color stability of white mineral trioxide aggregate angelus and bismuth oxide in contact with tooth structure.

    PubMed

    Marciano, Marina Angélica; Costa, Reginaldo Mendonça; Camilleri, Josette; Mondelli, Rafael Francisco Lia; Guimarães, Bruno Martini; Duarte, Marco Antonio Hungaro

    2014-08-01

    Dental discoloration with use of materials containing bismuth oxide has been reported. It is postulated that the discoloration is a result of chemical interaction of bismuth oxide with dentin. The aim of the study was to analyze dental color alteration and the chemical interaction of bismuth oxide with the main components present in composite (methacrylate) and in dentin (collagen). Fifty bovine teeth were prepared and filled with white mineral trioxide aggregate (MTA) Angelus, Portland cement (PC) with 20% zirconium oxide, or PC with 20% calcium tungstate and then sealed with composite. Triple antibiotic paste and unfilled samples were the positive and negative controls, respectively. The specimens were stored in separate flasks immersed in tap water at 37°C with ambient light blocked out. The color assessment was performed with a spectrophotometer at different intervals, namely before filling and 24 hours, 15 days, and 30 days after filling. The color change and the luminosity were calculated. The statistical analysis was performed by using nonparametric Kruskal-Wallis and Dunn tests (P < .05). The interaction of the bismuth oxide, zirconium oxide, and calcium tungstate with collagen and methacrylate was assessed by placing the materials in contact, followed by color assessment. The analysis of color change values showed that all the materials presented color alteration after the evaluated periods. Statistically higher luminosity was verified for PC/20% zirconium oxide in comparison with white MTA Angelus (P < .05). The teeth filled with white MTA Angelus demonstrated a grayish discoloration with evident dentin staining. Bismuth oxide exhibited a color change when in contact with collagen. The color of white MTA Angelus was altered in contact with dental structures. Collagen, which is present in dentin matrix, reacted with bismuth oxide, resulting in a grayish discoloration. The use of an alternative radiopacifier to replace bismuth in white MTA is indicated

  18. Buried oxide layer in silicon

    DOEpatents

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2001-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  19. Analysis of the color alteration and radiopacity promoted by bismuth oxide in calcium silicate cement.

    PubMed

    Marciano, Marina Angélica; Estrela, Carlos; Mondelli, Rafael Francisco Lia; Ordinola-Zapata, Ronald; Duarte, Marco Antonio Hungaro

    2013-01-01

    The aim of the study was to determine if the increase in radiopacity provided by bismuth oxide is related to the color alteration of calcium silicate-based cement. Calcium silicate cement (CSC) was mixed with 0%, 15%, 20%, 30% and 50% of bismuth oxide (BO), determined by weight. Mineral trioxide aggregate (MTA) was the control group. The radiopacity test was performed according to ISO 6876/2001. The color was evaluated using the CIE system. The assessments were performed after 24 hours, 7 and 30 days of setting time, using a spectrophotometer to obtain the ΔE, Δa, Δb and ΔL values. The statistical analyses were performed using the Kruskal-Wallis/Dunn and ANOVA/Tukey tests (p<0.05). The cements in which bismuth oxide was added showed radiopacity corresponding to the ISO recommendations (>3 mm equivalent of Al). The MTA group was statistically similar to the CSC/30% BO group (p>0.05). In regard to color, the increase of bismuth oxide resulted in a decrease in the ΔE value of the calcium silicate cement. The CSC group presented statistically higher ΔE values than the CSC/50% BO group (p<0.05). The comparison between 24 hours and 7 days showed higher ΔE for the MTA group, with statistical differences for the CSC/15% BO and CSC/50% BO groups (p<0.05). After 30 days, CSC showed statistically higher ΔE values than CSC/30% BO and CSC/50% BO (p<0.05). In conclusion, the increase in radiopacity provided by bismuth oxide has no relation to the color alteration of calcium silicate-based cements.

  20. Oxidation mechanism of formic acid on the bismuth adatom-modified Pt(111) surface.

    PubMed

    Perales-Rondón, Juan Victor; Ferre-Vilaplana, Adolfo; Feliu, Juan M; Herrero, Enrique

    2014-09-24

    In order to improve catalytic processes, elucidation of reaction mechanisms is essential. Here, supported by a combination of experimental and computational results, the oxidation mechanism of formic acid on Pt(111) electrodes modified by the incorporation of bismuth adatoms is revealed. In the proposed model, formic acid is first physisorbed on bismuth and then deprotonated and chemisorbed in formate form, also on bismuth, from which configuration the C-H bond is cleaved, on a neighbor Pt site, yielding CO2. It was found computationally that the activation energy for the C-H bond cleavage step is negligible, which was also verified experimentally.

  1. Study of the bismuth oxide concentration required to provide Portland cement with adequate radiopacity for endodontic use.

    PubMed

    Bueno, Carlos Eduardo da Silveira; Zeferino, Eduardo Gregatto; Manhães, Luiz Roberto Coutinho; Rocha, Daniel Guimarães Pedro; Cunha, Rodrigo Sanches; De Martin, Alexandre Sigrist

    2009-01-01

    The purpose of this study was to determine the ideal concentration of bismuth oxide in white Portland cement to provide it with sufficient radiopacity for use as an endodontic material (ADA specification #57). 2-mm thick standardized test specimens of white MTA and of white Portland cement, as controls, and of white Portland cement with the experimental addition of 5%, 10%, 15%, 20%, 25% or 30% of bismuth oxide were radiographed and compared with various thicknesses of pure aluminum, using optic density to determine the observed grayscale levels of radiopacity in a scale ranging from 0 to 255. The data was submitted to ANOVA (p<0.05) and the Ryan-Einot-Gabriel-Welch and Quiot test (REGWQ) for multiple comparison of the means. White Portland cement with 0%, 5%, 10%, 15%, 20%, 25% and 30% of bismuth oxide presented mean readings of 63.3, 95.7, 110.7, 142.7, 151.3, 161.0 and 180.0 respectively. MTA presented a mean reading of 157.3. The readings of MTA and white Portland cement with 15% bismuth oxide did not differ significantly from the reading observed for a thickness of 4 mm of aluminum (145.3), which is considered ideal for a test specimen by ADA specification #57 (2 mm above the thickness of the test specimen). White MTA and white Portland cement with 15% bismuth oxide presented the radiopacity required for an endodontic cement.

  2. Role of Bismuth in the Electrokinetics of Silicon Photocathodes for Solar Rechargeable Vanadium Redox Flow Batteries.

    PubMed

    Flox, Cristina; Murcia-López, Sebastián; Carretero, Nina M; Ros, Carles; Morante, Juan R; Andreu, Teresa

    2018-01-10

    The ability of crystalline silicon to photoassist the V 3+ /V 2+ cathodic reaction under simulated solar irradiation, combined with the effect of bismuth have led to important electrochemical improvements. Besides the photovoltage supplied by the photovoltaics, additional decrease in the onset potentials, high reversibility of the V 3+ /V 2+ redox pair, and improvement in the electrokinetics were attained thanks to the addition of bismuth. In fact, Bi 0 deposition has shown to slightly decrease the photocurrent, but the significant enhancement in the charge transfer, reflected in the overall electrochemical performance clearly justifies its use as additive in a photoassisted system for maximizing the efficiency of solar charge to battery. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Formation of porous silicon oxide from substrate-bound silicon rich silicon oxide layers by continuous-wave laser irradiation

    NASA Astrophysics Data System (ADS)

    Wang, Nan; Fricke-Begemann, Th.; Peretzki, P.; Ihlemann, J.; Seibt, M.

    2018-03-01

    Silicon nanocrystals embedded in silicon oxide that show room temperature photoluminescence (PL) have great potential in silicon light emission applications. Nanocrystalline silicon particle formation by laser irradiation has the unique advantage of spatially controlled heating, which is compatible with modern silicon micro-fabrication technology. In this paper, we employ continuous wave laser irradiation to decompose substrate-bound silicon-rich silicon oxide films into crystalline silicon particles and silicon dioxide. The resulting microstructure is studied using transmission electron microscopy techniques with considerable emphasis on the formation and properties of laser damaged regions which typically quench room temperature PL from the nanoparticles. It is shown that such regions consist of an amorphous matrix with a composition similar to silicon dioxide which contains some nanometric silicon particles in addition to pores. A mechanism referred to as "selective silicon ablation" is proposed which consistently explains the experimental observations. Implications for the damage-free laser decomposition of silicon-rich silicon oxides and also for controlled production of porous silicon dioxide films are discussed.

  4. Disturbed oxidative metabolism in organic brain syndrome caused by bismuth in skin creams.

    PubMed

    Krüger, G; Thomas, D J; Weinhardt, F; Hoyer, S

    1976-09-04

    Two patients are described with an organic brain syndrome thought to be due to bismuth (Bi) absorbed from a skin cream. Both patients had intellectual impairment and memory loss punctuated by periods of confusion, tremulousness, clumsiness, difficulty in walking, and myoclonic jerks. A similar clinical picture has been reported from Australia and France in patients taking insoluble bismuth salts by mouth. Bi was found in cerebral venous blood in both patients and in the cerebrospinal fluid in one. It is suggested that bismuth can cross the blood/brain barrier and disturb oxidative cerebral metabolism, because increased lactate production was found with decreased consumption of oxygen and glucose and lowered cerebral blood-flow.

  5. Silicon oxidation in fluoride solutions

    NASA Technical Reports Server (NTRS)

    Sancier, K. M.; Kapur, V.

    1980-01-01

    Silicon is produced in a NaF, Na2SiF6, and Na matrix when SiF4 is reduced by metallic sodium. Hydrogen is evolved during acid leaching to separate the silicon from the accompanying reaction products, NaF and Na2SiF6. The hydrogen evolution reaction was studied under conditions simulating leaching conditions by making suspensions of the dry silicon powder in aqueous fluoride solutions. The mechanism for the hydrogen evolution is discussed in terms of spontaneous oxidation of silicon resulting from the cooperative effects of (1) elemental sodium in the silicon that reacts with water to remove a protective silica layer, leaving clean reactive silicon, and (2) fluoride in solution that complexes with the oxidized silicon in solution and retards formation of a protective hydrous oxide gel.

  6. Comparison of analytical performances of inductively coupled plasma mass spectrometry and inductively coupled plasma atomic emission spectrometry for trace analysis of bismuth and bismuth oxide

    NASA Astrophysics Data System (ADS)

    Medvedev, Nickolay S.; Shaverina, Anastasiya V.; Tsygankova, Alphiya R.; Saprykin, Anatoly I.

    2018-04-01

    The paper presents а comparison of analytical performances of inductively coupled plasma mass spectrometry (ICP-MS) and inductively coupled plasma atomic emission spectrometry (ICP-AES) for trace analysis of high purity bismuth and bismuth oxide. Matrix effects in the ICP-MS and ICP-AES methods were studied as a function of Bi concentration, ICP power and nebulizer flow rate. For ICP-MS the strong dependence of the matrix effects versus the atomic mass of analytes was observed. For ICP-AES the minimal matrix effects were achieved for spectral lines of analytes with low excitation potentials. The optimum degree of sample dilution providing minimum values of the limits of detection (LODs) was chosen. Both methods let us to reach LODs from n·10-7 to n·10-4 wt% for more than 50 trace elements. For most elements the LODs of ICP-MS were lower in comparison to ICP-AES. Validation of accuracy of the developed techniques was performed by "added-found" experiments and by comparison of the results of ICP-MS and ICP-AES analysis of high-purity bismuth oxide.

  7. Zinc oxide varistors and/or resistors

    DOEpatents

    Arnold, Jr., Wesley D.; Bond, Walter D.; Lauf, Robert J.

    1993-01-01

    Varistors and/or resistors that includes doped zinc oxide gel microspheres. The doped zinc oxide gel microspheres preferably have from about 60 to about 95% by weight zinc oxide and from about 5 to about 40% by weight dopants based on the weight of the zinc oxide. The dopants are a plurality of dopants selected from silver salts, boron oxide, silicon oxide and hydrons oxides of aluminum, bismuth, cobalt, chromium, manganese, nickel, and antimony.

  8. Influence of bismuth oxide concentration on the pH level and biocompatibility of white Portland cement.

    PubMed

    Marciano, Marina Angélica; Garcia, Roberto Brandão; Cavenago, Bruno Cavalini; Minotti, Paloma Gagliardi; Midena, Raquel Zanin; Guimarães, Bruno Martini; Ordinola-Zapata, Ronald; Duarte, Marco Antonio Hungaro

    2014-01-01

    To investigate if there is a relation between the increase of bismuth oxide and the decrease of pH levels and an intensification of toxicity in the Portland cement. White Portland cement (WPC) was mixed with 0, 15, 20, 30 and 50% bismuth oxide, in weight. For the pH level test, polyethylene tubes were filled with the cements and immersed in Milli-Q water for 15, 30 and 60 days. After each period, the increase of the pH level was assessed. For the biocompatibility, two polyethylene tubes filled with the cements were implanted in ninety albino rats (n=6). The analysis of the intensity of the inflammatory infiltrate was performed after 15, 30 and 60 days. The statistical analysis was performed using the Kruskal-Wallis, Dunn and Friedman tests for the pH level and the Kruskal-Wallis and Dunn tests for the biological analysis (p<0.05). The results showed an increase of the pH level after 15 days, followed by a slight increase after 30 days and a decrease after 60 days. There were no significant statistical differences among the groups (p>0.05). For the inflammatory infiltrates, no significant statistical differences were found among the groups in each period (p>0.05). The 15% WPC showed a significant decrease of the inflammatory infiltrate from 15 to 30 and 60 days (p<0.05). The addition of bismuth oxide into Portland cement did not affect the pH level and the biological response. The concentration of 15% of bismuth oxide resulted in significant reduction in inflammatory response in comparison with the other concentrations evaluated.

  9. Method of forming buried oxide layers in silicon

    DOEpatents

    Sadana, Devendra Kumar; Holland, Orin Wayne

    2000-01-01

    A process for forming Silicon-On-Insulator is described incorporating the steps of ion implantation of oxygen into a silicon substrate at elevated temperature, ion implanting oxygen at a temperature below 200.degree. C. at a lower dose to form an amorphous silicon layer, and annealing steps to form a mixture of defective single crystal silicon and polycrystalline silicon or polycrystalline silicon alone and then silicon oxide from the amorphous silicon layer to form a continuous silicon oxide layer below the surface of the silicon substrate to provide an isolated superficial layer of silicon. The invention overcomes the problem of buried isolated islands of silicon oxide forming a discontinuous buried oxide layer.

  10. Zinc oxide varistors and/or resistors

    DOEpatents

    Arnold, W.D. Jr.; Bond, W.D.; Lauf, R.J.

    1993-07-27

    Varistors and/or resistors are described that include doped zinc oxide gel microspheres. The doped zinc oxide gel microspheres preferably have from about 60 to about 95% by weight zinc oxide and from about 5 to about 40% by weight dopants based on the weight of the zinc oxide. The dopants are a plurality of dopants selected from silver salts, boron oxide, silicon oxide and hydrons oxides of aluminum, bismuth, cobalt, chromium, manganese, nickel, and antimony.

  11. Thermodynamics Calculation and Experimental Study on Separation of Bismuth from a Bismuth Glance Concentrate Through a Low-Temperature Molten Salt Smelting Process

    NASA Astrophysics Data System (ADS)

    Yang, Jian-Guang; He, De-Wen; Tang, Chao-Bo; Chen, Yong-Ming; Sun, Ya-Hui; Tang, Mo-Tang

    2011-08-01

    The main purpose of this study is to characterize and separate bismuth from a bismuth glance concentrate through a low-temperature, sulfur-fixing smelting process. This article reports on a study conducted on the optimization of process parameters, such as Na2CO3 and zinc oxide wt pct in charging, smelting temperature, smelting duration on the bismuth yield, resultant crude bismuth grade, and sulfur-fixing rate. A maximum bismuth recovery of 97.31 pct, crude bismuth grade of 96.93 pct, and 98.23 pct sulfur-fixing rate are obtained when a charge (containing 63.50 wt pct of Na2CO3 and 22.50 wt pct of bismuth glance, as well as 5 pct in excess of the stoichiometric requirement of zinc oxide dosage) is smelted at 1000 K (727 °C) for 150 minutes. This smelting operation is free from atmospheric pollution because zinc oxide is used as the sulfur-fixing agent, which can capture sulfur from bismuth sulfide and form the more thermodynamic-stable compound, zinc sulfide. The solid residue is subjected to a mineral dressing operation to obtain suspension, which is filtered to produce a cake, representing the solid particles of zinc sulfide. Based on the results of the chemical content analysis of the as-resultant zinc sulfide, more than 93 pct zinc sulfide can be recovered, and the recovered zinc sulfide grade can reach 60.20 pct. This material can be sold as zinc sulfide concentrate or roasted to be regenerated as zinc oxide.

  12. Nanostructured silicon for thermoelectric

    NASA Astrophysics Data System (ADS)

    Stranz, A.; Kähler, J.; Waag, A.; Peiner, E.

    2011-06-01

    Thermoelectric modules convert thermal energy into electrical energy and vice versa. At present bismuth telluride is the most widely commercial used material for thermoelectric energy conversion. There are many applications where bismuth telluride modules are installed, mainly for refrigeration. However, bismuth telluride as material for energy generation in large scale has some disadvantages. Its availability is limited, it is hot stable at higher temperatures (>250°C) and manufacturing cost is relatively high. An alternative material for energy conversion in the future could be silicon. The technological processing of silicon is well advanced due to the rapid development of microelectronics in recent years. Silicon is largely available and environmentally friendly. The operating temperature of silicon thermoelectric generators can be much higher than of bismuth telluride. Today silicon is rarely used as a thermoelectric material because of its high thermal conductivity. In order to use silicon as an efficient thermoelectric material, it is necessary to reduce its thermal conductivity, while maintaining high electrical conductivity and high Seebeck coefficient. This can be done by nanostructuring into arrays of pillars. Fabrication of silicon pillars using ICP-cryogenic dry etching (Inductive Coupled Plasma) will be described. Their uniform height of the pillars allows simultaneous connecting of all pillars of an array. The pillars have diameters down to 180 nm and their height was selected between 1 micron and 10 microns. Measurement of electrical resistance of single silicon pillars will be presented which is done in a scanning electron microscope (SEM) equipped with nanomanipulators. Furthermore, measurement of thermal conductivity of single pillars with different diameters using the 3ω method will be shown.

  13. Matrices of radiation-protective composites using bismuth oxide

    NASA Astrophysics Data System (ADS)

    Yashkina, S. Yu; Doroganov, V. A.; Trepalina, Yu N.; Loktionov, V. A.; Evtushenko, E. I.

    2018-03-01

    The article presents the results of investigations of radiation-protective composites with two types of matrices based on chamotte and aluminous binders. The synthesis of binders was carried out according to the principles of the production of ceramic concrete based on the artificial ceramic binders (ACB). Bismuth oxide was selected as filler. Basic physical and mechanical, as well as radiation-protective characteristics, of composites with different ratios of ACB and Bi2O3 were shown. It was found out that binder of high-alumina chamotte can be used as an optimal matrix base. Composites on its basis have higher structural and radiation-protective properties.

  14. Bismuth Passivation Technique for High-Resolution X-Ray Detectors

    NASA Technical Reports Server (NTRS)

    Chervenak, James; Hess, Larry

    2013-01-01

    The Athena-plus team requires X-ray sensors with energy resolution of better than one part in 3,000 at 6 keV X-rays. While bismuth is an excellent material for high X-ray stopping power and low heat capacity (for large signal when an X-ray is stopped by the absorber), oxidation of the bismuth surface can lead to electron traps and other effects that degrade the energy resolution. Bismuth oxide reduction and nitride passivation techniques analogous to those used in indium passivation are being applied in a new technique. The technique will enable improved energy resolution and resistance to aging in bismuth-absorber-coupled X-ray sensors. Elemental bismuth is lithographically integrated into X-ray detector circuits. It encounters several steps where the Bi oxidizes. The technology discussed here will remove oxide from the surface of the Bi and replace it with nitridized surface. Removal of the native oxide and passivating to prevent the growth of the oxide will improve detector performance and insulate the detector against future degradation from oxide growth. Placing the Bi coated sensor in a vacuum system, a reduction chemistry in a plasma (nitrogen/hydrogen (N2/H2) + argon) is used to remove the oxide and promote nitridization of the cleaned Bi surface. Once passivated, the Bi will perform as a better X-ray thermalizer since energy will not be trapped in the bismuth oxides on the surface. A simple additional step, which can be added at various stages of the current fabrication process, can then be applied to encapsulate the Bi film. After plasma passivation, the Bi can be capped with a non-diffusive layer of metal or dielectric. A non-superconducting layer is required such as tungsten or tungsten nitride (WNx).

  15. Oxidation mechanism of T91 steel in liquid lead-bismuth eutectic: with consideration of internal oxidation

    PubMed Central

    Ye, Zhongfei; Wang, Pei; Dong, Hong; Li, Dianzhong; Zhang, Yutuo; Li, Yiyi

    2016-01-01

    Clarification of the microscopic events that occur during oxidation is of great importance for understanding and consequently controlling the oxidation process. In this study the oxidation product formed on T91 ferritic/martensitic steel in oxygen saturated liquid lead-bismuth eutectic (LBE) at 823 K was characterized at the nanoscale using focused-ion beam and transmission electron microscope. An internal oxidation zone (IOZ) under the duplex oxide scale has been confirmed and characterized systematically. Through the microscopic characterization of the IOZ and the inner oxide layer, the micron-scale and nano-scale diffusion of Cr during the oxidation in LBE has been determined for the first time. The micron-scale diffusion of Cr ensures the continuous advancement of IOZ and inner oxide layer, and nano-scale diffusion of Cr gives rise to the typical appearance of the IOZ. Finally, a refined oxidation mechanism including the internal oxidation and the transformation of IOZ to inner oxide layer is proposed based on the discussion. The proposed oxidation mechanism succeeds in bridging the gap between the existing models and experimental observations. PMID:27734928

  16. Method of making silicon carbide-silicon composite having improved oxidation resistance

    NASA Technical Reports Server (NTRS)

    Wang, Hongyu (Inventor); Luthra, Krishan Lal (Inventor)

    2002-01-01

    A Silicon carbide-silicon matrix composite having improved oxidation resistance at high temperatures in dry or water-containing environments is provided. A method is given for sealing matrix cracks in situ in melt infiltrated silicon carbide-silicon matrix composites. The composite cracks are sealed by the addition of various additives, such as boron compounds, into the melt infiltrated silicon carbide-silicon matrix.

  17. Short-term static corrosion tests in lead-bismuth

    NASA Astrophysics Data System (ADS)

    Soler Crespo, L.; Martín Muñoz, F. J.; Gómez Briceño, D.

    2001-07-01

    Martensitic steels have been proposed to be used as structural materials and as spallation target window in hybrid systems devoted to the transmutation of radioactive waste of long life and high activity. However, their compatibility with lead-bismuth in the operating conditions of these systems depends on the existence of a protective layer such as an oxide film. The feasibility of forming and maintaining an oxide layer or maintaining a pre-oxidised one has been studied. Martensitic steel F82Hmod. (8% Cr) has been tested in lead-bismuth under static and isothermal conditions at 400°C and 600°C. In order to study the first stages of the interaction between the steel and the eutectic, short-term tests (100 and 665 h) have been carried out. Pre-oxidised and as-received samples have been tested in atmospheres with different oxidant potential. For low oxygen concentration in lead-bismuth due to unexpected oxygen consumption in the experimental device, dissolution of as-received F82Hmod. occurs and pre-oxidation does not prevent the material dissolution. For high oxygen concentration, the pre-oxidation layer seems to improve the feasibility of protecting stainless steels controlling the oxygen potential of lead-bismuth with a gas phase.

  18. Bismuth Oxide Nanoparticles Partially Substituted with EuIII, MnIV, and SiIV: Structural, Spectroscopic, and Optical Findings.

    PubMed

    Ortiz-Quiñonez, José-Luis; Zumeta-Dubé, Inti; Díaz, David; Nava-Etzana, Noel; Cruz-Zaragoza, Epifanio; Santiago-Jacinto, Patricia

    2017-03-20

    Interest in nanostructured partially substituted bismuth oxides has been increasing over the last years. Research on new synthesis methods, properties, and possible uses for these oxides is needed. The objective of this paper is to synthesize β-Bi 2 O 3 , β-Bi 2 O 3 :Eu 3+ , β-Bi 2 O 3 :Mn 4+ , Bi 12 Bi 0.8 O 19.2 , Bi 12 Bi 0.8 O 19.2 /Li + , Bi 12 MnO 20 , and Bi 12 SiO 20 nanoparticles and to investigate their structural, spectroscopic, and optical changes. Some of the causes that generated their properties are also discussed. These materials are important because the doping or partial substitution of bismuth oxide with these cations (Eu 3+ , Mn 4+ , and Si 4+ ) modifies some properties such as optical absorption, reactivity toward CO 2 , among others. X-ray diffraction (in powders), high-resolution transmission electron microscopy, Fourier transform infrared (FTIR), resonance Raman scattering, diffuse reflectance, and solid-state magic-angle-spinning 29 Si NMR were used for the characterization of the synthesized materials. We found that partial substitution of yellow Bi 12 Bi 0.8 O 19.2 with Mn 4+ and Si 4+ changed the color to green and whitish, respectively. New bands in the Raman scattering and FTIR spectra of these oxides are deeply discussed. Raman scattering spectroscopy was a valuable and reliable technique to detect the Eu 3+ and Mn 4+ cations as dopants in the bismuth oxides. The 29 Si chemical shift (δ) in Bi 12 SiO 20 was -78.16 ppm, whereas in SiO 2 , it was around -110 ppm. This considerable shift in Bi 12 SiO 20 occurred because of an increased shielding of the Si nucleus in the Si(O) 4 tetrahedron. This shielding was provided by the low-electronegativity and highly polarizable Bi cations. The isovalent doping of β-Bi 2 O 3 nanoparticles with Eu 3+ enhanced their thermal stability over 400 °C. Variation in the optical absorption and reactivity toward the acidic CO 2 molecule of the partially substituted bismuth oxides was explained on the

  19. Oxidation resistance of silicon ceramics

    NASA Technical Reports Server (NTRS)

    Yasutoshi, H.; Hirota, K.

    1984-01-01

    Oxidation resistance, and examples of oxidation of SiC, Si3N4 and sialon are reviewed. A description is given of the oxidation mechanism, including the oxidation product, oxidation reaction and the bubble size. The oxidation reactions are represented graphically. An assessment is made of the oxidation process, and an oxidation example of silicon ceramics is given.

  20. Single crystal functional oxides on silicon

    PubMed Central

    Bakaul, Saidur Rahman; Serrao, Claudy Rayan; Lee, Michelle; Yeung, Chun Wing; Sarker, Asis; Hsu, Shang-Lin; Yadav, Ajay Kumar; Dedon, Liv; You, Long; Khan, Asif Islam; Clarkson, James David; Hu, Chenming; Ramesh, Ramamoorthy; Salahuddin, Sayeef

    2016-01-01

    Single-crystalline thin films of complex oxides show a rich variety of functional properties such as ferroelectricity, piezoelectricity, ferro and antiferromagnetism and so on that have the potential for completely new electronic applications. Direct synthesis of such oxides on silicon remains challenging because of the fundamental crystal chemistry and mechanical incompatibility of dissimilar interfaces. Here we report integration of thin (down to one unit cell) single crystalline, complex oxide films onto silicon substrates, by epitaxial transfer at room temperature. In a field-effect transistor using a transferred lead zirconate titanate layer as the gate insulator, we demonstrate direct reversible control of the semiconductor channel charge with polarization state. These results represent the realization of long pursued but yet to be demonstrated single-crystal functional oxides on-demand on silicon. PMID:26853112

  1. Silicon carbide-silicon composite having improved oxidation resistance and method of making

    NASA Technical Reports Server (NTRS)

    Wang, Hongyu (Inventor); Luthra, Krishan Lal (Inventor)

    1999-01-01

    A Silicon carbide-silicon matrix composite having improved oxidation resistance at high temperatures in dry or water-containing environments is provided. A method is given for sealing matrix cracks in situ in melt infiltrated silicon carbide-silicon matrix composites. The composite cracks are sealed by the addition of various additives, such as boron compounds, into the melt infiltrated silicon carbide-silicon matrix.

  2. Multibit Polycristalline Silicon-Oxide-Silicon Nitride-Oxide-Silicon Memory Cells with High Density Designed Utilizing a Separated Control Gate

    NASA Astrophysics Data System (ADS)

    Rok Kim, Kyeong; You, Joo Hyung; Dal Kwack, Kae; Kim, Tae Whan

    2010-10-01

    Unique multibit NAND polycrystalline silicon-oxide-silicon nitride-oxide-silicon (SONOS) memory cells utilizing a separated control gate (SCG) were designed to increase memory density. The proposed NAND SONOS memory device based on a SCG structure was operated as two bits, resulting in an increase in the storage density of the NVM devices in comparison with conventional single-bit memories. The electrical properties of the SONOS memory cells with a SCG were investigated to clarify the charging effects in the SONOS memory cells. When the program voltage was supplied to each gate of the NAND SONOS flash memory cells, the electrons were trapped in the nitride region of the oxide-nitride-oxide layer under the gate to supply the program voltage. The electrons were accumulated without affecting the other gate during the programming operation, indicating the absence of cross-talk between two trap charge regions. It is expected that the inference effect will be suppressed by the lower program voltage than the program voltage of the conventional NAND flash memory. The simulation results indicate that the proposed unique NAND SONOS memory cells with a SCG can be used to increase memory density.

  3. Evaluation of Porous Silicon Oxide on Silicon Microcantilevers for Sensitive Detection of Gaseous HF.

    PubMed

    Wallace, Ryan A; Sepaniak, Michael J; Lavrik, Nickolay V; Datskos, Panos G

    2017-06-06

    Sensitive detection of harmful chemicals in industrial applications is pertinent to safety. In this work, we demonstrate the use of a sensitive silicon microcantilever (MC) system with a porous silicon oxide layer deposited on the active side of the MCs that have been mechanically manipulated to increase sensitivity. Included is the evaluation of porous silicon oxide present on different geometries of MCs and exposed to varying concentrations of hydrogen fluoride in humid air. Profilometry and the signal generated by the stress-induced porous silicon oxide (PSO) coating and bending of the MC were used as methods of evaluation.

  4. Evaluation of Porous Silicon Oxide on Silicon Microcantilevers for Sensitive Detection of Gaseous HF

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wallace, Ryan A.; Sepaniak, Michael J.; Lavrik, Nickolay V.

    Sensitive detection of harmful chemicals in industrial applications is pertinent to safety. In this paper, we demonstrate the use of a sensitive silicon microcantilever (MC) system with a porous silicon oxide layer deposited on the active side of the MCs that have been mechanically manipulated to increase sensitivity. Included is the evaluation of porous silicon oxide present on different geometries of MCs and exposed to varying concentrations of hydrogen fluoride in humid air. Finally, profilometry and the signal generated by the stress-induced porous silicon oxide (PSO) coating and bending of the MC were used as methods of evaluation.

  5. Evaluation of Porous Silicon Oxide on Silicon Microcantilevers for Sensitive Detection of Gaseous HF

    DOE PAGES

    Wallace, Ryan A.; Sepaniak, Michael J.; Lavrik, Nickolay V.; ...

    2017-05-10

    Sensitive detection of harmful chemicals in industrial applications is pertinent to safety. In this paper, we demonstrate the use of a sensitive silicon microcantilever (MC) system with a porous silicon oxide layer deposited on the active side of the MCs that have been mechanically manipulated to increase sensitivity. Included is the evaluation of porous silicon oxide present on different geometries of MCs and exposed to varying concentrations of hydrogen fluoride in humid air. Finally, profilometry and the signal generated by the stress-induced porous silicon oxide (PSO) coating and bending of the MC were used as methods of evaluation.

  6. Ultrathin Lutetium Oxide Film as an Epitaxial Hole-Blocking Layer for Crystalline Bismuth Vanadate Water Splitting Photoanodes

    DOE PAGES

    Zhang, Wenrui; Yan, Danhua; Tong, Xiao; ...

    2018-01-08

    Here a novel ultrathin lutetium oxide (Lu 2O 3) interlayer is integrated with crystalline bismuth vanadate (BiVO4) thin film photoanodes to facilitate carrier transport through atomic-scale interface control. The epitaxial Lu 2O 32O 3

  7. Silica substrate or portion formed from oxidation of monocrystalline silicon

    DOEpatents

    Matzke, Carolyn M.; Rieger, Dennis J.; Ellis, Robert V.

    2003-07-15

    A method is disclosed for forming an inclusion-free silica substrate using a monocrystalline silicon substrate as the starting material and oxidizing the silicon substrate to convert it entirely to silica. The oxidation process is performed from both major surfaces of the silicon substrate using a conventional high-pressure oxidation system. The resulting product is an amorphous silica substrate which is expected to have superior etching characteristics for microfabrication than conventional fused silica substrates. The present invention can also be used to convert only a portion of a monocrystalline silicon substrate to silica by masking the silicon substrate and locally thinning a portion the silicon substrate prior to converting the silicon portion entirely to silica. In this case, the silica formed by oxidizing the thinned portion of the silicon substrate can be used, for example, as a window to provide optical access through the silicon substrate.

  8. Synthesis and Characterisation of Reduced Graphene Oxide/Bismuth Composite for Electrodes in Electrochemical Energy Storage Devices.

    PubMed

    Wang, Jiabin; Zhang, Han; Hunt, Michael R C; Charles, Alasdair; Tang, Jie; Bretcanu, Oana; Walker, David; Hassan, Khalil T; Sun, Yige; Šiller, Lidija

    2017-01-20

    A reduced graphene oxide/bismuth (rGO/Bi) composite was synthesized for the first time using a polyol process at a low reaction temperature and with a short reaction time (60 °C and 3 hours, respectively). The as-prepared sample is structured with 20-50 nm diameter bismuth particles distributed on the rGO sheets. The rGO/Bi composite displays a combination of capacitive and battery-like charge storage, achieving a specific capacity value of 773 C g -1 at a current density of 0.2 A g -1 when charged to 1 V. The material not only has good power density but also shows moderate stability in cycling tests with current densities as high as 5 A g -1 . The relatively high abundance and low price of bismuth make this rGO/Bi material a promising candidate for use in electrode materials in future energy storage devices. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Oxidation of silicon with a 5 eV O(-) beam

    NASA Technical Reports Server (NTRS)

    Hecht, M. H.; Orient, O. J.; Chutjian, A.; Vasquez, R. P.

    1989-01-01

    A silicon wafer has been oxidized at room temperature in vacuum using a pure, ground-state beam of O(-) ions. The beam was of sufficiently low energy that no displacement damage or implantation was energetically possible. The resulting SiO2 films were analyzed with X-ray photoelectron spectroscopy. A logarithmic dependence of oxide thickness on dose was observed, with an extrapolated oxidation efficiency of unity for the clean silicon surface. A distinct initial oxidation phase was observed, with an anomalously high level of silicon suboxides. In addition, the valence-band offset between the silicon and the oxide was unusually small, suggesting a large interfacial dipole.

  10. Oxide driven strength evolution of silicon surfaces

    DOE PAGES

    Grutzik, Scott J.; Milosevic, Erik; Boyce, Brad L.; ...

    2015-11-19

    Previous experiments have shown a link between oxidation and strength changes in single crystal silicon nanostructures but provided no clues as to the mechanisms leading to this relationship. Using atomic force microscope-based fracture strength experiments, molecular dynamics modeling, and measurement of oxide development with angle resolved x-ray spectroscopy we study the evolution of strength of silicon (111) surfaces as they oxidize and with fully developed oxide layers. We find that strength drops with partial oxidation but recovers when a fully developed oxide is formed and that surfaces intentionally oxidized from the start maintain their high initial strengths. MD simulations showmore » that strength decreases with the height of atomic layer steps on the surface. These results are corroborated by a completely separate line of testing using micro-scale, polysilicon devices, and the slack chain method in which strength recovers over a long period of exposure to the atmosphere. Lastly, combining our results with insights from prior experiments we conclude that previously described strength decrease is a result of oxidation induced roughening of an initially flat silicon (1 1 1) surface and that this effect is transient, a result consistent with the observation that surfaces flatten upon full oxidation.« less

  11. Fabrication of p-type porous silicon nanowire with oxidized silicon substrate through one-step MACE

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Shaoyuan; Faculty of Metallurgical and Energy Engineering, Kunming University of Science and Technology, Kunming 650093; Ma, Wenhui, E-mail: mwhsilicon@163.com

    2014-05-01

    In this paper, the simple pre-oxidization process is firstly used to treat the starting silicon wafer, and then MPSiNWs are successfully fabricated from the moderately doped wafer by one-step MACE technology in HF/AgNO{sub 3} system. The PL spectrum of MPSiNWs obtained from the oxidized silicon wafers show a large blue-shift, which can be attributed to the deep Q. C. effect induced by numerous mesoporous structures. The effects of HF and AgNO{sub 3} concentration on formation of SiNWs were carefully investigated. The results indicate that the higher HF concentration is favorable to the growth of SiNWs, and the density of SiNWsmore » is significantly reduced when Ag{sup +} ions concentrations are too high. The deposition behaviors of Ag{sup +} ions on oxidized and unoxidized silicon surface were studied. According to the experimental results, a model was proposed to explain the formation mechanism of porous SiNWs by etching the oxidized starting silicon. - Graphical abstract: Schematic cross-sectional views of PSiNWs array formation by etching oxidized silicon wafer in HF/AgNO{sub 3} solution. (A) At the starting point; (B) during the etching process; and (C) after Ag dendrites remove. - Highlights: • Prior to etching, a simple pre-oxidation is firstly used to treat silicon substrate. • The medially doped p-type MPSiNWs are prepared by one-step MACE. • Deposition behaviors of Ag{sup +} ions on oxidized and unoxidized silicon are studied. • A model is finally proposed to explain the formation mechanism of PSiNWs.« less

  12. Engineering functionalized multi-phased silicon/silicon oxide nano-biomaterials to passivate the aggressive proliferation of cancer

    PubMed Central

    Premnath, P.; Tan, B.; Venkatakrishnan, K.

    2015-01-01

    Currently, the use of nano silicon in cancer therapy is limited as drug delivery vehicles and markers in imaging, not as manipulative/controlling agents. This is due to limited properties that native states of nano silicon and silicon oxides offers. We introduce nano-functionalized multi-phased silicon/silicon oxide biomaterials synthesized via ultrashort pulsed laser synthesis, with tunable properties that possess inherent cancer controlling properties that can passivate the progression of cancer. This nanostructured biomaterial is composed of individual functionalized nanoparticles made of a homogenous hybrid of multiple phases of silicon and silicon oxide in increasing concentration outwards from the core. The chemical properties of the proposed nanostructure such as number of phases, composition of phases and crystal orientation of each functionalized nanoparticle in the three dimensional nanostructure is defined based on precisely tuned ultrashort pulsed laser-material interaction mechanisms. The amorphous rich phased biomaterial shows a 30 fold (95%) reduction in number of cancer cells compared to bulk silicon in 48 hours. Further, the size of the cancer cells reduces by 76% from 24 to 48 hours. This method exposes untapped properties of combination of multiple phases of silicon oxides and its applications in cancer therapy. PMID:26190009

  13. Photoluminescence of Porous Silicon-Zinc Oxide Hybrid structures

    NASA Astrophysics Data System (ADS)

    Olenych, I. B.; Monastyrskii, L. S.; Luchechko, A. P.

    2017-03-01

    Arrays of ZnO nanostructures, which are optically transparent in the visible range, were grown on the surface of porous silicon by electrochemical deposition. Photoluminescence excitation and emission spectra of the obtained hybrid structures were investigated in 220-450 and 400-800 nm regions, respectively. It is established that multicolor emission is formed by combining the luminescence bands of porous silicon and zinc oxide. The possibility of controlling the photoluminescence spectra by changing the excitation energy is demonstrated. It is revealed that thermal annealing has an effect on the luminescent properties of porous silicon/zinc oxide hybrid structures. Thermal processing at 500°C leads to a sharp decrease of long-wavelength luminescence associated with porous silicon and to an increase of short-wavelength luminescence intensity related to zinc oxide.

  14. Silicon Carbide Nanotube Oxidation at High Temperatures

    NASA Technical Reports Server (NTRS)

    Ahlborg, Nadia; Zhu, Dongming

    2012-01-01

    Silicon Carbide Nanotubes (SiCNTs) have high mechanical strength and also have many potential functional applications. In this study, SiCNTs were investigated for use in strengthening high temperature silicate and oxide materials for high performance ceramic nanocomposites and environmental barrier coating bond coats. The high · temperature oxidation behavior of the nanotubes was of particular interest. The SiCNTs were synthesized by a direct reactive conversion process of multiwall carbon nanotubes and silicon at high temperature. Thermogravimetric analysis (TGA) was used to study the oxidation kinetics of SiCNTs at temperatures ranging from 800degC to1300degC. The specific oxidation mechanisms were also investigated.

  15. Development of tellurium oxide and lead-bismuth oxide glasses for mid-wave infra-red transmission optics

    NASA Astrophysics Data System (ADS)

    Zhou, Beiming; Rapp, Charles F.; Driver, John K.; Myers, Michael J.; Myers, John D.; Goldstein, Jonathan; Utano, Rich; Gupta, Shantanu

    2013-03-01

    Heavy metal oxide glasses exhibiting high transmission in the Mid-Wave Infra-Red (MWIR) spectrum are often difficult to manufacture in large sizes with optimized physical and optical properties. In this work, we researched and developed improved tellurium-zinc-barium and lead-bismuth-gallium heavy metal oxide glasses for use in the manufacture of fiber optics, optical components and laser gain materials. Two glass families were investigated, one based upon tellurium and another based on lead-bismuth. Glass compositions were optimized for stability and high transmission in the MWIR. Targeted glass specifications included low hydroxyl concentration, extended MWIR transmission window, and high resistance against devitrification upon heating. Work included the processing of high purity raw materials, melting under controlled dry Redox balanced atmosphere, finning, casting and annealing. Batch melts as large as 4 kilograms were sprue cast into aluminum and stainless steel molds or temperature controlled bronze tube with mechanical bait. Small (100g) test melts were typically processed in-situ in a 5%Au°/95%Pt° crucible. Our group manufactured and evaluated over 100 different experimental heavy metal glass compositions during a two year period. A wide range of glass melting, fining, casting techniques and experimental protocols were employed. MWIR glass applications include remote sensing, directional infrared counter measures, detection of explosives and chemical warfare agents, laser detection tracking and ranging, range gated imaging and spectroscopy. Enhanced long range mid-infrared sensor performance is optimized when operating in the atmospheric windows from ~ 2.0 to 2.4μm, ~ 3.5 to 4.3μm and ~ 4.5 to 5.0μm.

  16. Suppression of interfacial voids formation during silane (SiH4)-based silicon oxide bonding with a thin silicon nitride capping layer

    NASA Astrophysics Data System (ADS)

    Lee, Kwang Hong; Bao, Shuyu; Wang, Yue; Fitzgerald, Eugene A.; Seng Tan, Chuan

    2018-01-01

    The material properties and bonding behavior of silane-based silicon oxide layers deposited by plasma-enhanced chemical vapor deposition were investigated. Fourier transform infrared spectroscopy was employed to determine the chemical composition of the silicon oxide films. The incorporation of hydroxyl (-OH) groups and moisture absorption demonstrates a strong correlation with the storage duration for both as-deposited and annealed silicon oxide films. It is observed that moisture absorption is prevalent in the silane-based silicon oxide film due to its porous nature. The incorporation of -OH groups and moisture absorption in the silicon oxide films increase with the storage time (even in clean-room environments) for both as-deposited and annealed silicon oxide films. Due to silanol condensation and silicon oxidation reactions that take place at the bonding interface and in the bulk silicon, hydrogen (a byproduct of these reactions) is released and diffused towards the bonding interface. The trapped hydrogen forms voids over time. Additionally, the absorbed moisture could evaporate during the post-bond annealing of the bonded wafer pair. As a consequence, defects, such as voids, form at the bonding interface. To address the problem, a thin silicon nitride capping film was deposited on the silicon oxide layer before bonding to serve as a diffusion barrier to prevent moisture absorption and incorporation of -OH groups from the ambient. This process results in defect-free bonded wafers.

  17. Infrared Dielectric Properties of Low-Stress Silicon Oxide

    NASA Technical Reports Server (NTRS)

    Cataldo, Giuseppe; Wollack, Edward J.; Brown, Ari D.; Miller, Kevin H.

    2016-01-01

    Silicon oxide thin films play an important role in the realization of optical coatings and high-performance electrical circuits. Estimates of the dielectric function in the far- and mid-infrared regime are derived from the observed transmittance spectrum for a commonly employed low-stress silicon oxide formulation. The experimental, modeling, and numerical methods used to extract the dielectric function are presented.

  18. Monte Carlo simulations of radioactive waste encapsulated by bisphenol-A polycarbonate and effect of bismuth-III oxide filler material

    NASA Astrophysics Data System (ADS)

    Özdemir, Tonguç

    2017-06-01

    Radioactive waste generated from the nuclear industry and non-power applications should carefully be treated, conditioned and disposed according to the regulations set by the competent authority(ies). Bisphenol-a polycarbonate (BPA-PC), a very widely used polymer, might be considered as a potential candidate material for low level radioactive waste encapsulation. In this work, the dose rate distribution in the radioactive waste drum (containing radioactive waste and the BPA-PC polymer matrix) was determined using Monte Carlo simulations. Moreover, the change of mechanical properties of BPA-PC was estimated and their variation within the waste drum was determined for the periods of 15, 30 and 300 years after disposal to the final disposal site. The change of the dose rate within the waste drum with different contents of bismuth-III oxide were also simulated. It was concluded that addition of bismuth-III oxide filler decreases the dose delivered to the polymeric matrix due to photoelectric effect.

  19. Directed Atom-by-Atom Assembly of Dopants in Silicon.

    PubMed

    Hudak, Bethany M; Song, Jiaming; Sims, Hunter; Troparevsky, M Claudia; Humble, Travis S; Pantelides, Sokrates T; Snijders, Paul C; Lupini, Andrew R

    2018-05-17

    The ability to controllably position single atoms inside materials is key for the ultimate fabrication of devices with functionalities governed by atomic-scale properties. Single bismuth dopant atoms in silicon provide an ideal case study in view of proposals for single-dopant quantum bits. However, bismuth is the least soluble pnictogen in silicon, meaning that the dopant atoms tend to migrate out of position during sample growth. Here, we demonstrate epitaxial growth of thin silicon films doped with bismuth. We use atomic-resolution aberration-corrected imaging to view the as-grown dopant distribution and then to controllably position single dopants inside the film. Atomic-scale quantum-mechanical calculations corroborate the experimental findings. These results indicate that the scanning transmission electron microscope is of particular interest for assembling functional materials atom-by-atom because it offers both real-time monitoring and atom manipulation. We envision electron-beam manipulation of atoms inside materials as an achievable route to controllable assembly of structures of individual dopants.

  20. PROCESS USING BISMUTH PHOSPHATE AS A CARRIER PRECIPITATE FOR FISSION PRODUCTS AND PLUTONIUM VALUES

    DOEpatents

    Finzel, T.G.

    1959-03-10

    A process is described for separating plutonium from fission products carried therewith when plutonium in the reduced oxidation state is removed from a nitric acid solution of irradiated uranium by means of bismuth phosphate as a carrier precipitate. The bismuth phosphate carrier precipitate is dissolved by treatment with nitric acid and the plutonium therein is oxidized to the hexavalent oxidation state by means of potassium dichromate. Separation of the plutonium from the fission products is accomplished by again precipitating bismuth phosphate and removing the precipitate which now carries the fission products and a small percentage of the plutonium present. The amount of plutonium carried in this last step may be minimized by addition of sodium fluoride, so as to make the solution 0.03N in NaF, prior to the oxidation and prccipitation step.

  1. Effect of solvents on optical band gap of silicon-doped graphene oxide

    NASA Astrophysics Data System (ADS)

    Tul Ain, Qura; Al-Modlej, Abeer; Alshammari, Abeer; Naeem Anjum, Muhammad

    2018-03-01

    The objective of this study was to determine the influence on the optical band gap when the same amount of silicon-doped graphene oxide was dissolved in three different solvents namely, distilled water, benzene, and dichloroethane. Ultraviolet-visible spectroscopy was used to analyse the optical properties of the solutions. Among all these solutions distilled water containing silicon-doped graphene oxide has the smallest optical band gap of 2.9 eV and is considered a semiconductor. Other solutions are not considered as semiconductors as they have optical band gaps greater than 4 eV. It was observed that there is an increase in the value of optical band gap of distilled water, benzene, and dichloroethane solutions indicating a rise in the insulating behaviour. In this experiment, graphene oxide was synthesised from graphite powder by modified Hummer’s method and was then doped with silicon. Synthesis and doping of graphene oxide were confirmed by various characterization techniques. Fourier transmission infrared spectroscopy was used for identification of surface functional groups. X-ray diffraction was carried out to confirm the formation of crystalline graphene oxide and silicon doped graphene oxide. In x-ray diffraction pattern, shifting of intensity peak from a 2θ value of 26.5° to 10° confirmed the synthesis of graphene oxide and various intensity peaks at different values of 2θ confirmed doping of graphene oxide with silicon. Scanning electron microscopy images indicated that graphene oxide sheets were decorated with spherical silicon nanoparticles. Energy dispersive x-ray spectroscopy showed that silicon doped graphene oxide powder contained 63.36% carbon, 34.05% oxygen, and 2.6% silicon.

  2. Tribological interaction between polytetrafluoroethylene and silicon oxide surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Uçar, A.; Çopuroğlu, M.; Suzer, S., E-mail: suzer@fen.bilkent.edu.tr

    2014-10-28

    We investigated the tribological interaction between polytetrafluoroethylene (PTFE) and silicon oxide surfaces. A simple rig was designed to bring about a friction between the surfaces via sliding a piece of PTFE on a thermally oxidized silicon wafer specimen. A very mild inclination (∼0.5°) along the sliding motion was also employed in order to monitor the tribological interaction in a gradual manner as a function of increasing contact force. Additionally, some patterns were sketched on the silicon oxide surface using the PTFE tip to investigate changes produced in the hydrophobicity of the surface, where the approximate water contact angle was 45°more » before the transfer. The nature of the transferred materials was characterized by X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). XPS results revealed that PTFE was faithfully transferred onto the silicon oxide surface upon even at the slightest contact and SEM images demonstrated that stable morphological changes could be imparted onto the surface. The minimum apparent contact pressure to realize the PTFE transfer is estimated as 5 kPa, much lower than reported previously. Stability of the patterns imparted towards many chemical washing processes lead us to postulate that the interaction is most likely to be chemical. Contact angle measurements, which were carried out to characterize and monitor the hydrophobicity of the silicon oxide surface, showed that upon PTFE transfer the hydrophobicity of the SiO{sub 2} surface could be significantly enhanced, which might also depend upon the pattern sketched onto the surface. Contact angle values above 100° were obtained.« less

  3. Element Distribution in the Oxygen-Rich Side-Blow Bath Smelting of a Low-Grade Bismuth-Lead Concentrate

    NASA Astrophysics Data System (ADS)

    Yang, Tianzu; Xiao, Hui; Chen, Lin; Chen, Wei; Liu, Weifeng; Zhang, Duchao

    2018-03-01

    Oxygen-rich side-blow bath smelting (OSBS) technology offers an efficient method for processing complex bismuth-lead concentrates; however, the element distributions in the process remain unclear. This work determined the distributions of elements, i.e., bismuth, lead, silver, copper, arsenic and antimony, in an industrial-scale OSBS process. The feed, oxidized slag and final products were collected from the respective sampling points and analyzed. For the oxidative smelting process, 65% of bismuth and 76% of silver in the concentrate report to the metal alloy, whereas less lead reports to the metal ( 31%) than the oxidized slag ( 44%). Approximately 50% of copper enters the matte, while more than 63% of arsenic and antimony report to the slag. For the reductive smelting process, less than 4.5% of bismuth, lead, silver and copper in the oxidized slag enter the reduced slag, indicating high recoveries of these metal values.

  4. Element Distribution in the Oxygen-Rich Side-Blow Bath Smelting of a Low-Grade Bismuth-Lead Concentrate

    NASA Astrophysics Data System (ADS)

    Yang, Tianzu; Xiao, Hui; Chen, Lin; Chen, Wei; Liu, Weifeng; Zhang, Duchao

    2018-06-01

    Oxygen-rich side-blow bath smelting (OSBS) technology offers an efficient method for processing complex bismuth-lead concentrates; however, the element distributions in the process remain unclear. This work determined the distributions of elements, i.e., bismuth, lead, silver, copper, arsenic and antimony, in an industrial-scale OSBS process. The feed, oxidized slag and final products were collected from the respective sampling points and analyzed. For the oxidative smelting process, 65% of bismuth and 76% of silver in the concentrate report to the metal alloy, whereas less lead reports to the metal ( 31%) than the oxidized slag ( 44%). Approximately 50% of copper enters the matte, while more than 63% of arsenic and antimony report to the slag. For the reductive smelting process, less than 4.5% of bismuth, lead, silver and copper in the oxidized slag enter the reduced slag, indicating high recoveries of these metal values.

  5. Dopants Diffusion in Silicon during Molecular Oxygen/nitrogen Trifluoride Oxidation and Related Phenomena

    NASA Astrophysics Data System (ADS)

    Kim, U. S.

    1990-01-01

    To date, chlorine has been used as useful additives in silicon oxidation. However, rapid scaling of device dimensions motivates the development of a new dielectric layer or modification of the silicon dioxide itself. More recently, chemically enhanced thermal oxidation by the use of fluorine containing species has been introduced to verify the potential of fluorine in the silicon oxidation process. In this study, gaseous nitrogen trifluoride (NF _3) was selected as the fluorine oxidizing source based on ease of use and was compared with the dichlorofluoroethane (C_2H _3Cl_2F) source. Two different kinds of boron marker samples were prepared and oxidized in O_2/NF_3 ambient for the comparison of surface vs bulk oxidation enhanced/retarded diffusion (OED/ORD). The phosphorus, arsenic and antimony diffusion in silicon during fluorine oxidation has been studied using the various covering layers such as SiO_2, Si_3 N_4, and SiO_2 + Si_3N_4 layers. The oxidation related phenomena, i.e. enhanced silicon and silicon nitride oxidation in fluorine ambient were studied and correlated with the point defect balance at the oxidizing interface. The results of this investigation were discussed with special emphasis on the effect of fluorine on enhanced oxidation and dopant diffusion.

  6. Plasma-Sprayed Refractory Oxide Coatings on Silicon-Base Ceramics

    NASA Technical Reports Server (NTRS)

    Tewari, Surendra

    1997-01-01

    Silicon-base ceramics are promising candidate materials for high temperature structural applications such as heat exchangers, gas turbines and advanced internal combustion engines. Composites based on these materials are leading candidates for combustor materials for HSCT gas turbine engines. These materials possess a combination of excellent physical and mechanical properties at high temperatures, for example, high strength, high toughness, high thermal shock resistance, high thermal conductivity, light weight and excellent oxidation resistance. However, environmental durability can be significantly reduced in certain conditions such as when molten salts, H2 or water vapor are present. The oxidation resistance of silicon-base materials is provided by SiO2 protective layer. Molten salt reacts with SiO2 and forms a mixture of SiO2 and liquid silicate at temperatures above 800C. Oxygen diffuses more easily through the chemically altered layer, resulting in a catastrophic degradation of the substrate. SiC and Si3N4 are not stable in pure H2 and decompose to silicon and gaseous species such as CH4, SiH, SiH4, N2, and NH3. Water vapor is known to slightly increase the oxidation rate of SiC and Si3N4. Refractory oxides such as alumina, yttria-stabilized zirconia, yttria and mullite (3Al2O3.2SiO2) possess excellent environmental durability in harsh conditions mentioned above. Therefore, refractory oxide coatings on silicon-base ceramics can substantially improve the environmental durability of these materials by acting as a chemical reaction barrier. These oxide coatings can also serve as a thermal barrier. The purpose of this research program has been to develop refractory oxide chemical/thermal barrier coatings on silicon-base ceramics to provide extended temperature range and lifetime to these materials in harsh environments.

  7. Ion implantation reduces radiation sensitivity of metal oxide silicon /MOS/ devices

    NASA Technical Reports Server (NTRS)

    1971-01-01

    Implanting nitrogen ions improves hardening of silicon oxides 30 percent to 60 percent against ionizing radiation effects. Process reduces sensitivity, but retains stability normally shown by interfaces between silicon and thermally grown oxides.

  8. Strong White Photoluminescence from Carbon-Incorporated Silicon Oxide Fabricated by Preferential Oxidation of Silicon in Nano-Structured Si:C Layer

    NASA Astrophysics Data System (ADS)

    Vasin, Andriy V.; Ishikawa, Yukari; Shibata, Noriyoshi; Salonen, Jarno; Lehto, Vesa-Pekka

    2007-05-01

    A new approach to development of light-emitting SiO2:C layers on Si wafer is demonstrated. Carbon-incorporated silicon oxide was fabricated by three-step procedure: (1) formation of the porous silicon (por-Si) layer by ordinary anodization in HF:ethanol solution; (2) carbonization at 1000 °C in acetylene flow (formation of por-Si:C layer); (3) oxidation in the flow of moisturized argon at 800 °C (formation of SiO2:C layer). Resulting SiO2:C layer exhibited very strong and stable white photoluminescence at room temperature. It is shown that high reactivity of water vapor with nano-crystalline silicon and inertness with amorphous carbon play a key role in the formation of light-emitting SiO2:C layer.

  9. Wet-Chemical Preparation of Silicon Tunnel Oxides for Transparent Passivated Contacts in Crystalline Silicon Solar Cells.

    PubMed

    Köhler, Malte; Pomaska, Manuel; Lentz, Florian; Finger, Friedhelm; Rau, Uwe; Ding, Kaining

    2018-05-02

    Transparent passivated contacts (TPCs) using a wide band gap microcrystalline silicon carbide (μc-SiC:H(n)), silicon tunnel oxide (SiO 2 ) stack are an alternative to amorphous silicon-based contacts for the front side of silicon heterojunction solar cells. In a systematic study of the μc-SiC:H(n)/SiO 2 /c-Si contact, we investigated selected wet-chemical oxidation methods for the formation of ultrathin SiO 2 , in order to passivate the silicon surface while ensuring a low contact resistivity. By tuning the SiO 2 properties, implied open-circuit voltages of 714 mV and contact resistivities of 32 mΩ cm 2 were achieved using μc-SiC:H(n)/SiO 2 /c-Si as transparent passivated contacts.

  10. Solid oxide membrane (SOM) process for ytterbium and silicon production from their oxides

    NASA Astrophysics Data System (ADS)

    Jiang, Yihong

    The Solid oxide membrane (SOM) electrolysis is an innovative green technology that produces technologically important metals directly from their respective oxides. A yttria-stabilized zirconia (YSZ) tube, closed at one end is employed to separate the molten salt containing dissolved metal oxides from the anode inside the YSZ tube. When the applied electric potential between the cathode in the molten salt and the anode exceeds the dissociation potential of the desired metal oxides, oxygen ions in the molten salt migrate through the YSZ membrane and are oxidized at the anode while the dissolved metal cations in the flux are reduced to the desired metal at the cathode. Compared with existing metal production processes, the SOM process has many advantages such as one unit operation, less energy consumption, lower capital costs and zero carbon emission. Successful implementation of the SOM electrolysis process would provide a way to mitigate the negative environmental impact of the metal industry. Successful demonstration of producing ytterbium (Yb) and silicon (Si) directly from their respective oxides utilizing the SOM electrolysis process is presented in this dissertation. During the SOM electrolysis process, Yb2O3 was reduced to Yb metal on an inert cathode. The melting point of the supporting electrolyte (LiF-YbF3-Yb2O3) was determined by differential thermal analysis (DTA). Static stability testing confirmed that the YSZ tube was stable with the flux at operating temperature. Yb metal deposit on the cathode was confirmed by scanning electron microscopy (SEM) and energy dispersive x-ray spectroscopy (EDS). During the SOM electrolysis process for silicon production, a fluoride based flux based on BaF2, MgF2, and YF3 was engineered to serve as the liquid electrolyte for dissolving silicon dioxide. YSZ tube was used to separate the molten salt from an anode current collector in the liquid silver. Liquid tin was chosen as cathode to dissolve the reduced silicon during

  11. Functionalization of 2D macroporous silicon under the high-pressure oxidation

    NASA Astrophysics Data System (ADS)

    Karachevtseva, L.; Kartel, M.; Kladko, V.; Gudymenko, O.; Bo, Wang; Bratus, V.; Lytvynenko, O.; Onyshchenko, V.; Stronska, O.

    2018-03-01

    Addition functionalization after high-pressure oxidation of 2D macroporous silicon structures is evaluated. X-ray diffractometry indicates formation of orthorhombic SiO2 phase on macroporous silicon at oxide thickness of 800-1200 nm due to cylindrical symmetry of macropores and high thermal expansion coefficient of SiO2. Pb center concentration grows with the splitting energy of LO- and TO-phonons and SiO2 thickness in oxidized macroporous silicon structures. This increase EPR signal amplitude and GHz radiation absorption and is promising for development of high-frequency devices and electronically controlled elements.

  12. Advanced Silicon-on-Insulator: Crystalline Silicon on Atomic Layer Deposited Beryllium Oxide.

    PubMed

    Min Lee, Seung; Hwan Yum, Jung; Larsen, Eric S; Chul Lee, Woo; Keun Kim, Seong; Bielawski, Christopher W; Oh, Jungwoo

    2017-10-16

    Silicon-on-insulator (SOI) technology improves the performance of devices by reducing parasitic capacitance. Devices based on SOI or silicon-on-sapphire technology are primarily used in high-performance radio frequency (RF) and radiation sensitive applications as well as for reducing the short channel effects in microelectronic devices. Despite their advantages, the high substrate cost and overheating problems associated with complexities in substrate fabrication as well as the low thermal conductivity of silicon oxide prevent broad applications of this technology. To overcome these challenges, we describe a new approach of using beryllium oxide (BeO). The use of atomic layer deposition (ALD) for producing this material results in lowering the SOI wafer production cost. Furthermore, the use of BeO exhibiting a high thermal conductivity might minimize the self-heating issues. We show that crystalline Si can be grown on ALD BeO and the resultant devices exhibit potential for use in advanced SOI technology applications.

  13. Preparation of Ferroelectric Thin Films of Bismuth Layer Structured Compounds

    NASA Astrophysics Data System (ADS)

    Watanabe, Hitoshi; Mihara, Takashi; Yoshimori, Hiroyuki; Araujo, Carlos

    1995-09-01

    Ferroelectric thin films of bismuth layer structured compounds, SrBi2Ta2O9, SrBi2Nb2O9, SrBi4Ti4O15 and their solid solutions, were formed onto a sputtered platinum layer on a silicon substrate using spin-on technique and metal-organic decomposition (MOD) method. X-ray diffraction (XRD) analysis and some electrical measurements were performed on the prepared thin films. XRD results of SrBi2(Ta1- x, Nb x)2O9 films (0≤x≤1) showed that niobium ions substitute for tantalum ions in an arbitrary ratio without any change of the layer structure and lattice constants. Furthermore, XRD results of SrBi2 xTa2O9 films (0≤x≤1.5) indicated that the formation of the bismuth layer structure does not always require an accurate bismuth content. The layer structure was formed above 50% of the stoichiometric bismuth content in the general formula. SrBi2(Ta1- x, Nb x)2O9 films with various Ta/Nb ratios have large enough remanent polarization for nonvolatile memory application and have shown high fatigue resistance against 1011 cycles of full switching of the remanent polarization. Mixture films of the three compounds were also investigated.

  14. Evaluation of the gamma radiation shielding parameters of bismuth modified quaternary glass system

    NASA Astrophysics Data System (ADS)

    Kaur, Parminder; Singh, K. J.; Thakur, Sonika

    2018-05-01

    Glasses modified with heavy metal oxides (HMO) are an interesting area of research in the field of gamma-ray shielding. Bismuth modified lithium-zinc-borate glasses have been studied whereby bismuth oxide is added from 0 to 50 mol%. The gamma ray shielding properties of the glasses were evaluated at photon energy 662 keV with the help of XMuDat computer program by using the Hubbell and Seltzer database. Various gamma ray shielding parameters such as attenuation coefficient, shield thickness in terms of half and tenth value layer, effective atomic number have been studied in this work. A useful comparison of this glass system has been made with standard radiation shielding concretes viz. ordinary, barite and iron concrete. The glass samples containing 20 to 50 mol% bismuth oxide have shown better gamma ray shielding properties and hence have the potential to become good radiation absorbers.

  15. Arsenic silicide formation by oxidation of arsenic implanted silicon

    NASA Astrophysics Data System (ADS)

    Hagmann, D.; Euen, W.; Schorer, G.; Metzger, G.

    1989-07-01

    Wet oxidations of (100) silicon implanted with an arsenic dose of 2 × 1016 cm-2 and an energy of 30 keV were carried out in the temperature range between 600 and 900° C. The oxidation rate is increased on the arsenic implanted samples up to a factor of 2000 as compared to undoped samples. During these oxidations the arsenic suicide phase AsSi is precipitated at the oxide/silicon interface. After short oxidation times at 600° C, a continuous AsSi layer is found. It is dissolved during extended oxidation times and finally almost all As is incorporated in the oxide. After 900° C oxidations, substantial AsSi crystallites remain at the Si/SiO2 interface. They are still observed up to the larg-est oxide thickness grown (2.3 µm). The AsSi phase and the distribution of the im-planted arsenic were analyzed by TEM, SIMS and XRF measurements.

  16. Synthesis of metal silicide at metal/silicon oxide interface by electronic excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, J.-G., E-mail: jglee36@kims.re.kr; Nagase, T.; Yasuda, H.

    The synthesis of metal silicide at the metal/silicon oxide interface by electronic excitation was investigated using transmission electron microscopy. A platinum silicide, α-Pt{sub 2}Si, was successfully formed at the platinum/silicon oxide interface under 25–200 keV electron irradiation. This is of interest since any platinum silicide was not formed at the platinum/silicon oxide interface by simple thermal annealing under no-electron-irradiation conditions. From the electron energy dependence of the cross section for the initiation of the silicide formation, it is clarified that the silicide formation under electron irradiation was not due to a knock-on atom-displacement process, but a process induced by electronic excitation.more » It is suggested that a mechanism related to the Knotek and Feibelman mechanism may play an important role in silicide formation within the solid. Similar silicide formation was also observed at the palladium/silicon oxide and nickel/silicon oxide interfaces, indicating a wide generality of the silicide formation by electronic excitation.« less

  17. Demonstration of slot-waveguide structures on silicon nitride / silicon oxide platform.

    PubMed

    Barrios, C A; Sánchez, B; Gylfason, K B; Griol, A; Sohlström, H; Holgado, M; Casquel, R

    2007-05-28

    We report on the first demonstration of guiding light in vertical slot-waveguides on silicon nitride/silicon oxide material system. Integrated ring resonators and Fabry-Perot cavities have been fabricated and characterized in order to determine optical features of the slot-waveguides. Group index behavior evidences guiding and confinement in the low-index slot region at O-band (1260-1370nm) telecommunication wavelengths. Propagation losses of <20 dB/cm have been measured for the transverse-electric mode of the slot-waveguides.

  18. Sliding Speed-Dependent Tribochemical Wear of Oxide-Free Silicon

    NASA Astrophysics Data System (ADS)

    Chen, Lei; Qi, Yaqiong; Yu, Bingjun; Qian, Linmao

    2017-06-01

    Fundamental understanding of tribochemical wear mechanism of oxide-free single crystalline silicon (without native oxide layer) is essential to optimize the process of ultra-precision surface manufacturing. Here, we report sliding speed-dependent nanowear of oxide-free silicon against SiO2 microspheres in air and in deionized water. When contact pressure is too low to induce Si yield, tribochemical wear occurs with the existence of water molecules and wear volume decreases logarithmically to constant as sliding speed increased. TEM and Raman observations indicate that the dynamics of rupture and reformation of interfacial bonding bridges result in the variation of tribochemical wear of the oxide-free Si with the increase of sliding speed.

  19. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geissbühler, Jonas, E-mail: jonas.geissbuehler@epfl.ch; Werner, Jérémie; Martin de Nicolas, Silvia

    2015-08-24

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. We circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.

  20. 22.5% efficient silicon heterojunction solar cell with molybdenum oxide hole collector

    DOE PAGES

    Geissbühler, Jonas; Werner, Jérémie; Nicolas, Silvia Martin de; ...

    2015-08-24

    Substituting the doped amorphous silicon films at the front of silicon heterojunction solar cells with wide-bandgap transition metal oxides can mitigate parasitic light absorption losses. This was recently proven by replacing p-type amorphous silicon with molybdenum oxide films. In this article, we evidence that annealing above 130 °C—often needed for the curing of printed metal contacts—detrimentally impacts hole collection of such devices. Furthermore, we circumvent this issue by using electrodeposited copper front metallization and demonstrate a silicon heterojunction solar cell with molybdenum oxide hole collector, featuring a fill factor value higher than 80% and certified energy conversion efficiency of 22.5%.

  1. Ion irradiation of the native oxide/silicon surface increases the thermal boundary conductance across aluminum/silicon interfaces

    NASA Astrophysics Data System (ADS)

    Gorham, Caroline S.; Hattar, Khalid; Cheaito, Ramez; Duda, John C.; Gaskins, John T.; Beechem, Thomas E.; Ihlefeld, Jon F.; Biedermann, Laura B.; Piekos, Edward S.; Medlin, Douglas L.; Hopkins, Patrick E.

    2014-07-01

    The thermal boundary conductance across solid-solid interfaces can be affected by the physical properties of the solid boundary. Atomic composition, disorder, and bonding between materials can result in large deviations in the phonon scattering mechanisms contributing to thermal boundary conductance. Theoretical and computational studies have suggested that the mixing of atoms around an interface can lead to an increase in thermal boundary conductance by creating a region with an average vibrational spectra of the two materials forming the interface. In this paper, we experimentally demonstrate that ion irradiation and subsequent modification of atoms at solid surfaces can increase the thermal boundary conductance across solid interfaces due to a change in the acoustic impedance of the surface. We measure the thermal boundary conductance between thin aluminum films and silicon substrates with native silicon dioxide layers that have been subjected to proton irradiation and post-irradiation surface cleaning procedures. The thermal boundary conductance across the Al/native oxide/Si interfacial region increases with an increase in proton dose. Supported with statistical simulations, we hypothesize that ion beam mixing of the native oxide and silicon substrate within ˜2.2nm of the silicon surface results in the observed increase in thermal boundary conductance. This ion mixing leads to the spatial gradation of the silicon native oxide into the silicon substrate, which alters the acoustic impedance and vibrational characteristics at the interface of the aluminum film and native oxide/silicon substrate. We confirm this assertion with picosecond acoustic analyses. Our results demonstrate that under specific conditions, a "more disordered and defected" interfacial region can have a lower resistance than a more "perfect" interface.

  2. Formation and possible growth mechanism of bismuth nanowires on various substrates

    NASA Astrophysics Data System (ADS)

    Volkov, V. T.; Kasumov, A. Yu.; Kasumov, Yu. A.; Khodos, I. I.

    2017-08-01

    In this work, we report results of a study of bismuth nanowires growth on various substrates, including Fe, Ni, Co, W, Pt, Au thin films on oxidized Si, Si (111), oxidized Si (100), and fused quartz. The nanowires (NW) were prepared by RF diode sputtering of Bi onto a substrate heated to about 200 °C. The structure of the wires was studied by a scanning and transmission electron microscopy. The NWs are monocrystalline up to a length of several micrometers and possess a very thin (less than 2 nm) oxide layer. A major influence of the substrate type on the quantity and the length of the obtained nanowires is observed. Based on the above studies, we propose a possible mechanism of a bismuth nanowire growth.

  3. Sponge-like reduced graphene oxide/silicon/carbon nanotube composites for lithium ion batteries

    NASA Astrophysics Data System (ADS)

    Fang, Menglu; Wang, Zhao; Chen, Xiaojun; Guan, Shiyou

    2018-04-01

    Three-dimensional sponge-like reduced graphene oxide/silicon/carbon nanotube composites were synthesized by one-step hydrothermal self-assembly using silicon nanoparticles, graphene oxide and amino modified carbon nanotubes to develop high-performance anode materials of lithium ion batteries. Scanning electron microscopy and transmission electron microscopy images show the structure of composites that Silicon nanoparticles are coated with reduced graphene oxide while amino modified carbon nanotubes wrap around the reduced graphene oxide in the composites. When applied to lithium ion battery, these composites exhibit high initial specific capacity of 2552 mA h/g at a current density of 0.05 A/g. In addition, reduced graphene oxide/silicon/carbon nanotube composites also have better cycle stability than bare Silicon nanoparticles electrode with the specific capacity of 1215 mA h/g after 100 cycles. The three-dimension sponge-like structure not only ensures the electrical conductivity but also buffers the huge volume change, which has broad potential application in the field of battery.

  4. Covalent Surface Modification of Silicon Oxides with Alcohols in Polar Aprotic Solvents.

    PubMed

    Lee, Austin W H; Gates, Byron D

    2017-09-05

    Alcohol-based monolayers were successfully formed on the surfaces of silicon oxides through reactions performed in polar aprotic solvents. Monolayers prepared from alcohol-based reagents have been previously introduced as an alternative approach to covalently modify the surfaces of silicon oxides. These reagents are readily available, widely distributed, and are minimally susceptible to side reactions with ambient moisture. A limitation of using alcohol-based compounds is that previous reactions required relatively high temperatures in neat solutions, which can degrade some alcohol compounds or could lead to other unwanted side reactions during the formation of the monolayers. To overcome these challenges, we investigate the condensation reaction of alcohols on silicon oxides carried out in polar aprotic solvents. In particular, propylene carbonate has been identified as a polar aprotic solvent that is relatively nontoxic, readily accessible, and can facilitate the formation of alcohol-based monolayers. We have successfully demonstrated this approach for tuning the surface chemistry of silicon oxide surfaces with a variety of alcohol containing compounds. The strategy introduced in this research can be utilized to create silicon oxide surfaces with hydrophobic, oleophobic, or charged functionalities.

  5. High-temperature oxidation behavior of reaction-formed silicon carbide ceramics

    NASA Technical Reports Server (NTRS)

    Ogbuji, Linus U. J. T.; Singh, M.

    1995-01-01

    The oxidation behavior of reaction-formed silicon carbide (RFSC) ceramics was investigated in the temperature range of 1100 to 1400 C. The oxidation weight change was recorded by TGA; the oxidized materials were examined by light and electron microscopy, and the oxidation product by x-ray diffraction analysis (XRD). The materials exhibited initial weight loss, followed by passive weight gain (with enhanced parabolic rates, k(sub p)), and ending with a negative (logarithmic) deviation from the parabolic law. The weight loss arose from the oxidation of residual carbon, and the enhanced k(sub p) values from internal oxidation and the oxidation of residual silicon, while the logarithmic kinetics is thought to have resulted from crystallization of the oxide. The presence of a small amount of MoSi, in the RFSC material caused a further increase in the oxidation rate. The only solid oxidation product for all temperatures studied was silica.

  6. ADSORPTION-BISMUTH PHOSPHATE METHOD FOR SEPARATING PLUTONIUM

    DOEpatents

    Russell, E.R.; Adamson, A.W.; Boyd, G.E.

    1960-06-28

    A process is given for separating plutonium from uranium and fission products. Plutonium and uranium are adsorbed by a cation exchange resin, plutonium is eluted from the adsorbent, and then, after oxidation to the hexavalent state, the plutonium is contacted with a bismuth phosphate carrier precipitate.

  7. Extreme Pressure Synergistic Mechanism of Bismuth Naphthenate and Sulfurized Isobutene Additives

    NASA Astrophysics Data System (ADS)

    Xu, Xin; Hu, Jianqiang; Yang, Shizhao; Xie, Feng; Guo, Li

    A four-ball tester was used to evaluate the tribological performances of bismuth naphthenate (BiNap), sulfurized isobutene (VSB), and their combinations. The results show that the antiwear properties of BiNap and VSB are not very visible, but they possess good extreme pressure (EP) properties, particularly sulfur containing bismuth additives. Synergistic EP properties of BiNap with various sulfur-containing additives were investigated. The results indicate that BiNap exhibits good EP synergism with sulfur-containing additives. The surface analytical tools, such as X-ray photoelectron spectrometer (XPS) scanning electron microscope (SEM) and energy dispersive X-ray (EDX), were used to investigate the topography, composition contents, and depth profile of some typical elements on the rubbing surface. Smooth topography of wear scar further confirms that the additive showed good EP capacities, and XPS and EDX analyzes indicate that tribochemical mixed protective films composed of bismuth, bismuth oxides, sulfides, and sulfates are formed on the rubbing surface, which improves the tribological properties of lubricants. In particular, a large number of bismuth atoms and bismuth sulfides play an important role in improving the EP properties of oils.

  8. The microstructure of laterally seeded silicon-on-oxide

    NASA Astrophysics Data System (ADS)

    Pinizzotto, R. F.; Lam, H. W.; Vaandrager, B. L.

    1982-03-01

    The production of large scale integrated circuits in thin silicon films on insulating substrates is currently of much interest in the electronics industry. One of the most promising techniques of forming this composite structure is by lateral seeding. We have used optical microscopy and transmission electron microscopy to characterize the microstructure of silicon-on-oxide formed by scanning CW laser induced lateral epitaxy. The primary defects are dislocations. Dislocation rearrangement leads to the formation of both small angle boundaries (stable, regular dislocation arrays) and grain boundaries. The grains were found to be misoriented to the <100> direction perpendicular to the film plane by ≤ 4° and to the <100> directions in the plane of the film by ≤ 2°. Internal reflection twins are a common defect. Microtwinning was found to occur at the vertical step caused by the substrate-oxide interface if the substrate to oxide step height was > 120 nm. The microstructure is continuous across successive scan lines. Microstructural defects are found to initiate at the same topographical location in different oxide pads. We propose that this is due to the meeting of two crystallization growth fronts. The liquid silicon between the fronts causes large stresses in this area because of the 9% volume increase during solidification. The defects observed in the bulk may form by a similar mechanism or by dislocation generation at substrate-oxide interface irregularities. The models predict that slower growth leads to improved material quality. This has been observed experimentally.

  9. The Active Oxidation of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Jacobson, Nathan S.; Myers, Dwight L.

    2009-01-01

    The high temperature oxidation of silicon carbide occurs in two very different modes. Passive oxidation forms a protective oxide film which limits further attack of the SiC: SiC(s) + 3/2 O2(g) = SiO2(s) + CO(g) Active oxidation forms a volatile oxide and may lead to extensive attack of the SiC: SiC(s) + O2(g) = SiO(g) + CO(g) Generally passive oxidation occurs at higher oxidant pressures and active oxidation occurs at lower oxidant pressures and elevated temperatures. Active oxidation is a concern for reentry, where the flight trajectory involves the latter conditions. Thus the transition points and rates of active oxidation are a major concern. Passive/active transitions have been studied by a number of investigators. An examination of the literature indicates many questions remain regarding the effect of impurity, the hysteresis of the transition (i.e. the difference between active-to-passive and passive-toactive), and the effect of total pressure. In this study we systematically investigate each of these effects. Experiments were done in both an alumina furnace tube and a quartz furnace tube. It is known that alumina tubes release impurities such as sodium and increase the kinetics in the passive region [1]. We have observed that the active-to-passive transition occurs at a lower oxygen pressure when the experiment is conducted in alumina tubes and the resultant passive silica scale contains sodium. Thus the tests in this study are conducted in quartz tubes. The hysteresis of the transition has been discussed in the detail in the original theoretical treatise of this problem for pure silicon by Wagner [2], yet there is little mention of it in subsequent literature. Essentially Wagner points out that the active-to-passive transition is governed by the criterion for a stable Si/SiO2 equilibria and the passive-to-active transition is governed by the decomposition of the SiO2 film. A series of experiments were conducted for active-to-passive and passive

  10. Improved reaction sintered silicon nitride. [protective coatings to improve oxidation resistance

    NASA Technical Reports Server (NTRS)

    Baumgartner, H. R.

    1978-01-01

    Processing treatments were applied to as-nitrided reaction sintered silicon nitride (RSSN) with the purposes of improving strength after processing to above 350 MN/m2 and improving strength after oxidation exposure. The experimental approaches are divided into three broad classifications: sintering of surface-applied powders; impregnation of solution followed by further thermal processing; and infiltration of molten silicon and subsequent carburization or nitridation of the silicon. The impregnation of RSSN with solutions of aluminum nitrate and zirconyl chloride, followed by heating at 1400-1500 C in a nitrogen atmosphere containing silicon monoxide, improved RSSN strength and oxidation resistance. The room temperature bend strength of RSSN was increased nearly fifty percent above the untreated strength with mean absolute strengths up to 420 MN/m2. Strengths of treated samples that were measured after a 12 hour oxidation exposure in air were up to 90 percent of the original as-nitrided strength, as compared to retained strengths in the range of 35 to 60 percent for untreated RSSN after the same oxidation exposure.

  11. Method of fabricating conducting oxide-silicon solar cells utilizing electron beam sublimation and deposition of the oxide

    DOEpatents

    Feng, Tom; Ghosh, Amal K.

    1979-01-01

    In preparing tin oxide and indium tin oxide-silicon heterojunction solar cells by electron beam sublimation of the oxide and subsequent deposition thereof on the silicon, the engineering efficiency of the resultant cell is enhanced by depositing the oxide at a predetermined favorable angle of incidence. Typically the angle of incidence is between 40.degree. and 70.degree. and preferably between 55.degree. and 65.degree. when the oxide is tin oxide and between 40.degree. and 70.degree. when the oxide deposited is indium tin oxide. gi The Government of the United States of America has rights in this invention pursuant to Department of Energy Contract No. EY-76-C-03-1283.

  12. Rapid Covalent Modification of Silicon Oxide Surfaces through Microwave-Assisted Reactions with Alcohols.

    PubMed

    Lee, Austin W H; Gates, Byron D

    2016-07-26

    We demonstrate the method of a rapid covalent modification of silicon oxide surfaces with alcohol-containing compounds with assistance by microwave reactions. Alcohol-containing compounds are prevalent reagents in the laboratory, which are also relatively easy to handle because of their stability against exposure to atmospheric moisture. The condensation of these alcohols with the surfaces of silicon oxides is often hindered by slow reaction kinetics. Microwave radiation effectively accelerates this condensation reaction by heating the substrates and/or solvents. A variety of substrates were modified in this demonstration, such as silicon oxide films of various thicknesses, glass substrates such as microscope slides (soda lime), and quartz. The monolayers prepared through this strategy demonstrated the successful formation of covalent surface modifications of silicon oxides with water contact angles of up to 110° and typical hysteresis values of 2° or less. An evaluation of the hydrolytic stability of these monolayers demonstrated their excellent stability under acidic conditions. The techniques introduced in this article were successfully applied to tune the surface chemistry of silicon oxides to achieve hydrophobic, oleophobic, and/or charged surfaces.

  13. PROCESS OF COATING METALS WITH BISMUTH OR BISMUTH-BASE ALLOYS

    DOEpatents

    Beach, J.G.

    1958-01-28

    A method is described for producing coatings of bismuth or bismuth alloys on a metal base. This is accomplished by electrodepositing the bismuth from an aqueous solution of BiCl/sub 3/, and by making the metal base alternately the cathode and the anode, the cathode periods being twice as long as the anode periods. In one embodiment a nickel coating is first electrodeposited in a known way, and this nickel plated piece is tae base upon which tae bismuth is deposited by the process of this patent. The coated piece is then heat treated to produce a homogeneous Ni--Bi alloy by diffusion.

  14. Research on silicon microchannel array oxidation insulation technology and stress issues

    NASA Astrophysics Data System (ADS)

    Chai, Jin; Li, Mo; Liang, Yong-zhao; Yang, Ji-kai; Wang, Guo-zheng; Duanmu, Qing-duo

    2013-08-01

    Microchannel plate is widely used in the field of low light level night vision, photomultiplier, tubes, X-ray enhancer and so on. In order to meet the requirement of microchannel plate electron multiplier, we used the method of thermal oxidation to produce a thin film of silicon dioxide which could play a role in electric insulation. Silicon dioxide film has a high breakdown voltage, it can satisfy the high breakdown voltage requirements of electron multiplier. We should find the reasonable parameter values and preparation process in the oxidation so that the thickness and uniformity of the silicon dioxide layer would meet requirement. This article has been focused on researching and analyzing of the problem of oxide insulation and thermal stress in the process of production of silicon dioxide film. In this experiment, dry oxygen and wet oxygen were carried out respectively for 8 hours. The thickness of dry oxygen silicon dioxide films was 458 nm and wet oxygen silicon dioxide films was 1.4 μm. Under these conditions, the silicon microchannel is uniformity and neat, meanwhile the insulating layer's breakdown voltage was measured at 450 V after the wet oxygen oxidation. By using ANSYS finite element software, we analyze the thermal stress, which came from the microchannel oxygen processes, under the conditions of which ambient temperature was 27 ℃ and porosity was 64%, we simulated the thermal stress in the temperature of 1200 ℃ and 1000 ℃, finally we got the maximum equivalent thermal stress of 472 MPa and 403 MPa respectively. The higher thermal stress area was spread over Si-SiO2 interface, by simulate conditions 50% porosity silicon microchannel sample was selected for simulation analysis at 1100 ℃, we got the maximum equivalent thermal stress of 472 MPa, Thermal stress is the minimum value of 410 MPa.

  15. Study Trapped Charge Distribution in P-Channel Silicon-Oxide-Nitride-Oxide-Silicon Memory Device Using Dynamic Programming Scheme

    NASA Astrophysics Data System (ADS)

    Li, Fu-Hai; Chiu, Yung-Yueh; Lee, Yen-Hui; Chang, Ru-Wei; Yang, Bo-Jun; Sun, Wein-Town; Lee, Eric; Kuo, Chao-Wei; Shirota, Riichiro

    2013-04-01

    In this study, we precisely investigate the charge distribution in SiN layer by dynamic programming of channel hot hole induced hot electron injection (CHHIHE) in p-channel silicon-oxide-nitride-oxide-silicon (SONOS) memory device. In the dynamic programming scheme, gate voltage is increased as a staircase with fixed step amplitude, which can prohibits the injection of holes in SiN layer. Three-dimensional device simulation is calibrated and is compared with the measured programming characteristics. It is found, for the first time, that the hot electron injection point quickly traverses from drain to source side synchronizing to the expansion of charged area in SiN layer. As a result, the injected charges quickly spread over on the almost whole channel area uniformly during a short programming period, which will afford large tolerance against lateral trapped charge diffusion by baking.

  16. Thermal oxidation of silicon in a residual oxygen atmosphere—the RESOX process—for self-limiting growth of thin silicon dioxide films

    NASA Astrophysics Data System (ADS)

    Wright, Jason T.; Carbaugh, Daniel J.; Haggerty, Morgan E.; Richard, Andrea L.; Ingram, David C.; Kaya, Savas; Jadwisienczak, Wojciech M.; Rahman, Faiz

    2016-10-01

    We describe in detail the growth procedures and properties of thermal silicon dioxide grown in a limited and dilute oxygen atmosphere. Thin thermal oxide films have become increasingly important in recent years due to the continuing down-scaling of ultra large scale integration metal oxide silicon field effect transistors. Such films are also of importance for organic transistors where back-gating is needed. The technique described here is novel and allows self-limited formation of high quality thin oxide films on silicon surfaces. This technique is easy to implement in both research laboratory and industrial settings. Growth conditions and their effects on film growth have been described. Properties of the resulting oxide films, relevant for microelectronic device applications, have also been investigated and reported here. Overall, our findings are that thin, high quality, dense silicon dioxide films of thicknesses up to 100 nm can be easily grown in a depleted oxygen environment at temperatures similar to that used for usual silicon dioxide thermal growth in flowing dry oxygen.

  17. Indium oxide/n-silicon heterojunction solar cells

    DOEpatents

    Feng, Tom; Ghosh, Amal K.

    1982-12-28

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  18. Low-damage direct patterning of silicon oxide mask by mechanical processing

    PubMed Central

    2014-01-01

    To realize the nanofabrication of silicon surfaces using atomic force microscopy (AFM), we investigated the etching of mechanically processed oxide masks using potassium hydroxide (KOH) solution. The dependence of the KOH solution etching rate on the load and scanning density of the mechanical pre-processing was evaluated. Particular load ranges were found to increase the etching rate, and the silicon etching rate also increased with removal of the natural oxide layer by diamond tip sliding. In contrast, the local oxide pattern formed (due to mechanochemical reaction of the silicon) by tip sliding at higher load was found to have higher etching resistance than that of unprocessed areas. The profile changes caused by the etching of the mechanically pre-processed areas with the KOH solution were also investigated. First, protuberances were processed by diamond tip sliding at lower and higher stresses than that of the shearing strength. Mechanical processing at low load and scanning density to remove the natural oxide layer was then performed. The KOH solution selectively etched the low load and scanning density processed area first and then etched the unprocessed silicon area. In contrast, the protuberances pre-processed at higher load were hardly etched. The etching resistance of plastic deformed layers was decreased, and their etching rate was increased because of surface damage induced by the pre-processing. These results show that etching depth can be controlled by controlling the etching time through natural oxide layer removal and mechanochemical oxide layer formation. These oxide layer removal and formation processes can be exploited to realize low-damage mask patterns. PMID:24948891

  19. Structural Dynamics and Evolution of Bismuth Electrodes during Electrochemical Reduction of CO 2 in Imidazolium-Based Ionic Liquid Solutions

    DOE PAGES

    Medina-Ramos, Jonnathan; Lee, Sang Soo; Fister, Timothy T.; ...

    2017-09-12

    Real-time changes in the composition and structure of bismuth electrodes used for catalytic conversion of CO 2 into CO were examined via X-ray absorption spectroscopy (including XANES and EXAFS), electrochemical quartz crystal microbalance (EQCM) and in situ X-ray reflectivity (XR). Measurements were performed with bismuth electrodes immersed in acetonitrile (MeCN) solutions containing a 1-butyl-3-methylimidazolium ([BMIM] +) ionic liquid promoter or electrochemically inactive tetrabutylammonium supporting electrolytes (TBAPF 6 or TBAOTf). Altogether, these measurements show that bismuth electrodes are originally a mixture of bismuth oxides (including Bi 2O 3) and metallic bismuth (Bi 0), and that the reduction of oxidized bismuth speciesmore » to Bi 0 is fully achieved under potentials at which CO 2 activation takes place. Furthermore, EQCM measurements conducted during cyclic voltammetry revealed that a bismuth-coated quartz crystal exhibits significant shifts in resistance (ΔR) prior to the onset of CO 2 reduction near -1.75 V vs. Ag/AgCl and pronounced hysteresis in frequency (Δf) and ΔR, which suggests significant changes in roughness or viscosity at the Bi/[BMIM] + solution interface. In situ XR performed on rhombohedral Bi (001) oriented films indicates extensive restructuring of the bismuth film cathodes takes place upon polarization to potentials more negative than -1.6 V vs. Ag/AgCl, which is characterized by a decrease of the Bi (001) Bragg peak intensity of ≥50% in [BMIM]OTf solutions in the presence and absence of CO 2. Over 90% of the reflectivity is recovered during the anodic half-scan, suggesting that the structural changes are mostly reversible. By contrast, such a phenomenon is not observed for thin Bi (001) oriented films in solutions of tetrabutylammonium salts that do not promote CO 2 reduction. In conclusion, these results highlight that Bi electrodes undergo significant potential-dependent chemical and structural transformations in

  20. Silicon heterojunction solar cells with novel fluorinated n-type nanocrystalline silicon oxide emitters on p-type crystalline silicon

    NASA Astrophysics Data System (ADS)

    Dhar, Sukanta; Mandal, Sourav; Das, Gourab; Mukhopadhyay, Sumita; Pratim Ray, Partha; Banerjee, Chandan; Barua, Asok Kumar

    2015-08-01

    A novel fluorinated phosphorus doped silicon oxide based nanocrystalline material have been used to prepare heterojunction solar cells on flat p-type crystalline silicon (c-Si) Czochralski (CZ) wafers. The n-type nc-SiO:F:H material were deposited by radio frequency plasma enhanced chemical vapor deposition. Deposited films were characterized in detail by using atomic force microscopy (AFM), high resolution transmission electron microscopy (HRTEM), Raman, fourier transform infrared spectroscopy (FTIR) and optoelectronics properties have been studied using temperature dependent conductivity measurement, Ellipsometry, UV-vis spectrum analysis etc. It is observed that the cell fabricated with fluorinated silicon oxide emitter showing higher initial efficiency (η = 15.64%, Jsc = 32.10 mA/cm2, Voc = 0.630 V, FF = 0.77) for 1 cm2 cell area compare to conventional n-a-Si:H emitter (14.73%) on flat c-Si wafer. These results indicate that n type nc-SiO:F:H material is a promising candidate for heterojunction solar cell on p-type crystalline wafers. The high Jsc value is associated with excellent quantum efficiencies at short wavelengths (<500 nm).

  1. Liquid gallium ball/crystalline silicon polyhedrons/aligned silicon oxide nanowires sandwich structure: An interesting nanowire growth route

    NASA Astrophysics Data System (ADS)

    Pan, Zheng Wei; Dai, Sheng; Beach, David B.; Lowndes, Douglas H.

    2003-10-01

    We demonstrate the growth of silicon oxide nanowires through a sandwich-like configuration, i.e., Ga ball/Si polyhedrons/silicon oxide nanowires, by using Ga as the catalyst and SiO powder as the source material. The sandwich-like structures have a carrot-like morphology, consisting of three materials with different morphologies, states, and crystallographic structures. The "carrot" top is a liquid Ga ball with diameter of ˜10-30 μm; the middle part is a Si ring usually composed of about 10 μm-sized, clearly faceted, and crystalline Si polyhedrons that are arranged sequentially in a band around the lower hemisphere surface of the Ga ball; the bottom part is a carrot-shaped bunch of highly aligned silicon oxide nanowires that grow out from the downward facing facets of the Si polyhedrons. This study reveals several interesting nanowire growth phenomena that enrich the conventional vapor-liquid-solid nanowire growth mechanism.

  2. Implanted bismuth donors in 28-Si: Process development and electron spin resonance measurements

    NASA Astrophysics Data System (ADS)

    Weis, C. D.; Lo, C. C.; Lang, V.; George, R. E.; Tyryshkin, A. M.; Bokor, J.; Lyon, S. A.; Morton, J. J. L.; Schenkel, T.

    2012-02-01

    Spins of donor atoms in silicon are excellent qubit candidates. Isotope engineered substrates provide a nuclear spin free host environment, resulting in long spin coherence times [1,2]. The capability of swapping quantum information between electron and nuclear spins can enable quantum communication and gate operation via the electron spin and quantum memory via the nuclear spin [2]. Spin properties of donor qubit candidates in silicon have been studied mostly for phosphorous and antimony [1-3]. Bismuth donors in silicon exhibit a zero field splitting of 7.4 GHz and have attracted attention as potential nuclear spin memory and spin qubit candidates [4,5] that could be coupled to superconducting resonators [4,6]. We report on progress in the formation of bismuth doped 28-Si epi layers by ion implantation, electrical dopant activation and their study via pulsed electron spin resonance measurements showing narrow linewidths and good coherence times. [4pt] [1] A. M. Tyryshkin, et al. arXiv: 1105.3772 [2] J. J. L. Morton, et al. Nature (2008) [3] T. Schenkel, et al APL 2006; F. R. Bradbury, et al. PRL (2006) [4] R. E. George, et al. PRL (2010) [5] G. W. Morley, et al. Nat Mat (2010) [6] M. Hatridge, et al. PRB (2011), R. Vijay, et al. APL (2010) This work was supported by NSA (100000080295) and DOE (DE-AC02-05CH11231).

  3. Locally oxidized silicon surface-plasmon Schottky detector for telecom regime.

    PubMed

    Goykhman, Ilya; Desiatov, Boris; Khurgin, Jacob; Shappir, Joseph; Levy, Uriel

    2011-06-08

    We experimentally demonstrate an on-chip nanoscale silicon surface-plasmon Schottky photodetector based on internal photoemission process and operating at telecom wavelengths. The device is fabricated using a self-aligned approach of local-oxidation of silicon (LOCOS) on silicon on insulator substrate, which provides compatibility with standard complementary metal-oxide semiconductor technology and enables the realization of the photodetector and low-loss bus photonic waveguide at the same fabrication step. Additionally, LOCOS technique allows avoiding lateral misalignment between the silicon surface and the metal layer to form a nanoscale Schottky contact. The fabricated devices showed enhanced detection capability for shorter wavelengths that is attributed to increased probability of the internal photoemission process. We found the responsivity of the nanodetector to be 0.25 and 13.3 mA/W for incident optical wavelengths of 1.55 and 1.31 μm, respectively. The presented device can be integrated with other nanophotonic and nanoplasmonic structures for the realization of monolithic opto-electronic circuitry on-chip.

  4. Novel Iron-oxide Catalyzed CNT Formation on Semiconductor Silicon Nanowire

    PubMed Central

    Adam, Tijjani; U, Hashim

    2014-01-01

    An aqueous ferric nitrate nonahydrate (Fe(NO3)3.9H2O) and magnesium oxide (MgO) were mixed and deposited on silicon nanowires (SiNWs), the carbon nanotubes (CNTs) formed by the concentration of Fe3O4/MgO catalysts with the mole ratio set at 0.15:9.85 and 600°C had diameter between 15.23 to 90nm with high-density distribution of CNT while those with the mole ratio set at 0.45:9.55 and 730°C had diameter of 100 to 230nm. The UV/Vis/NIR and FT-IR spectroscopes clearly confirmed the presence of the silicon-CNTs hybrid structure. UV/Vis/NIR, FT-IR spectra and FESEM images confirmed the silicon-CNT structure exists with diameters ranging between 15-230nm. Thus, the study demonstrated cost effective method of silicon-CNT composite nanowire formation via Iron-oxide Catalyze synthesis. PMID:25237290

  5. Process to produce silicon carbide fibers using a controlled concentration of boron oxide vapor

    NASA Technical Reports Server (NTRS)

    Barnard, Thomas Duncan (Inventor); Lipowitz, Jonathan (Inventor); Nguyen, Kimmai Thi (Inventor)

    2001-01-01

    A process for producing polycrystalline silicon carbide by heating an amorphous ceramic fiber that contains silicon and carbon in an environment containing boron oxide vapor. The boron oxide vapor is produced in situ by the reaction of a boron containing material such as boron carbide and an oxidizing agent such as carbon dioxide, and the amount of boron oxide vapor can be controlled by varying the amount and rate of addition of the oxidizing agent.

  6. Process to produce silicon carbide fibers using a controlled concentration of boron oxide vapor

    NASA Technical Reports Server (NTRS)

    Barnard, Thomas Duncan (Inventor); Lipowitz, Jonathan (Inventor); Nguyen, Kimmai Thi (Inventor)

    2000-01-01

    A process for producing polycrystalline silicon carbide includes heating an amorphous ceramic fiber that contains silicon and carbon in an environment containing boron oxide vapor. The boron oxide vapor is produced in situ by the reaction of a boron containing material such as boron carbide and an oxidizing agent such as carbon dioxide, and the amount of boron oxide vapor can be controlled by varying the amount and rate of addition of the oxidizing agent.

  7. High-Quality Solution-Processed Silicon Oxide Gate Dielectric Applied on Indium Oxide Based Thin-Film Transistors.

    PubMed

    Jaehnike, Felix; Pham, Duy Vu; Anselmann, Ralf; Bock, Claudia; Kunze, Ulrich

    2015-07-01

    A silicon oxide gate dielectric was synthesized by a facile sol-gel reaction and applied to solution-processed indium oxide based thin-film transistors (TFTs). The SiOx sol-gel was spin-coated on highly doped silicon substrates and converted to a dense dielectric film with a smooth surface at a maximum processing temperature of T = 350 °C. The synthesis was systematically improved, so that the solution-processed silicon oxide finally achieved comparable break downfield strength (7 MV/cm) and leakage current densities (<10 nA/cm(2) at 1 MV/cm) to thermally grown silicon dioxide (SiO2). The good quality of the dielectric layer was successfully proven in bottom-gate, bottom-contact metal oxide TFTs and compared to reference TFTs with thermally grown SiO2. Both transistor types have field-effect mobility values as high as 28 cm(2)/(Vs) with an on/off current ratio of 10(8), subthreshold swings of 0.30 and 0.37 V/dec, respectively, and a threshold voltage close to zero. The good device performance could be attributed to the smooth dielectric/semiconductor interface and low interface trap density. Thus, the sol-gel-derived SiO2 is a promising candidate for a high-quality dielectric layer on many substrates and high-performance large-area applications.

  8. Evaluation of transition metal oxide as carrier-selective contacts for silicon heterojunction solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, L.; Boccard, Matthieu; Holman, Zachary

    2015-04-06

    "Reducing light absorption in the non-active solar cell layers, while enabling the extraction of the photogenerated minority carriers at quasi-Fermi levels are two key factors to improve current generation and voltage, and therefore efficiency of silicon heterojunction solar devices. To address these two critical aspects, transition metal oxide materials have been proposed as alternative to the n- and p-type amorphous silicon used as electron and hole selective contacts, respectively. Indeed, transition metal oxides such as molybdenum oxide, titanium oxide, nickel oxide or tungsten oxide combine a wide band gap typically over 3 eV with a band structure and theoretical bandmore » alignment with silicon that results in high transparency to the solar spectrum and in selectivity for the transport of only one carrier type. Improving carrier extraction or injection using transition metal oxide has been a topic of investigation in the field of organic solar cells and organic LEDs; from these pioneering works a lot of knowledge has been gained on materials properties, ways to control these during synthesis and deposition, and their impact on device performance. Recently, the transfer of some of this knowledge to silicon solar cells and the successful application of some metal oxide to contact heterojunction devices have gained much attention. In this contribution, we investigate the suitability of various transition metal oxide films (molybdenum oxide, titanium oxide, and tungsten oxide) deposited either by thermal evaporation or sputtering as transparent hole or electron selective transport layer for silicon solar cells. In addition to systematically characterize their optical and structural properties, we use photoemission spectroscopy to relate compound stoichiometry to band structure and characterize band alignment to silicon. The direct silicon/metal oxide interface is further analyzed by quasi-steady state photoconductance decay method to assess the quality of

  9. Titanium-silicon oxide film structures for polarization-modulated infrared reflection absorption spectroscopy

    PubMed Central

    Dunlop, Iain E.; Zorn, Stefan; Richter, Gunther; Srot, Vesna; Kelsch, Marion; van Aken, Peter A.; Skoda, Maximilian; Gerlach, Alexander; Spatz, Joachim P.; Schreiber, Frank

    2010-01-01

    We present a titanium-silicon oxide film structure that permits polarization modulated infrared reflection absorption spectroscopy on silicon oxide surfaces. The structure consists of a ~6 nm sputtered silicon oxide film on a ~200 nm sputtered titanium film. Characterization using conventional and scanning transmission electron microscopy, electron energy loss spectroscopy, X-ray photoelectron spectroscopy and X-ray reflectometry is presented. We demonstrate the use of this structure to investigate a selectively protein-resistant self-assembled monolayer (SAM) consisting of silane-anchored, biotin-terminated poly(ethylene glycol) (PEG). PEG-associated IR bands were observed. Measurements of protein-characteristic band intensities showed that this SAM adsorbed streptavidin whereas it repelled bovine serum albumin, as had been expected from its structure. PMID:20418963

  10. Crack healing behavior of hot pressed silicon nitride due to oxidation

    NASA Technical Reports Server (NTRS)

    Choi, S. R.; Tikare, V.

    1992-01-01

    It is shown that limited oxidation of an MgO-containing, hot-pressed silicon nitride ceramic at 800 deg C and above results in increased strength due to crack healing. Slight oxidation of the surface produces enstatite and cristobalite which fills in cracks. More extensive oxidation leads to strength degradation due to the formation of new flaws by the evolution of N2 gas at the surface. The apparent fracture toughness also increased at 800 deg C and above due to oxidation. Bonds formed between the two surfaces of the crack during oxidation leads to a reduction in stress intensity at the crack tip, suggesting that valid high-temperature toughness values cannot be obtained in an air environment. The increase in strength due to crack healing by oxidation can be achieved without compromising the fatigue properties of the silicon nitride ceramic.

  11. Comparative surface studies on wet and dry sacrificial thermal oxidation on silicon carbide

    NASA Astrophysics Data System (ADS)

    Koh, A.; Kestle, A.; Wright, C.; Wilks, S. P.; Mawby, P. A.; Bowen, W. R.

    2001-04-01

    A comparative study on the effect of wet and dry thermal oxidation on 4H-silicon carbide (SiC) and on sacrificial silicon (Si) thermal oxidation on 4H-SiC surface has been conducted using atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The AFM images show the formation of 'nano-islands' of varying density on the SiC surface after the removal of thermal oxide using hydrofluoric (HF) acid etch. These nano-islands are resistant to HF acid and have been previously linked to residual carbon [1-3] resulting from the oxidation process. This paper presents the use of a sacrificial silicon oxidation (SSO) step as a form of surface preparation that gives a reproducible clean SiC surface. XPS results show a slight electrical shift in binding energy between the wet and dry thermal oxidation on the standard SiC surface, while the surface produced by the SSO technique shows a minimal shift.

  12. Photoluminescence of amorphous and crystalline silicon nanoclusters in silicon nitride and oxide superlattices

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shuleiko, D. V., E-mail: shuleyko.dmitriy@physics.msu.ru; Zabotnov, S. V.; Zhigunov, D. M.

    2017-02-15

    The photoluminescence properties of silicon nitride and oxide superlattices fabricated by plasmaenhanced chemical vapor deposition are studied. In the structures annealed at a temperature of 1150°C, photoluminescence peaks at about 1.45 eV are recorded. The peaks are defined by exciton recombination in silicon nanocrystals formed upon annealing. Along with the 1.45-eV peaks, a number of peaks defined by recombination at defects at the interface between the nanocrystals and silicon-nitride matrix are detected. The structures annealed at 900°C exhibit a number of photoluminescence peaks in the range 1.3–2.0 eV. These peaks are defined by both the recombination at defects and excitonmore » recombination in amorphous silicon nanoclusters formed at an annealing temperature of 900°C. The observed features of all of the photoluminescence spectra are confirmed by the nature of the photoluminescence kinetics.« less

  13. X-ray reflectivity study of formation of multilayer porous anodic oxides of silicon.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chu, Y.; Fenollosa, R.; Parkhutik, V.

    1999-07-21

    The paper reports data on the kinetics of anodic oxide films growth on silicon in aqueous solutions of phosphoric acids as well as a study of the morphology of the oxides grown in a special regime of the oscillating anodic potential. X-ray reflectivity measurements were performed on the samples of anodic oxides using an intense synchrotron radiation source. They have a multilayer structure as revealed by theoretical fitting of the reflectivity data. The oscillations of the anodic potential are explained in terms of synchronized oxidation/dissolution reactions at the silicon surface and accumulation of mechanic stress in the oxide film.

  14. Quantitative determination of the clustered silicon concentration in substoichiometric silicon oxide layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Spinella, Corrado; Bongiorno, Corrado; Nicotra, Giuseppe

    2005-07-25

    We present an analytical methodology, based on electron energy loss spectroscopy (EELS) and energy-filtered transmission electron microscopy, which allows us to quantify the clustered silicon concentration in annealed substoichiometric silicon oxide layers, deposited by plasma-enhanced chemical vapor deposition. The clustered Si volume fraction was deduced from a fit to the experimental EELS spectrum using a theoretical description proposed to calculate the dielectric function of a system of spherical particles of equal radii, located at random in a host material. The methodology allowed us to demonstrate that the clustered Si concentration is only one half of the excess Si concentration dissolvedmore » in the layer.« less

  15. Microwave-induced Bismuth Salts-mediated Synthesis of Molecules of Medicinal Interests.

    PubMed

    Bandyopadhyay, Debasish; Chavez, Ashlee; Banik, Bimal K

    2017-01-01

    Bismuth salts-mediated reactions have become a powerful tool for the synthesis of diverse medicinally-significant compounds because of their low-toxicity (non-toxic) and Lewis acidic capacity. In fact, LD50 of bismuth nitrate is lower than table salt. On the other hand, microwave-induced chemical synthesis is considered as a major greener route in modern chemistry. A total of 139 publications (including a few authentic web links) have been reviewed mainly to discuss bismuth salts-induced electrophilic aromatic substitution, protection-deprotection chemistry of carbonyl compounds, enamination, oxidation, carbohydrate chemistry, hydrolysis, addition-elimination route, Paal-Knorr reaction, Clauson-kaas synthesis, Michael addition, aza-Michael addition, Hantzsch reaction, Biginelli reaction, Ferrier rearrangement, Pechmann condensation, Diels-Alder and aza-Diels- Alder reactions, as well as effects of microwave irradiation in a wide range of chemical transformations. Bismuth salts-mediated reactions are developed for the synthesis of diverse organic molecules of medicinal significance. Reactions conducted with bismuth salts are environmentally benign, economical, rapid and high yielding. Microwave irradiation has accelerated these reactions significantly. It is believed that bismuth salts released corresponding acids in the media during the reaction. However, a coordination of bismuth salt to the electronegative atom is also observed in the NMR study. Bismuth has much less control (less attractive forces) over anions (for example, halides, nitrate, sulfate and triflates) compared to alkali metals. Therefore, it forms weak bond with electronegative atoms more readily and facilitates the reactions significantly. Many products obtained via bismuth salts-mediated reactions are medicinally active or intermediate for the synthesis of biologically active molecules including antifungal, anti-parasitic, anticancer and antibacterial agents, as well as agents to prevent

  16. Silicon Oxide Deposition into a Hole Using a Focused Ion Beam

    NASA Astrophysics Data System (ADS)

    Nakamura, Hiroko; Komano, Haruki; Norimatu, Kenji; Gomei, Yoshio

    1991-11-01

    Focused ion beam (FIB)-induced deposition of silicon oxide in terms of filling a hole is reported. It was found that a vacant space was formed when an ion beam was simply scanned through the hole area. To investigate the mechanism to form the vacancy, deposition on the sample, which has a step with a height of 0.8 μm, was carried out by using a Si2+ and a Be2+ ion beam. An extruded deposit resembling a pent roof was observed from the step ridge. The mechanism of the pent roof growth on the steplike sample was considered and the vacancy formation in the hole can be explained by the same mechanism. For silicon oxide, the high growth rate of the extruded deposit is thought to be the key to the vacancy formation. A useful way is proposed to fill the hole with silicon oxide with almost no vacancy.

  17. Bismuth pyrochlore-based thin films for dielectric energy storage

    NASA Astrophysics Data System (ADS)

    Michael, Elizabeth K.

    end member increased the dielectric breakdown strength. This combination of a high breakdown strength and a moderate permittivity led to a high discharged energy storage density for all film compositions. For example, at a measurement frequency of 10 kHz, bismuth zinc niobate exhibited a maximum recoverable energy storage density of 60.8 +/- 2.0 J/cm 3, while bismuth zinc tantalate exhibited a recoverable energy storage density of 60.7 +/- 2.0 J/cm3. Intermediate compositions of bismuth zinc niobate tantalate were explored to maximize the energy storage density of the substitutional solid solution. At an optimized concentration of ten mole percent tantalum, the maximum recoverable 10 kHz energy storage density was ˜66.9 +/- 2.4 J/cm3. These films of bismuth zinc niobate tantalate (Bi1.5Zn0.9Nb1.35Ta0.15O 6.9) sustained a maximum field of 5.5 MV/cm at 10 kHz, and demonstrated a relative permittivity of 122 +/- 4. The films maintained a high energy storage density above 20 J/cm3 though temperatures of 200°C. The second major objective of this work was to integrate complex oxides processed at temperatures below 350°C onto flexible polyimide substrates for potential use in flexible energy storage applications. Nanocomposite films consisting of a nanocrystalline fluorite related to delta-bismuth oxide in an amorphous matrix were prepared by reducing the citric acid concentration of the precursor solution, relative to the crystalline films. These solutions were batched with the composition Bi1.5Zn0.9Nb 1.35Ta0.15O6.9. The nanocomposite had a relative permittivity of 50 +/- 2 and dielectric losses on the order of 0.03 +/- 0.01. For measurement frequencies of 1 kHz and 10 kHz, the nanocomposite demonstrated a breakdown strength of 3.8 MV/cm, and a room-temperature energy storage density of approximately 40.2 +/- 1.7 J/cm3. To determine the suitability of the nanocomposite films for use in flexible applications, free-standing flexible nanocomposite films underwent

  18. Surface texture of single-crystal silicon oxidized under a thin V{sub 2}O{sub 5} layer

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nikitin, S. E., E-mail: nikitin@mail.ioffe.ru; Verbitskiy, V. N.; Nashchekin, A. V.

    The process of surface texturing of single-crystal silicon oxidized under a V{sub 2}O{sub 5} layer is studied. Intense silicon oxidation at the Si–V{sub 2}O{sub 5} interface begins at a temperature of 903 K which is 200 K below than upon silicon thermal oxidation in an oxygen atmosphere. A silicon dioxide layer 30–50 nm thick with SiO{sub 2} inclusions in silicon depth up to 400 nm is formed at the V{sub 2}O{sub 5}–Si interface. The diffusion coefficient of atomic oxygen through the silicon-dioxide layer at 903 K is determined (D ≥ 2 × 10{sup –15} cm{sup 2} s{sup –1}). A modelmore » of low-temperature silicon oxidation, based on atomic oxygen diffusion from V{sub 2}O{sub 5} through the SiO{sub 2} layer to silicon, and SiO{sub x} precipitate formation in silicon is proposed. After removing the V{sub 2}O{sub 5} and silicon-dioxide layers, texture is formed on the silicon surface, which intensely scatters light in the wavelength range of 300–550 nm and is important in the texturing of the front and rear surfaces of solar cells.« less

  19. Nanopatterning of Crystalline Silicon Using Anodized Aluminum Oxide Templates for Photovoltaics

    NASA Astrophysics Data System (ADS)

    Chao, Tsu-An

    A novel thin film anodized aluminum oxide templating process was developed and applied to make nanopatterns on crystalline silicon to enhance the optical properties of silicon. The thin film anodized aluminum oxide was created to improve the conventional thick aluminum templating method with the aim for potential large scale fabrication. A unique two-step anodizing method was introduced to create high quality nanopatterns and it was demonstrated that this process is superior over the original one-step approach. Optical characterization of the nanopatterned silicon showed up to 10% reduction in reflection in the short wavelength range. Scanning electron microscopy was also used to analyze the nanopatterned surface structure and it was found that interpore spacing and pore density can be tuned by changing the anodizing potential.

  20. The Oxidation of CVD Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynchGiao N.

    1997-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 100 and 500 hours at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic laws are discussed. Oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants typically found in combustion environments: oxygen and water vapor.

  1. Spectroellipsometric detection of silicon substrate damage caused by radiofrequency sputtering of niobium oxide

    NASA Astrophysics Data System (ADS)

    Lohner, Tivadar; Serényi, Miklós; Szilágyi, Edit; Zolnai, Zsolt; Czigány, Zsolt; Khánh, Nguyen Quoc; Petrik, Péter; Fried, Miklós

    2017-11-01

    Substrate surface damage induced by deposition of metal atoms by radiofrequency (rf) sputtering or ion beam sputtering onto single-crystalline silicon (c-Si) surface has been characterized earlier by electrical measurements. The question arises whether it is possible to characterize surface damage using spectroscopic ellipsometry (SE). In our experiments niobium oxide layers were deposited by rf sputtering on c-Si substrates in gas mixture of oxygen and argon. Multiple angle of incidence spectroscopic ellipsometry measurements were performed, a four-layer optical model (surface roughness layer, niobium oxide layer, native silicon oxide layer and ion implantation-amorphized silicon [i-a-Si] layer on a c-Si substrate) was created in order to evaluate the spectra. The evaluations yielded thicknesses of several nm for the i-a-Si layer. Better agreement could be achieved between the measured and the generated spectra by inserting a mixed layer (with components of c-Si and i-a-Si applying the effective medium approximation) between the silicon oxide layer and the c-Si substrate. High depth resolution Rutherford backscattering (RBS) measurements were performed to investigate the interface disorder between the deposited niobium oxide layer and the c-Si substrate. Atomic resolution cross-sectional transmission electron microscopy investigation was applied to visualize the details of the damaged subsurface region of the substrate.

  2. Method for removing oxide contamination from silicon carbide powders

    DOEpatents

    Brynestad, J.; Bamberger, C.E.

    1984-08-01

    The described invention is directed to a method for removing oxide contamination in the form of oxygen-containing compounds such as SiO/sub 2/ and B/sub 2/O/sub 3/ from a charge of finely divided silicon carbide. The silicon carbide charge is contacted with a stream of hydrogen fluoride mixed with an inert gas carrier such as argon at a temperature in the range of about 200/sup 0/ to 650/sup 0/C. The oxides in the charge react with the heated hydrogen fluoride to form volatile gaseous fluorides such as SiF/sub 4/ and BF/sub 3/ which pass through the charge along with unreacted hydrogen fluoride and the carrier gas. Any residual gaseous reaction products and hydrogen fluoride remaining in the charge are removed by contacting the charge with the stream of inert gas which also cools the powder to room temperature. The removal of the oxygen contamination by practicing the present method provides silicon carbide powders with desirable pressing and sintering characteristics. 1 tab.

  3. Dismantling and chemical characterization of spent Peltier thermoelectric devices for antimony, bismuth and tellurium recovery.

    PubMed

    Balva, Maxime; Legeai, Sophie; Garoux, Laetitia; Leclerc, Nathalie; Meux, Eric

    2017-04-01

    Major uses of thermoelectricity concern refrigeration purposes, using Peltier devices, mainly composed of antimony, bismuth and tellurium. Antimony was identified as a critical raw material by EU and resources of bismuth and tellurium are not inexhaustible, so it is necessary to imagine the recycling of thermoelectric devices. That for, a complete characterization is needed, which is the aim of this work. Peltier devices were manually dismantled in three parts: the thermoelectric legs, the alumina plates on which remain the electrical contacts and the silicone paste used to connect the plates. The characterization was performed using five Peltier devices. It includes mass balances of the components, X-ray diffraction analysis of the thermoelectric legs and elemental analysis of each part of the device. It appears that alumina represents 45% of a Peltier device in weight. The electrical contacts are mainly composed of copper and tin, and the thermoelectric legs of bismuth, tellurium and antimony. Thermoelectric legs appear to be Se-doped Bi 2 Te 3 and (Bi 0,5 Sb 1,5 )Te 3 for n type and p type semiconductors, respectively. This work shows that Peltier devices can be considered as a copper ore and that thermoelectric legs contain high amounts of bismuth, tellurium and antimony compared to their traditional resources.

  4. Bismuth, Metronidazole, and Tetracycline

    MedlinePlus

    Helidac® (as a kit containing Bismuth Subsalicylate, Metronidazole, Tetracycline) ... Bismuth, metronidazole, and tetracycline is used along with other ulcer medications to treat duodenal ulcers. It is in a ...

  5. A Model for the Oxidation of Carbon Silicon Carbide Composite Structures

    NASA Technical Reports Server (NTRS)

    Sullivan, Roy M.

    2004-01-01

    A mathematical theory and an accompanying numerical scheme have been developed for predicting the oxidation behavior of carbon silicon carbide (C/SiC) composite structures. The theory is derived from the mechanics of the flow of ideal gases through a porous solid. The result of the theoretical formulation is a set of two coupled nonlinear differential equations written in terms of the oxidant and oxide partial pressures. The differential equations are solved simultaneously to obtain the partial vapor pressures of the oxidant and oxides as a function of the spatial location and time. The local rate of carbon oxidation is determined using the map of the local oxidant partial vapor pressure along with the Arrhenius rate equation. The nonlinear differential equations are cast into matrix equations by applying the Bubnov-Galerkin weighted residual method, allowing for the solution of the differential equations numerically. The numerical method is demonstrated by utilizing the method to model the carbon oxidation and weight loss behavior of C/SiC specimens during thermogravimetric experiments. The numerical method is used to study the physics of carbon oxidation in carbon silicon carbide composites.

  6. Structural evolution in Ar+ implanted Si-rich silicon oxide

    NASA Astrophysics Data System (ADS)

    Brusa, R. S.; Karwasz, G. P.; Mariotto, G.; Zecca, A.; Ferragut, R.; Folegati, P.; Dupasquier, A.; Ottaviani, G.; Tonini, R.

    2003-12-01

    Silicon-rich silicon oxide films were deposited by plasma-enhanced chemical vapor deposition. Energy was released into the film by ion bombardment, with the aim of promoting formation of Si nanoclusters and reordering the oxide matrix. The effect of the initial stoichiometry, as well as the evolution of the oxide films due to the ion bombardment and to subsequent thermal treatments, has been studied by depth-resolved positron annihilation Doppler spectroscopy, Raman scattering and Fourier transform infrared spectroscopy. As-deposited films were found to contain an open volume fraction in the form of subnanometric cavities that are positively correlated with oxygen deficiency. No Si aggregates were observed. The ion bombardment was found to promote the formation of amorphous Si nanoclusters, together with a reduction of the open volume in the matrix and a substantial release of hydrogen. It also leaves electrically active sites in the oxide and produces gas-filled vacancy defects in the substrate, with the concentrations depending on the implantation temperature. Thermal treatment at 500 °C removes charge defects in the oxide, but vacancy defects are not completely annealed even at 1100 °C. In one case, heating at 1100 °C produced cavities of about 0.6 nm in the oxide. Transformation of Si nanoclusters into nanocrystals is observed to occur from 800 °C.

  7. Effect of nano-oxide concentration on the mechanical properties of a maxillofacial silicone elastomer.

    PubMed

    Han, Ying; Kiat-amnuay, Sudarat; Powers, John M; Zhao, Yimin

    2008-12-01

    Contemporary silicone-based elastomeric prostheses tend to degrade over time because of the effect of mechanical loading. Little has been reported on how the mechanical properties of a maxillofacial prosthetic elastomer may be affected by the addition of nanosized oxide particles used as an opacifier. The purpose of this study was to evaluate the effect of different concentrations of nanosized oxides of various composition on the mechanical properties of a commercially available silicone elastomer. Nanosized oxides (Ti, Zn, or Ce) were added in various concentrations (0.5%, 1.0%, 1.5%, 2.0%, 2.5%, or 3.0% by weight) to a commercial silicone elastomer (A-2186), commonly used for fabricating extraoral maxillofacial prostheses. Silicone elastomer A-2186 without nanosized oxides served as a control group. Specimens (n=5) were polymerized according to manufacturer's recommendations and tested for tensile strength (ASTM D412) and tear strength (ASTM D624), and percent elongation in a universal testing machine. Uniformity of particle dispersion within the processed elastomer was assessed using scanning electron microscopic imaging. For each property, a 2-way ANOVA was performed evaluating the effect of oxide type and strength, and Fisher's PLSD test was used for pairwise comparisons (alpha=.05). SEM examination indicated that all 3 nanosized oxides distribute evenly throughout the silicone specimens, except for the 3.0% group, which are partly agglomerated. The 2.0% and 2.5% groups of all nanosized oxides demonstrated significantly higher tensile and tear strengths and percent elongation (P<.001) than the control group. CeO(2) had significantly lower tensile strength than TiO2 and ZnO (P<.05). The ZnO group had significantly higher tear strength than TiO(2) and CeO(2) (P <.05). Most of specimens became somewhat harder when compared with the control group. CeO(2) group had significantly higher Shore A hardness than TiO(2) and ZnO (P<.001). There was no significant

  8. Effect of bismuth oxide on white mineral trioxide aggregate: chemical characterization and physical properties.

    PubMed

    Grazziotin-Soares, R; Nekoofar, M H; Davies, T E; Bafail, A; Alhaddar, E; Hübler, R; Busato, A L S; Dummer, P M H

    2014-06-01

    To assess the effect of bismuth oxide (Bi2 O3 ) on the chemical characterization and physical properties of White mineral trioxide aggregate (MTA) Angelus. Commercially available White MTA Angelus and White MTA Angelus without Bi2 O3 provided by the manufacturer especially for this study were subjected to the following tests: Rietveld X-ray diffraction analysis (XRD), energy-dispersive X-ray analysis (EDX), scanning electron microscopy (SEM), compressive strength, Vickers microhardness test and setting time. Chemical analysis data were reported descriptively, and physical properties were expressed as means and standard deviations. Data were analysed using Student's t-test and Mann-Whitney U test (P = 0.05). Calcium silicate peaks were reduced in the diffractograms of both hydrated materials. Bismuth particles were found on the surface of White MTA Angelus, and a greater amount of particles characterized as calcium hydroxide was observed by visual examination on White MTA without Bi2 O3 . The material without Bi2 O3 had the shortest final setting time (38.33 min, P = 0.002), the highest Vickers microhardness mean value (72.35 MPa, P = 0.000) and similar compressive strength results (P = 0.329) when compared with the commercially available White MTA Angelus containing Bi2 O3 . The lack of Bi2 O3 was associated with an increase in Vickers microhardness, a reduction in final setting time, absence of Bi2 O3 peaks in diffractograms, as well as a large amount of calcium and a morphology characteristic of calcium hydroxide in EDX/SEM analysis. © 2013 International Endodontic Journal. Published by John Wiley & Sons Ltd.

  9. Formation of silicon carbide by laser ablation in graphene oxide-N-methyl-2-pyrrolidone suspension on silicon surface

    NASA Astrophysics Data System (ADS)

    Jaleh, Babak; Ghasemi, Samaneh; Torkamany, Mohammad Javad; Salehzadeh, Sadegh; Maleki, Farahnaz

    2018-01-01

    Laser ablation of a silicon wafer in graphene oxide-N-methyl-2-pyrrolidone (GO-NMP) suspension was carried out with a pulsed Nd:YAG laser (pulse duration = 250 ns, wavelength = 1064 nm). The surface of silicon wafer before and after laser ablation was studied using optical microscopy, scanning electron microscopy (SEM) and energy dispersive X-ray analysis (EDX). The results showed that the ablation of silicon surface in liquid by pulsed laser was done by the process of melt expulsion under the influence of the confined plasma-induced pressure or shock wave trapped between the silicon wafer and the liquid. The X-ray diffraction‌ (XRD) pattern of Si wafer after laser ablation showed that 4H-SiC layer is formed on its surface. The formation of the above layer was also confirmed by Raman spectroscopy, and X-ray photoelectron spectroscopy‌ (XPS), as well as EDX was utilized. The reflectance of samples decreased with increasing pulse energy. Therefore, the morphological alteration and the formation of SiC layer at high energy increase absorption intensity in the UV‌-vis regions. Theoretical calculations confirm that the formation of silicon carbide from graphene oxide and silicon wafer is considerably endothermic. Development of new methods for increasing the reflectance without causing harmful effects is still an important issue for crystalline Si solar cells. By using the method described in this paper, the optical properties of solar cells can be improved.

  10. Tuning of structural, light emission and wetting properties of nanostructured copper oxide-porous silicon matrix formed on electrochemically etched copper-coated silicon substrates

    NASA Astrophysics Data System (ADS)

    Naddaf, M.

    2017-01-01

    Matrices of copper oxide-porous silicon nanostructures have been formed by electrochemical etching of copper-coated silicon surfaces in HF-based solution at different etching times (5-15 min). Micro-Raman, X-ray diffraction and X-ray photoelectron spectroscopy results show that the nature of copper oxide in the matrix changes from single-phase copper (I) oxide (Cu2O) to single-phase copper (II) oxide (CuO) on increasing the etching time. This is accompanied with important variation in the content of carbon, carbon hydrides, carbonyl compounds and silicon oxide in the matrix. The matrix formed at the low etching time (5 min) exhibits a single broad "blue" room-temperature photoluminescence (PL) band. On increasing the etching time, the intensity of this band decreases and a much stronger "red" PL band emerges in the PL spectra. The relative intensity of this band with respect to the "blue" band significantly increases on increasing the etching time. The "blue" and "red" PL bands are attributed to Cu2O and porous silicon of the matrix, respectively. In addition, the water contact angle measurements reveal that the hydrophobicity of the matrix surface can be tuned from hydrophobic to superhydrophobic state by controlling the etching time.

  11. [Effect of surface organic modified nano-silicon-oxide on mechanical properties of A-2186 silicone elastomers].

    PubMed

    Guo, Nan; Jiao, Ting

    2011-08-01

    To study the effect of surface organic modified nano-silicon-oxide (SiO(x)) on mechanical properties of A-2186 silicone elastomers. Surface organic modified nano-silicon-oxide (SiO(x)) was added into A-2186 silicone elastomers by weight percentage of 2%, 4% and 6%. The one without addition served as a control. Standard specimens were made according to American Society for Testing Materials (ASTM). Their tensile strength, elongation at break, tear strength, and Shore A hardness were measured. The results were analyzed statistically by SPSS 10.0 software package. The tensile strength in the experimental groups was significantly lower than the control group (P<0.001).The elongation in the experimental groups was lower than the control group, but there was no significant difference between the 2wt% group and the control group (P=0.068). The tear strength in both the 2wt= group and 4wt= group were higher than the control group, and the difference was statistically significant; in addition, the tear strength in 2wt= group was higher than 4wt= group, which also showed statistical significance (P<0.001). With the increase of the added amount of surface modified nano-SiO(x), Shore A hardness increased and there was significant difference among them (P<0.001). Adding surface modified nano-SiO(x) has an effect on mechanical properties of A-2186 silicone elastomer, when 2wt= and 4wt= are added, tear strength of A-2186 improves significantly, with an increase of Shore A hardness and an decrease of tensile strength.

  12. Mineral resource of the month: bismuth

    USGS Publications Warehouse

    Carlin, James F.

    2006-01-01

    Bismuth compounds are most known for their soothing effects on the stomach, wounds and sores. These properties make the compounds an essential part of many medicinal and cosmetic preparations, which until 1930 accounted for about 90 percent of the bismuth used. The subsequent development of low-melting alloys and chemical catalysts containing bismuth, as well as its use as an additive to casting alloys, has resulted in a wider variety of industrial applications for bismuth.

  13. Oxidation Protection of Porous Reaction-Bonded Silicon Nitride

    NASA Technical Reports Server (NTRS)

    Fox, D. S.

    1994-01-01

    Oxidation kinetics of both as-fabricated and coated reaction-bonded silicon nitride (RBSN) were studied at 900 and 1000 C with thermogravimetry. Uncoated RBSN exhibited internal oxidation and parabolic kinetics. An amorphous Si-C-O coating provided the greatest degree of protection to oxygen, with a small linear weight loss observed. Linear weight gains were measured on samples with an amorphous Si-N-C coating. Chemically vapor deposited (CVD) Si3N4 coated RBSN exhibited parabolic kinetics, and the coating cracked severely. A continuous-SiC-fiber-reinforced RBSN composite was also coated with the Si-C-O material, but no substantial oxidation protection was observed.

  14. Viscous properties of aluminum oxide nanotubes and aluminium oxide nanoparticles - silicone oil suspensions

    NASA Astrophysics Data System (ADS)

    Thapa, Ram; French, Steven; Delgado, Adrian; Ramos, Carlos; Gutierrez, Jose; Chipara, Mircea; Lozano, Karen

    2010-03-01

    Electrorheological (ER) fluids consisting of γ-aluminum oxide nanotubes and γ-aluminum oxide nanoparticles dispersed within silicone oil were prepared. The relationship between shear stress and shear rate was measured and theoretically simulated by using an extended Bingham model for both the rheological and electrorheological features of these systems. Shear stress and viscosity showed a sharp increase for the aluminum oxide nanotubes suspensions subjected to applied electric fields whereas aluminum oxide nanoparticles suspensions showed a moderate change. It was found that the transition from liquid to solid state (mediated by the applied electric field) can be described by a power law and that for low applied voltages the relationship is almost linear.

  15. Nitric oxide-generating silicone as a blood-contacting biomaterial

    PubMed Central

    Amoako, Kagya A.; Cook, Keith E.

    2011-01-01

    Coagulation upon blood-contacting biomaterials remains a problem for short and long-term clinical applications. This study examined the ability of copper(II)-doped silicone surfaces to generate nitric oxide (NO) and locally inhibit coagulation. Silicone was doped with 3-micron copper (Cu(0)) particles yielding 3 to 10 weight percent (wt%) Cu in 70-μm thick Cu/Silicone polymeric matrix composites (Cu/Si PMCs). At 3, 5, 8 and 10 wt% Cu doping, the surface expression of Cu was 12.1 ± 2.8%, 19.7 ± 5.4%, 29.0 ± 3.8%, and 33.8 ± 6.5% respectively. After oxidizing Cu(0) to Cu(II) by spontaneous corrosion, NO flux, JNO (mol*cm−2*min−1), as measured by chemiluminescence, increased with surface Cu expression according to the relationship JNO =(1.63 %SACu −0.81) ×10−11, R2 = 0.98 where %SACu is the percentage of surface occupied by Cu. NO flux at 10 wt% Cu was 5.35± 0.74 ×10−10 mol*cm−2*min−1. The clotting time of sheep blood exposed to these surfaces was 80 ± 13s with pure silicone and 339 ± 44s when 10 wt% Cu(II) was added. SEMs of coatings showed clots occurred away from exposed Cu-dendrites. In conclusion, Cu/Si PMCs inhibit coagulation in a dose-dependent fashion related to the extent of copper exposure on the coated surface. PMID:22036723

  16. SEPARATION OF PLUTONIUM HYDROXIDE FROM BISMUTH HYDROXIDE

    DOEpatents

    Watt, G.W.

    1958-08-19

    An tmproved method is described for separating plutonium hydroxide from bismuth hydroxide. The end product of the bismuth phosphate processes for the separation amd concentration of plutonium is a inixture of bismuth hydroxide amd plutonium hydroxide. It has been found that these compounds can be advantageously separated by treatment with a reducing agent having a potential sufficient to reduce bismuth hydroxide to metalltc bisinuth but not sufficient to reduce the plutonium present. The resulting mixture of metallic bismuth and plutonium hydroxide can then be separated by treatment with a material which will dissolve plutonium hydroxide but not metallic bismuth. Sodiunn stannite is mentioned as a preferred reducing agent, and dilute nitric acid may be used as the separatory solvent.

  17. Third order nonlinear optical properties of bismuth zinc borate glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shanmugavelu, B.; Ravi Kanth Kumar, V. V., E-mail: ravi.phy@pondiuni.edu.in; Kuladeep, R.

    2013-12-28

    Third order nonlinear optical characterization of bismuth zinc borate glasses are reported here using different laser pulse durations. Bismuth zinc borate glasses with compositions xBi{sub 2}O{sub 3}-30ZnO-(70-x) B{sub 2}O{sub 3} (where x = 30, 35, 40, and 45 mol. %) have been prepared by melt quenching method. These glasses were characterized by Raman, UV-Vis absorption, and Z scan measurements. Raman and UV-Vis spectroscopic results indicate that non-bridging oxygens increase with increase of bismuth content in the glass. Nonlinear absorption and refraction behavior in the nanosecond (ns), picosecond (ps), and femtosecond (fs) time domains were studied in detail. Strong reverse saturable absorption due tomore » dominant two-photon absorption (TPA) was observed with both ps and fs excitations. In the case of ns pulse excitations, TPA and free-carrier absorption processes contribute for the nonlinear absorption. Two-photon absorption coefficient (β) and the absorption cross section due to free carriers (σ{sub e}) are estimated by theoretical fit of the open aperture Z-scan measurements and found to be dependent on the amount of bismuth oxide in the glass composition. In both ns and fs regimes the sign and magnitude of the third order nonlinearity are evaluated, and the optical limiting characteristics are also reported.« less

  18. Oxidation of Chemically-Vapor-Deposited Silicon Carbide in Carbon Dioxide

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.; Nguyen, QuynhGiao N.

    1998-01-01

    Chemically-vapor-deposited silicon carbide (CVD SiC) was oxidized in carbon dioxide (CO2) at temperatures of 1200-1400 C for times between 96 and 500 h at several gas flow rates. Oxidation weight gains were monitored by thermogravimetric analysis (TGA) and were found to be very small and independent of temperature. Possible rate limiting kinetic mechanisms are discussed. Passive oxidation of SiC by CO2 is negligible compared to the rates measured for other oxidants that are also found in combustion environments, oxygen and water vapor.

  19. Solution combustion synthesis and characterization of nanosized bismuth ferrite

    NASA Astrophysics Data System (ADS)

    Sai Kumar, V. Sesha; Rao, K. Venkateswara; Krishnaveni, T.; Kishore Goud, A. Shiva; Reddy, P. Ranjith

    2012-06-01

    The present paper describes a simple method of nanosized BiFeO3 by the solution combustion synthesis using bismuth and iron nitrates as oxidizers and the combination fuel of citric acid and ammonium hydroxide, with fuel to oxidizer ratio (Ψ = 1) one. The X-ray Diffraction results indicated rhombohedral phase (R3m) with JCPDS data card no: 72-2035. The ferroelectric transition of the sample at 8310C was detected by differential thermal analysis. Thermal analysis was done by Thermal gravimetric-Differential thermal analyzer and obtained results were presented in this paper.

  20. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing

    NASA Astrophysics Data System (ADS)

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-01

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 103 Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  1. Amorphous Silicon Nanowires Grown on Silicon Oxide Film by Annealing.

    PubMed

    Yuan, Zhishan; Wang, Chengyong; Chen, Ke; Ni, Zhonghua; Chen, Yunfei

    2017-08-10

    In this paper, amorphous silicon nanowires (α-SiNWs) were synthesized on (100) Si substrate with silicon oxide film by Cu catalyst-driven solid-liquid-solid mechanism (SLS) during annealing process (1080 °C for 30 min under Ar/H 2 atmosphere). Micro size Cu pattern fabrication decided whether α-SiNWs can grow or not. Meanwhile, those micro size Cu patterns also controlled the position and density of wires. During the annealing process, Cu pattern reacted with SiO 2 to form Cu silicide. More important, a diffusion channel was opened for Si atoms to synthesis α-SiNWs. What is more, the size of α-SiNWs was simply controlled by the annealing time. The length of wire was increased with annealing time. However, the diameter showed the opposite tendency. The room temperature resistivity of the nanowire was about 2.1 × 10 3  Ω·cm (84 nm diameter and 21 μm length). This simple fabrication method makes application of α-SiNWs become possible.

  2. The effect of nanocrystalline silicon host on magnetic properties of encapsulated iron oxide nanoparticles.

    PubMed

    Granitzer, P; Rumpf, K; Gonzalez-Rodriguez, R; Coffer, J L; Reissner, M

    2015-12-21

    The purpose of this work is a detailed comparison of the fundamental magnetic properties of nanocomposite systems consisting of Fe3O4 nanoparticle-loaded porous silicon as well as silicon nanotubes. Such composite structures are of potential merit in the area of magnetically guided drug delivery. For magnetic systems to be utilized in biomedical applications, there are certain magnetic properties that must be fulfilled. Therefore magnetic properties of embedded Fe3O4-nanoparticles in these nanostructured silicon host matrices, porous silicon and silicon nanotubes, are investigated. Temperature-dependent magnetic investigations have been carried out for four types of iron oxide particle sizes (4, 5, 8 and 10 nm). The silicon host, in interplay with the iron oxide nanoparticle size, plays a sensitive role. It is shown that Fe3O4 loaded porous silicon and SiNTs differ significantly in their magnetic behavior, especially the transition between superparamagnetic behavior and blocked state, due to host morphology-dependent magnetic interactions. Importantly, it is found that all investigated samples meet the magnetic precondition of possible biomedical applications of exhibiting a negligible magnetic remanence at room temperature.

  3. Aminosilane functionalizations of mesoporous oxidized silicon for oligonucleotide synthesis and detection

    PubMed Central

    De Stefano, Luca; Oliviero, Giorgia; Amato, Jussara; Borbone, Nicola; Piccialli, Gennaro; Mayol, Luciano; Rendina, Ivo; Terracciano, Monica; Rea, Ilaria

    2013-01-01

    Direct solid phase synthesis of peptides and oligonucleotides (ONs) requires high chemical stability of the support material. In this work, we have investigated the passivation ability of porous oxidized silicon multilayered structures by two aminosilane compounds, 3-aminopropyltriethoxysilane and 3-aminopropyldimethylethoxysilane (APDMES), for optical label-free ON biosensor fabrication. We have also studied by spectroscopic reflectometry the hybridization between a 13 bases ON, directly grown on the aminosilane modified porous oxidized silicon by in situ synthesis, and its complementary sequence. Even if the results show that both devices are stable to the chemicals (carbonate/methanol) used, the porous silica structure passivated by APDMES reveals higher functionalization degree due to less steric hindrance of pores. PMID:23536541

  4. Interface bonding in silicon oxide nanocontacts: interaction potentials and force measurements.

    PubMed

    Wierez-Kien, M; Craciun, A D; Pinon, A V; Roux, S Le; Gallani, J L; Rastei, M V

    2018-04-01

    The interface bonding between two silicon-oxide nanoscale surfaces has been studied as a function of atomic nature and size of contacting asperities. The binding forces obtained using various interaction potentials are compared with experimental force curves measured in vacuum with an atomic force microscope. In the limit of small nanocontacts (typically <10 3 nm 2 ) measured with sensitive probes the bonding is found to be influenced by thermal-induced fluctuations. Using interface interactions described by Morse, embedded atom model, or Lennard-Jones potential within reaction rate theory, we investigate three bonding types of covalent and van der Waals nature. The comparison of numerical and experimental results reveals that a Lennard-Jones-like potential originating from van der Waals interactions captures the binding characteristics of dry silicon oxide nanocontacts, and likely of other nanoscale materials adsorbed on silicon oxide surfaces. The analyses reveal the importance of the dispersive surface energy and of the effective contact area which is altered by stretching speeds. The mean unbinding force is found to decrease as the contact spends time in the attractive regime. This contact weakening is featured by a negative aging coefficient which broadens and shifts the thermal-induced force distribution at low stretching speeds.

  5. Interface bonding in silicon oxide nanocontacts: interaction potentials and force measurements

    NASA Astrophysics Data System (ADS)

    Wierez-Kien, M.; Craciun, A. D.; Pinon, A. V.; Le Roux, S.; Gallani, J. L.; Rastei, M. V.

    2018-04-01

    The interface bonding between two silicon-oxide nanoscale surfaces has been studied as a function of atomic nature and size of contacting asperities. The binding forces obtained using various interaction potentials are compared with experimental force curves measured in vacuum with an atomic force microscope. In the limit of small nanocontacts (typically <103 nm2) measured with sensitive probes the bonding is found to be influenced by thermal-induced fluctuations. Using interface interactions described by Morse, embedded atom model, or Lennard-Jones potential within reaction rate theory, we investigate three bonding types of covalent and van der Waals nature. The comparison of numerical and experimental results reveals that a Lennard-Jones-like potential originating from van der Waals interactions captures the binding characteristics of dry silicon oxide nanocontacts, and likely of other nanoscale materials adsorbed on silicon oxide surfaces. The analyses reveal the importance of the dispersive surface energy and of the effective contact area which is altered by stretching speeds. The mean unbinding force is found to decrease as the contact spends time in the attractive regime. This contact weakening is featured by a negative aging coefficient which broadens and shifts the thermal-induced force distribution at low stretching speeds.

  6. Nucleation and growth of lead oxide particles in liquid lead-bismuth eutectic.

    PubMed

    Gladinez, Kristof; Rosseel, Kris; Lim, Jun; Marino, Alessandro; Heynderickx, Geraldine; Aerts, Alexander

    2017-10-18

    Liquid lead-bismuth eutectic (LBE) is an important candidate to become the primary coolant of future, generation IV, nuclear fast reactors and Accelerator Driven System (ADS) concepts. One of the main challenges with the use of LBE as a coolant is to avoid its oxidation which results in solid lead oxide (PbO) precipitation. The chemical equilibria governing PbO formation are well understood. However, insufficient kinetic information is currently available for the development of LBE-based nuclear technology. Here, we report the results of experiments in which the nucleation, growth and dissolution of PbO in LBE during temperature cycling are measured by monitoring dissolved oxygen using potentiometric oxygen sensors. The metastable region, above which PbO nucleation can occur, has been determined under conditions relevant for the operation of LBE cooled nuclear systems and was found to be independent of setup geometry and thus thought to be widely applicable. A kinetic model to describe formation and dissolution of PbO particles in LBE is proposed, based on Classical Nucleation Theory (CNT) combined with mass transfer limited growth and dissolution. This model can accurately predict the experimentally observed changes in oxygen concentration due to nucleation, growth and dissolution of PbO, using the effective interfacial energy of a PbO nucleus in LBE as a fitting parameter. The results are invaluable to evaluate the consequences of oxygen ingress in LBE cooled nuclear systems under normal operating and accidental conditions and form the basis for the development of cold trap technology to avoid PbO formation in the primary reactor circuit.

  7. Method for forming indium oxide/n-silicon heterojunction solar cells

    DOEpatents

    Feng, Tom; Ghosh, Amal K.

    1984-03-13

    A high photo-conversion efficiency indium oxide/n-silicon heterojunction solar cell is spray deposited from a solution containing indium trichloride. The solar cell exhibits an Air Mass One solar conversion efficiency in excess of about 10%.

  8. Super-oxidation of silicon nanoclusters: magnetism and reactive oxygen species at the surface

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lepeshkin, Sergey; Baturin, Vladimir; Tikhonov, Evgeny

    2016-01-01

    Oxidation of silicon nanoclusters depending on the temperature and oxygen pressure is explored from first principles using the evolutionary algorithm, and structural and thermodynamic analysis. From our calculations of 90 SinOm clusters we found that under normal conditions oxidation does not stop at the stoichiometric SiO2 composition, as it does in bulk silicon, but goes further placing extra oxygen atoms on the cluster surface. These extra atoms are responsible for light emission, relevant to reactive oxygen species and many of them are magnetic. We argue that the super-oxidation effect is size-independent and discuss its relevance to nanotechnology and miscellaneous applications,more » including biomedical ones.« less

  9. Color stability of pigmented maxillofacial silicone elastomer: effects of nano-oxides as opacifiers.

    PubMed

    Han, Ying; Zhao, Yimin; Xie, Chao; Powers, John M; Kiat-amnuay, Sudarat

    2010-01-01

    This study evaluated the effects of nano-oxides on the color stability of pigmented silicone A-2186 maxillofacial prosthetic elastomers before and after artificial aging. Each of three widely used UV-shielding nano-sized particle oxides (TiO(2), ZnO, CeO(2)), based on recent survey of the industry at 1%, 2%, 2.5% concentrations were combined with each of five intrinsic silicone pigment types (no pigments, red, yellow, blue, and a mixture of the three pigments). Silicone A-2186 without nano-oxides or pigments served as control, for a total of 46 experimental groups of elastomers. In each group of the study, all specimens were aged in an artificial aging chamber for an energy exposure of 450kJ/m(2). CIE L*a*b* values were measured by a spectrophotometer. The 50:50% perceptibility (ΔE*=1.1) and acceptability threshold (ΔE*=3.0) were used in interpretation of recorded color differences. Color differences after aging were subjected to three-way analysis of variance. Means were compared by Fisher's PLSD intervals at the 0.05 level of significance. Yellow pigments mixed with all three nano-oxides at all intervals increased ΔE* values significantly from 3.7 up to 8.4. When mixed pigment groups were considered, TiO(2) at 2%, and 2.5% exhibited the smallest color changes, followed by ZnO and CeO(2), respectively (p<0.001). At 1%, CeO(2) exhibited the smallest color changes, followed by TiO(2) and ZnO, respectively (p<0.001). The smallest color differences, observed for nano-oxides groups, were recorded for CeO(2) at 1%, and TiO(2) at 2% and 2.5%. When the nano-oxides were tested at all concentrations, CeO(2) groups overall had the most color changes, and TiO(2) groups had the least. All ΔE* values of the mixed pigment groups were below the 50:50% acceptability threshold (ΔE*=1.2-2.3, below 3.0) except 2% CeO(2) (ΔE*=4.2). 1% nano-CeO(2) and 2% and 2.5% nano-TiO(2) used as opacifiers for silicone A-2186 maxillofacial prostheses with mixed pigments exhibited the least

  10. Resistance of Silicon Nitride Turbine Components to Erosion and Hot Corrosion/oxidation Attack

    NASA Technical Reports Server (NTRS)

    Strangmen, Thomas E.; Fox, Dennis S.

    1994-01-01

    Silicon nitride turbine components are under intensive development by AlliedSignal to enable a new generation of higher power density auxiliary power systems. In order to be viable in the intended applications, silicon nitride turbine airfoils must be designed for survival in aggressive oxidizing combustion gas environments. Erosive and corrosive damage to ceramic airfoils from ingested sand and sea salt must be avoided. Recent engine test experience demonstrated that NT154 silicon nitride turbine vanes have exceptional resistance to sand erosion, relative to superalloys used in production engines. Similarly, NT154 silicon nitride has excellent resistance to oxidation in the temperature range of interest - up to 1400 C. Hot corrosion attack of superalloy gas turbine components is well documented. While hot corrosion from ingested sea salt will attack silicon nitride substantially less than the superalloys being replaced in initial engine applications, this degradation has the potential to limit component lives in advanced engine applications. Hot corrosion adversely affects the strength of silicon nitride in the 850 to 1300 C range. Since unacceptable reductions in strength must be rapidly identified and avoided, AlliedSignal and the NASA Lewis Research Center have pioneered the development of an environmental life prediction model for silicon nitride turbine components. Strength retention in flexure specimens following 1 to 3300 hour exposures to high temperature oxidation and hot corrosion has been measured and used to calibrate the life prediction model. Predicted component life is dependent upon engine design (stress, temperature, pressure, fuel/air ratio, gas velocity, and inlet air filtration), mission usage (fuel sulfur content, location (salt in air), and times at duty cycle power points), and material parameters. Preliminary analyses indicate that the hot corrosion resistance of NT154 silicon nitride is adequate for AlliedSignal's initial engine

  11. Atomic Layer Deposition of Bismuth Vanadates for Solar Energy Materials.

    PubMed

    Stefik, Morgan

    2016-07-07

    The fabrication of porous nanocomposites is key to the advancement of energy conversion and storage devices that interface with electrolytes. Bismuth vanadate, BiVO4 , is a promising oxide for solar water splitting where the controlled fabrication of BiVO4 layers within porous, conducting scaffolds has remained a challenge. Here, the atomic layer deposition of bismuth vanadates is reported from BiPh3 , vanadium(V) oxytriisopropoxide, and water. The resulting films have tunable stoichiometry and may be crystallized to form the photoactive scheelite structure of BiVO4 . A selective etching process was used with vanadium-rich depositions to enable the synthesis of phase-pure BiVO4 after spinodal decomposition. BiVO4 thin films were measured for photoelectrochemical performance under AM 1.5 illumination. The average photocurrents were 1.17 mA cm(-2) at 1.23 V versus the reversible hydrogen electrode using a hole-scavenging sulfite electrolyte. The capability to deposit conformal bismuth vanadates will enable a new generation of nanocomposite architectures for solar water splitting. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  12. Behaviour of F82H mod. stainless steel in lead-bismuth under temperature gradient

    NASA Astrophysics Data System (ADS)

    Gómez Briceño, D.; Martín Muñoz, F. J.; Soler Crespo, L.; Esteban, F.; Torres, C.

    2001-07-01

    Austenitic steels can be used in a hybrid system in contact with liquid lead-bismuth eutectic if the region of operating temperatures is not beyond 400°C. For higher temperatures, martensitic steels are recommended. However, at long times, the interaction between the structural material and the eutectic leads to the dissolution of some elements of the steel (Ni, Cr and Fe, mainly) in the liquid metal. In a non-isothermal lead-bismuth loop, the material dissolution takes place at the hot leg of the circuit and, due to the mass transfer, deposition occurs at the cold leg. One of the possible ways to improve the performance of structural materials in lead-bismuth is the creation of an oxide layer. Tests have been performed in a small natural convection loop built of austenitic steel (316L) that has been operating for 3000 h. This loop contains a test area in which several samples of F82Hmod. martensitic steel have been tested at different times. A gas with an oxygen content of 10 ppm was bubbled in the hot area of the circuit during the operation time. The obtained results show that an oxide layer is formed on the samples introduced in the loop at the beginning of the operation and this layer increases with time. However, the samples introduced at different times during the loop operation, are not protected by oxide layers and present material dissolution in some cases.

  13. Density change and viscous flow during structural relaxation of plasma-enhanced chemical-vapor-deposited silicon oxide films

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Xin

    2004-10-01

    The structural relaxation of plasma-enhanced chemical-vapor-deposited (PECVD) silane-based silicon oxide films during thermal cycling and annealing has been studied using wafer curvature measurements. These measurements, which determine stress in the amorphous silicon oxide films, are sensitive to both plastic deformation and density changes. A quantitative case study of such changes has been done based upon the experimental results. A microstructure-based mechanism elucidates seams as a source of density change and voids as a source of plastic deformation, accompanied by a viscous flow. This theory was then used to explain a series of experimental results that are related to thermal cycling as well as annealing of PECVD silicon oxide films including stress hysteresis generation and reduction and coefficient of thermal-expansion changes. In particular, the thickness effect was examined; PECVD silicon oxide films with a thickness varying from 1to40μm were studied, as certain demanding applications in microelectromechanical systems require such thick films serving as heat/electrical insulation layers.

  14. Efficient photovoltaic heterojunctions of indium tin oxides on silicon

    NASA Technical Reports Server (NTRS)

    Dubow, J. B.; Sites, J. R.; Burk, D. E.

    1976-01-01

    Heterojunction diodes of indium tin oxide films sputtered on to p-silicon using ion-beam techniques display significant photovoltaic effects when exposed to sunlight. Galvanomagnetic and optical measurements confirm that the oxide films are highly degenerate transparent semiconductors. At a tin oxide concentration of 10%, an open-circuit voltage of 0.51 V was observed along with a short-circuit current of 32 mA/sq cm, a fill factor of 0.70, and a conversion efficiency of 12%. As the concentration was raised to 70%, the voltage remained steady, the current fell to 27 mA/sq cm, and the fill factor fell to 0.60

  15. Ion mobility and transport properties of bismuth fluoride-containing solid solutions with tysonite-type structure

    NASA Astrophysics Data System (ADS)

    Kavun, V. Ya.; Uvarov, N. F.; Slobodyuk, A. B.; Merkulov, E. B.; Polyantsev, M. M.

    2018-07-01

    The ion mobility and conductivity of solid solutions with tysonite-type structure obtained by doping bismuth trifluoride with lead (II) fluoride, and zirconium and bismuth oxides have been studied using 19F NMR, X-ray diffraction analysis, and impedance spectroscopy. The types of ionic motions in the fluoride sublattice of the synthesized solid solutions in the temperature range 150-450 K have been determined and the energy of their activation has been estimated. Due to high ionic conductivity, above 10-2 S/cm at 570 K, these solid solutions can be considered as superionic conductors.

  16. Synthesis and characterization of nanostructured bismuth selenide thin films.

    PubMed

    Sun, Zhengliang; Liufu, Shengcong; Chen, Lidong

    2010-12-07

    Nanostructured bismuth selenide thin films have been successfully fabricated on a silicon substrate at low temperature by rational design of the precursor solution. Bi(2)Se(3) thin films were constructed of coalesced lamella in the thickness of 50-80 nm. The nucleation and growth process of Bi(2)Se(3) thin films, as well as the influence of solution chemistry on the film structure were investigated in detail. As one of the most promising thermoelectric materials, the thermoelectric properties of the prepared Bi(2)Se(3) thin films were also investigated. The power factor increased with increasing carrier mobility, coming from the enlarged crystallites and enhanced coalesced structure, and reached 1 μW cm(-1) K(-1).

  17. The structural studies of vanadium substituted lithium-bismuth-boro-tellurite glass

    NASA Astrophysics Data System (ADS)

    Madhu, A.; Eraiah, B.

    2018-05-01

    The structural studies of vanadium substituted lithium-bismuth-boro-tellurite glass is successfully prepared and certain analysis like XRD,FTIR,DTA/TGA with density, molar volume are done. The amorphous phase has been identified based on X-ray diffraction analysis. The vanadium oxide plays the role as a glass-modifier and influences on BO3 ↔ BO4 conversion. The observed nonlinear variation in Tg with vanadium oxide increase, it reflects structural changes. The nonlinear variation of density and molar volume can be attributed to vanadium oxide incorporation have increased the number of Non-bridging oxygen (NBO'S).

  18. A sub-atmospheric chemical vapor deposition process for deposition of oxide liner in high aspect ratio through silicon vias.

    PubMed

    Lisker, Marco; Marschmeyer, Steffen; Kaynak, Mehmet; Tekin, Ibrahim

    2011-09-01

    The formation of a Through Silicon Via (TSV) includes a deep Si trench etching and the formation of an insulating layer along the high-aspect-ratio trench and the filling of a conductive material into the via hole. The isolation of the filling conductor from the silicon substrate becomes more important for higher frequencies due to the high coupling of the signal to the silicon. The importance of the oxide thickness on the via wall isolation can be verified using electromagnetic field simulators. To satisfy the needs on the Silicon dioxide deposition, a sub-atmospheric chemical vapor deposition (SA-CVD) process has been developed to deposit an isolation oxide to the walls of deep silicon trenches. The technique provides excellent step coverage of the 100 microm depth silicon trenches with the high aspect ratio of 20 and more. The developed technique allows covering the deep silicon trenches by oxide and makes the high isolation of TSVs from silicon substrate feasible which is the key factor for the performance of TSVs for mm-wave 3D packaging.

  19. Electronic structure of indium-tungsten-oxide alloys and their energy band alignment at the heterojunction to crystalline silicon

    NASA Astrophysics Data System (ADS)

    Menzel, Dorothee; Mews, Mathias; Rech, Bernd; Korte, Lars

    2018-01-01

    The electronic structure of thermally co-evaporated indium-tungsten-oxide films is investigated. The stoichiometry is varied from pure tungsten oxide to pure indium oxide, and the band alignment at the indium-tungsten-oxide/crystalline silicon heterointerface is monitored. Using in-system photoelectron spectroscopy, optical spectroscopy, and surface photovoltage measurements, we show that the work function of indium-tungsten-oxide continuously decreases from 6.3 eV for tungsten oxide to 4.3 eV for indium oxide, with a concomitant decrease in the band bending at the hetero interface to crystalline silicon than indium oxide.

  20. Role of an Oxidant Mixture as Surface Modifier of Porous Silicon Microstructures Evaluated by Spectroscopic Ellipsometry

    PubMed Central

    Montiel-González, Zeuz; Escobar, Salvador; Nava, Rocío; del Río, J. Antonio; Tagüeña-Martínez, Julia

    2016-01-01

    Current research on porous silicon includes the construction of complex structures with luminescent and/or photonic properties. However, their preparation with both characteristics is still challenging. Recently, our group reported a possible method to achieve that by adding an oxidant mixture to the electrolyte used to produce porous silicon. This mixture can chemically modify their microstructure by changing the thickness and surface passivation of the pore walls. In this work, we prepared a series of samples (with and without oxidant mixture) and we evaluated the structural differences through their scanning electron micrographs and their optical properties determined by spectroscopic ellipsometry. The results showed that ellipsometry is sensitive to slight variations in the porous silicon structure, caused by changes in their preparation. The fitting process, based on models constructed from the features observed in the micrographs, allowed us to see that the mayor effect of the oxidant mixture is on samples of high porosity, where the surface oxidation strongly contributes to the skeleton thinning during the electrochemical etching. This suggests the existence of a porosity threshold for the action of the oxidant mixture. These results could have a significant impact on the design of complex porous silicon structures for different optoelectronic applications. PMID:27097767

  1. Role of an Oxidant Mixture as Surface Modifier of Porous Silicon Microstructures Evaluated by Spectroscopic Ellipsometry.

    PubMed

    Montiel-González, Zeuz; Escobar, Salvador; Nava, Rocío; del Río, J Antonio; Tagüeña-Martínez, Julia

    2016-04-21

    Current research on porous silicon includes the construction of complex structures with luminescent and/or photonic properties. However, their preparation with both characteristics is still challenging. Recently, our group reported a possible method to achieve that by adding an oxidant mixture to the electrolyte used to produce porous silicon. This mixture can chemically modify their microstructure by changing the thickness and surface passivation of the pore walls. In this work, we prepared a series of samples (with and without oxidant mixture) and we evaluated the structural differences through their scanning electron micrographs and their optical properties determined by spectroscopic ellipsometry. The results showed that ellipsometry is sensitive to slight variations in the porous silicon structure, caused by changes in their preparation. The fitting process, based on models constructed from the features observed in the micrographs, allowed us to see that the mayor effect of the oxidant mixture is on samples of high porosity, where the surface oxidation strongly contributes to the skeleton thinning during the electrochemical etching. This suggests the existence of a porosity threshold for the action of the oxidant mixture. These results could have a significant impact on the design of complex porous silicon structures for different optoelectronic applications.

  2. BISMUTH PHOSPHATE CARRIER PROCESS FOR Pu RECOVERY

    DOEpatents

    Finzel, T.G.

    1959-02-01

    An improvement in the bismuth phosphate carrier precipitation process for recovering plutonium is described. It has been found that a more granular and more easily filterable carrier precipitiite is formed if the addition of the bismuth and phosphate ions is effected by first adding 9/10 of the bismuth ions necessary, then slowly adding all of the source of the phosphate ions to be incorporated in the precipitate, while digesting at 75 C and afterwards incorporating the remainder of the total bismuth ions necessary

  3. CONCENTRATION AND DECONTAMINATION OF SOLUTIONS CONTAINING PLUTONIUM VALUES BY BISMUTH PHOSPHATE CARRIER PRECIPITATION METHODS

    DOEpatents

    Seaborg, G.T.; Thompson, S.G.

    1960-08-23

    A process is given for isolating plutonium present in the tetravalent state in an aqueous solution together with fission products. First, the plutonium and fission products are coprecipitated on a bismuth phosphate carrier. The precipitate obtained is dissolved, and the plutonium in the solution is oxidized to the hexavalent state (with ceric nitrate, potassium dichromate, Pb/ sub 3/O/sub 4/, sodium bismuthate and/or potassium dichromate). Thereafter a carrier for fission products is added (bismuth phosphate, lanthanum fluoride, ceric phosphate, bismuth oxalate, thorium iodate, or thorium oxalate), and the fission-product precipitation can be repeated with one other of these carriers. After removal of the fission-product-containing precipitate or precipitates. the plutonium in the supernatant is reduced to the tetravalent state (with sulfur dioxide, hydrogen peroxide. or sodium nitrate), and a carrier for tetravalent plutonium is added (lanthanum fluoride, lanthanum hydroxide, lanthanum phosphate, ceric phosphate, thorium iodate, thorium oxalate, bismuth oxalate, or niobium pentoxide). The plutonium-containing precipitate is then dissolved in a relatively small volume of liquid so as to obtain a concentrated solution. Prior to dissolution, the bismuth phosphate precipitates first formed can be metathesized with a mixture of sodium hydroxide and potassium carbonate and plutonium-containing lanthanum fluorides with alkali-metal hydroxide. In the solutions formed from a plutonium-containing lanthanum fluoride carrier the plutonium can be selectively precipitated with a peroxide after the pH was adjusted preferably to a value of between 1 and 2. Various combinations of second, third, and fourth carriers are discussed.

  4. Fabrication of disposable topographic silicon oxide from sawtoothed patterns: control of arrays of gold nanoparticles.

    PubMed

    Cho, Heesook; Yoo, Hana; Park, Soojin

    2010-05-18

    Disposable topographic silicon oxide patterns were fabricated from polymeric replicas of sawtoothed glass surfaces, spin-coating of poly(dimethylsiloxane) (PDMS) thin films, and thermal annealing at certain temperature and followed by oxygen plasma treatment of the thin PDMS layer. A simple imprinting process was used to fabricate the replicated PDMS and PS patterns from sawtoothed glass surfaces. Next, thin layers of PDMS films having different thicknesses were spin-coated onto the sawtoothed PS surfaces and annealed at 60 degrees C to be drawn the PDMS into the valley of the sawtoothed PS surfaces, followed by oxygen plasma treatment to fabricate topographic silicon oxide patterns. By control of the thickness of PDMS layers, silicon oxide patterns having various line widths were fabricated. The silicon oxide topographic patterns were used to direct the self-assembly of polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) block copolymer thin films via solvent annealing process. A highly ordered PS-b-P2VP micellar structure was used to let gold precursor complex with P2VP chains, and followed by oxygen plasma treatment. When the PS-b-P2VP thin films containing gold salts were exposed to oxygen plasma environments, gold salts were reduced to pure gold nanoparticles without changing high degree of lateral order, while polymers were completely degraded. As the width of trough and crest in topographic patterns increases, the number of gold arrays and size of gold nanoparticles are tuned. In the final step, the silicon oxide topographic patterns were selectively removed by wet etching process without changing the arrays of gold nanoparticles.

  5. Electron-irradiation-induced crystallization at metallic amorphous/silicon oxide interfaces caused by electronic excitation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nagase, Takeshi, E-mail: t-nagase@uhvem.osaka-u.ac.jp; Division of Materials and Manufacturing Science, Graduate School of Engineering, Osaka University, 2-1, Yamada-Oka, Suita, Osaka 565-0871; Yamashita, Ryo

    2016-04-28

    Irradiation-induced crystallization of an amorphous phase was stimulated at a Pd-Si amorphous/silicon oxide (a(Pd-Si)/SiO{sub x}) interface at 298 K by electron irradiation at acceleration voltages ranging between 25 kV and 200 kV. Under irradiation, a Pd-Si amorphous phase was initially formed at the crystalline face-centered cubic palladium/silicon oxide (Pd/SiO{sub x}) interface, followed by the formation of a Pd{sub 2}Si intermetallic compound through irradiation-induced crystallization. The irradiation-induced crystallization can be considered to be stimulated not by defect introduction through the electron knock-on effects and electron-beam heating, but by the electronic excitation mechanism. The observed irradiation-induced structural change at the a(Pd-Si)/SiO{sub x} and Pd/SiO{sub x}more » interfaces indicates multiple structural modifications at the metal/silicon oxide interfaces through electronic excitation induced by the electron-beam processes.« less

  6. Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof

    DOEpatents

    Sarin, V.K.

    1990-08-21

    An oxidation resistant, high temperature thermal cycling resistant coated ceramic article for ceramic heat engine applications is disclosed. The substrate is a silicon-based material, i.e. a silicon nitride- or silicon carbide-based monolithic or composite material. The coating is a graded coating of at least two layers: an intermediate AlN or Al[sub x]N[sub y]O[sub z] layer and an aluminum oxide or zirconium oxide outer layer. The composition of the coating changes gradually from that of the substrate to that of the AlN or Al[sub x]N[sub y]O[sub z] layer and further to the composition of the aluminum oxide or zirconium oxide outer layer. Other layers may be deposited over the aluminum oxide layer. A CVD process for depositing the graded coating on the substrate is also disclosed.

  7. Oxidation resistant high temperature thermal cycling resistant coatings on silicon-based substrates and process for the production thereof

    DOEpatents

    Sarin, Vinod K.

    1990-01-01

    An oxidation resistant, high temperature thermal cycling resistant coated ceramic article for ceramic heat engine applications. The substrate is a silicon-based material, i.e. a silicon nitride- or silicon carbide-based monolithic or composite material. The coating is a graded coating of at least two layers: an intermediate AlN or Al.sub.x N.sub.y O.sub.z layer and an aluminum oxide or zirconium oxide outer layer. The composition of the coating changes gradually from that of the substrate to that of the AlN or Al.sub.x N.sub.y O.sub.z layer and further to the composition of the aluminum oxide or zirconium oxide outer layer. Other layers may be deposited over the aluminum oxide layer. A CVD process for depositing the graded coating on the substrate is also disclosed.

  8. Catalytically enhanced thermal decomposition of chemically grown silicon oxide layers on Si(001)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Leroy, F., E-mail: leroy@cinam.univ-mrs.fr; Passanante, T.; Cheynis, F.

    2016-03-14

    The thermal decomposition of Si dioxide layers formed by wet chemical treatment on Si(001) has been studied by low-energy electron microscopy. Independent nucleations of voids occur into the Si oxide layers that open by reaction at the void periphery. Depending on the voids, the reaction rates exhibit large differences via the occurrence of a nonlinear growth of the void radius. This non-steady state regime is attributed to the accumulation of defects and silicon hydroxyl species at the SiO{sub 2}/Si interface that enhances the silicon oxide decomposition at the void periphery.

  9. Shrinking of silicon nanocrystals embedded in an amorphous silicon oxide matrix during rapid thermal annealing in a forming gas atmosphere

    NASA Astrophysics Data System (ADS)

    van Sebille, M.; Fusi, A.; Xie, L.; Ali, H.; van Swaaij, R. A. C. M. M.; Leifer, K.; Zeman, M.

    2016-09-01

    We report the effect of hydrogen on the crystallization process of silicon nanocrystals embedded in a silicon oxide matrix. We show that hydrogen gas during annealing leads to a lower sub-band gap absorption, indicating passivation of defects created during annealing. Samples annealed in pure nitrogen show expected trends according to crystallization theory. Samples annealed in forming gas, however, deviate from this trend. Their crystallinity decreases for increased annealing time. Furthermore, we observe a decrease in the mean nanocrystal size and the size distribution broadens, indicating that hydrogen causes a size reduction of the silicon nanocrystals.

  10. Scalable Preparation of Ternary Hierarchical Silicon Oxide-Nickel-Graphite Composites for Lithium-Ion Batteries.

    PubMed

    Wang, Jing; Bao, Wurigumula; Ma, Lu; Tan, Guoqiang; Su, Yuefeng; Chen, Shi; Wu, Feng; Lu, Jun; Amine, Khalil

    2015-12-07

    Silicon monoxide is a promising anode candidate because of its high theoretical capacity and good cycle performance. To solve the problems associated with this material, including large volume changes during charge-discharge processes, we report a ternary hierarchical silicon oxide-nickel-graphite composite prepared by a facile two-step ball-milling method. The composite consists of nano-Si dispersed silicon oxides embedded in nano-Ni/graphite matrices (Si@SiOx /Ni/graphite). In the composite, crystalline nano-Si particles are generated by the mechanochemical reduction of SiO by ball milling with Ni. These nano-Si dispersed oxides have abundant electrochemical activity and can provide high Li-ion storage capacity. Furthermore, the milled nano-Ni/graphite matrices stick well to active materials and interconnect to form a crosslinked framework, which functions as an electrical highway and a mechanical backbone so that all silicon oxide particles become electrochemically active. Owing to these advanced structural and electrochemical characteristics, the composite enhances the utilization efficiency of SiO, accommodates its large volume expansion upon cycling, and has good ionic and electronic conductivity. The composite electrodes thus exhibit substantial improvements in electrochemical performance. This ternary hierarchical Si@SiOx /Ni/graphite composite is a promising candidate anode material for high-energy lithium-ion batteries. Additionally, the mechanochemical ball-milling method is low cost and easy to reproduce, indicating potential for the commercial production of the composite materials. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Iron oxide shell coating on nano silicon prepared from the sand for lithium-ion battery application

    NASA Astrophysics Data System (ADS)

    Furquan, Mohammad; Vijayalakshmi, S.; Mitra, Sagar

    2018-05-01

    Elemental silicon, due to its high specific capacity (4200 mAh g-1) and non-toxicity is expected to be an attractive anode material for Li-ion battery. But its huge expansion volume (> 300 %) during charging of battery, leads to pulverization and cracking in the silicon particles and causes sudden failure of the Li-ion battery. In this work, we have designed yolk-shell type morphology of silicon, prepared from carbon coated silicon nanoparticles soaked in aqueous solution of ferric nitrate and potassium hydroxide. The soaked silicon particles were dried and finally calcined at 800 °C for 30 minutes. The product obtained is deprived of carbon and has a kind of yolk-shell morphology of nano silicon with iron oxide coating (Si@Iron oxide). This material has been tested for half-cell lithium-ion battery configuration. The discharge capacity is found to be ≈ 600 mAh g-1 at a current rate of 1.0 A g-1 for 200 cycles. It has shown a stable performance as anode for Li-ion battery application.

  12. Gravimetric Analysis of Bismuth in Bismuth Subsalicylate Tablets: A Versatile Quantitative Experiment for Undergraduate Laboratories

    ERIC Educational Resources Information Center

    Davis, Eric; Cheung, Ken; Pauls, Steve; Dick, Jonathan; Roth, Elijah; Zalewski, Nicole; Veldhuizen, Christopher; Coeler, Joel

    2015-01-01

    In this laboratory experiment, lower- and upper-division students dissolved bismuth subsalicylate tablets in acid and precipitated the resultant Bi[superscript 3+] in solution with sodium phosphate for a gravimetric determination of bismuth subsalicylate in the tablets. With a labeled concentration of 262 mg/tablet, the combined data from three…

  13. Tantalum oxide/silicon nitride: A negatively charged surface passivation stack for silicon solar cells

    NASA Astrophysics Data System (ADS)

    Wan, Yimao; Bullock, James; Cuevas, Andres

    2015-05-01

    This letter reports effective passivation of crystalline silicon (c-Si) surfaces by thermal atomic layer deposited tantalum oxide (Ta2O5) underneath plasma enhanced chemical vapour deposited silicon nitride (SiNx). Cross-sectional transmission electron microscopy imaging shows an approximately 2 nm thick interfacial layer between Ta2O5 and c-Si. Surface recombination velocities as low as 5.0 cm/s and 3.2 cm/s are attained on p-type 0.8 Ω.cm and n-type 1.0 Ω.cm c-Si wafers, respectively. Recombination current densities of 25 fA/cm2 and 68 fA/cm2 are measured on 150 Ω/sq boron-diffused p+ and 120 Ω/sq phosphorus-diffused n+ c-Si, respectively. Capacitance-voltage measurements reveal a negative fixed insulator charge density of -1.8 × 1012 cm-2 for the Ta2O5 film and -1.0 × 1012 cm-2 for the Ta2O5/SiNx stack. The Ta2O5/SiNx stack is demonstrated to be an excellent candidate for surface passivation of high efficiency silicon solar cells.

  14. Process for depositing an oxide epitaxially onto a silicon substrate and structures prepared with the process

    DOEpatents

    McKee, Rodney A.; Walker, Frederick J.

    1993-01-01

    A process and structure involving a silicon substrate utilizes an ultra high vacuum and molecular beam epitaxy (MBE) methods to grow an epitaxial oxide film upon a surface of the substrate. As the film is grown, the lattice of the compound formed at the silicon interface becomes stabilized, and a base layer comprised of an oxide having a sodium chloride-type lattice structure grows epitaxially upon the compound so as to cover the substrate surface. A perovskite may then be grown epitaxially upon the base layer to render a product which incorporates silicon, with its electronic capabilities, with a perovskite having technologically-significant properties of its own.

  15. Passivation mechanism in silicon heterojunction solar cells with intrinsic hydrogenated amorphous silicon oxide layers

    NASA Astrophysics Data System (ADS)

    Deligiannis, Dimitrios; van Vliet, Jeroen; Vasudevan, Ravi; van Swaaij, René A. C. M. M.; Zeman, Miro

    2017-02-01

    In this work, we use intrinsic hydrogenated amorphous silicon oxide layers (a-SiOx:H) with varying oxygen content (cO) but similar hydrogen content to passivate the crystalline silicon wafers. Using our deposition conditions, we obtain an effective lifetime (τeff) above 5 ms for cO ≤ 6 at. % for passivation layers with a thickness of 36 ± 2 nm. We subsequently reduce the thickness of the layers using an accurate wet etching method to ˜7 nm and deposit p- and n-type doped layers fabricating a device structure. After the deposition of the doped layers, τeff appears to be predominantly determined by the doped layers themselves and is less dependent on the cO of the a-SiOx:H layers. The results suggest that τeff is determined by the field-effect rather than by chemical passivation.

  16. Selective tuning of high-Q silicon photonic crystal nanocavities via laser-assisted local oxidation.

    PubMed

    Chen, Charlton J; Zheng, Jiangjun; Gu, Tingyi; McMillan, James F; Yu, Mingbin; Lo, Guo-Qiang; Kwong, Dim-Lee; Wong, Chee Wei

    2011-06-20

    We examine the cavity resonance tuning of high-Q silicon photonic crystal heterostructures by localized laser-assisted thermal oxidation using a 532 nm continuous wave laser focused to a 2.5 μm radius spot-size. The total shift is consistent with the parabolic rate law. A tuning range of up to 8.7 nm is achieved with ∼ 30 mW laser powers. Over this tuning range, the cavity Qs decreases from 3.2×10(5) to 1.2×10(5). Numerical simulations model the temperature distributions in the silicon photonic crystal membrane and the cavity resonance shift from oxidation.

  17. Effect of bismuth subcitrate on amphibian gastroduodenal bicarbonate secretion.

    PubMed Central

    Shorrock, C J; Crampton, J R; Gibbons, L C; Rees, W D

    1989-01-01

    The ulcer healing and cytoprotective properties of colloidal bismuth (De-Nol) are well established although its mode of action is unclear. We have examined the action of bismuth subcitrate, the active ingredient of De-Nol, on gastroduodenal bicarbonate secretion by isolated amphibian mucosa. Addition of bismuth subcitrate (10(-6) to 10(-4) M) to the luminal solution produced a dose dependent increase in bicarbonate secretion from both gastric and duodenal mucosae without a change in transmucosal potential difference. The magnitude of this stimulation was greater for gastric than duodenal mucosae at all dose ranges. A second bismuth salt, bismuth oxynitrate, produced similar increases in bicarbonate secretion from gastric mucosae. Pretreatment of gastric mucosa with the cyclooxygenase inhibitor, indomethacin (10(-5) and 10(-4) M), did not abolish the secretory response to bismuth subcitrate. Similar treatment with the chloride transport inhibitor, 4-acetamido-4'-isothiocyanatostilbene-2,2'-disulfonic acid (SITS) (10(-3) M) prevented the secretory response to bismuth subcitrate. PMID:2788112

  18. A room temperature method for the formation of ultrathin silicon oxide films

    NASA Astrophysics Data System (ADS)

    Muisener, Richard John

    Growing interest surrounds the use of thin films to impart unique surface properties without adversely affecting those of the bulk. One such example is the formation of a stable high-energy silicon oxide surface on polymers. Thin silicon oxide films have been used to tailor the surface properties of many materials. Conventional methods for SiOx film fabrication such as chemical vapor deposition require either high temperature or expensive vacuum chambers. This research focuses on the intrinsically inexpensive process of UV-ozone to form ultrathin SiOx films from polysiloxane precursors at room temperature and atmospheric pressure. Chemical evidence suggests a complete conversion from organic polymer to inorganic ceramic. Through XPS, the UV-ozone treatment oxidizes over 95% of the silicone's organic side groups with a resulting stoichiometry Of Si 1O2.2C0.08. The silicon oxidation state changes from 2+ in poly(dimethylsiloxane) to 93% 4+ corresponding to SiO2. IR studies show a total loss of methyl bands and the growth of a new Si-O band centered at 1225 cm-1. Gas phase reaction products suggest a radical driven process. The physical properties also suggest a complete conversion to SiO x. Excellent control of film thickness, as low as 2 nm, has been demonstrated by variable angle spectroscopic ellipsometry. The ellipsometrically determined thickness loss of 55% during treatment corresponds to an SiOx film density of 1.9 g/cm3. The continuity of the film is demonstrated by electrical properties and a very low water contact angle consistent with SiOx. The later property ensures that the SiOx films are anti-fogging in nature. Unique hydrophilic-hydrophobic structures were formed through photo-patterning. The reaction has been successfully modeled as self-limiting based on the diffusion of ozone. The chief reactant, atomic oxygen, is generated by the photochemical dissociation of ozone and quickly generates radical species within the polymer film. The reaction proceeds

  19. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots

    NASA Astrophysics Data System (ADS)

    Yoo, Hana; Park, Soojin

    2010-06-01

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm × 5 cm.

  20. The fabrication of highly ordered block copolymer micellar arrays: control of the separation distances of silicon oxide dots.

    PubMed

    Yoo, Hana; Park, Soojin

    2010-06-18

    We demonstrate the fabrication of highly ordered silicon oxide dotted arrays prepared from polydimethylsiloxane (PDMS) filled nanoporous block copolymer (BCP) films and the preparation of nanoporous, flexible Teflon or polyimide films. Polystyrene-block-poly(2-vinylpyridine) (PS-b-P2VP) films were annealed in toluene vapor to enhance the lateral order of micellar arrays and were subsequently immersed in alcohol to produce nano-sized pores, which can be used as templates for filling a thin layer of PDMS. When a thin layer of PDMS was spin-coated onto nanoporous BCP films and thermally annealed at a certain temperature, the PDMS was drawn into the pores by capillary action. PDMS filled BCP templates were exposed to oxygen plasma environments in order to fabricate silicon oxide dotted arrays. By addition of PS homopolymer to PS-b-P2VP copolymer, the separation distances of micellar arrays were tuned. As-prepared silicon oxide dotted arrays were used as a hard master for fabricating nanoporous Teflon or polyimide films by spin-coating polymer precursor solutions onto silicon patterns and peeling off. This simple process enables us to fabricate highly ordered nanoporous BCP templates, silicon oxide dots, and flexible nanoporous polymer patterns with feature size of sub-20 nm over 5 cm x 5 cm.

  1. Structural investigations of bismuth lead borosilicate glasses under the influence of gamma irradiation through ultrasonic studies

    NASA Astrophysics Data System (ADS)

    Bootjomchai, Cherdsak; Laopaiboon, Jintana; Laopaiboon, Raewat

    2012-04-01

    The ultrasonic velocity measurements for different compositions of irradiated bismuth lead borosilicate glasses xBi2O3-(50-x)PbO-20B2O3-30SiO2 (x=2, 4, 6, 8, and 10 mol.%) were performed at room temperature using pulse-echo technique. Densities of glass samples were measured by Archimedes' principle using n-hexane as the immersion liquid. The results from the studies show that ultrasonic velocity, elastic moduli, Poisson's ratio, microhardness, and the Debye temperature increase with increasing bismuth oxide content and increasing gamma-radiation dose (3-12 Gy).

  2. Ethylene oxide-block-butylene oxide copolymer uptake by silicone hydrogel contact lens materials

    NASA Astrophysics Data System (ADS)

    Huo, Yuchen; Ketelson, Howard; Perry, Scott S.

    2013-05-01

    Four major types of silicone hydrogel contact lens material have been investigated following treatments in aqueous solutions containing poly(ethylene oxide) and poly(butylenes oxide) block copolymer (EO-BO). The extent of lens surface modification by EO-BO and the degree of bulk uptake were studied using X-ray photoelectron spectroscopy (XPS) and ultra-performance liquid chromatography (UPLC), respectively. The experimental results suggest that different interaction models exist for the lenses, highlighting the influence of both surface and bulk composition, which greatly differs between the lenses examined. Specifically, lenses with hydrophilic surface treatments, i.e., PureVision® (balafilcon A) and O2OPTIX (lotrafilcon B), demonstrated strong evidence of preferential surface adsorption within the near-surface region. In comparison, surface adsorption on ACUVUE® Oasys® (senofilcon A) and Biofinity® (comfilcon A) was limited. As for bulk absorption, the amount of EO-BO uptake was the greatest for balafilcon A and comfilcon A, and least for lotrafilcon B. These findings confirm the presence of molecular concentration gradients within the silicone hydrogel lenses following exposure to EO-BO solutions, with the nature of such concentration gradients found to be lens-specific. Together, the results suggest opportunities for compositional modifications of lenses for improved performance via solution treatments containing surface-active agents.

  3. 21 CFR 73.2162 - Bismuth oxychloride.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... amounts consistent with good manufacturing practice. (c) Labeling. The color additive and any mixture... COLOR ADDITIVES EXEMPT FROM CERTIFICATION Cosmetics § 73.2162 Bismuth oxychloride. (a) Identity and specifications. (1) The color additive bismuth oxychloride shall conform in identity and specifications to the...

  4. The effect of thermal oxidation on the luminescence properties of nanostructured silicon.

    PubMed

    Liu, Lijia; Sham, Tsun-Kong

    2012-08-06

    Herein is reported a detailed study of the luminescence properties of nanostructured Si using X-ray excited optical luminescence (XEOL) in combination with X-ray absorption near-edge structures (XANES). P-type Si nanowires synthesized via electroless chemical etching from Si wafers of different doping levels and porous Si synthesized using electrochemical method are examined under X-ray excitation across the Si K-, L(3,2) -, and O K-edges. It is found that while as-prepared Si nanostructures are weak light emitters, intense visible luminescence is observed from thermally oxidized Si nanowires and porous Si. The luminescence mechanism of Si upon oxidation is investigated by oxidizing nanostructured Si at different temperatures. Interestingly, the two luminescence bands observed show different response with the variation of absorption coefficient upon Si and O core-electron excitation in elemental silicon and silicon oxide. A correlation between luminescence properties and electronic structures is thus established. The implications of the finding are discussed in terms of the behavior of the oxygen deficient center (OCD) and non-bridging oxygen hole center (NBOHC). Copyright © 2012 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Use of a Soluble Anode in Electrodeposition of Thick Bismuth Telluride Layers

    NASA Astrophysics Data System (ADS)

    Maas, M.; Diliberto, S.; de Vaulx, C.; Azzouz, K.; Boulanger, C.

    2014-10-01

    Integration of thermoelectric devices within an automotive heat exchanger could enable conversion of lost heat into electrical energy, contributing to improved total output from the engine. For this purpose, synthesis of thick bismuth telluride (Bi2Te3) films is required. Bismuth telluride has been produced by an electrochemical method in nitric acid with a sacrificial bismuth telluride anode as the source of cations. The binary layer grows on the working electrode while the counter-electrode, a Bi2Te3 disk obtained by high frequency melting, is oxidized to BiIII and TeIV. This process leads to auto-regeneration of the solution without modification of its composition. The thickness of films deposited by use of the Bi2Te3 anode was approximately 10 times that without. To demonstrate the utility of a soluble anode in electrochemical deposition, we report characterization of the composition and morphology of the films obtained under different experimental conditions. Perfectly dense and regular Bi2Te3 films (˜400 μm) with low internal stress and uniform composition across the cross-section were prepared. Their thermoelectric properties were assessed.

  6. Bismuth Subgallate Toxicity in the Age of Online Supplement Use.

    PubMed

    Sampognaro, Paul; Vo, Kathy T; Richie, Megan; Blanc, Paul D; Keenan, Kevin

    2017-11-01

    Bismuth salts have been used to treat gastroenterological disorders and are readily available over-the-counter and via the internet. Even though generally considered safe, bismuth compounds can cause a syndrome of subacute, progressive encephalopathy when taken in large quantities. We present the case of woman who developed progressive encephalopathy, aphasia, myoclonus, and gait instability after chronically ingesting large amounts of bismuth subgallate purchased from a major online marketing website to control symptoms of irritable bowel syndrome. After extensive neurological work-up, elevated bismuth levels in her blood, urine, and cerebrospinal fluid confirmed the diagnosis of bismuth-related neurotoxicity. She improved slowly following cessation of exposure. This case highlights bismuth subgallate as a neurotoxic bismuth formulation and reminds providers of the potential for safety misconceptions of positively reviewed online supplements.

  7. Easy synthesis of bismuth iron oxide nanoparticles as photocatalyst for solar hydrogen generation from water

    NASA Astrophysics Data System (ADS)

    Deng, Jinyi

    In this study, high purity bismuth iron oxide (BiFeO3/BFO) nanoparticles of size 50-80 nm have been successfully synthesized by a simple sol-gel method using urea and polyvinyl alcohol at low temperature. X-ray diffraction (XRD) measurement is used to optimize the synthetic process to get highly crystalline and pure phase material. Diffuse reflectance ultraviolet-visible (DRUV-Vis) spectrum indicates that the absorption cut-off wavelength of the nanoparticles is about 620 nm, corresponding to an energy band gap of 2.1 eV. Compared to BaTiO3, BFO has a better degradation of methyl orange under light radiation. Also, photocatalytic tests prove this material to be efficient towards water splitting under simulated solar light to generate hydrogen. The simple synthetic methodology adopted in this paper will be useful in developing low-cost semiconductor materials as effective photocatalysts for hydrogen generation. Photocatalytic tests followed by gas chromatography (GC) analyses show that BiFeO3 generates three times more hydrogen than commercial titania P25 catalyst under the same experimental conditions.

  8. Zirconium oxide surface passivation of crystalline silicon

    NASA Astrophysics Data System (ADS)

    Wan, Yimao; Bullock, James; Hettick, Mark; Xu, Zhaoran; Yan, Di; Peng, Jun; Javey, Ali; Cuevas, Andres

    2018-05-01

    This letter reports effective passivation of crystalline silicon (c-Si) surfaces by thermal atomic layer deposited zirconium oxide (ZrOx). The optimum layer thickness and activation annealing conditions are determined to be 20 nm and 300 °C for 20 min. Cross-sectional transmission electron microscopy imaging shows an approximately 1.6 nm thick SiOx interfacial layer underneath an 18 nm ZrOx layer, consistent with ellipsometry measurements (˜20 nm). Capacitance-voltage measurements show that the annealed ZrOx film features a low interface defect density of 1.0 × 1011 cm-2 eV-1 and a low negative film charge density of -6 × 1010 cm-2. Effective lifetimes of 673 μs and 1.1 ms are achieved on p-type and n-type 1 Ω cm undiffused c-Si wafers, respectively, corresponding to an implied open circuit voltage above 720 mV in both cases. The results demonstrate that surface passivation quality provided by ALD ZrOx is consistent with the requirements of high efficiency silicon solar cells.

  9. Silicon Metal-oxide-semiconductor Quantum Dots for Single-electron Pumping

    PubMed Central

    Rossi, Alessandro; Tanttu, Tuomo; Hudson, Fay E.; Sun, Yuxin; Möttönen, Mikko; Dzurak, Andrew S.

    2015-01-01

    As mass-produced silicon transistors have reached the nano-scale, their behavior and performances are increasingly affected, and often deteriorated, by quantum mechanical effects such as tunneling through single dopants, scattering via interface defects, and discrete trap charge states. However, progress in silicon technology has shown that these phenomena can be harnessed and exploited for a new class of quantum-based electronics. Among others, multi-layer-gated silicon metal-oxide-semiconductor (MOS) technology can be used to control single charge or spin confined in electrostatically-defined quantum dots (QD). These QD-based devices are an excellent platform for quantum computing applications and, recently, it has been demonstrated that they can also be used as single-electron pumps, which are accurate sources of quantized current for metrological purposes. Here, we discuss in detail the fabrication protocol for silicon MOS QDs which is relevant to both quantum computing and quantum metrology applications. Moreover, we describe characterization methods to test the integrity of the devices after fabrication. Finally, we give a brief description of the measurement set-up used for charge pumping experiments and show representative results of electric current quantization. PMID:26067215

  10. Nitric oxide-releasing porous silicon nanoparticles

    PubMed Central

    2014-01-01

    In this study, the ability of porous silicon nanoparticles (PSi NPs) to entrap and deliver nitric oxide (NO) as an effective antibacterial agent is tested against different Gram-positive and Gram-negative bacteria. NO was entrapped inside PSi NPs functionalized by means of the thermal hydrocarbonization (THC) process. Subsequent reduction of nitrite in the presence of d-glucose led to the production of large NO payloads without reducing the biocompatibility of the PSi NPs with mammalian cells. The resulting PSi NPs demonstrated sustained release of NO and showed remarkable antibacterial efficiency and anti-biofilm-forming properties. These results will set the stage to develop antimicrobial nanoparticle formulations for applications in chronic wound treatment. PMID:25114633

  11. Nitric oxide-releasing porous silicon nanoparticles.

    PubMed

    Kafshgari, Morteza Hasanzadeh; Cavallaro, Alex; Delalat, Bahman; Harding, Frances J; McInnes, Steven Jp; Mäkilä, Ermei; Salonen, Jarno; Vasilev, Krasimir; Voelcker, Nicolas H

    2014-01-01

    In this study, the ability of porous silicon nanoparticles (PSi NPs) to entrap and deliver nitric oxide (NO) as an effective antibacterial agent is tested against different Gram-positive and Gram-negative bacteria. NO was entrapped inside PSi NPs functionalized by means of the thermal hydrocarbonization (THC) process. Subsequent reduction of nitrite in the presence of d-glucose led to the production of large NO payloads without reducing the biocompatibility of the PSi NPs with mammalian cells. The resulting PSi NPs demonstrated sustained release of NO and showed remarkable antibacterial efficiency and anti-biofilm-forming properties. These results will set the stage to develop antimicrobial nanoparticle formulations for applications in chronic wound treatment.

  12. Nitric oxide-releasing porous silicon nanoparticles

    NASA Astrophysics Data System (ADS)

    Kafshgari, Morteza Hasanzadeh; Cavallaro, Alex; Delalat, Bahman; Harding, Frances J.; McInnes, Steven JP; Mäkilä, Ermei; Salonen, Jarno; Vasilev, Krasimir; Voelcker, Nicolas H.

    2014-07-01

    In this study, the ability of porous silicon nanoparticles (PSi NPs) to entrap and deliver nitric oxide (NO) as an effective antibacterial agent is tested against different Gram-positive and Gram-negative bacteria. NO was entrapped inside PSi NPs functionalized by means of the thermal hydrocarbonization (THC) process. Subsequent reduction of nitrite in the presence of d-glucose led to the production of large NO payloads without reducing the biocompatibility of the PSi NPs with mammalian cells. The resulting PSi NPs demonstrated sustained release of NO and showed remarkable antibacterial efficiency and anti-biofilm-forming properties. These results will set the stage to develop antimicrobial nanoparticle formulations for applications in chronic wound treatment.

  13. 21 CFR 73.1162 - Bismuth oxychloride.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... COLOR ADDITIVES EXEMPT FROM CERTIFICATION Drugs § 73.1162 Bismuth oxychloride. (a) Identity. (1) The color additive bismuth oxychloride is a synthetically prepared white or nearly white amorphous or finely crystalline, odorless powder consisting principally of BiOCl. (2) Color additive mixtures for drug use made...

  14. Scalable Preparation of Ternary Hierarchical Silicon Oxide-Nickel-Graphite Composites for Lithium-Ion Batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang , Jing; Bao, Wurigumula; Ma, Lu

    2015-11-09

    Silicon monoxide is a promising anode candidate because of its high theoretical capacity and good cycle performance. To solve the problems associated with this material, including large volume changes during charge-discharge processes, we report a ternary hierarchical silicon oxide–nickel–graphite composite prepared by a facile two-step ball-milling method. The composite consists of nano-Si dispersed silicon oxides embedded in nano-Ni/graphite matrices (Si@SiOx/Ni/graphite). In the composite, crystalline nano-Si particles are generated by the mechanochemical reduction of SiO by ball milling with Ni. These nano-Si dispersed oxides have abundant electrochemical activity and can provide high Li-ion storage capacity. Furthermore, the milled nano-Ni/graphite matrices stickmore » well to active materials and interconnect to form a crosslinked framework, which functions as an electrical highway and a mechanical backbone so that all silicon oxide particles become electrochemically active. Owing to these advanced structural and electrochemical characteristics, the composite enhances the utilization efficiency of SiO, accommodates its large volume expansion upon cycling, and has good ionic and electronic conductivity. The composite electrodes thus exhibit substantial improvements in electrochemical performance. This ternary hierarchical Si@SiOx/Ni/graphite composite is a promising candidate anode material for high-energy lithium-ion batteries. Additionally, the mechanochemical ball-milling method is low cost and easy to reproduce, indicating potential for the commercial production of the composite materials.« less

  15. Bismuth germanate coupled to near ultraviolet silicon photomultipliers for time-of-flight PET

    PubMed Central

    Kwon, Sun Il; Gola, Alberto; Ferri, Alessandro; Piemonte, Claudio; Cherry, Simon R.

    2016-01-01

    Bismuth germanate (BGO) was a very attractive scintillator in early-generation positron emission tomography (PET) scanners. However, the major disadvantages of BGO are lower light yield and longer rise and decay time compared to currently popular scintillators such as LSO and LYSO. This results in poorer coincidence timing resolution and it has generally been assumed that BGO is not a suitable scintillator for time-of-flight (TOF) PET applications. However, when a 511-keV photon interacts in a scintillator, a number of Cerenkov photons are produced promptly by energetic electrons released by photoelectric or Compton interactions. If these prompt photons can be captured, they could provide a better timing trigger for PET. Since BGO has a high refractive index (increasing the Cerenkov light yield) and excellent optical transparency down to 320 nm (Cerenkov light yield is higher at shorter wavelengths), we hypothesized that the coincidence timing resolution of BGO can be significantly improved by efficient detection of the Cerenkov photons. However, since the number of Cerenkov photons is far less than the number of scintillation photons, and they are more abundant in the UV and blue part of the spectrum, photosensors need to have high UV/blue sensitivity, fast temporal response, and very low noise in order to trigger on the faint Cerenkov signal. In this respect, NUV-HD silicon photomultipliers (SiPMs) (FBK, Trento, Italy) are an excellent fit for our approach. In this study, coincidence events were measured using BGO crystals coupled with NUV-HD SiPMs. The existence and influence of Cerenkov photons on the timing measurements were studied using different configurations to exploit the directionality of the Cerenkov emissions. Coincidence resolving time values (FWHM) of ~270 ps from 2 × 3 × 2 mm3 BGO crystals and ~560 ps from 3 × 3 × 20 mm3 BGO crystals were obtained. To our knowledge, these are the best coincidence resolving time values reported for BGO to date

  16. Valley polarization in bismuth

    NASA Astrophysics Data System (ADS)

    Fauque, Benoit

    2013-03-01

    The electronic structure of certain crystal lattices can contain multiple degenerate valleys for their charge carriers to occupy. The principal challenge in the development of valleytronics is to lift the valley degeneracy of charge carriers in a controlled way. In bulk semi-metallic bismuth, the Fermi surface includes three cigar-shaped electron valleys lying almost perpendicular to the high symmetry axis known as the trigonal axis. The in-plane mass anisotropy of each valley exceeds 200 as a consequence of Dirac dispersion, which drastically reduces the effective mass along two out of the three orientations. According to our recent study of angle-dependent magnetoresistance in bismuth, a flow of Dirac electrons along the trigonal axis is extremely sensitive to the orientation of in-plane magnetic field. Thus, a rotatable magnetic field can be used as a valley valve to tune the contribution of each valley to the total conductivity. As a consequence of a unique combination of high mobility and extreme mass anisotropy in bismuth, the effect is visible even at room temperature in a magnetic field of 1 T. Thus, a modest magnetic field can be used as a valley valve in bismuth. The results of our recent investigation of angle-dependent magnetoresistance in other semi-metals and doped semiconductors suggest that a rotating magnetic field can behave as a valley valve in a multi-valley system with sizeable mass anisotropy.

  17. Effect of trichloroethylene enhancement on deposition rate of low-temperature silicon oxide films by silicone oil and ozone

    NASA Astrophysics Data System (ADS)

    Horita, Susumu; Jain, Puneet

    2017-08-01

    A low-temperature silcon oxide film was deposited at 160 to 220 °C using an atmospheric pressure CVD system with silicone oil vapor and ozone gases. It was found that the deposition rate is markedly increased by adding trichloroethylene (TCE) vapor, which is generated by bubbling TCE solution with N2 gas flow. The increase is more than 3 times that observed without TCE, and any contamination due to TCE is hardly observed in the deposited Si oxide films from Fourier transform infrared spectra.

  18. Oxidation Kinetics of Chemically Vapor-Deposited Silicon Carbide in Wet Oxygen

    NASA Technical Reports Server (NTRS)

    Opila, Elizabeth J.

    1994-01-01

    The oxidation kinetics of chemically vapor-deposited SiC in dry oxygen and wet oxygen (P(sub H2O) = 0.1 atm) at temperatures between 1200 C and 1400 C were monitored using thermogravimetric analysis. It was found that in a clean environment, 10% water vapor enhanced the oxidation kinetics of SiC only very slightly compared to rates found in dry oxygen. Oxidation kinetics were examined in terms of the Deal and Grove model for oxidation of silicon. It was found that in an environment containing even small amounts of impurities, such as high-purity Al2O3 reaction tubes containing 200 ppm Na, water vapor enhanced the transport of these impurities to the oxidation sample. Oxidation rates increased under these conditions presumably because of the formation of less protective sodium alumino-silicate scales.

  19. Bismuth oxyfluoride @ CMK-3 nanocomposite as cathode for lithium ion batteries

    NASA Astrophysics Data System (ADS)

    Ni, Dan; Sun, Wang; Xie, Liqiang; Fan, Qinghua; Wang, Zhenhua; Sun, Kening

    2018-01-01

    Bismuth oxyfluoride impregnated CMK-3 nanocomposite is synthesized by a facile nanocasting approach. Mesoporous carbon CMK-3 can suppress the aggregation and growth of bismuth oxyfluoride particles and offer rapid electron and Li ion passageways. Bismuth oxyfluoride nanoparticles are embedded in the mesoporous channels with particle size less than 20 nm. The bismuth oxyfluoride@CMK-3 nanocomposite maintains 148 mA h g-1 after 40 cycles with the capacity from both the bismuth oxyfluoride and the functional groups on the mesoporous carbon. The hybrid with confined bismuth oxyfluoride nanoparticles, conductive carbon network, and oxygen functional groups on the carbon matrix exhibits higher capacity and cycling stability than bulk bismuth oxyfluoride particles when used as lithium ion batteries cathode.

  20. 21 CFR 73.1162 - Bismuth oxychloride.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... 21 Food and Drugs 1 2013-04-01 2013-04-01 false Bismuth oxychloride. 73.1162 Section 73.1162 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR ADDITIVES EXEMPT FROM CERTIFICATION Drugs § 73.1162 Bismuth oxychloride. (a) Identity. (1) The...

  1. 21 CFR 73.1162 - Bismuth oxychloride.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... 21 Food and Drugs 1 2012-04-01 2012-04-01 false Bismuth oxychloride. 73.1162 Section 73.1162 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR ADDITIVES EXEMPT FROM CERTIFICATION Drugs § 73.1162 Bismuth oxychloride. (a) Identity. (1) The...

  2. 21 CFR 73.1162 - Bismuth oxychloride.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... 21 Food and Drugs 1 2014-04-01 2014-04-01 false Bismuth oxychloride. 73.1162 Section 73.1162 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF COLOR ADDITIVES EXEMPT FROM CERTIFICATION Drugs § 73.1162 Bismuth oxychloride. (a) Identity. (1) The...

  3. Compositional dependence of optical band gap and refractive index in lead and bismuth borate glasses

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mallur, Saisudha B.; Czarnecki, Tyler; Adhikari, Ashish

    2015-08-15

    Highlights: • Refractive indices increase with increasing PbO/Bi{sub 2}O{sub 3} content. • Optical band gap arises due to direct forbidden transition. • Optical band gaps decrease with increasing PbO/Bi{sub 2}O{sub 3} content. • New empirical relation between the optical band gap and the refractive index. - Abstract: We prepared a series of lead and bismuth borate glasses by varying PbO/Bi{sub 2}O{sub 3} content and studied refractive index and optical band gap as a function of glass composition. Refractive indices were measured very accurately using a Brewster’s angle set up while the optical band gaps were determined by analyzing the opticalmore » absorption edge using the Mott–Davis model. Using the Lorentz–Lorentz method and the effective medium theory, we calculated the refractive indices and then compared them with the measured values. Bismuth borate glasses show better agreement between the calculated values of the refractive index and experimental values. We used a differential method based on Mott–Davis model to obtain the type of transition and optical band gap (E{sub opt}) which in turn was compared with the value of E{sub opt} obtained using the extinction coefficient. Our analysis shows that in both lead and bismuth borate glasses, the optical band gap arises due to direct forbidden transition. With increasing PbO/Bi{sub 2}O{sub 3} content, the absorption edge shifts toward longer wavelengths and the optical band gap decreases. This behavior can be explained in terms of changes to the Pb−O/Bi−O chemical bonds with glass composition. We obtained a new empirical relation between the optical band gap and the refractive index which can be used to accurately determine the electronic oxide polarizability in lead and bismuth oxide glasses.« less

  4. Structural investigation of phosphate - bismuth glasses with vanadium

    NASA Astrophysics Data System (ADS)

    Stǎnescu, R.; Vedeanu, N.; Cozar, I. B.; Mǎgdaş, A.

    2013-11-01

    The xV2O5(1-dx)[0.5P2O5ṡ0.5Bi2O3] glass system with 0 ≤ x ≤ 50 mol% is investigated by IR and Raman spectroscopy. Both P2O5 and Bi2O3 oxides are known as network formers, but Bi2O3 is an unconventional one. At low content of vanadium oxide (x ≤ 5 mol%), both IR and Raman spectra are dominated by vibration bands characteristics to structural groups of phosphate and bismuthate lattices. Due to the network modifier role, vanadium oxide acts mainly on the Bi2O3 network allowing the phosphate groups to impose their characteristics absorption bands in spectra. These bands are strongly reduced for x ≥ 20 mol% due to the phosphate network depolymerization and the appearance of new vibrations characteristic to P-O-V, Bi-O-V and V-O-V groups showing the network former role of V2O5.

  5. Improved proton CT imaging using a bismuth germanium oxide scintillator.

    PubMed

    Tanaka, Sodai; Nishio, Teiji; Tsuneda, Masato; Matsushita, Keiichiro; Kabuki, Shigeto; Uesaka, Mitsuru

    2018-02-02

    Range uncertainty is among the most formidable challenges associated with the treatment planning of proton therapy. Proton imaging, which includes proton radiography and proton computed tomography (pCT), is a useful verification tool. We have developed a pCT detection system that uses a thick bismuth germanium oxide (BGO) scintillator and a CCD camera. The current method is based on a previous detection system that used a plastic scintillator, and implements improved image processing techniques. In the new system, the scintillation light intensity is integrated along the proton beam path by the BGO scintillator, and acquired as a two-dimensional distribution with the CCD camera. The range of a penetrating proton is derived from the integrated light intensity using a light-to-range conversion table, and a pCT image can be reconstructed. The proton range in the BGO scintillator is shorter than in the plastic scintillator, so errors due to extended proton ranges can be reduced. To demonstrate the feasibility of the pCT system, an experiment was performed using a 70 MeV proton beam created by the AVF930 cyclotron at the National Institute of Radiological Sciences. The accuracy of the light-to-range conversion table, which is susceptible to errors due to its spatial dependence, was investigated, and the errors in the acquired pixel values were less than 0.5 mm. Images of various materials were acquired, and the pixel-value errors were within 3.1%, which represents an improvement over previous results. We also obtained a pCT image of an edible chicken piece, the first of its kind for a biological material, and internal structures approximately one millimeter in size were clearly observed. This pCT imaging system is fast and simple, and based on these findings, we anticipate that we can acquire 200 MeV pCT images using the BGO scintillator system.

  6. Improved proton CT imaging using a bismuth germanium oxide scintillator

    NASA Astrophysics Data System (ADS)

    Tanaka, Sodai; Nishio, Teiji; Tsuneda, Masato; Matsushita, Keiichiro; Kabuki, Shigeto; Uesaka, Mitsuru

    2018-02-01

    Range uncertainty is among the most formidable challenges associated with the treatment planning of proton therapy. Proton imaging, which includes proton radiography and proton computed tomography (pCT), is a useful verification tool. We have developed a pCT detection system that uses a thick bismuth germanium oxide (BGO) scintillator and a CCD camera. The current method is based on a previous detection system that used a plastic scintillator, and implements improved image processing techniques. In the new system, the scintillation light intensity is integrated along the proton beam path by the BGO scintillator, and acquired as a two-dimensional distribution with the CCD camera. The range of a penetrating proton is derived from the integrated light intensity using a light-to-range conversion table, and a pCT image can be reconstructed. The proton range in the BGO scintillator is shorter than in the plastic scintillator, so errors due to extended proton ranges can be reduced. To demonstrate the feasibility of the pCT system, an experiment was performed using a 70 MeV proton beam created by the AVF930 cyclotron at the National Institute of Radiological Sciences. The accuracy of the light-to-range conversion table, which is susceptible to errors due to its spatial dependence, was investigated, and the errors in the acquired pixel values were less than 0.5 mm. Images of various materials were acquired, and the pixel-value errors were within 3.1%, which represents an improvement over previous results. We also obtained a pCT image of an edible chicken piece, the first of its kind for a biological material, and internal structures approximately one millimeter in size were clearly observed. This pCT imaging system is fast and simple, and based on these findings, we anticipate that we can acquire 200 MeV pCT images using the BGO scintillator system.

  7. Bismuth oxyhalide nanomaterials: layered structures meet photocatalysis

    NASA Astrophysics Data System (ADS)

    Li, Jie; Yu, Ying; Zhang, Lizhi

    2014-07-01

    In recent years, layered bismuth oxyhalide nanomaterials have received more and more interest as promising photocatalysts because their unique layered structures endow them with fascinating physicochemical properties; thus, they have great potential photocatalytic applications for environment remediation and energy harvesting. In this article, we explore the synthesis strategies and growth mechanisms of layered bismuth oxyhalide nanomaterials, and propose design principles of tailoring a layered configuration to control the nanoarchitectures for high efficient photocatalysis. Subsequently, we focus on their layered structure dependent properties, including pH-related crystal facet exposure and phase transformation, facet-dependent photoactivity and molecular oxygen activation pathways, so as to clarify the origin of the layered structure dependent photoreactivity. Furthermore, we summarize various strategies for modulating the composition and arrangement of layered structures to enhance the photoactivity of nanostructured bismuth oxyhalides via internal electric field tuning, dehalogenation effect, surface functionalization, doping, plasmon modification, and heterojunction construction, which may offer efficient guidance for the design and construction of high-performance bismuth oxyhalide-based photocatalysis systems. Finally, we highlight some crucial issues in engineering the layered-structure mediated properties of bismuth oxyhalide photocatalysts and provide tentative suggestions for future research on increasing their photocatalytic performance.

  8. The effect of reaction temperature on the particle size of bismuth oxide nanoparticles synthesized via hydrothermal method

    NASA Astrophysics Data System (ADS)

    Zulkifli, Zulfa Aiza; Razak, Khairunisak Abdul; Rahman, Wan Nordiana Wan Abdul

    2018-05-01

    Bismuth oxide (Bi2O3) nanoparticles have been synthesized at different temperatures from 70 to 120˚C without any subsequent heat treatment using hydrothermal method. The particle size, and crystal structure of as-synthesized particles were investigated by X-ray diffraction (XRD), Field Emission Scanning Electron Microscope (FESEM), Energy-dispersive X-ray spectroscopy (EDX) and Fourier transform Infra-Red (FTIR). The nanoparticles are of a pure moniclinic Bi2O3 phase with rods shape. The average size of nanoparticles increases with the increase of reaction temperature. It was clear that longer reaction temperature allows precipitation completely occured and form larger nanoparticles (NPs). The crystallinity of Bi2O3 also are of high purity even at lower reaction temperature. The FTIR spectrum showed the absorption band at 845 cm-1 which is attributed to Bi-O-Bi bond, and the strong absorption band recorded at 424 cm-1 that is due to the stretching mode of Bi-O.

  9. Bismuth Nephrotoxicity: Report of a Case

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gryboski, Joyce D.; Gotoff, Samuel P.

    1961-12-28

    A case of renal poisoning by bismuth thioglycolate is reported which demonstrates the nephrotoxicity of bismuth compounds in the pediatric age group. For treatment of warts the patient received a relatively small amount of bismuth thioglycollate (1.3 mg/kg body wt), and although the symptomatology was mild, the degree of azotemia was severe. Evidence of both tubular and glomerular damage was present, the former reflected by fixed urinary specific gravity and minimal glycosuria in the presence of a normal blood sugar, and the latter by azotemia and mild proteinuria. Backward diffusion of creatinine through damaged tubular epithelium probably contributed to themore » observed elevated plasma creatinine value, and the presence of a high anion content of serum probably represented retained phosphate, sulfate, and organic acids. The observed maculopapular rash probably corresponded to the socalled erythema of the ninth day, described previously as a rare manifestation of bismuth toxicity. The therapy employed was that for acute renal failure, but chelating agents, which are potential nephrotoxins, were not employed because of the known rapid excretion of bismuth thioglycollate. Rehydration was carried out, and electrolyte and protein intake was limited until diuresis was well established and the blood urea nitrogen had begun to fall. To avoid hyperkalemia, potassium restriction was carried out. Normal renal function was restored within a month after the onset of renal injury. It is suggested that the degree of sensitivity to the metal was related to the age of the patient and to the structural maturity of the kidney, for all cases of bismuth nephropathy reported the past 15 yr have occurred in the pediatric age group, children less than 3 yr being the most severely affected.« less

  10. Effective passivation of silicon surfaces by ultrathin atomic-layer deposited niobium oxide

    NASA Astrophysics Data System (ADS)

    Macco, B.; Bivour, M.; Deijkers, J. H.; Basuvalingam, S. B.; Black, L. E.; Melskens, J.; van de Loo, B. W. H.; Berghuis, W. J. H.; Hermle, M.; Kessels, W. M. M. Erwin

    2018-06-01

    This letter reports on effective surface passivation of n-type crystalline silicon by ultrathin niobium oxide (Nb2O5) films prepared by atomic layer deposition (ALD) and subjected to a forming gas anneal at 300 °C. A champion recombination parameter J0 of 20 fA/cm2 and a surface recombination velocity Seff of 4.8 cm/s have been achieved for ultrathin films of 1 nm. The surface pretreatment was found to have a strong impact on the passivation. Good passivation can be achieved on both HF-treated c-Si surfaces and c-Si surfaces with a wet-chemically grown interfacial silicon oxide layer. On HF-treated surfaces, a minimum film thickness of 3 nm is required to achieve a high level of surface passivation, whereas the use of a wet chemically-grown interfacial oxide enables excellent passivation even for Nb2O5 films of only 1 nm. This discrepancy in passivation between both surface types is attributed to differences in the formation and stoichiometry of interfacial silicon oxide, resulting in different levels of chemical passivation. On both surface types, the high level of passivation of ALD Nb2O5 is aided by field-effect passivation originating from a high fixed negative charge density of 1-2 × 1012 cm-3. Furthermore, it is demonstrated that the passivation level provided by 1 nm of Nb2O5 can be further enhanced through light-soaking. Finally, initial explorations show that a low contact resistivity can be obtained using Nb2O5-based contacts. Together, these properties make ALD Nb2O5 a highly interesting building block for high-efficiency c-Si solar cells.

  11. Oxygen concentration dependence of silicon oxide dynamical properties

    NASA Astrophysics Data System (ADS)

    Yajima, Yuji; Shiraishi, Kenji; Endoh, Tetsuo; Kageshima, Hiroyuki

    2018-06-01

    To understand oxidation in three-dimensional silicon, dynamic characteristics of a SiO x system with various stoichiometries were investigated. The calculated results show that the self-diffusion coefficient increases as oxygen density decreases, and the increase is large when the temperature is low. It also shows that the self-diffusion coefficient saturates, when the number of removed oxygen atoms is sufficiently large. Then, approximate analytical equations are derived from the calculated results, and the previously reported expression is confirmed in the extremely low-SiO-density range.

  12. Heterojunction Solar Cells Based on Silicon and Composite Films of Graphene Oxide and Carbon Nanotubes.

    PubMed

    Yu, LePing; Tune, Daniel; Shearer, Cameron; Shapter, Joseph

    2015-09-07

    Graphene oxide (GO) sheets have been used as the surfactant to disperse single-walled carbon nanotubes (CNT) in water to prepare GO/CNT electrodes that are applied to silicon to form a heterojunction that can be used in solar cells. GO/CNT films with different ratios of the two components and with various thicknesses have been used as semitransparent electrodes, and the influence of both factors on the performance of the solar cell has been studied. The degradation rate of the GO/CNT-silicon devices under ambient conditions has also been explored. The influence of the film thickness on the device performance is related to the interplay of two competing factors, namely, sheet resistance and transmittance. CNTs help to improve the conductivity of the GO/CNT film, and GO is able to protect the silicon from oxidation in the atmosphere. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Dislocation-free strained silicon-on-silicon by in-place bonding

    NASA Astrophysics Data System (ADS)

    Cohen, G. M.; Mooney, P. M.; Paruchuri, V. K.; Hovel, H. J.

    2005-06-01

    In-place bonding is a technique where silicon-on-insulator (SOI) slabs are bonded by hydrophobic attraction to the underlying silicon substrate when the buried oxide is undercut in dilute HF. The bonding between the exposed surfaces of the SOI slab and the substrate propagates simultaneously with the buried oxide etching. As a result, the slabs maintain their registration and are referred to as "bonded in-place". We report the fabrication of dislocation-free strained silicon slabs from pseudomorphic trilayer Si/SiGe/SOI by in-place bonding. Removal of the buried oxide allows the compressively strained SiGe film to relax elastically and induce tensile strain in the top and bottom silicon films. The slabs remain bonded to the substrate by van der Waals forces when the wafer is dried. Subsequent annealing forms a covalent bond such that when the upper Si and the SiGe layer are removed, the bonded silicon slab remains strained.

  14. Facile preparation of highly-dispersed cobalt-silicon mixed oxide nanosphere and its catalytic application in cyclohexane selective oxidation

    PubMed Central

    2011-01-01

    Highly dispersed cobalt-silicon mixed oxide [Co-SiO2] nanosphere was successfully prepared with a modified reverse-phase microemulsion method. This material was characterized in detail by X-ray diffraction, transmission electron microscopy, Fourier transform infrared, ultraviolet-visible diffuse reflectance spectra, X-ray absorption spectroscopy near-edge structure, and N2 adsorption-desorption measurements. High valence state cobalt could be easily obtained without calcination, which is fascinating for the catalytic application for its strong oxidation ability. In the selective oxidation of cyclohexane, Co-SiO2 acted as an efficient catalyst, and good activity could be obtained under mild conditions. PMID:22067075

  15. Soft lithographic functionalization and patterning oxide-free silicon and germanium.

    PubMed

    Bowers, Carleen M; Toone, Eric J; Clark, Robert L; Shestopalov, Alexander A

    2011-12-16

    The development of hybrid electronic devices relies in large part on the integration of (bio)organic materials and inorganic semiconductors through a stable interface that permits efficient electron transport and protects underlying substrates from oxidative degradation. Group IV semiconductors can be effectively protected with highly-ordered self-assembled monolayers (SAMs) composed of simple alkyl chains that act as impervious barriers to both organic and aqueous solutions. Simple alkyl SAMs, however, are inert and not amenable to traditional patterning techniques. The motivation for immobilizing organic molecular systems on semiconductors is to impart new functionality to the surface that can provide optical, electronic, and mechanical function, as well as chemical and biological activity. Microcontact printing (μCP) is a soft-lithographic technique for patterning SAMs on myriad surfaces. Despite its simplicity and versatility, the approach has been largely limited to noble metal surfaces and has not been well developed for pattern transfer to technologically important substrates such as oxide-free silicon and germanium. Furthermore, because this technique relies on the ink diffusion to transfer pattern from the elastomer to substrate, the resolution of such traditional printing is essentially limited to near 1 μm. In contrast to traditional printing, inkless μCP patterning relies on a specific reaction between a surface-immobilized substrate and a stamp-bound catalyst. Because the technique does not rely on diffusive SAM formation, it significantly expands the diversity of patternable surfaces. In addition, the inkless technique obviates the feature size limitations imposed by molecular diffusion, facilitating replication of very small (<200 nm) features. However, up till now, inkless μCP has been mainly used for patterning relatively disordered molecular systems, which do not protect underlying surfaces from degradation. Here, we report a simple, reliable

  16. Process for removal of water and silicon mu-oxides from chlorosilanes

    DOEpatents

    Tom, Glenn M.; McManus, James V.

    1992-03-10

    A scavenger composition having utility for removal of water and silicon mu-oxide impurities from chlorosilanes, such scavenger composition comprising: (a) a support; and (b) associated with the support, one or more compound(s) selected from the group consisting of compounds of the formula: R.sub.a-x MCl.sub.x wherein: M is a metal selected from the group consisting of the monovalent metals lithium, sodium, and potassium; the divalent metals magnesium, strontium, barium, and calcium; and the trivalent metal aluminum; R is alkyl; a is a number equal to the valency of metal M; and x is a number having a value of from 0 to a, inclusive; and wherein said compound(s) of the formula R.sub.a-x MCl.sub.x have been activated for impurity-removal service by a reaction scheme selected from those of the group consisting of: (i) reaction of such compound(s) with hydrogen chloride to form a first reaction product therefrom, followed by reaction of the first reaction product with a chlorosilane of the formula: SiH.sub.4-y Cl.sub.y, wherein y is a number having a value of from 1 to 3, inclusive; and (ii) reaction of such compound(s) with a chlorosilane of the formula: SiH.sub.4-y Cl.sub.y wherein y is a number having a value of 1 to 3, inclusive. A corresponding method of making the scavenger composition, and of purifying a chlorosilane which contains oxygen and silicon mu-oxide impurities, likewise are disclosed, together with a purifier apparatus, in which a bed of the scavenger composition is disposed. The composition, purification process, and purifier apparatus of the invention have utility in purifying gaseous chlorosilanes which are employed in the semiconductor industry as silicon source reagents for forming epitaxial silicon layers.

  17. Silicon-doped boron nitride coated fibers in silicon melt infiltrated composites

    DOEpatents

    Corman, Gregory Scot; Luthra, Krishan Lal

    2002-01-01

    A fiber-reinforced silicon-silicon carbide matrix composite having improved oxidation resistance at high temperatures in dry or water-containing environments is produced. The invention also provides a method for protecting the reinforcing fibers in the silicon-silicon carbide matrix composites by coating the fibers with a silicon-doped boron nitride coating.

  18. Silicon-doped boron nitride coated fibers in silicon melt infiltrated composites

    DOEpatents

    Corman, Gregory Scot; Luthra, Krishan Lal

    1999-01-01

    A fiber-reinforced silicon--silicon carbide matrix composite having improved oxidation resistance at high temperatures in dry or water-containing environments is produced. The invention also provides a method for protecting the reinforcing fibers in the silicon--silicon carbide matrix composites by coating the fibers with a silicon-doped boron nitride coating.

  19. Metal Oxide Silicon /MOS/ transistors protected from destructive damage by wire

    NASA Technical Reports Server (NTRS)

    Deboo, G. J.; Devine, E. J.

    1966-01-01

    Loop of flexible, small diameter, nickel wire protects metal oxide silicon /MOS/ transistors from a damaging electrostatic potential. The wire is attached to a music-wire spring, slipped over the MOS transistor case, and released so the spring tensions the wire loop around all the transistor leads, shorting them together. This allows handling without danger of damage.

  20. Metal/silicon Interfaces and Their Oxidation Behavior - Photoemission Spectroscopy Analysis.

    NASA Astrophysics Data System (ADS)

    Yeh, Jyh-Jye

    Synchrotron radiation photoemission spectroscopy was used to study Ni/Si and Au/Si interface properties on the atomic scale at room temperature, after high temperature annealing and after oxygen exposures. Room temperature studies of metal/Si interfaces provide background for an understanding of the interface structure after elevated temperature annealing. Oxidation studies of Si surfaces covered with metal overlayers yield insight about the effect of metal atoms in the Si oxidation mechanisms and are useful in the identification of subtle differences in bonding relations between atoms at the metal/Si interfaces. Core level and valence band spectra with variable surface sensitivities were used to study the interactions between metal, Si, and oxygen for metal coverages and oxide thickness in the monolayer region. Interface morphology at the initial stage of metal/Si interface formation and after oxidation was modeled on the basis of the evolutions of metal and Si signals at different probing depths in the photoemission experiment. Both Ni/Si and Au/Si interfaces formed at room temperature have a diffusive region at the interface. This is composed of a layer of metal-Si alloy, formed by Si outdiffusion into the metal overlayer, above a layer of interstitial metal atoms in the Si substrate. Different atomic structures of these two regions at Ni/Si interface can account for the two different growth orientations of epitaxial Ni disilicides on the Si(111) surface after thermal annealing. Annealing the Au/Si interface at high temperature depletes all the Au atoms except for one monolayer of Au on the Si(111) surface. These phenomena are attributed to differences in the metal-Si chemical bonding relations associated with specific atomic structures. After oxygen exposures, both the Ni disilicide surface and Au covered Si surfaces (with different coverages and surface orderings) show silicon in higher oxidation states, in comparison to oxidized silicon on a clean surface

  1. Enhancement of bismuth antibacterial activity with lipophilic thiol chelators.

    PubMed Central

    Domenico, P; Salo, R J; Novick, S G; Schoch, P E; Van Horn, K; Cunha, B A

    1997-01-01

    The antibacterial properties of bismuth are greatly enhanced when bismuth is combined with certain lipophilic thiol compounds. Antibacterial activity was enhanced from 25- to 300-fold by the following seven different thiols, in order of decreasing synergy: 1,3-propanedithiol, dimercaprol (BAL), dithiothreitol, 3-mercapto-2-butanol, beta-mercaptoethanol, 1-monothioglycerol, and mercaptoethylamine. The dithiols produced the greatest synergy with bismuth at optimum bismuth-thiol molar ratios of from 3:1 to 1:1. The monothiols were generally not as synergistic and required molar ratios of from 1:1 to 1:4 for optimum antibacterial activity. The most-active mono- or dithiols were also the most soluble in butanol. The intensity of the yellow formed by bismuth-thiol complexes reflected the degree of chelation and correlated with antibacterial potency at high molar ratios. The bismuth-BAL compound (BisBAL) was active against most bacteria, as assessed by broth dilution, agar diffusion, and agar dilution analyses. Staphylococci (MIC, 5 to 7 microM Bi3+) and Helicobacter pylori (MIC, 2.2 microM) were among the most sensitive bacteria. Gram-negative bacteria were sensitive (MIC, < 17 microM). Enterococci were relatively resistant (MIC, 63 microM Bi3+). The MIC range for anaerobes was 15 to 100 microM Bi3+, except for Clostridium difficile (MIC, 7.5 microM). Bactericidal activity averaged 29% above the MIC. Bactericidal activity increased with increasing pH and/or increasing temperature. Bismuth-thiol solubility, stability, and antibacterial activity depended on pH and the bismuth-thiol molar ratio. BisBAL was stable but ineffective against Escherichia coli at pH 4. Activity and instability (reactivity) increased with increasing alkalinity. BisBAL was acid soluble at a molar ratio of greater than 3:2 and alkaline soluble at a molar ratio of less than 2:3. In conclusion, certain lipophilic thiol compounds enhanced bismuth antibacterial activity against a broad spectrum of

  2. Conduction mechanism in bismuth silicate glasses containing titanium

    NASA Astrophysics Data System (ADS)

    Dult, Meenakshi; Kundu, R. S.; Murugavel, S.; Punia, R.; Kishore, N.

    2014-11-01

    Bismuth silicate glasses mixed with different concentrations of titanium dioxide having compositions xTiO2-(60-x)Bi2O3-40SiO2 with x=0, 5, 10, 15 and 20 were prepared by the normal melt quench technique. The frequency dependence of the ac electrical conductivity of different compositions of titanium bismuth silicate glasses has been studied in the frequency range 10-1 Hz to 10 MHz and in the temperature range 623-703 K. The temperature and frequency dependent conductivity is found to obey Jonscher's universal power law for all the compositions of titanium bismuth silicate glass system. The dc conductivity (σdc), so called crossover frequency (ωH), and frequency exponent (s) have been estimated from the fitting of experimental data of ac conductivity with Jonscher's universal power law. Enthalpy to dissociate the cation from its original site next to a charge compensating center (Hf) and enthalpy of migration (Hm) have also been estimated. The conductivity data have been analyzed in terms of different theoretical models to determine the possible conduction mechanism. Analysis of the conductivity data and the frequency exponent shows that the correlated barrier hopping of electrons between Ti3+ and Ti4+ ions in the glasses is the most favorable mechanism for ac conduction. The temperature dependent dc conductivity has been analyzed in the framework of theoretical variable range hopping model (VRH) proposed by Mott which describe the hopping conduction in disordered semiconducting systems. The various polaron hopping parameters have also been deduced. Mott's VRH model is found to be in good agreement with experimental data and the values of inverse localization length of s-like wave function (α) obtained by this model with modifications suggested by Punia et al. are close to the ones reported for a number of oxide glasses.

  3. Photoconductivity of Macroporous and Nonporous Silicon with Ultrathin Oxide Layers

    NASA Astrophysics Data System (ADS)

    Konin, K. P.; Goltvyansky, Yu. V.; Karachevtseva, L. A.; Karas, M. I.; Morozovs'ka, D. V.

    2018-06-01

    The photoconductivity of macroporous silicon with ultrathin oxide layers of 2.7-30 nm in thickness at short-wave optical excitation was studied. The following feature was revealed: a nonmonotonic change in the photoconductivity as a function of the oxide thickness. At a minimum thickness, the photoconductivity is negative; in the interval 6.8-15 nm, it is very much suppressed; at 15-30 nm, it is positive. Suppression of photoconductivity over a wide thickness range indicates an abnormally high concentration of traps and capture centers for charge carriers of both signs. Such a change in the photoconductivity corresponds to the known results on the continuous morphological rearrangement of the oxide in the thickness range from 6-7 nm to 12-15 nm from the coesite-like (4-membered SiO4 tetrahedra rings) to the tridymite-like (6-membered SiO4 tetrahedra rings). The suppression of photoconductivity in the intermediate range probably demonstrates the collective, antisynergetic action of these coexisting oxide forms on the nonequilibrium charge carriers. These coexisting oxide forms manifest themselves as an unusual collective defect.

  4. A study of early corrosion behaviors of FeCrAl alloys in liquid lead-bismuth eutectic environments

    NASA Astrophysics Data System (ADS)

    Lim, Jun; Nam, Hyo On; Hwang, Il Soon; Kim, Ji Hyun

    2010-12-01

    Lead and lead-bismuth eutectic (LBE) alloy have been increasingly receiving attention as heavy liquid metal coolants (HLMC) for future nuclear energy systems. The compatibility of structural materials and components with lead-bismuth eutectic liquid at high temperature is one of key issues for the commercialization of lead fast reactors. In the present study, the corrosion behaviors of iron-based alumina-forming alloys (Kanthal-AF®, PM2000, MA956) were investigated by exposing to stagnant LBE environments at 500 °C and 550 °C for up to 500 h. After exposures, the thickness and chemistry of the oxide layer on the specimens were analyzed by scanning electron microscopy, scanning transmission electron microscopy and energy dispersive X-ray spectroscopy. As a result, the oxide characteristics and the corrosion resistance were compared. In this study, it was shown that the corrosion resistance of FeCrAl ODS steels (PM2000, MA956) are superior to that of FeCrAl ferritic steel (Kanthal-AF®) in higher temperature LBE.

  5. Bismuth germanate coupled to near ultraviolet silicon photomultipliers for time-of-flight PET.

    PubMed

    Kwon, Sun Il; Gola, Alberto; Ferri, Alessandro; Piemonte, Claudio; Cherry, Simon R

    2016-09-21

    Bismuth germanate (BGO) was a very attractive scintillator in early-generation positron emission tomography (PET) scanners. However, the major disadvantages of BGO are lower light yield and longer rise and decay time compared to currently popular scintillators such as LSO and LYSO. This results in poorer coincidence timing resolution and it has generally been assumed that BGO is not a suitable scintillator for time-of-flight (TOF) PET applications. However, when a 511 keV photon interacts in a scintillator, a number of Cerenkov photons are produced promptly by energetic electrons released by photoelectric or Compton interactions. If these prompt photons can be captured, they could provide a better timing trigger for PET. Since BGO has a high refractive index (increasing the Cerenkov light yield) and excellent optical transparency down to 320 nm (Cerenkov light yield is higher at shorter wavelengths), we hypothesized that the coincidence timing resolution of BGO can be significantly improved by efficient detection of the Cerenkov photons. However, since the number of Cerenkov photons is far less than the number of scintillation photons, and they are more abundant in the UV and blue part of the spectrum, photosensors need to have high UV/blue sensitivity, fast temporal response, and very low noise in order to trigger on the faint Cerenkov signal. In this respect, NUV-HD silicon photomultipliers (SiPMs) (FBK, Trento, Italy) are an excellent fit for our approach. In this study, coincidence events were measured using BGO crystals coupled with NUV-HD SiPMs. The existence and influence of Cerenkov photons on the timing measurements were studied using different configurations to exploit the directionality of the Cerenkov emissions. Coincidence resolving time values (FWHM) of ~270 ps from 2  ×  3  ×  2 mm 3 BGO crystals and ~560 ps from 3  ×  3  ×  20 mm 3 BGO crystals were obtained. To our knowledge, these are the best

  6. Microcrystalline silicon oxides for silicon-based solar cells: impact of the O/Si ratio on the electronic structure

    NASA Astrophysics Data System (ADS)

    Bär, M.; Starr, D. E.; Lambertz, A.; Holländer, B.; Alsmeier, J.-H.; Weinhardt, L.; Blum, M.; Gorgoi, M.; Yang, W.; Wilks, R. G.; Heske, C.

    2014-10-01

    Hydrogenated microcrystalline silicon oxide (μc-SiOx:H) layers are one alternative approach to ensure sufficient interlayer charge transport while maintaining high transparency and good passivation in Si-based solar cells. We have used a combination of complementary x-ray and electron spectroscopies to study the chemical and electronic structure of the (μc-SiOx:H) material system. With these techniques, we monitor the transition from a purely Si-based crystalline bonding network to a silicon oxide dominated environment, coinciding with a significant decrease of the material's conductivity. Most Si-based solar cell structures contain emitter/contact/passivation layers. Ideally, these layers fulfill their desired task (i.e., induce a sufficiently high internal electric field, ensure a good electric contact, and passivate the interfaces of the absorber) without absorbing light. Usually this leads to a trade-off in which a higher transparency can only be realized at the expense of the layer's ability to properly fulfill its task. One alternative approach is to use hydrogenated microcrystalline silicon oxide (μc-SiOx:H), a mixture of microcrystalline silicon and amorphous silicon (sub)oxide. The crystalline Si regions allow charge transport, while the oxide matrix maintains a high transparency. To date, it is still unclear how in detail the oxygen content influences the electronic structure of the μc-SiOx:H mixed phase material. To address this question, we have studied the chemical and electronic structure of the μc-SiOx:H (0 <= x = O/Si <=1) system with a combination of complementary x-ray and electron spectroscopies. The different surface sensitivities of the employed techniques help to reduce the impact of surface oxides on the spectral interpretation. For all samples, we find the valence band maximum to be located at a similar energy with respect to the Fermi energy. However, for x > 0.5, we observe a pronounced decrease of Si 3s - Si 3p hybridization in favor

  7. Tantalum oxide/silicon nitride: A negatively charged surface passivation stack for silicon solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wan, Yimao, E-mail: yimao.wan@anu.edu.au; Bullock, James; Cuevas, Andres

    2015-05-18

    This letter reports effective passivation of crystalline silicon (c-Si) surfaces by thermal atomic layer deposited tantalum oxide (Ta{sub 2}O{sub 5}) underneath plasma enhanced chemical vapour deposited silicon nitride (SiN{sub x}). Cross-sectional transmission electron microscopy imaging shows an approximately 2 nm thick interfacial layer between Ta{sub 2}O{sub 5} and c-Si. Surface recombination velocities as low as 5.0 cm/s and 3.2 cm/s are attained on p-type 0.8 Ω·cm and n-type 1.0 Ω·cm c-Si wafers, respectively. Recombination current densities of 25 fA/cm{sup 2} and 68 fA/cm{sup 2} are measured on 150 Ω/sq boron-diffused p{sup +} and 120 Ω/sq phosphorus-diffused n{sup +} c-Si, respectively. Capacitance–voltage measurements reveal a negativemore » fixed insulator charge density of −1.8 × 10{sup 12 }cm{sup −2} for the Ta{sub 2}O{sub 5} film and −1.0 × 10{sup 12 }cm{sup −2} for the Ta{sub 2}O{sub 5}/SiN{sub x} stack. The Ta{sub 2}O{sub 5}/SiN{sub x} stack is demonstrated to be an excellent candidate for surface passivation of high efficiency silicon solar cells.« less

  8. Enhancing the far-ultraviolet sensitivity of silicon complementary metal oxide semiconductor imaging arrays

    NASA Astrophysics Data System (ADS)

    Retherford, Kurt D.; Bai, Yibin; Ryu, Kevin K.; Gregory, James A.; Welander, Paul B.; Davis, Michael W.; Greathouse, Thomas K.; Winters, Gregory S.; Suntharalingam, Vyshnavi; Beletic, James W.

    2015-10-01

    We report our progress toward optimizing backside-illuminated silicon P-type intrinsic N-type complementary metal oxide semiconductor devices developed by Teledyne Imaging Sensors (TIS) for far-ultraviolet (UV) planetary science applications. This project was motivated by initial measurements at Southwest Research Institute of the far-UV responsivity of backside-illuminated silicon PIN photodiode test structures, which revealed a promising QE in the 100 to 200 nm range. Our effort to advance the capabilities of thinned silicon wafers capitalizes on recent innovations in molecular beam epitaxy (MBE) doping processes. Key achievements to date include the following: (1) representative silicon test wafers were fabricated by TIS, and set up for MBE processing at MIT Lincoln Laboratory; (2) preliminary far-UV detector QE simulation runs were completed to aid MBE layer design; (3) detector fabrication was completed through the pre-MBE step; and (4) initial testing of the MBE doping process was performed on monitoring wafers, with detailed quality assessments.

  9. Effect of ion-plated films of germanium and silicon on friction, wear, and oxidation of 52100 bearing steel

    NASA Technical Reports Server (NTRS)

    Buckley, D. H.; Spalvins, T.

    1977-01-01

    Friction and wear experiments were conducted with ion plated films of germanium and silicon on the surface of 52100 bearing steel both dry and in the presence of mineral oil. Both silicon and germanium were found to reduce wear, with germanium being more effective than silicon. An optimum film thickness of germanium for minimum wear without surface crack formation was found to be approximately 400 nanometers (4000 A). The presence of silicon and germanium on the 52100 bearing steel surface improved resistance to oxidation.

  10. Liquid Bismuth Feed System for Electric Propulsion

    NASA Technical Reports Server (NTRS)

    Markusic, T. E.; Polzin, K. A.; Stanojev, B. J.

    2006-01-01

    Operation of Hall thrusters with bismuth propellant has been shown to be a promising path toward high-power, high-performance, long-lifetime electric propulsion for spaceflight missions. For example, the VHITAL project aims td accurately, experimentally assess the performance characteristics of 10 kW-class bismuth-fed Hall thrusters - in order to validate earlier results and resuscitate a promising technology that has been relatively dormant for about two decades. A critical element of these tests will be the precise metering of propellant to the thruster, since performance cannot be accurately assessed without an accurate accounting of mass flow rate. Earlier work used a pre/post-test propellant weighing scheme that did not provide any real-time measurement of mass flow rate while the thruster was firing, and makes subsequent performance calculations difficult. The motivation of the present work was to develop a precision liquid bismuth Propellant Management System (PMS) that provides real-time propellant mass flow rate measurement and control, enabling accurate thruster performance measurements. Additionally, our approach emphasizes the development of new liquid metal flow control components and, hence, will establish a basis for the future development of components for application in spaceflight. The design of various critical components in a bismuth PMS are described - reservoir, electromagnetic pump, hotspot flow sensor, and automated control system. Particular emphasis is given to material selection and high-temperature sealing techniques. Open loop calibration test results are reported, which validate the systems capability to deliver bismuth at mass flow rates ranging from 10 to 100 mg/sec with an uncertainty of less than +/- 5%. Results of integrated vaporizer/liquid PMS tests demonstrate all of the necessary elements of a complete bismuth feed system for electric propulsion.

  11. Bursting at the Seams: Rippled Monolayer Bismuth on NbSe 2

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fang, Alan; Adamo, Carolina; Jia, Shuang

    Bismuth, one of the heaviest semimetals in nature, ignited the interest of the materials-physics community for its potential impact on topological quantum-material systems that utilize its strong spin-orbit coupling (SOC) and unique orbital hybridization. In particular, recent theoretical predictions of unique topological and superconducting properties of thin bismuth films and interfaces prompted intense research on the growth of sub- to a few monolayers of bismuth on different substrates. Similar to bulk rhombohedral bismuth, the initial growth of bismuth films on most substrates results in buckled bilayers that either grow in the (111) or (110) directions, with a lattice constant closemore » to that of bulk Bi. By contrast, in this paper we show a new growth pattern for bismuth monolayers on NbSe 2. We find that the initial growth of Bi can form a strongly bonded commensurate layer, resulting in a compressively strained two-dimensional triangular lattice. A unique pattern of 1D ripples and domain walls is observed. The single layer of bismuth also introduces strong marks on the electronic properties at the surface.« less

  12. Bursting at the Seams: Rippled Monolayer Bismuth on NbSe 2

    DOE PAGES

    Fang, Alan; Adamo, Carolina; Jia, Shuang; ...

    2018-04-13

    Bismuth, one of the heaviest semimetals in nature, ignited the interest of the materials-physics community for its potential impact on topological quantum-material systems that utilize its strong spin-orbit coupling (SOC) and unique orbital hybridization. In particular, recent theoretical predictions of unique topological and superconducting properties of thin bismuth films and interfaces prompted intense research on the growth of sub- to a few monolayers of bismuth on different substrates. Similar to bulk rhombohedral bismuth, the initial growth of bismuth films on most substrates results in buckled bilayers that either grow in the (111) or (110) directions, with a lattice constant closemore » to that of bulk Bi. By contrast, in this paper we show a new growth pattern for bismuth monolayers on NbSe 2. We find that the initial growth of Bi can form a strongly bonded commensurate layer, resulting in a compressively strained two-dimensional triangular lattice. A unique pattern of 1D ripples and domain walls is observed. The single layer of bismuth also introduces strong marks on the electronic properties at the surface.« less

  13. Structural and optical studies of porous silicon buried waveguides: Effects of oxidation and pore filling using DR1 dyes

    NASA Astrophysics Data System (ADS)

    Charrier, J.; Kloul, M.; Pirasteh, P.; Bardeau, J.-F.; Guendouz, M.; Bulou, A.; Haji, L.

    2007-11-01

    This paper deals with the structural and optical properties of buried waveguides manufactured from mesoporous silicon films (as-formed porous silicon layers, after oxidation, after filling with active DR1 dyes). It is shown that the oxidation process only induced a weak morphology transformation. The 2D profiles of cross-sections of the waveguides by micro-Raman mapping were done in order to check the oxidation rate and to probe the DR1 filling of the layers. This latter appeared homogeneous but surprisingly is greater in the weaker porosity layer. The light propagation through these different waveguides was observed and losses were measured and analyzed. The losses decreased after oxidation but they increased after filling.

  14. Role of bismuth on solidification, microstructure and mechanical properties of a near eutectic Al-Si alloys

    NASA Astrophysics Data System (ADS)

    Farahany, Saeed; Ourdjini, Ali; Bakar, Tuty Asma Abu; Idris, Mohd Hasbullah

    2014-09-01

    Computer aided thermal analysis and microstructural observation showed that addition of bismuth (Bi) within the range of 0.25 and 2 wt% produced a greater effect on the Al-Si eutectic phase than on primary aluminium and Al2Cu phases. Results showed that with addition of 1 wt% Bi the eutectic silicon structure was refined from flake-like morphology into lamellar. Bi refines rather than modifies the Si structure and increases the Al-Si eutectic fraction solid and more significantly there was no fading even up to 180 min of melt holding. Transmission electron microscopy study showed that the Si twin spacing decreased from 160 to 75 nm which is likely attributed to the refining effect of Bi. It was also found that addition of 1 wt% Bi increased the tensile strength, elongation and the absorbed energy for fracture due to the refined eutectic silicon structure.

  15. [Synergetic effects of silicon carbide and molecular sieve loaded catalyst on microwave assisted catalytic oxidation of toluene].

    PubMed

    Wang, Xiao-Hui; Bo, Long-Li; Liu, Hai-Nan; Zhang, Hao; Sun, Jian-Yu; Yang, Li; Cai, Li-Dong

    2013-06-01

    Molecular sieve loaded catalyst was prepared by impregnation method, microwave-absorbing material silicon carbide and the catalyst were investigated for catalytic oxidation of toluene by microwave irradiation. Research work examined effects of silicon carbide and molecular sieve loading Cu-V catalyst's mixture ratio as well as mixed approach changes on degradation of toluene, and characteristics of catalyst were measured through scanning electron microscope, specific surface area test and X-ray diffraction analysis. The result showed that the fixed bed reactor had advantages of both thermal storage property and low-temperature catalytic oxidation when 20% silicon carbide was filled at the bottom of the reactor, and this could effectively improve the utilization of microwave energy as well as catalytic oxidation efficiency of toluene. Under microwave power of 75 W and 47 W, complete-combustion temperatures of molecular sieve loaded Cu-V catalyst and Cu-V-Ce catalyst to toluene were 325 degrees C and 160 degrees C, respectively. Characteristics of the catalysts showed that mixture of rare-earth element Ce increased the dispersion of active components in the surface of catalyst, micropore structure of catalyst effectively guaranteed high adsorption capacity for toluene, while amorphous phase of Cu and V oxides increased the activity of catalyst greatly.

  16. Peculiarities of electron density distribution in bismuth chalcogenides, iron pnictides, cuprates and related unconventional superconductors

    NASA Astrophysics Data System (ADS)

    Orlov, V. G.; Sergeev, G. S.

    2018-05-01

    With the aim to reveal the origin of instabilities in the electron subsystem of unconventional superconductors, such as stripes or nematic symmetry breaking, electron band structure calculations were performed for a number of bismuth chalcogenides, bismuth oxide, iron pnictides, as well as for Bi2Sr2CaCu2O8, YBa2Cu3O7 and La2CuO4. It was found that bond critical points in the electron density distribution ρ(r) of all the studied compounds were characterized by positive sign of electron density Laplacian evidencing on depletion of electron charge from the area of bond critical points. A correlation was found between the Tc and the value of electron density Laplacian in the strongest bond critical points of superconductors and related substances.

  17. Method and apparatus for stable silicon dioxide layers on silicon grown in silicon nitride ambient

    NASA Technical Reports Server (NTRS)

    Cohen, R. A.; Wheeler, R. K. (Inventor)

    1974-01-01

    A method and apparatus for thermally growing stable silicon dioxide layers on silicon is disclosed. A previously etched and baked silicon nitride tube placed in a furnace is used to grow the silicon dioxide. First, pure oxygen is allowed to flow through the tube to initially coat the inside surface of the tube with a thin layer of silicon dioxide. After the tube is coated with the thin layer of silicon dioxide, the silicon is oxidized thermally in a normal fashion. If the tube becomes contaminated, the silicon dioxide is etched off thereby exposing clean silicon nitride and then the inside of the tube is recoated with silicon dioxide. As is disclosed, the silicon nitride tube can also be used as the ambient for the pyrolytic decomposition of silane and ammonia to form thin layers of clean silicon nitride.

  18. Processing of uranium oxide and silicon carbide based fuel using polymer infiltration and pyrolysis

    NASA Astrophysics Data System (ADS)

    Singh, Abhishek K.; Zunjarrao, Suraj C.; Singh, Raman P.

    2008-09-01

    Ceramic composite pellets consisting of uranium oxide, UO 2, contained within a silicon carbide matrix, were fabricated using a novel processing technique based on polymer infiltration and pyrolysis (PIP). In this process, particles of depleted uranium oxide, in the form of U 3O 8, were dispersed in liquid allylhydridopolycarbosilane (AHPCS), and subjected to pyrolysis up to 900 °C under a continuous flow of ultra high purity argon. The pyrolysis of AHPCS, at these temperatures, produced near-stoichiometric amorphous silicon carbide ( a-SiC). Multiple polymer infiltration and pyrolysis (PIP) cycles were performed to minimize open porosity and densify the silicon carbide matrix. Analytical characterization was conducted to investigate chemical interaction between U 3O 8 and SiC. It was observed that U 3O 8 reacted with AHPCS during the very first pyrolysis cycle, and was converted to UO 2. As a result, final composition of the material consisted of UO 2 particles contained in an a-SiC matrix. The physical and mechanical properties were also quantified. It is shown that this processing scheme promotes uniform distribution of uranium fuel source along with a high ceramic yield of the parent matrix.

  19. Mechanistic insights into chemical and photochemical transformations of bismuth vanadate photoanodes

    PubMed Central

    Toma, Francesca M.; Cooper, Jason K.; Kunzelmann, Viktoria; McDowell, Matthew T.; Yu, Jie; Larson, David M.; Borys, Nicholas J.; Abelyan, Christine; Beeman, Jeffrey W.; Yu, Kin Man; Yang, Jinhui; Chen, Le; Shaner, Matthew R.; Spurgeon, Joshua; Houle, Frances A.; Persson, Kristin A.; Sharp, Ian D.

    2016-01-01

    Artificial photosynthesis relies on the availability of semiconductors that are chemically stable and can efficiently capture solar energy. Although metal oxide semiconductors have been investigated for their promise to resist oxidative attack, materials in this class can suffer from chemical and photochemical instability. Here we present a methodology for evaluating corrosion mechanisms and apply it to bismuth vanadate, a state-of-the-art photoanode. Analysis of changing morphology and composition under solar water splitting conditions reveals chemical instabilities that are not predicted from thermodynamic considerations of stable solid oxide phases, as represented by the Pourbaix diagram for the system. Computational modelling indicates that photoexcited charge carriers accumulated at the surface destabilize the lattice, and that self-passivation by formation of a chemically stable surface phase is kinetically hindered. Although chemical stability of metal oxides cannot be assumed, insight into corrosion mechanisms aids development of protection strategies and discovery of semiconductors with improved stability. PMID:27377305

  20. Mechanistic insights into chemical and photochemical transformations of bismuth vanadate photoanodes

    DOE PAGES

    Toma, Francesca M.; Cooper, Jason K.; Kunzelmann, Viktoria; ...

    2016-07-05

    Artificial photosynthesis relies on the availability of semiconductors that are chemically stable and can efficiently capture solar energy. Although metal oxide semiconductors have been investigated for their promise to resist oxidative attack, materials in this class can suffer from chemical and photochemical instability. Here we present a methodology for evaluating corrosion mechanisms and apply it to bismuth vanadate, a state-of-the-art photoanode. Analysis of changing morphology and composition under solar water splitting conditions reveals chemical instabilities that are not predicted from thermodynamic considerations of stable solid oxide phases, as represented by the Pourbaix diagram for the system. Computational modelling indicates thatmore » photoexcited charge carriers accumulated at the surface destabilize the lattice, and that self-passivation by formation of a chemically stable surface phase is kinetically hindered. Although chemical stability of metal oxides cannot be assumed, insight into corrosion mechanisms aids development of protection strategies and discovery of semiconductors with improved stability.« less

  1. Structural alloy with a protective coating containing silicon or silicon-oxide

    DOEpatents

    Natesan, Ken

    1994-01-01

    An iron-based alloy containing chromium and optionally, nickel. The alloy has a surface barrier of silicon or silicon plus oxygen which converts at high temperature to a protective silicon compound. The alloy can be used in oxygen-sulfur mixed gases at temperatures up to about 1100.degree. C.

  2. Ultrasonication of Bismuth Telluride Nanocrystals Fabricated by Solvothermal Method

    NASA Technical Reports Server (NTRS)

    Chu, Sang-Hyon; Choi, Sang H.; Kim, Jae-Woo; King, Glen C.; Elliott, James R.

    2006-01-01

    The objective of this study is to evaluate the effect of ultrasonication on bismuth telluride nanocrystals prepared by solvothermal method. In this study, a low dimensional nanocrystal of bismuth telluride (Bi2Te3) was synthesized by a solvothermal process in an autoclave at 180 C and 200 psi. During the solvothermal reaction, organic surfactants effectively prevented unwanted aggregation of nanocrystals in a selected solvent while controlling the shape of the nanocrystal. The atomic ratio of bismuth and tellurium was determined by energy dispersive spectroscopy (EDS). The cavitational energy created by the ultrasonic probe was varied by the ultrasonication process time, while power amplitude remained constant. The nanocrystal size and its size distribution were measured by field emission scanning electron microscopy (FESEM) and a dynamic light scattering system. When the ultrasonication time increased, the average size of bismuth telluride nanocrystal gradually increased due to the direct collision of nanocrystals. The polydispersity of the nanocrystals showed a minimum when the ultrasonication was applied for 5 min. Keywords: bismuth telluride, nanocrystal, low-dimensional, ultrasonication, solvothermal

  3. Hydrogen passivation of silicon(100) used as templates for low-temperature epitaxy and oxidation

    NASA Astrophysics Data System (ADS)

    Atluri, Vasudeva Prasad

    Epitaxial growth, oxidation and ohmic contacts require surfaces as free as possible of physical defects and chemical contaminants, especially, oxygen and hydrocarbons. Wet chemical cleaning typically involves a RCA clean to remove contaminants by stripping the native oxide and regrowing a chemical oxide with only trace levels of carbon and metallic impurities. Low temperature epitaxy, T<800sp° C, limits the thermal budget for the desorption of impurities and surface oxides, and can be performed on processed structures. But, silicon dioxide cannot be desorbed at temperatures lower than 800sp°C. Recently, hydrogen passivation of Si(111) has been reported to produce stable and ordered surfaces at low temperatures. Hydrogen can then be desorbed between 200sp°C and 600sp°C prior to deposition. In this work, Si(100) is passivated via a solution of hydrofluoric acid in alcohol (methanol, ethanol, or isopropyl alcohol) with HF concentrations between 0.5 to 10%. A rinse in water or alcohol is performed after etching to remove excess fluorine. This work investigates wet chemical cleaning of Si(100) to produce ordered, hydrogen-terminated, oxygen- and carbon-free surfaces to be used as templates for low temperature epitaxial growth and rapid thermal oxidation. Ion beam analysis, Tapping mode atomic force microscopy, Fourier transform infrared spectroscopy, Secondary ion mass spectroscopy, Chemical etching, Capacitance-voltage measurements and Ellipsometry are used to measure, at the surface and interface, impurities concentration, residual disorder, crystalline order, surface topography, roughness, chemical composition, defects density, electrical characteristics, thickness, and refractive index as a function of cleaning conditions for homoepitaxial silicon growth and oxidation. The wetting characteristics of the Si(100) surfaces are measured with a tilting plate technique. Different materials are analyzed by ion beam analysis for use as hydrogen standards in elastic

  4. Ultra-thin silicon oxide layers on crystalline silicon wafers: Comparison of advanced oxidation techniques with respect to chemically abrupt SiO2/Si interfaces with low defect densities

    NASA Astrophysics Data System (ADS)

    Stegemann, Bert; Gad, Karim M.; Balamou, Patrice; Sixtensson, Daniel; Vössing, Daniel; Kasemann, Martin; Angermann, Heike

    2017-02-01

    Six advanced oxidation techniques were analyzed, evaluated and compared with respect to the preparation of high-quality ultra-thin oxide layers on crystalline silicon. The resulting electronic and chemical SiO2/Si interface properties were determined by a combined x-ray photoemission (XPS) and surface photovoltage (SPV) investigation. Depending on the oxidation technique, chemically abrupt SiO2/Si interfaces with low densities of interface states were fabricated on c-Si either at low temperatures, at short times, or in wet-chemical environment, resulting in each case in excellent interface passivation. Moreover, the beneficial effect of a subsequent forming gas annealing (FGA) step for the passivation of the SiO2/Si interface of ultra-thin oxide layers has been proven. Chemically abrupt SiO2/Si interfaces have been shown to generate less interface defect states.

  5. Structural alloy with a protective coating containing silicon or silicon-oxide

    DOEpatents

    Natesan, K.

    1994-12-27

    An iron-based alloy is described containing chromium and optionally, nickel. The alloy has a surface barrier of silicon or silicon plus oxygen which converts at high temperature to a protective silicon compound. The alloy can be used in oxygen-sulfur mixed gases at temperatures up to about 1100 C. 8 figures.

  6. Selective doping of silicon nanowires by means of electron beam stimulated oxide etching.

    PubMed

    Pennelli, G; Totaro, M; Piotto, M

    2012-02-08

    Direct patterning of silicon dioxide by means of electron beam stimulated etching is shown, and a full characterization of exposure dose is presented. For its high dose, this technique is unsuitable for large areas but can be usefully employed like a precision scalpel for removing silicon dioxide by well-localized points. In this work, this technique is applied to the definition of windows through the oxide surrounding top down fabricated n-doped silicon nanowires. These windows will be employed for a selective doping of the nanowire by boron diffusion. In this way, pn junctions can be fabricated in well-localized points in the longitudinal direction of the nanowire, and an electrical contact to the different junctions can be provided. Electrical I-V characteristics of a nanowire with pn longitudinal junctions are reported and discussed. © 2012 American Chemical Society

  7. Bismuth-doped optical fibres: A new breakthrough in near-IR lasing media

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dianov, Evgenii M

    Recent results demonstrate that bismuth-doped optical fibres have considerable potential as near-IR active lasing media. This paper examines bismuth-doped fibres intended for the fabrication of fibre lasers and optical amplifiers and reviews recent results on the luminescence properties of various types of bismuth-doped fibres and the performance of bismuth-doped fibre lasers and optical amplifiers for the spectral range 1150 - 1550 nm. Problems are discussed that have yet to be solved in order to improve the efficiency of the bismuth lasers and optical amplifiers. (optical fibres, lasers and amplifiers. properties and applications)

  8. Structural alloy with a protective coating containing silicon or silicon-oxide

    DOEpatents

    Natesan, K.

    1992-01-01

    This invention is comprised of an iron-based alloy containing chromium and optionally, nickel. The alloy has a surface barrier of silicon or silicon plus oxygen which converts at high temperature to a protective silicon compound. The alloy can be used in oxygen-sulfur mixed gases at temperatures up to about 1100{degrees}C.

  9. Thermodynamics of Pb17Li-bismuth interactions

    NASA Astrophysics Data System (ADS)

    Hubberstey, Peter; Sample, Tony

    1994-09-01

    Thermodynamic calculations of the PbBiLi ternary system have been completed to rationalise the formation of solid Li 3Bi on reaction of liquid Pb17Li with bismuth. At 723 K, the bismuth activity in equilibrium with liquid Pi17Li and solid Li 3Bi is calculated to be 9.50 × 10 -4; this can be correlated to a solubility of 2.09 × 10 -1 mol% Bi(2520 wppm). The corresponding bismuth activity in equilibrium with liquid Pb17Li and solid LiBi is 5.04 × 10 -2 (an extrapolated value as LiBi decomposes peritectically at 688 K). The minimum lithium content of PbLi alloys required to react with unit activity bismuth to form Li 3Bi at 723 K is calculated to be ˜ 1.8 mol% Li. The dominance of Li 3Bi in the PbBiLi system is attributed to its extreme stability as evidenced by both its high melting point (1418 K) and free energy of formation [ ΔGf0(Li 3Bi, c 723 K) = 212.8 kJ mol -1].

  10. Optimization of contaminated oxide inversion layer solar cell. [considering silicon oxide coating

    NASA Technical Reports Server (NTRS)

    Call, R. L.

    1976-01-01

    Contaminated oxide cells have been fabricated with efficiencies of 8.6% with values of I sub sc = 120 ma, V sub oc = .54 volts, and curve factor of .73. Attempts to optimize the fabrication step to yield a higher output have not been successful. The fundamental limitation is the inadequate antireflection coating afforded by the silicon dioxide coating used to hold the contaminating ions. Coatings of SiO, therefore, were used to obtain a good antireflection coating, but the thinness of the coatings prevented a large concentration of the contaminating ions, and the cells was weak. Data of the best cell were .52 volts V sub oc, 110 ma I sub sc, .66 CFF and 6.7% efficiency.

  11. High-aspect-ratio, silicon oxide-enclosed pillar structures in microfluidic liquid chromatography.

    PubMed

    Taylor, Lisa C; Lavrik, Nickolay V; Sepaniak, Michael J

    2010-11-15

    The present paper discusses the ability to separate chemical species using high-aspect-ratio, silicon oxide-enclosed pillar arrays. These miniaturized chromatographic systems require smaller sample volumes, experience less flow resistance, and generate superior separation efficiency over traditional packed bed liquid chromatographic columns, improvements controlled by the increased order and decreased pore size of the systems. In our distinctive fabrication sequence, plasma-enhanced chemical vapor deposition (PECVD) of silicon oxide is used to alter the surface and structural properties of the pillars for facile surface modification while improving the pillar mechanical stability and increasing surface area. The separation behavior of model compounds within our pillar systems indicated an unexpected hydrophobic-like separation mechanism. The effects of organic modifier, ionic concentration, and pressure-driven flow rate were studied. A decrease in the organic content of the mobile phase increased peak resolution while detrimentally effecting peak shape. A resolution of 4.7 (RSD = 3.7%) was obtained for nearly perfect Gaussian shaped peaks, exhibiting plate heights as low as 1.1 and 1.8 μm for fluorescein and sulforhodamine B, respectively. Contact angle measurements and DART mass spectrometry analysis indicate that our employed elastomeric soft bonding technique modifies pillar properties, creating a fortuitous stationary phase. This discovery provides evidence supporting the ability to easily functionalize PECVD oxide surfaces by gas-phase reactions.

  12. Hydrogen incorporation and radiation induced dynamics in metal-oxide-silicon structures: A study using nuclear reaction analysis

    NASA Astrophysics Data System (ADS)

    Briere, M. A.

    Resonant Nuclear Reaction Analysis (NRA), using the H-1/N-15, alpha gamma/c-12 reaction at 6.4 MeV, is successfully applied to the investigation of hydrogen incorporation and radiation induced migration in metal oxide silicon structures. The influence of processing parameters on the H content of thermal oxides, with and without gate material present, is studied. Hydrogen accumulation at the Si-SiO2 interface is reproducibly demonstrated for as-oxidized samples, as well as for oxides exposed to H2 containing atmospheres during subsequent thermal processing. The migration of hydrogen, from the bulk oxide to the silicon oxide interface during NRA, is investigated. It is found that the cross section for this migration, per incident N-15 ion, depends on the sample processing history. It is argued that the release is due to electron capture at Si-OH sites and that the migration is driven by reductions in the interfacial free energy associated with the incorporation of hydrogen within the strained oxide region. A similar migration of hydrogen during irradiation with 2.5 MeV electrons is presented, which suggests that the migration occurs preferentially under applied fields which are directed to the silicon interface. It is argued that this bias effect is due to holes, which modify the interfacial region so as to increase hydrogen solubility, that is explained by the diffusivity of the hydrogen species during N-15 irradiation, which suggest identification as neutral atomic hydrogen. The spatial distribution of hydrogen at the Si-SiO2 interface is shown to be confined to within ca. 2 nm of the metallurgical boundary, in agreement with measurements of the location of oxide charge states, paramagnetic centers, as well as the width of the strained transition region in the neighborhood of this interface. A direct correlation between the hydrogen content of the bulk oxide and the radiation generated oxide charges and interface states is presented. These data provide strong

  13. Recent Advances in Bismuth-Based Nanomaterials for Photoelectrochemical Water Splitting.

    PubMed

    Bhat, Swetha S M; Jang, Ho Won

    2017-08-10

    In recent years, bismuth-based nanomaterials have drawn considerable interest as potential candidates for photoelectrochemical (PEC) water splitting owing to their narrow band gaps, nontoxicity, and low costs. The unique electronic structure of bismuth-based materials with a well-dispersed valence band comprising Bi 6s and O 2p orbitals offers a suitable band gap to harvest visible light. This Review presents significant advancements in exploiting bismuth-based nanomaterials for solar water splitting. An overview of the different strategies employed and the new ideas adopted to improve the PEC performance of bismuth-based nanomaterials are discussed. Morphology control, the construction of heterojunctions, doping, and co-catalyst loading are several approaches that are implemented to improve the efficiency of solar water splitting. Key issues are identified and guidelines are suggested to rationalize the design of efficient bismuth-based materials for sunlight-driven water splitting. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Selective resputtering of bismuth in sputtered Bi-Sr-Ca-Cu-O films

    NASA Astrophysics Data System (ADS)

    Grace, J. M.; McDonald, D. B.; Reiten, M. T.; Olson, J.; Kampwirth, R. T.; Gray, K. E.

    1991-10-01

    We present studies using a dc magnetron in an on-axis configuration to sputter Bi-Sr-Ca-Cu-O films from a composite target. These studies show that bismuth can be preferentially resputtered. The influence of ozone, molecular oxygen, and total pressure on the resputtering of bismuth is investigated and discussed. Ozone, in low concentrations, can dramatically affect the degree of resputtering. By comparing the effects of molecular oxygen and ozone, some insight is gained regarding the possible mechanisms of negative ion formation in the magnetron environment. Based on our results we suggest that molecular oxygen can bring about resputtering primarily by forming O+2, which collides with the target to produce energetic negative oxygen ions. In contrast, ozone may form negative ions by electron impact in the dark space above the target, giving rise to lower-energy negative ions, which can traverse the plasma unneutralized and can be stopped with an applied bias on the sample block. With no added oxidant, negative oxygen ions from the target oxygen may dominate the background resputtering. Similarity is found between our results and those for similar studies on Y-Ba-Cu-O by other workers. Bismuth in Bi-Sr-Ca-Cu-O behaves as barium in Y-Ba-Cu-O with regards to preferential resputtering; furthermore, the response of strontium, calcium, and copper to oxygen in sputtered Bi-Sr-Ca-Cu-O is similar to what is observed for copper in Y-Ba-Cu-O.

  15. Superconducting Properties of Lead-Bismuth Films Controlled by Ferromagnetic Nanowire Arrays

    NASA Astrophysics Data System (ADS)

    Ye, Zuxin; Lyuksyutov, Igor F.; Wu, Wenhao; Naugle, Donald G.

    2011-03-01

    Superconducting properties of lead-bismuth (82% Pb and 18% Bi) alloy films deposited on ferromagnetic nanowire arrays have been investigated. Ferromagnetic Co or Ni nanowires are first electroplated into the columnar pores of anodic aluminum oxide (AAO) membranes. Superconducting Pb 82 Bi 18 films are then quench-condensed onto the polished surface of the AAO membranes filled with magnetic nanowires. A strong dependence of the Pb 82 Bi 18 superconducting properties on the ratio of the superconducting film thickness to the magnetic nanowire diameter and the material variety was observed.

  16. METHOD OF SEPARATING FISSION PRODUCTS FROM FUSED BISMUTH-CONTAINING URANIUM

    DOEpatents

    Wiswall, R.H.

    1958-06-24

    A process is described for removing metal selectively from liquid metal compositions. The method effects separation of flssion product metals selectively from dilute solution in fused bismuth, which contains uraniunn in solution without removal of more than 1% of the uranium. The process comprises contacting the fused bismuth with a fused salt composition consisting of sodium, potassium and lithium chlorides, adding to fused bismuth and molten salt a quantity of bismuth chloride which is stoichiometrically required to convert the flssion product metals to be removed to their chlorides which are more stable in the fused salt than in the molten metal and are, therefore, preferentially taken up in the fused salt phase.

  17. Zerovalent bismuth nanoparticles inhibit Streptococcus mutans growth and formation of biofilm

    PubMed Central

    Hernandez-Delgadillo, Rene; Velasco-Arias, Donaji; Diaz, David; Arevalo-Niño, Katiushka; Garza-Enriquez, Marianela; De la Garza-Ramos, Myriam A; Cabral-Romero, Claudio

    2012-01-01

    Background and methods Despite continuous efforts, the increasing prevalence of resistance among pathogenic bacteria to common antibiotics has become one of the most significant concerns in modern medicine. Nanostructured materials are used in many fields, including biological sciences and medicine. While some bismuth derivatives has been used in medicine to treat vomiting, nausea, diarrhea, and stomach pain, the biocidal activity of zerovalent bismuth nanoparticles has not yet been studied. The objective of this investigation was to analyze the antimicrobial activity of bismuth nanoparticles against oral bacteria and their antibiofilm capabilities. Results Our results showed that stable colloidal bismuth nanoparticles had 69% antimicrobial activity against Streptococcus mutans growth and achieved complete inhibition of biofilm formation. These results are similar to those obtained with chlorhexidine, the most commonly used oral antiseptic agent. The minimal inhibitory concentration of bismuth nanoparticles that interfered with S. mutans growth was 0.5 mM. Conclusion These results suggest that zerovalent bismuth nanoparticles could be an interesting antimicrobial agent to be incorporated into an oral antiseptic preparation. PMID:22619547

  18. Helicobacter pylori second-line rescue therapy with levofloxacin- and bismuth-containing quadruple therapy, after failure of standard triple or non-bismuth quadruple treatments.

    PubMed

    Gisbert, J P; Romano, M; Gravina, A G; Solís-Muñoz, P; Bermejo, F; Molina-Infante, J; Castro-Fernández, M; Ortuño, J; Lucendo, A J; Herranz, M; Modolell, I; Del Castillo, F; Gómez, J; Barrio, J; Velayos, B; Gómez, B; Domínguez, J L; Miranda, A; Martorano, M; Algaba, A; Pabón, M; Angueira, T; Fernández-Salazar, L; Federico, A; Marín, A C; McNicholl, A G

    2015-04-01

    The most commonly used second-line Helicobacter pylori eradication regimens are bismuth-containing quadruple therapy and levofloxacin-containing triple therapy, both offering suboptimal results. Combining bismuth and levofloxacin may enhance the efficacy of rescue eradication regimens. To evaluate the efficacy and tolerability of a second-line quadruple regimen containing levofloxacin and bismuth in patients whose previous H. pylori eradication treatment failed. This was a prospective multicenter study including patients in whom a standard triple therapy (PPI-clarithromycin-amoxicillin) or a non-bismuth quadruple therapy (PPI-clarithromycin-amoxicillin-metronidazole, either sequential or concomitant) had failed. Esomeprazole (40 mg b.d.), amoxicillin (1 g b.d.), levofloxacin (500 mg o.d.) and bismuth (240 mg b.d.) was prescribed for 14 days. Eradication was confirmed by (13) C-urea breath test. Compliance was determined through questioning and recovery of empty medication envelopes. Incidence of adverse effects was evaluated by questionnaires. 200 patients were included consecutively (mean age 47 years, 67% women, 13% ulcer). Previous failed therapy included: standard clarithromycin triple therapy (131 patients), sequential (32) and concomitant (37). A total of 96% took all medications correctly. Per-protocol and intention-to-treat eradication rates were 91.1% (95%CI = 87-95%) and 90% (95%CI = 86-94%). Cure rates were similar regardless of previous (failed) treatment or country of origin. Adverse effects were reported in 46% of patients, most commonly nausea (17%) and diarrhoea (16%); 3% were intense but none was serious. Fourteen-day bismuth- and levofloxacin-containing quadruple therapy is an effective (≥90% cure rate), simple and safe second-line strategy in patients whose previous standard triple or non-bismuth quadruple (sequential or concomitant) therapies have failed. © 2015 John Wiley & Sons Ltd.

  19. Cytotoxic and genotoxic characterization of aluminum and silicon oxide nanoparticles in macrophages.

    PubMed

    Hashimoto, Masanori; Imazato, Satoshi

    2015-05-01

    Although aluminum oxide and silicon oxide nanoparticles are currently available as dental materials, there is a lack of basic information concerning their biocompatibility. This study evaluates the biological responses of cultured macrophages (RAW264) to aluminum oxide (Al2O3NPs) and silicon oxide nanoparticles (SiO2NPs) by analyzing cytotoxicity and genotoxicity. The nanoparticles are amorphous and spherical, with diameters of 13 nm for the Al2O3NPs and 12 nm for the SiO2NPs. The cultured RAW264 are exposed to the nanoparticles (NPs) and examined for cytotoxicity using the WST-8 cell viability and Hoechst/PI apoptosis assay, for genotoxicity by micronucleus analysis, for changes in nuclear shape (deformed nuclei) and for comet assay using confocal microscopy, and micromorphological analysis is done using scanning and transmission electron microscopes. Nuclei and DNA damage because of exposure to both types of NPs is observed by inmunostaining genotoxicity testing. The cytotoxicity and genotoxicity are well correlated in this study. Numerous NPs are observed as large aggregates in vesicles, but less or nonexistent NP internalization is seen in the nucleus or cytoplasm. These morphological results suggest that a primary cause of cell disruption is the chemical changes of the NPs in the low pH of vesicles (i.e., ionization of Al2O3 or SiO2) for both types of oxide NPs. Although further research on the elution of NP concentrations on cell or tissue activity under simulated clinical conditions is required, NP concentrations over 200 μg/mL are large enough to induce cytotoxic and genotoxic effects to cells. Copyright © 2015 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  20. Carbothermic reduction and prereduced charge for producing aluminum-silicon alloys

    DOEpatents

    Stevenson, David T.; Troup, Robert L.

    1985-01-01

    Disclosed is a method for the carbothermic reduction of aluminum oxide to form an aluminum alloy including producing silicon carbide by heating a first mix of carbon and silicon oxide in a combustion reactor to an elevated temperature sufficient to produce silicon carbide at an accelerated rate, the heating being provided by an in situ combustion with oxygen gas, and then admixing the silicon carbide with carbon and aluminum oxide to form a second mix and heating the second mix in a second reactor to an elevated metal-forming temperature sufficient to produce aluminum-silicon alloy. The prereduction step includes holding aluminum oxide substantially absent from the combustion reactor. The metal-forming step includes feeding silicon oxide in a preferred ratio with silicon carbide.

  1. Carbothermic reduction and prereduced charge for producing aluminum-silicon alloys

    DOEpatents

    Stevenson, D.T.; Troup, R.L.

    1985-01-01

    Disclosed is a method for the carbothermic reduction of aluminum oxide to form an aluminum alloy including producing silicon carbide by heating a first mix of carbon and silicon oxide in a combustion reactor to an elevated temperature sufficient to produce silicon carbide at an accelerated rate, the heating being provided by an in situ combustion with oxygen gas, and then admixing the silicon carbide with carbon and aluminum oxide to form a second mix and heating the second mix in a second reactor to an elevated metal-forming temperature sufficient to produce aluminum-silicon alloy. The prereduction step includes holding aluminum oxide substantially absent from the combustion reactor. The metal-forming step includes feeding silicon oxide in a preferred ratio with silicon carbide. 1 fig.

  2. Biocompatible magnetofluorescent probes: luminescent silicon quantum dots coupled with superparamagnetic iron(III) oxide.

    PubMed

    Erogbogbo, Folarin; Yong, Ken-Tye; Hu, Rui; Law, Wing-Cheung; Ding, Hong; Chang, Ching-Wen; Prasad, Paras N; Swihart, Mark T

    2010-09-28

    Luminescent silicon quantum dots (SiQDs) are gaining momentum in bioimaging applications, based on their unique combination of optical properties and biocompatibility. Here, we report the development of a multimodal probe that combines the optical properties of silicon quantum dots with the superparamagnetic properties of iron oxide nanoparticles to create biocompatible magnetofluorescent nanoprobes. Multiple nanoparticles of each type are coencapsulated within the hydrophobic core of biocompatible phospholipid-polyethyleneglycol (DSPE-PEG) micelles. The size distribution and composition of the magnetofluorescent nanoprobes were characterized by transmission electron microscopy (TEM) and energy-dispersive X-ray spectroscopy (EDS). Enhanced cellular uptake of these probes in the presence of a magnetic field was demonstrated in vitro. Their luminescence stability in a prostate cancer tumor model microenvironment was demonstrated in vivo. This paves the way for multimodal silicon quantum-dot-based nanoplatforms for a variety of imaging and delivery applications.

  3. Adaptable silicon-carbon nanocables sandwiched between reduced graphene oxide sheets as lithium ion battery anodes.

    PubMed

    Wang, Bin; Li, Xianglong; Zhang, Xianfeng; Luo, Bin; Jin, Meihua; Liang, Minghui; Dayeh, Shadi A; Picraux, S T; Zhi, Linjie

    2013-02-26

    Silicon has been touted as one of the most promising anode materials for next generation lithium ion batteries. Yet, how to build energetic silicon-based electrode architectures by addressing the structural and interfacial stability issues facing silicon anodes still remains a big challenge. Here, we develop a novel kind of self-supporting binder-free silicon-based anodes via the encapsulation of silicon nanowires (SiNWs) with dual adaptable apparels (overlapped graphene (G) sheaths and reduced graphene oxide (RGO) overcoats). In the resulted architecture (namely, SiNW@G@RGO), the overlapped graphene sheets, as adaptable but sealed sheaths, prevent the direct exposure of encapsulated silicon to the electrolyte and enable the structural and interfacial stabilization of silicon nanowires. Meanwhile, the flexible and conductive RGO overcoats accommodate the volume change of embedded SiNW@G nanocables and thus maintain the structural and electrical integrity of the SiNW@G@RGO. As a result, the SiNW@G@RGO electrodes exhibit high reversible specific capacity of 1600 mAh g⁻¹ at 2.1 A g⁻¹, 80% capacity retention after 100 cycles, and superior rate capability (500 mAh g⁻¹ at 8.4 A g⁻¹) on the basis of the total electrode weight.

  4. Characterization of Interface State in Silicon Carbide Metal Oxide Semiconductor Capacitors

    NASA Astrophysics Data System (ADS)

    Kao, Wei-Chieh

    Silicon carbide (SiC) has always been considered as an excellent material for high temperature and high power devices. Since SiC is the only compound semiconductor whose native oxide is silicon dioxide (SiO2), it puts SiC in a unique position. Although SiC metal oxide semiconductor (MOS) technology has made significant progress in recent years, there are still a number of issues to be overcome before more commercial SiC devices can enter the market. The prevailing issues surrounding SiC MOSFET devices are the low channel mobility, the low quality of the oxide layer and the high interface state density at the SiC/SiO2 interface. Consequently, there is a need for research to be performed in order to have a better understanding of the factors causing the poor SiC/SiO2 interface properties. In this work, we investigated the generation lifetime in SiC materials by using the pulsed metal oxide semiconductor (MOS) capacitor method and measured the interface state density distribution at the SiC/SiO2 interface by using the conductance measurement and the high-low frequency capacitance technique. These measurement techniques have been performed on n-type and p-type SiC MOS capacitors. In the course of our investigation, we observed fast interface states at semiconductor-dielectric interfaces in SiC MOS capacitors that underwent three different interface passivation processes, such states were detected in the nitrided samples but not observed in PSG-passivated samples. This result indicate that the lack of fast states at PSG-passivated interface is one of the main reasons for higher channel mobility in PSG MOSFETs. In addition, the effect of mobile ions in the oxide on the response time of interface states has been investigated. In the last chapter we propose additional methods of investigation that can help elucidate the origin of the particular interface states, enabling a more complete understanding of the SiC/SiO2 material system.

  5. Pairing from dynamically screened Coulomb repulsion in bismuth

    NASA Astrophysics Data System (ADS)

    Ruhman, Jonathan; Lee, Patrick A.

    2017-12-01

    Recently, Prakash et al. have discovered bulk superconductivity in single crystals of bismuth, which is a semimetal with extremely low carrier density. At such low density, we argue that conventional electron-phonon coupling is too weak to be responsible for the binding of electrons into Cooper pairs. We study a dynamically screened Coulomb interaction with effective attraction generated on the scale of the collective plasma modes. We model the electronic states in bismuth to include three Dirac pockets with high velocity and one hole pocket with a significantly smaller velocity. We find a weak-coupling instability, which is greatly enhanced by the presence of the hole pocket. Therefore we argue that bismuth is the first material to exhibit superconductivity driven by retardation effects of Coulomb repulsion alone. By using realistic parameters for bismuth we find that the acoustic plasma mode does not play the central role in pairing. We also discuss a matrix element effect, resulting from the Dirac nature of the conduction band, which may affect Tc in the s -wave channel without breaking time-reversal symmetry.

  6. Coating of tips for electrochemical scanning tunneling microscopy by means of silicon, magnesium, and tungsten oxides

    NASA Astrophysics Data System (ADS)

    Salerno, Marco

    2010-09-01

    Different combinations of metal tips and oxide coatings have been tested for possible operation in electrochemical scanning tunneling microscopy. Silicon and magnesium oxides have been thermally evaporated onto gold and platinum-iridium tips, respectively. Two different thickness values have been explored for both materials, namely, 40 and 120 nm for silicon oxide and 20 and 60 nm for magnesium oxide. Alternatively, tungsten oxide has been grown on tungsten tips via electrochemical anodization. In the latter case, to seek optimal results we have varied the pH of the anodizing electrolyte between one and four. The oxide coated tips have been first inspected by means of scanning electron microscopy equipped with microanalysis to determine the morphological results of the coating. Second, the coated tips have been electrically characterized ex situ for stability in time by means of cyclic voltammetry in 1 M aqueous KCl supporting electrolyte, both bare and supplemented with K3[Fe(CN)6] complex at 10 mM concentration in milliQ water as an analyte. Only the tungsten oxide coated tungsten tips have shown stable electrical behavior in the electrolyte. For these tips, the uncoated metal area has been estimated from the electrical current levels, and they have been successfully tested by imaging a gold grating in situ, which provided stable results for several hours. The successful tungsten oxide coating obtained at pH=4 has been assigned to the WO3 form.

  7. Coating of tips for electrochemical scanning tunneling microscopy by means of silicon, magnesium, and tungsten oxides.

    PubMed

    Salerno, Marco

    2010-09-01

    Different combinations of metal tips and oxide coatings have been tested for possible operation in electrochemical scanning tunneling microscopy. Silicon and magnesium oxides have been thermally evaporated onto gold and platinum-iridium tips, respectively. Two different thickness values have been explored for both materials, namely, 40 and 120 nm for silicon oxide and 20 and 60 nm for magnesium oxide. Alternatively, tungsten oxide has been grown on tungsten tips via electrochemical anodization. In the latter case, to seek optimal results we have varied the pH of the anodizing electrolyte between one and four. The oxide coated tips have been first inspected by means of scanning electron microscopy equipped with microanalysis to determine the morphological results of the coating. Second, the coated tips have been electrically characterized ex situ for stability in time by means of cyclic voltammetry in 1 M aqueous KCl supporting electrolyte, both bare and supplemented with K(3)[Fe(CN)(6)] complex at 10 mM concentration in milliQ water as an analyte. Only the tungsten oxide coated tungsten tips have shown stable electrical behavior in the electrolyte. For these tips, the uncoated metal area has been estimated from the electrical current levels, and they have been successfully tested by imaging a gold grating in situ, which provided stable results for several hours. The successful tungsten oxide coating obtained at pH=4 has been assigned to the WO(3) form.

  8. Nano-scaled top-down of bismuth chalcogenides based on electrochemical lithium intercalation

    NASA Astrophysics Data System (ADS)

    Chen, Jikun; Zhu, Yingjie; Chen, Nuofu; Liu, Xinling; Sun, Zhengliang; Huang, Zhenghong; Kang, Feiyu; Gao, Qiuming; Jiang, Jun; Chen, Lidong

    2011-12-01

    A two-step method has been used to fabricate nano-particles of layer-structured bismuth chalcogenide compounds, including Bi2Te3, Bi2Se3, and Bi2Se0.3Te2.7, through a nano-scaled top-down route. In the first step, lithium (Li) atoms are intercalated between the van der Waals bonded quintuple layers of bismuth chalcogenide compounds by controllable electrochemical process inside self-designed lithium ion batteries. And in the second step, the Li intercalated bismuth chalcogenides are subsequently exposed to ethanol, in which process the intercalated Li atoms would explode like atom-scaled bombs to exfoliate original microscaled powder into nano-scaled particles with size around 10 nm. The influence of lithium intercalation speed and amount to three types of bismuth chalcogenide compounds are compared and the optimized intercalation conditions are explored. As to maintain the phase purity of the final nano-particle product, the intercalation lithium amount should be well controlled in Se contained bismuth chalcogenide compounds. Besides, compared with binary bismuth chalcogenide compound, lower lithium intercalation speed should be applied in ternary bismuth chalcogenide compound.

  9. Production of Silicon Oxide like Thin Films by the Use of Atmospheric Plasma Torch

    NASA Astrophysics Data System (ADS)

    Ozono, E. M.; Fachini, E. R.; Silva, M. L. P.; Ruchko, L. F.; Galvão, R. M. O.

    2015-03-01

    The advantages of HMDS (hexamethyldisilazane) APT-plasma films for sensor applications were explored producing films in a three-turn copper coil APT equipment. HMDS was introduced into the argon plasma at four different conditions. Additional flux of oxygen could modulate the presence of organic components in the film, the composition varying from pure inorganic oxides to organo-silane polymers. Oxygen promoted deposition rates as high as 900 nm/min on silicon, acrylic or piezoelectric quartz crystal substrates. Films with a clustered morphology and refractive index of 1.45 were obtained, mainly due to a silicon oxide structure. Raman spectroscopy and XPS data showed the presence of CHn and amorphous carbon in the inorganic matrix. The films were sensitive to the humidity of the air. The adsorptive capabilities of outstanding films were tested in a Quartz Crystal Microbalance (QCM). The results support that those films can be a useful and simple alternative for the development of sensors.

  10. Silicon carbide: A unique platform for metal-oxide-semiconductor physics

    NASA Astrophysics Data System (ADS)

    Liu, Gang; Tuttle, Blair R.; Dhar, Sarit

    2015-06-01

    A sustainable energy future requires power electronics that can enable significantly higher efficiencies in the generation, distribution, and usage of electrical energy. Silicon carbide (4H-SiC) is one of the most technologically advanced wide bandgap semiconductor that can outperform conventional silicon in terms of power handling, maximum operating temperature, and power conversion efficiency in power modules. While SiC Schottky diode is a mature technology, SiC power Metal Oxide Semiconductor Field Effect Transistors are relatively novel and there is large room for performance improvement. Specifically, major initiatives are under way to improve the inversion channel mobility and gate oxide stability in order to further reduce the on-resistance and enhance the gate reliability. Both problems relate to the defects near the SiO2/SiC interface, which have been the focus of intensive studies for more than a decade. Here we review research on the SiC MOS physics and technology, including its brief history, the state-of-art, and the latest progress in this field. We focus on the two main scientific problems, namely, low channel mobility and bias temperature instability. The possible mechanisms behind these issues are discussed at the device physics level as well as the atomic scale, with the support of published physical analysis and theoretical studies results. Some of the most exciting recent progress in interface engineering for improving the channel mobility and fundamental understanding of channel transport is reviewed.

  11. Localization and Related Phenomena in Multiply Connected Nanostructured Inverse Opal Bismuth

    NASA Astrophysics Data System (ADS)

    Bleiweiss, Michael; Saygi, Salih; Amirzadeh, Jafar; Datta, Timir; Lungu, Anca; Yin, Ming; Palm, Eric; Brandt, Bruce; Iqbal, Zafar

    2001-03-01

    The nanostructures were fabricated by pressure infiltration of bismuth into porous artificial opal and were characterized using SEM, EDX and XRD. These structures form a regular three-dimensional network in which the bismuth regions percolate in all directions between the close packed spheres of SiO_2. The sizes of the conducting regions are of the order of tens of nanometers. The static magnetic properties of both bismuth inverse opal and bulk bismuth were studied using a SQUID magnetometer. Transport measurements, including Hall, were done using standard ac four and six probe techniques in fields up to 17 T* and temperatures between 4.2 and 150 K. The results of these measurements, including the observation of localization phenomena, will be discussed. Comparisons will be made with published results on bismuth nanowires. *Performed at the National High Magnetic Field Lab (NHMFL) FSU, Tallahassee, FL. Partially supported by a grant from NASA.

  12. Higher Americium Oxidation State Research Roadmap

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mincher, Bruce J.; Law, Jack D.; Goff, George S.

    2015-12-18

    The partitioning of hexavalent Am from dissolved nuclear fuel requires the ability to efficiently oxidize Am(III) to Am(VI) and to maintain that oxidation state for a length of time sufficient to perform the separation. Several oxidants have been, or are being developed. Chemical oxidants include Ag-catalyzed ozone, Ag-catalyzed peroxydisulfate, Cu(III) periodate, and sodium bismuthate. Hexavalent americium has also now successfully been prepared by electrolysis, using functionalized electrodes. So-called auto-reduction rates of Am(VI) are sufficiently slow to allow for separations. However, for separations based on solvent extraction or ion exchange using organic resins, the high valence state must be maintained undermore » the reducing conditions of the organic phase contact, and a holding oxidant is probably necessary. Until now, only Cu(III) periodate and sodium bismuthate oxidation have been successfully combined with solvent extraction separations. Bismuthate oxidation provided the higher DAm, since it acts as its own holding oxidant, and a successful hot test using centrifugal contactors was performed. For the other oxidants, Ag-catalyzed peroxydisulfate will not oxidize americium in nitric acid concentrations above 0.3 M, and it is not being further investigated. Peroxydisulfate in the absence of Ag catalysis is being used to prepare Am(V) in ion exchange work, discussed below. Preliminary work with Ag-catalyzed ozone has been unsuccessful for extractions of Am(VI) from 6.5 M HNO3, and only one attempt at extraction, also from 6.5 M HNO3, using the electrolytic oxidation has been attempted. However, this high acid concentration was based on the highest Am extraction efficiency using the bismuthate oxidant; which is only sparingly soluble, and thus the oxidation yield is based on bismuthate solubility. Lower acid concentrations may be sufficient with alternative oxidants and work with Ag-ozone, Cu(III) and electrolysis is on-going. Two non

  13. Structural influence of mixed transition metal ions on lithium bismuth borate glasses

    NASA Astrophysics Data System (ADS)

    Yadav, Arti; Dahiya, Manjeet S.; Hooda, A.; Chand, Prem; Khasa, S.

    2017-08-01

    Lithium bismuth borate glasses containing mixed transition metals having composition 7CoO·23Li2O·20Bi2O3·50B2O3 (CLBB), 7V2O5·23Li2O·20Bi2O3·50B2O3 (VLBB) and x(2CoO·V2O5)·(30 - x)Li2O·20Bi2O3·50B2O3 (x = 0.0 (LBB) and x = 2.0, 5.0, 7.0, 10.0 mol% (CVLBB1-4)) are synthesized via melt quench route. The synthesized compositions are investigated for their physical properties using density (D) and molar volume (Vm), thermal properties by analyzing DSC/TG thermo-graphs, structural properties using IR absorption spectra in the mid-IR range and optical properties using UV-Vis-NIR spectroscopy. The Electron Paramagnetic Resonance (EPR) spectra of vanadyl and cobalt ion have been analyzed to study compositional effects on spin-Hamiltonian parameters. The non linear variations in physical properties depict a strong structural influence of Co/V- oxides on the glassy matrix. The compositional variations in characteristic temperatures (glass transition temperature Tg, glass crystallization temperature Tp and glass melting temperature Tm) reveals that Tg for glass samples CLBB is relatively less than that of pure lithium bismuth borate (LBB) glass sample wherein Tg for sample VLBB is higher than that of LBB. The increase in Tg (as compared with LBB) with an enhanced substitution of mixed transition metal oxides (2CoO·V2O5) shows a progressive structure modification of bismuth borate matrix. These predictions are very well corroborated by corresponding compositional trends of Tp and Tm. FTIR studies reveal that Co2+& VO2+ ions lead to structural rearrangements through the conversion of three-coordinated boron into four coordinated boron and thereby reducing number of non-bridging oxygen atoms. Bismuth is found to exist in [BiO6] octahedral units only, whereas boroxol rings are not present in the glass network. The theoretical values of optical basicity (Λth) and corresponding oxide ion polarizability (αo2-) have also been calculated to investigate oxygen covalency of

  14. Strain-Induced Spin-Resonance Shifts in Silicon Devices

    NASA Astrophysics Data System (ADS)

    Pla, J. J.; Bienfait, A.; Pica, G.; Mansir, J.; Mohiyaddin, F. A.; Zeng, Z.; Niquet, Y. M.; Morello, A.; Schenkel, T.; Morton, J. J. L.; Bertet, P.

    2018-04-01

    In spin-based quantum-information-processing devices, the presence of control and detection circuitry can change the local environment of a spin by introducing strain and electric fields, altering its resonant frequencies. These resonance shifts can be large compared to intrinsic spin linewidths, and it is therefore important to study, understand, and model such effects in order to better predict device performance. We investigate a sample of bismuth donor spins implanted in a silicon chip, on top of which a superconducting aluminum microresonator is fabricated. The on-chip resonator provides two functions: it produces local strain in the silicon due to the larger thermal contraction of the aluminum, and it enables sensitive electron spin-resonance spectroscopy of donors close to the surface that experience this strain. Through finite-element strain simulations, we are able to reconstruct key features of our experiments, including the electron spin-resonance spectra. Our results are consistent with a recently observed mechanism for producing shifts of the hyperfine interaction for donors in silicon, which is linear with the hydrostatic component of an applied strain.

  15. Surface-Potential-Based Metal-Oxide-Silicon-Varactor Model for RF Applications

    NASA Astrophysics Data System (ADS)

    Miyake, Masataka; Sadachika, Norio; Navarro, Dondee; Mizukane, Yoshio; Matsumoto, Kenji; Ezaki, Tatsuya; Miura-Mattausch, Mitiko; Mattausch, Hans Juergen; Ohguro, Tatsuya; Iizuka, Takahiro; Taguchi, Masahiko; Kumashiro, Shigetaka; Miyamoto, Shunsuke

    2007-04-01

    We have developed a surface-potential-based metal-oxide-silicon (MOS)-varactor model valid for RF applications up to 200 GHz. The model enables the calculation of the MOS-varactor capacitance seamlessly from the depletion region to the accumulation region and explicitly considers the carrier-response delay causing a non-quasi-static (NQS) effect. It has been observed that capacitance reduction due to this non-quasi-static effect limits the MOS-varactor application to an RF regime.

  16. Silicon oxide based high capacity anode materials for lithium ion batteries

    DOEpatents

    Deng, Haixia; Han, Yongbong; Masarapu, Charan; Anguchamy, Yogesh Kumar; Lopez, Herman A.; Kumar, Sujeet

    2017-03-21

    Silicon oxide based materials, including composites with various electrical conductive compositions, are formulated into desirable anodes. The anodes can be effectively combined into lithium ion batteries with high capacity cathode materials. In some formulations, supplemental lithium can be used to stabilize cycling as well as to reduce effects of first cycle irreversible capacity loss. Batteries are described with surprisingly good cycling properties with good specific capacities with respect to both cathode active weights and anode active weights.

  17. Low-loss silicon-on-insulator shallow-ridge TE and TM waveguides formed using thermal oxidation.

    PubMed

    Pafchek, R; Tummidi, R; Li, J; Webster, M A; Chen, E; Koch, T L

    2009-02-10

    A thermal oxidation fabrication technique is employed to form low-loss high-index-contrast silicon shallow-ridge waveguides in silicon-on-insulator (SOI) with maximally tight vertical confinement. Drop-port responses from weakly coupled ring resonators demonstrate propagation losses below 0.36 dB/cm for TE modes. This technique is also combined with "magic width" designs mitigating severe lateral radiation leakage for TM modes to achieve propagation loss values of 0.94 dB/cm. We discuss the fabrication process utilized to form these low-loss waveguides and implications for sensor devices in particular.

  18. Bismuth-based electrochemical stripping analysis

    DOEpatents

    Wang, Joseph

    2004-01-27

    Method and apparatus for trace metal detection and analysis using bismuth-coated electrodes and electrochemical stripping analysis. Both anodic stripping voltammetry and adsorptive stripping analysis may be employed.

  19. Assessment of the influence of surface finishing and weld joints on the corrosion/oxidation behaviour of stainless steels in lead bismuth eutectic

    NASA Astrophysics Data System (ADS)

    Martín-Muñoz, F. J.; Soler-Crespo, L.; Gómez-Briceño, D.

    2011-09-01

    The objective of this paper is to gain some insight into the influence of the surface finishing in the oxidation/corrosion behaviour of 316L and T91 steels in lead bismuth eutectic (LBE). Specimens of both materials with different surface states were prepared (as-received, grinded, grinded and polished, and electrolitically polished) and oxidation tests were carried out at 775 and 825 K from 100 to 2000 h for two different oxygen concentrations and for H 2/H 2O molar ratios of 3 and 0.03. The general conclusion for these tests is that the effect of surface finishing on the corrosion/protection processes is not significant under the tested conditions. In addition the behaviour of weld joints, T91-T91 Tungsten Inert Gas (TIG) and T91-316L have been also studied under similar conditions. The conclusions are that, whereas T91-T91 welded joint shows the same corrosion properties as the parent materials for the conditions tested, AISI 316L-T91 welded joint, present an important dissolution over seam area that it associated to the electrode 309S used for the fabrication process.

  20. Silicon dioxide space coatings studied ellipsometrically

    NASA Technical Reports Server (NTRS)

    De, Bhola N.; Zhao, Yong; Hruska, Jane; Peterkin, Jane; Woollam, John A.

    1990-01-01

    Mechanisms of initial oxidation of silicon for the formation of silicon dioxide have been investigated. The oxidation of silicon in an atomic oxigen plasma environment is found to exhibit two distinct and linear oxide growth curves for each of the plasma powers used in ashing (25, 50, and 100 watts). Data obtained indicate that the exponent to the pressure in the oxide growth rate formula changes from 1.4 + or - 0.1 to 0.7 + or - 0.1 as one crosses the critical thickness. These data contradict the theory predicting that this exponent should be 1 for both regimes. The activation energy for oxidation in the zone reaction regime is found to be 0.17 eV, in contrast to the published value of 1-2 eV for thermally grown oxides.

  1. CONCENTRATION PROCESS FOR PLUTONIUM IONS, IN AN OXIDATION STATE NOT GREATER THAN +4, IN AQUEOUS ACID SOLUTION

    DOEpatents

    Seaborg, G.T.; Thompson, S.G.

    1960-06-14

    A process for concentrating plutonium is given in which plutonium is first precipitated with bismuth phosphate and then, after redissolution, precipitated with a different carrier such as lanthanum fluoride, uranium acetate, bismuth hydroxide, or niobic oxide.

  2. Design of alumina forming FeCrAl steels for lead or lead-bismuth cooled fast reactors

    NASA Astrophysics Data System (ADS)

    Lim, Jun; Hwang, Il Soon; Kim, Ji Hyun

    2013-10-01

    Iron-chromium-aluminum alloys containing 15-20 wt.% Cr and 4-6 wt.% Al have shown excellent corrosion resistance in the temperature range up to 600 °C or higher in liquid lead and lead-bismuth eutectic environments by the formation of protective Al2O3 layers. However, the higher Cr and Al concentrations in ferritic alloys could be problematic because of severe embrittlement in the manufacturing process as well as in service, caused by the formation of brittle phases. For this reason, efforts worldwide have so far mainly focused on the development of aluminizing surface treatments. However, aluminizing surface treatments have major disadvantages of cost, processing difficulties and reliability issues. In this study, a new FeCrAl alloy is proposed for structural materials in lead and lead-bismuth cooled nuclear applications. The alloy design relied on corrosion experiments in high temperature lead and lead-bismuth eutectic environments and computational thermodynamic calculations using the commercial software, JMatPro. The design of new alloys has focused on the optimization of Cr and Al levels for the formation of an external Al2O3 layer which can provide excellent oxidation and corrosion resistance in liquid lead alloys in the temperature range 300-600 °C while still retaining workable mechanical properties.

  3. Electrodeposition of molten silicon

    DOEpatents

    De Mattei, Robert C.; Elwell, Dennis; Feigelson, Robert S.

    1981-01-01

    Silicon dioxide is dissolved in a molten electrolytic bath, preferably comprising barium oxide and barium fluoride. A direct current is passed between an anode and a cathode in the bath to reduce the dissolved silicon dioxide to non-alloyed silicon in molten form, which is removed from the bath.

  4. Compact and Integrated Liquid Bismuth Propellant Feed System

    NASA Technical Reports Server (NTRS)

    Polzin, Kurt A.; Stanojev, Boris; Korman, Valentin; Gross, Jeffrey T.

    2007-01-01

    Operation of Hall thrusters with bismuth propellant has been shown to be a promising path toward high-power, high-performance, long-lifetime electric propulsion for spaceflight missions [1]. There has been considerable effort in the past three years aimed at resuscitating this promising technology and validating earlier experimental results indicating the advantages of a bismuth-fed Hall thruster. A critical element of the present effort is the precise metering of propellant to the thruster, since performance cannot be accurately assessed without an accurate accounting of mass flow rate. Earlier work used a pre./post-test propellant weighing scheme that did not provide any real-time measurement of mass flow rate while the thruster was firing, and makes subsequent performance calculations difficult. The motivation of the present work is to develop a precision liquid bismuth Propellant Management System (PMS) that provides hot, molten bismuth to the thruster while simultaneously monitoring in real-time the propellant mass flow rate. The system is a derivative of our previous propellant feed system [2], but the present system represents a more compact design. In addition, all control electronics are integrated into a single unit and designed to reside on a thrust stand and operate in the relevant vacuum environment where the thruster is operating, significantly increasing the present technology readiness level of liquid metal propellant feed systems. The design of various critical components in a bismuth PMS are described. These include the bismuth reservoir and pressurization system, 'hotspot' flow sensor, power system and integrated control system. Particular emphasis is given to selection of the electronics employed in this system and the methods that were used to isolate the power and control systems from the high-temperature portions of the feed system and thruster. Open loop calibration test results from the 'hotspot' flow sensor are reported, and results of

  5. 40 CFR 471.10 - Applicability; description of the lead-tin-bismuth forming subcategory.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ...-tin-bismuth forming subcategory. 471.10 Section 471.10 Protection of Environment ENVIRONMENTAL... METAL POWDERS POINT SOURCE CATEGORY Lead-Tin-Bismuth Forming Subcategory § 471.10 Applicability; description of the lead-tin-bismuth forming subcategory. This subpart applies to discharges of pollutants to...

  6. 40 CFR 471.10 - Applicability; description of the lead-tin-bismuth forming subcategory.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ...-tin-bismuth forming subcategory. 471.10 Section 471.10 Protection of Environment ENVIRONMENTAL... METAL POWDERS POINT SOURCE CATEGORY Lead-Tin-Bismuth Forming Subcategory § 471.10 Applicability; description of the lead-tin-bismuth forming subcategory. This subpart applies to discharges of pollutants to...

  7. 40 CFR 471.10 - Applicability; description of the lead-tin-bismuth forming subcategory.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ...-tin-bismuth forming subcategory. 471.10 Section 471.10 Protection of Environment ENVIRONMENTAL... METAL POWDERS POINT SOURCE CATEGORY Lead-Tin-Bismuth Forming Subcategory § 471.10 Applicability; description of the lead-tin-bismuth forming subcategory. This subpart applies to discharges of pollutants to...

  8. Hot-pressed silicon nitride with various lanthanide oxides as sintering additives

    NASA Technical Reports Server (NTRS)

    Ueno, K.; Toibana, Y.

    1984-01-01

    The effects of addition of various lanthanide oxides and their mixture with Y2O3 on the sintering of Si3N4 were investigated. The addition of simple and mixed lanthanide oxides promoted the densification of Si3N4 in hot-pressing at 1800 C under 300-400kg/ centimeters squared for 60 min. The crystallization of yttrium and lanthanide-silicon oxynitrides which was observed inn the sintered body containing yttrium-lanthanide mixed oxides as additives led to the formation of a highly refractory Si3N4 ceramic having a bending strength of 82 and 84 kg/millimeters squared at room temperature and 1300 C respectively. In a Y2O3+La2O3 system, a higher molar ratio of La2O3 to Y2O3 gave a higher hardness and strength at high temperatures. It was found that 90 min was an optimum sintering time for the highest strength.

  9. The effect of growth temperature variation on partially bismuth filled carbon nanotubes synthesis using a soft semi-metallic template.

    PubMed

    Sahoo, R K; Jacob, C

    2014-06-01

    The dewetting of a low melting point metal thin film deposited on silicon substrates was studied. The experimental results suggest that the change in the growth temperature affects the nanostructures that form. Based on the experimental results, the temperature which yielded the smallest features for the growth of nanotubes is determined. The mechanism by which these nano-templates become an efficient seeds for the growth of the carbon nanotubes is discussed. The partial bismuth filling inside the CNTs was optimized. Based on the results, a schematic growth model for better understanding of the process parameters has also been proposed.

  10. Forward-bias diode parameters, electronic noise, and photoresponse of graphene/silicon Schottky junctions with an interfacial native oxide layer

    NASA Astrophysics Data System (ADS)

    An, Yanbin; Behnam, Ashkan; Pop, Eric; Bosman, Gijs; Ural, Ant

    2015-09-01

    Metal-semiconductor Schottky junction devices composed of chemical vapor deposition grown monolayer graphene on p-type silicon substrates are fabricated and characterized. Important diode parameters, such as the Schottky barrier height, ideality factor, and series resistance, are extracted from forward bias current-voltage characteristics using a previously established method modified to take into account the interfacial native oxide layer present at the graphene/silicon junction. It is found that the ideality factor can be substantially increased by the presence of the interfacial oxide layer. Furthermore, low frequency noise of graphene/silicon Schottky junctions under both forward and reverse bias is characterized. The noise is found to be 1/f dominated and the shot noise contribution is found to be negligible. The dependence of the 1/f noise on the forward and reverse current is also investigated. Finally, the photoresponse of graphene/silicon Schottky junctions is studied. The devices exhibit a peak responsivity of around 0.13 A/W and an external quantum efficiency higher than 25%. From the photoresponse and noise measurements, the bandwidth is extracted to be ˜1 kHz and the normalized detectivity is calculated to be 1.2 ×109 cm Hz1/2 W-1. These results provide important insights for the future integration of graphene with silicon device technology.

  11. Study of thickness and uniformity of oxide passivation with DI-O3 on silicon substrate for electronic and photonic applications

    NASA Astrophysics Data System (ADS)

    Sharma, Mamta; Hazra, Purnima; Singh, Satyendra Kumar

    2018-05-01

    Since the beginning of semiconductor fabrication technology evolution, clean and passivated substrate surface is one of the prime requirements for fabrication of Electronic and optoelectronic device fabrication. However, as the scale of silicon circuits and device architectures are continuously decreased from micrometer to nanometer (from VLSI to ULSI technology), the cleaning methods to achieve better wafer surface qualities has raised research interests. The development of controlled and uniform silicon dioxide is the most effective and reliable way to achieve better wafer surface quality for fabrication of electronic devices. On the other hand, in order to meet the requirement of high environment safety/regulatory standards, the innovation of cleaning technology is also in demand. The controlled silicon dioxide layer formed by oxidant de-ionized ozonated water has better uniformity. As the uniformity of the controlled silicon dioxide layer is improved on the substrate, it enhances the performance of the devices. We can increase the thickness of oxide layer, by increasing the ozone time treatment. We reported first time to measurement of thickness of controlled silicon dioxide layer and obtained the uniform layer for same ozone time.

  12. Method for one-to-one polishing of silicon nitride and silicon oxide

    NASA Technical Reports Server (NTRS)

    Babu, Suryadevara V. (Inventor); Natarajan, Anita (Inventor)

    2009-01-01

    The present invention provides a method of removing silicon nitride at about the same removal rate as silicon dioxide by CMP. The method utilizes a polishing slurry that includes colloidal silica abrasive particles dispersed in water and additives that modulate the silicon dioxide and silicon nitride removal rates such that they are about the same. In one embodiment of the invention, the additive is lysine or lysine mono hydrochloride in combination with picolinic acid, which is effective at a pH of about 8. In another embodiment of the invention, the additive is arginine in combination with picolinic acid, which is effective at a pH of about 10.

  13. Effect of rapid oxidation on optical and electrical properties of silicon nanowires obtained by chemical etching

    NASA Astrophysics Data System (ADS)

    Karyaoui, M.; Bardaoui, A.; Ben Rabha, M.; Harmand, J. C.; Amlouk, M.

    2012-05-01

    In the present work, we report the investigation of passivated silicon nanowires (SiNWs) having an average radius of 3.7 μm, obtained by chemical etching of p-type silicon (p-Si). The surface passivation of the SiNWs was performed through a rapid oxidation conducted under a controlled atmosphere at different temperatures and durations. The morphology of the SiNWs was examined using a scanning electron microscope (SEM) that revealed a wave-like structure of dense and vertically aligned one-dimensional silicon nanostructures. On the other hand, optical and electrical characterizations of the SiNWs were studied using a UV-Vis-NIR spectrometer, the Fourier transform infrared spectroscopy (FTIR) and I-V measurements. The reflectance of SiNWs has been dropped to approximately 2% in comparison to that of bare p-Si. This low reflectance slightly increased after carrying out the rapid thermal annealing. The observed behavior was attributed to the formation of a SiO2 layer, as confirmed by FTIR measurements. Finally, the electrical measurements have shown that the rapid oxidation, at certain conditions, contributes to the improvement of the electrical responses of the SiNWs, which can be of great interest for photovoltaic applications.

  14. 40 CFR 471.10 - Applicability; description of the lead-tin-bismuth forming subcategory.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ...-tin-bismuth forming subcategory. 471.10 Section 471.10 Protection of Environment ENVIRONMENTAL... POINT SOURCE CATEGORY Lead-Tin-Bismuth Forming Subcategory § 471.10 Applicability; description of the lead-tin-bismuth forming subcategory. This subpart applies to discharges of pollutants to waters of the...

  15. 40 CFR 471.10 - Applicability; description of the lead-tin-bismuth forming subcategory.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ...-tin-bismuth forming subcategory. 471.10 Section 471.10 Protection of Environment ENVIRONMENTAL... POINT SOURCE CATEGORY Lead-Tin-Bismuth Forming Subcategory § 471.10 Applicability; description of the lead-tin-bismuth forming subcategory. This subpart applies to discharges of pollutants to waters of the...

  16. Temperature-dependent phase transition and comparative investigation on enhanced magnetic and optical properties between sillenite and perovskite bismuth ferrite-rGO nanocomposites

    NASA Astrophysics Data System (ADS)

    Jalil, M. A.; Chowdhury, Sayeed Shafayet; Alam Sakib, Mashnoon; Enamul Hoque Yousuf, S. M.; Khan Ashik, Emran; Firoz, Shakhawat H.; Basith, M. A.

    2017-08-01

    The manuscript reports the synthesis as well as a comparative investigation of the structural, magnetic, and optical properties between sillenite and perovskite type bismuth ferrite-reduced graphene oxide nanocomposites. Graphite oxide is prepared using the modified Hummers' method, followed by hydrothermal synthesis of bismuth ferrite-reduced graphene oxide nanocomposites at different reaction temperatures. The X-ray diffraction measurements confirm the formation of perovskite type BiFeO3-rGO nanocomposites at a reaction temperature of 200 °C. This is the lowest temperature to obtain perovskite type BiFeO3-rGO nanocomposites under the reaction procedure adopted, however, a structural transition to sillenite type Bi25FeO40-rGO is observed at 180 °C. The FESEM images demonstrate that the particle size of the perovskite nanocomposite is 25-60 nm, and for the sillenite phase nanocomposite it is 10-30 nm. The as-synthesized nanocomposites exhibit significantly enhanced saturation magnetization over pure BiFeO3 nanoparticles, with the sillenite Bi25FeO40-rGO nanocomposite having higher saturation magnetization than perovskite BiFeO3-rGO. The optical characteristics of the as-synthesized nanocomposites demonstrate considerably higher absorbance in the visible range with significantly lower band gap in comparison to undoped BiFeO3. Again, the sillenite Bi25FeO40-rGO nanocomposite is shown to have a lower band gap compared to the perovskite counterpart. Our investigation provides a means of selective phase formation as desired between sillenite Bi25FeO40-rGO and perovskite BiFeO3-rGO by controlling the hydrothermal reaction temperature. The outcome of our investigation suggests that the formation of nanocomposite of sillenite bismuth ferrite with reduced graphene oxide is promising to improve the magnetic and optical properties for potential technological applications.

  17. Electronic devices containing switchably conductive silicon oxides as a switching element and methods for production and use thereof

    DOEpatents

    Tour, James M.; Yao, Jun; Natelson, Douglas; Zhong, Lin; He, Tao

    2015-09-08

    In various embodiments, electronic devices containing switchably conductive silicon oxide as a switching element are described herein. The electronic devices are two-terminal devices containing a first electrical contact and a second electrical contact in which at least one of the first electrical contact or the second electrical contact is deposed on a substrate to define a gap region therebetween. A switching layer containing a switchably conductive silicon oxide resides in the gap region between the first electrical contact and the second electrical contact. The electronic devices exhibit hysteretic current versus voltage properties, enabling their use in switching and memory applications. Methods for configuring, operating and constructing the electronic devices are also presented herein.

  18. Electronic devices containing switchably conductive silicon oxides as a switching element and methods for production and use thereof

    DOEpatents

    Tour, James M; Yao, Jun; Natelson, Douglas; Zhong, Lin; He, Tao

    2013-11-26

    In various embodiments, electronic devices containing switchably conductive silicon oxide as a switching element are described herein. The electronic devices are two-terminal devices containing a first electrical contact and a second electrical contact in which at least one of the first electrical contact or the second electrical contact is deposed on a substrate to define a gap region therebetween. A switching layer containing a switchably conductive silicon oxide resides in the the gap region between the first electical contact and the second electrical contact. The electronic devices exhibit hysteretic current versus voltage properties, enabling their use in switching and memory applications. Methods for configuring, operating and constructing the electronic devices are also presented herein.

  19. Design of a new nanocomposite between bismuth nanoparticles and graphene oxide for development of electrochemical sensors.

    PubMed

    Bindewald, Eduardo H; Schibelbain, Arthur F; Papi, Maurício A P; Neiva, Eduardo G C; Zarbin, Aldo J G; Bergamini, Márcio F; Marcolino-Júnior, Luiz H

    2017-10-01

    This study describes a new route for preparation of a nanocomposite between graphene oxide (GO) and bismuth nanoparticles (BiNPs) and its evaluation as modifier electrode for development of electrochemical sensors. BiNPs were synthesized under ultrasound conditions using Bi(NO 3 ) 3 as metal precursor and ascorbic acid (AA) as reducing agent/passivating. Some experimental parameters of BiNPs synthesis such as Bi 3+ :AA molar ratio and reaction time were conducted aiming the best voltammetric performance of the sensor. Glassy carbon electrodes (GCE) were modified by drop-casting with the BiNPs dispersions and anodic stripping voltammetry measurements were performed and revealed an improvement in the sensitivityfor determination of Cd(II) and Pb(II) compared to an unmodified electrode. The best electrochemical response was obtained for a BiNPs synthesis with Bi 3+ :AA molar ratio of 1:6 and reaction time of 10min, which yielded Bi metallic nanoparticles with average size of 5.4nm confirmed by XRD and TEM images, respectively. GO was produced by graphite oxidation using potassium permanganate and exfoliated with an ultrasound tip. GO-BiNPs nanocomposite was obtained by a simple mixture of GO and BiNPs dispersions in water and kept under ultrasonic bath for 1h. GCE were modified with a nanocomposite suspension containing 0.3 and 1.5mgmL -1 of GO and BiNPs in water, respectively. Under optimized conditions, the proposed nanocomposite was evaluated on the voltammetric determination of Pb (II) and Cd (II), leading to a linear response range between 0.1 and 1.4μmolL -1 for both cations, with limit of detection of 30 and 27nmolL -1 , respectively. These results indicate the great potential of the GO-BiNPs nanocomposite for improving the sensitivity of voltammetric procedures. Copyright © 2017 Elsevier B.V. All rights reserved.

  20. Burnout current density of bismuth nanowires

    NASA Astrophysics Data System (ADS)

    Cornelius, T. W.; Picht, O.; Müller, S.; Neumann, R.; Völklein, F.; Karim, S.; Duan, J. L.

    2008-05-01

    Single bismuth nanowires with diameters ranging from 100nmto1μm were electrochemically deposited in ion track-etched single-pore polycarbonate membranes. The maximum current density the wires are able to carry was investigated by ramping up the current until failure occurred. It increases by three to four orders of magnitude for nanowires embedded in the template compared to bulk bismuth and rises with diminishing diameter. Simulations show that the wires are heated up electrically to the melting temperature. Since the surface-to-volume ratio rises with diminishing diameter, thinner wires dissipate the heat more efficiently to the surrounding polymer matrix and, thus, can tolerate larger current densities.

  1. Silicon-on-Insulator Pin Diodes.

    DTIC Science & Technology

    1987-12-01

    Thin (0.5 Micron) Silicon-on-Oxidized Silicon Fig. 2.8 SEM Photographs of CVD Silicon Dioxide on Aluminum 28 After 1500 0 C Anneal in Oxygen...silicon nitride over the silicon dioxide encapsu- -9- lation layer and by depositing the silicon dioxide with a plasma CVD process which uses N20 as...relief via thermal expansion matching varies lin- -27- A B Figure 2.8: SEM Photographs of CVD Silicon Dioxide on Aluminum after 15000 C Anneal in Oxygen

  2. Control of grown-in defects and oxygen precipitates in silicon wafers with DZ-IG structure by ultrahigh-temperature rapid thermal oxidation

    NASA Astrophysics Data System (ADS)

    Maeda, Susumu; Sudo, Haruo; Okamura, Hideyuki; Nakamura, Kozo; Sueoka, Koji; Izunome, Koji

    2018-04-01

    A new control technique for achieving compatibility between crystal quality and gettering ability for heavy metal impurities was demonstrated for a nitrogen-doped Czochralski silicon wafer with a diameter of 300 mm via ultra-high temperature rapid thermal oxidation (UHT-RTO) processing. We have found that the DZ-IG structure with surface denuded zone and the wafer bulk with dense oxygen precipitates were formed by the control of vacancies in UHT-RTO process at temperature exceeding 1300 °C. It was also confirmed that most of the void defects were annihilated from the sub-surface of the wafer due to the interstitial Si atoms that were generated at the SiO2/Si interface. These results indicated that vacancies corresponded to dominant species, despite numerous interstitial silicon injections. We have explained these prominent features by the degree of super-saturation for the interstitial silicon due to oxidation and the precise thermal properties of the vacancy and interstitial silicon.

  3. [Protective effect of compound bismuth and magnesium granules on aspirin-induced gastric mucosal injury in rats].

    PubMed

    Mu, F H; Hu, F L; Wei, H; Zhang, Y Y; Yang, G B; Lei, X Y; Yang, Y P; Sun, W N; Cui, M H

    2016-02-01

    To investigate the protective effect of compound bismuth and magnesium granules on aspirin-induced gastric mucosal injury in rats and its possible mechanism. Acute gastric mucosal injury model was developed with intraperitoneal injection of aspirin in Wistar rats. The rats were divided into normal control group, injury group, sucralfate protection group, compound bismuth and magnesium granules protection group and its herbal components protection group(each group 12 rats). In the protection groups, drugs as mentioned above were administered by gavage before treated with intraperitoneal injection of aspirin. To evaluate the extent of gastric mucosal injury and the protective effect of drugs, gastric mucosal lesion index, gastric mucosal blood flow, content of gastric mucosal hexosamine, prostaglandins (PG), nitric oxide(NO), tumor necrosis factor (TNF), and interleukin (IL) -1, 2, 8 were measured in each group, and histological changes were observed by gross as well as under microscope and electron microscope. Contents of hexosamine, NO, and PG in all the protection groups were significantly higher than those in the injury group (all P<0.01), and content of NO in the compound bismuth and magnesium granules group was significantly higher than that in the sucralfate group ((11.29±0.51) vs(10.80±0.36)nmol/ml, P<0.05). The gastric mucosal lesion index, contents of TNF, and IL-1, 2, 8 were significantly lower in all the protection groups than in the injury group (all P<0.01), and contents of IL-2 and IL-8 in the compound bismuth and magnesium granules group were significantly lower than those in the sucralfate group ((328.17±6.56) vs(340.23±8.05)pg/ml, P<0.01; (170.82±7.31) vs(179.31±7.80)pg/ml, P<0.05). Tissue injury and inflammatory reaction in all the protection groups were obviously mitigated compared with the injury group. Compound bismuth and magnesium granules and its herbal components may have significant protective effect on aspirin-induced gastric mucosal

  4. Bismuth-induced Raman modes in GaP 1– xBi x

    DOE PAGES

    Christian, Theresa M.; Fluegel, Brian; Beaton, Daniel A.; ...

    2016-09-02

    Here, dilute bismide semiconductor alloys are a promising material platform for optoelectronic devices due to drastic impacts of bismuth on the electronic structure of the alloy. At the same time, the details of bismuth incorporation in the lattice are not fully understood. In this work, we conduct Raman scattering spectroscopy on GaP 1- xBi x epilayers grown by molecular beam epitaxy (MBE) and identify several bismuth-related Raman features including gap vibration modes at 296, 303, and 314 cm -1. This study paves the way for more detailed analysis of the local symmetry at bismuth incorporation sites in the dilute bismidemore » alloy regime.« less

  5. Metal-insulator-semiconductor capacitors with bismuth oxide as insulator

    NASA Astrophysics Data System (ADS)

    Raju, T. A.; Talwai, A. S.

    1981-07-01

    Metal-insulator-semiconductor capacitors using aluminum Bi2O3 and silicon have been studied for varactor applications. Reactively sputtered Bi2O3 films which under suitable proportions of oxygen and argon and had high resistivity suitable for device applications showed a dielectric constant of 25.

  6. Very high-cycle fatigue failure in micron-scale polycrystalline silicon films: Effects of environment and surface oxide thickness

    NASA Astrophysics Data System (ADS)

    Alsem, D. H.; Timmerman, R.; Boyce, B. L.; Stach, E. A.; De Hosson, J. Th. M.; Ritchie, R. O.

    2007-01-01

    Fatigue failure in micron-scale polycrystalline silicon structural films, a phenomenon that is not observed in bulk silicon, can severely impact the durability and reliability of microelectromechanical system devices. Despite several studies on the very high-cycle fatigue behavior of these films (up to 1012cycles), there is still an on-going debate on the precise mechanisms involved. We show here that for devices fabricated in the multiuser microelectromechanical system process (MUMPs) foundry and Sandia Ultra-planar, Multi-level MEMS Technology (SUMMiT V™) process and tested under equi-tension/compression loading at ˜40kHz in different environments, stress-lifetime data exhibit similar trends in fatigue behavior in ambient room air, shorter lifetimes in higher relative humidity environments, and no fatigue failure at all in high vacuum. The transmission electron microscopy of the surface oxides in the test samples shows a four- to sixfold thickening of the surface oxide at stress concentrations after fatigue failure, but no thickening after overload fracture in air or after fatigue cycling in vacuo. We find that such oxide thickening and premature fatigue failure (in air) occur in devices with initial oxide thicknesses of ˜4nm (SUMMiT V™) as well as in devices with much thicker initial oxides ˜20nm (MUMPs). Such results are interpreted and explained by a reaction-layer fatigue mechanism. Specifically, moisture-assisted subcritical cracking within a cyclic stress-assisted thickened oxide layer occurs until the crack reaches a critical size to cause catastrophic failure of the entire device. The entirety of the evidence presented here strongly indicates that the reaction-layer fatigue mechanism is the governing mechanism for fatigue failure in micron-scale polycrystalline silicon thin films.

  7. Effect of Sequential Exposition to Short- and Long-Wavelength Radiation on the Optical Absorption in the Bismuth Titanium Oxide Crystal Doped by Aluminum

    NASA Astrophysics Data System (ADS)

    Dyu, V. G.; Kisteneva, M. G.; Shandarov, S. M.; Khudyakova, E. S.; Smirnov, S. V.; Kargin, Yu. F.

    Changes in the spectral dependences of the optical absorption induced in the bismuth titanium oxide crystal doped by aluminum as a result of sequential exposition to cw laser radiation first with the wavelength λi = 532 nm and then with the longer wavelength λn = 633, 655, 663, 780, 871, or 1064 nm are investigated. Our experiments show that after the short-wavelength exposition to radiation with λi = 532 nm, the optical absorption in the crystal increases, and in the range 470-1000 nm, yields the spectrum whose form is independent of the initial crystal state. The subsequent exposition to longer-wavelength radiation leads to enhanced transmittance of the crystal in the examined spectral range. A maximum decrease of the optical absorption in the crystal is observed upon exposure to radiation with the wavelength λn = 663 nm.

  8. Composition, process, and apparatus, for removal of water and silicon mu-oxides from chlorosilanes

    DOEpatents

    Tom, Glenn M.; McManus, James V.

    1991-10-15

    A scavenger composition having utility for removal of water and silicon mu-oxide impurities from chlorosilanes, such scavenger composition comprising: (a) a support; and (b) associated with the support, one or more compound(s) selected from the group consisting of compounds of the formula: R.sub.a-x MCl.sub.x wherein: M is a metal selected from the group consisting of the monovalent metals lithium, sodium, and potassium; the divalent metals magnesium, strontium, barium, and calcium; and the trivalent metal aluminum; R is alkyl; a is a number equal to the valency of metal M; and x is a number having a value from 0 to a, inclusive; and wherein said compound(s) of the formula R.sub.a-x MCl.sub.x have been activated for impurity-removal service by a reaction scheme selected from those of the group consisting of: (i) reaction of such compound(s) with hydrogen chloride to form a first reaction product therefrom, followed by reaction of the first reaction product with a chlorosilane of the formula: SiH.sub.4"y Cl.sub.y, wherein y is a number having a value of from 1 to 3, inclusive; and (ii) reaction of such compound(s) with a chlorosilane of the formula: SiH.sub.4-y Cl.sub.y wherein y is a number having a value of 1 to 3, inclusive. A corresponding method of making the scavenger composition, and of purifying a chlorosilane which contains oxygen and silicon mu-oxide impurities, likewise are disclosed, together with a purifier apparatus, in which a bed of the scavenger composition is disposed. The composition, purification process, and purifier apparatus of the invention have utility in purifying gaseous chlorosilanes which are employed in the semiconductor industry as silicon source reagents for forming epitaxial silicon layers.

  9. Continuous-flow mass production of silicon nanowires via substrate-enhanced metal-catalyzed electroless etching of silicon with dissolved oxygen as an oxidant.

    PubMed

    Hu, Ya; Peng, Kui-Qing; Liu, Lin; Qiao, Zhen; Huang, Xing; Wu, Xiao-Ling; Meng, Xiang-Min; Lee, Shuit-Tong

    2014-01-13

    Silicon nanowires (SiNWs) are attracting growing interest due to their unique properties and promising applications in photovoltaic devices, thermoelectric devices, lithium-ion batteries, and biotechnology. Low-cost mass production of SiNWs is essential for SiNWs-based nanotechnology commercialization. However, economic, controlled large-scale production of SiNWs remains challenging and rarely attainable. Here, we demonstrate a facile strategy capable of low-cost, continuous-flow mass production of SiNWs on an industrial scale. The strategy relies on substrate-enhanced metal-catalyzed electroless etching (MCEE) of silicon using dissolved oxygen in aqueous hydrofluoric acid (HF) solution as an oxidant. The distinct advantages of this novel MCEE approach, such as simplicity, scalability and flexibility, make it an attractive alternative to conventional MCEE methods.

  10. Oxidative stress in bacteria (Pseudomonas putida) exposed to nanostructures of silicon carbide.

    PubMed

    Borkowski, Andrzej; Szala, Mateusz; Kowalczyk, Paweł; Cłapa, Tomasz; Narożna, Dorota; Selwet, Marek

    2015-09-01

    Silicon carbide (SiC) nanostructures produced by combustion synthesis can cause oxidative stress in the bacterium Pseudomonas putida. The results of this study showed that SiC nanostructures damaged the cell membrane, which can lead to oxidative stress in living cells and to the loss of cell viability. As a reference, micrometric SiC was also used, which did not exhibit toxicity toward cells. Oxidative stress was studied by analyzing the activity of peroxidases, and the expression of the glucose-6-phosphate dehydrogenase gene (zwf1) using real-time PCR and northern blot techniques. Damage to nucleic acid was studied by isolating and hydrolyzing plasmids with the formamidopyrimidine [fapy]-DNA glycosylase (also known as 8-oxoguanine DNA glycosylase) (Fpg), which is able to detect damaged DNA. The level of viable microbial cells was investigated by propidium iodide and acridine orange staining. Copyright © 2015 Elsevier Ltd. All rights reserved.

  11. Heat- and electron-beam-induced transport of gold particles into silicon oxide and silicon studied by in situ high-resolution transmission electron microscopy.

    PubMed

    Biskupek, Johannes; Kaiser, Ute; Falk, Fritz

    2008-06-01

    In this study, we describe the transport of gold (Au) nanoparticles from the surface into crystalline silicon (Si) covered by silicon oxide (SiO(2)) as revealed by in situ high-resolution transmission electron microscopy. Complete crystalline Au nanoparticles sink through the SiO(2) layer into the Si substrate when high-dose electron irradiation is applied and temperature is raised above 150 degrees C. Above temperatures of 250 degrees C, the Au nanoparticles finally dissolve into fragments accompanied by crystallization of the amorphized Si substrate around these fragments. The transport process is explained by a wetting process followed by Stokes motion. Modelling this process yields boundaries for the interface energies involved.

  12. Group III-nitride thin films grown using MBE and bismuth

    DOEpatents

    Kisielowski, Christian K.; Rubin, Michael

    2002-01-01

    The present invention comprises growing gallium nitride films in the presence of bismuth using MBE at temperatures of about 1000 K or less. The present invention further comprises the gallium nitride films fabricated using the inventive fabrication method. The inventive films may be doped with magnesium or other dopants. The gallium nitride films were grown on sapphire substrates using a hollow anode Constricted Glow Discharge nitrogen plasma source. When bismuth was used as a surfactant, two-dimensional gallium nitride crystal sizes ranging between 10 .mu.m and 20 .mu.m were observed. This is 20 to 40 times larger than crystal sizes observed when GaN films were grown under similar circumstances but without bismuth. It is thought that the observed increase in crystal size is due bismuth inducing an increased surface diffusion coefficient for gallium. The calculated value of 4.7.times.10.sup.-7 cm.sup.2 /sec. reveals a virtual substrate temperature of 1258 K which is 260 degrees higher than the actual one.

  13. Group III-nitride thin films grown using MBE and bismuth

    DOEpatents

    Kisielowski, Christian K.; Rubin, Michael

    2000-01-01

    The present invention comprises growing gallium nitride films in the presence of bismuth using MBE at temperatures of about 1000 K or less. The present invention further comprises the gallium nitride films fabricated using the inventive fabrication method. The inventive films may be doped with magnesium or other dopants. The gallium nitride films were grown on sapphire substrates using a hollow anode Constricted Glow Discharge nitrogen plasma source. When bismuth was used as a surfactant, two-dimensional gallium nitride crystal sizes ranging between 10 .mu.m and 20 .mu.m were observed. This is 20 to 40 times larger than crystal sizes observed when GaN films were grown under similar circumstances but without bismuth. It is thought that the observed increase in crystal size is due bismuth inducing an increased surface diffusion coefficient for gallium. The calculated value of 4.7.times.10.sup.-7 cm.sup.2 /sec. reveals a virtual substrate temperature of 1258 K which is 260 degrees higher than the actual one.

  14. Microstructure of epitaxial ferroelectric/metal oxide electrode thin film heterostructures on LaAlO{sub 3} and silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ghonge, S.G.; Goo, E.; Ramesh, R.

    1994-12-31

    TEM and X-ray diffraction studies of PZT, PLZT, lead titanate and bismuth titanate ferroelectric thin films and YBa{sub 2}Cu{sub 3}O{sub 7{minus}x}(YBCO), Bi{sub 2}Sr{sub 2}CaCu{sub 2}O{sub 8}(BSCCO) and La{sub 0.5}Sr{sub 0.5}CoO{sub 3}(LSCO) electrically conductive oxide thin films, that are sequentially deposited by pulsed laser ablation, show that these films may be deposited epitaxially onto LaAlO{sub 3}(LAO) or Si substrates. The conductive oxides are promising candidates for use is electrodes in place of metal electrodes in integrated ferroelectric device applications. The oxide electrodes are more chemically compatible with the ferroelectric films. High resolution electron microscopy his been used to investigate the interfacemore » between the ferroelectric and metal oxide thin films and no reaction was detected. Epitaxial growth is possible due to the similar crystal structures and the small lattice mismatch. The lattice mismatch that is present causes the domains in the ferroelectric films to be preferentially oriented and in the case of lead titanate, the film is single domain. These films may also have potential applications in integrated optical devices.« less

  15. Impact of Silicon Nanocrystal Oxidation on the Nonmetallic Growth of Carbon Nanotubes.

    PubMed

    Rocks, Conor; Mitra, Somak; Macias-Montero, Manuel; Maguire, Paul; Svrcek, Vladimir; Levchenko, Igor; Ostrikov, Kostya; Mariotti, Davide

    2016-07-27

    Carbon nanotube (CNT) growth has been demonstrated recently using a number of nonmetallic semiconducting and metal oxide nanoparticles, opening up pathways for direct CNT synthesis from a number of more desirable templates without the need for metallic catalysts. However, CNT growth mechanisms using these nonconventional catalysts has been shown to largely differ and reamins a challenging synthesis route. In this contribution we show CNT growth from partially oxidized silicon nanocrystals (Si NCs) that exhibit quantum confinement effects using a microwave plasma enhanced chemical vapor deposition (PECVD) method. On the basis of solvent and a postsynthesis frgamentation process, we show that oxidation of our Si NCs can be easily controlled. We determine experimentally and explain with theoretical simulations that the Si NCs morphology together with a necessary shell oxide of ∼1 nm is vital to allow for the nonmetallic growth of CNTs. On the basis of chemical analysis post-CNT-growth, we give insight into possible mechanisms for CNT nucleation and growth from our partially oxidized Si NCs. This contribution is of significant importance to the improvement of nonmetallic catalysts for CNT growth and the development of Si NC/CNT interfaces.

  16. Silicon oxynitride films deposited by reactive high power impulse magnetron sputtering using nitrous oxide as a single-source precursor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hänninen, Tuomas, E-mail: tuoha@ifm.liu.se; Schmidt, Susann; Jensen, Jens

    2015-09-15

    Silicon oxynitride thin films were synthesized by reactive high power impulse magnetron sputtering of silicon in argon/nitrous oxide plasmas. Nitrous oxide was employed as a single-source precursor supplying oxygen and nitrogen for the film growth. The films were characterized by elastic recoil detection analysis, x-ray photoelectron spectroscopy, x-ray diffraction, x-ray reflectivity, scanning electron microscopy, and spectroscopic ellipsometry. Results show that the films are silicon rich, amorphous, and exhibit a random chemical bonding structure. The optical properties with the refractive index and the extinction coefficient correlate with the film elemental composition, showing decreasing values with increasing film oxygen and nitrogen content.more » The total percentage of oxygen and nitrogen in the films is controlled by adjusting the gas flow ratio in the deposition processes. Furthermore, it is shown that the film oxygen-to-nitrogen ratio can be tailored by the high power impulse magnetron sputtering-specific parameters pulse frequency and energy per pulse.« less

  17. A heterojunction photocatalyst composed of zinc rhodium oxide, single crystal-derived bismuth vanadium oxide, and silver for overall pure-water splitting under visible light up to 740 nm.

    PubMed

    Kobayashi, Ryoya; Takashima, Toshihiro; Tanigawa, Satoshi; Takeuchi, Shugo; Ohtani, Bunsho; Irie, Hiroshi

    2016-10-12

    We recently reported the synthesis of a solid-state heterojunction photocatalyst consisting of zinc rhodium oxide (ZnRh 2 O 4 ) and bismuth vanadium oxide (Bi 4 V 2 O 11 ), which functioned as hydrogen (H 2 ) and oxygen (O 2 ) evolution photocatalysts, respectively, connected with silver (Ag). Polycrystalline Bi 4 V 2 O 11 (p-Bi 4 V 2 O 11 ) powders were utilized to form ZnRh 2 O 4 /Ag/p-Bi 4 V 2 O 11 , which was able to photocatalyze overall pure-water splitting under red-light irradiation with a wavelength of 700 nm (R. Kobayashi et al., J. Mater. Chem. A, 2016, 4, 3061). In the present study, we replaced p-Bi 4 V 2 O 11 with a powder obtained by pulverizing single crystals of Bi 4 V 2 O 11 (s-Bi 4 V 2 O 11 ) to form ZnRh 2 O 4 /Ag/s-Bi 4 V 2 O 11 , and demonstrated that this heterojunction photocatalyst had enhanced water-splitting activity. In addition, ZnRh 2 O 4 /Ag/s-Bi 4 V 2 O 11 was able to utilize nearly the entire range of visible light up to a wavelength of 740 nm. These properties were attributable to the higher O 2 evolution activity of s-Bi 4 V 2 O 11 .

  18. Silicon improves seed germination and alleviates oxidative stress of bud seedlings in tomato under water deficit stress.

    PubMed

    Shi, Yu; Zhang, Yi; Yao, Hejin; Wu, Jiawen; Sun, Hao; Gong, Haijun

    2014-05-01

    The beneficial effects of silicon on plant growth and development under drought have been widely reported. However, little information is available on the effects of silicon on seed germination under drought. In this work, the effects of exogenous silicon (0.5 mM) on the seed germination and tolerance performance of tomato (Solanum lycopersicum L.) bud seedlings under water deficit stress simulated by 10% (w/v) polyethylene glycol (PEG-6000) were investigated in four cultivars ('Jinpengchaoguan', 'Zhongza No.9', 'Houpi L402' and 'Oubao318'). The results showed that the seed germination percentage was notably decreased in the four cultivars under water stress, and it was significantly improved by added silicon. Compared with the non-silicon treatment, silicon addition increased the activities of superoxide dismutase (SOD) and catalase (CAT), and decreased the production of superoxide anion (O2·) and hydrogen peroxide (H2O2) in the radicles of bud seedlings under water stress. Addition of silicon decreased the total phenol concentrations in radicles under water stress, which might contribute to the decrease of peroxidase (POD) activity, as observed in the in vivo and in vitro experiments. The decrease of POD activity might contribute to a less accumulation of hydroxyl radical (·OH) under water stress. Silicon addition also decreased the concentrations of malondialdehyde (MDA) in the radicles under stress, indicating decreased lipid peroxidation. These results suggest that exogenous silicon could improve seed germination and alleviate oxidative stress to bud seedling of tomato by enhancing antioxidant defense. The positive effects of silicon observed in a silicon-excluder also suggest the active involvement of silicon in biochemical processes in plants. Copyright © 2014 Elsevier Masson SAS. All rights reserved.

  19. Fabrication, strength and oxidation of molybdenum-silicon-boron alloys from reaction synthesis

    NASA Astrophysics Data System (ADS)

    Middlemas, Michael Robert

    Mo-Si-B alloys are a leading candidate for the next generation of jet turbine engine blades and have the potential to raise the operating temperatures by 300-400°C, which would dramatically increase power and efficiency. The alloys of interest are a three-phase mixture of the molybdenum solid solution (Moss) and two intermetallic phases, Mo3Si (A15) and Mo5SiB2 (T2). A novel powder metallurgical method was developed which uses the reaction of molybdenum, silicon nitride (Si3N4) and boron nitride (BN) powders to synthesize a fine dispersion of the intermetallic phases in a Moss matrix. The covalent nitrides are stable in oxidizing environments up to 1000ºC, allowing for fine particle processing without the formation of silicon and boron oxides. The process developed uses standard powder processing techniques to create Mo-Si-B alloys in a less complex and expensive manner than previously demonstrated. The formation of the intermetallic phases was examined by thermo-gravimetric analysis and x-ray diffraction. The start of the reactions to form the T2 and A15 phases were observed at 1140°C and 1193°C and the reactions have been demonstrated to be complete in as little as two hours at 1300°C. This powder metallurgy approach yields a fine dispersion of intermetallics in the Moss matrix, with average grain sizes of 2-4mum. Densities up to 95% of theoretical were attained from pressureless sintering at 1600°C and full theoretical density was achieved by hot-isostatic pressing (HIP). Low temperature sintering and HIPing was attempted to limit grain growth and to reduce the equilibrium silicon concentration in the Moss matrix. Sintering and HIPing at 1300°C reduced the grain sizes of all three phases by over a factor of two. Powder metallurgy provides an opportunity for microstructure control through changes in raw materials and processing parameters. Microstructure examination by electron back-scatter diffraction (EBSD) imaging was used to precisely define the

  20. Epitaxial ferromagnetic oxide thin films on silicon with atomically sharp interfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Coux, P. de; CEMES-CNRS, 29 rue Jeanne Marvig, BP 94347, Toulouse Cedex 4; Bachelet, R.

    A bottleneck in the integration of functional oxides with silicon, either directly grown or using a buffer, is the usual formation of an amorphous interfacial layer. Here, we demonstrate that ferromagnetic CoFe{sub 2}O{sub 4} films can be grown epitaxially on Si(111) using a Y{sub 2}O{sub 3} buffer layer, and remarkably the Y{sub 2}O{sub 3}/Si(111) interface is stable and remains atomically sharp. CoFe{sub 2}O{sub 4} films present high crystal quality and high saturation magnetization.

  1. Encapsulation of Au Nanoparticles on a Silicon Wafer During Thermal Oxidation

    PubMed Central

    2013-01-01

    We report the behavior of Au nanoparticles anchored onto a Si(111) substrate and the evolution of the combined structure with annealing and oxidation. Au nanoparticles, formed by annealing a Au film, appear to “float” upon a growing layer of SiO2 during oxidation at high temperature, yet they also tend to become partially encapsulated by the growing silica layers. It is proposed that this occurs largely because of the differential growth rates of the silica layer on the silicon substrate between the particles and below the particles due to limited access of oxygen to the latter. This in turn is due to a combination of blockage of oxygen adsorption by the Au and limited oxygen diffusion under the gold. We think that such behavior is likely to be seen for other metal–semiconductor systems. PMID:24163715

  2. Surface and Interface Chemistry for Gate Stacks on Silicon

    NASA Astrophysics Data System (ADS)

    Frank, M. M.; Chabal, Y. J.

    This chapter addresses the fundamental silicon surface science associated with the continued progress of nanoelectronics along the path prescribed by Moore's law. Focus is on hydrogen passivation layers and on ultrathin oxide films encountered during silicon cleaning and gate stack formation in the fabrication of metal-oxide-semiconductor field-effect transistors (MOSFETs). Three main topics are addressed. (i) First, the current practices and understanding of silicon cleaning in aqueous solutions are reviewed, including oxidizing chemistries and cleans leading to a hydrogen passivation layer. The dependence of the final surface termination and morphology/roughness on reactant choice and pH and the influence of impurities such as dissolved oxygen or metal ions are discussed. (ii) Next, the stability of hydrogen-terminated silicon in oxidizing liquid and gas phase environments is considered. In particular, the remarkable stability of hydrogen-terminated silicon surface in pure water vapor is discussed in the context of atomic layer deposition (ALD) of high-permittivity (high-k) gate dielectrics where water is often used as an oxygen precursor. Evidence is also provided for co-operative action between oxygen and water vapor that accelerates surface oxidation in humid air. (iii) Finally, the fabrication of hafnium-, zirconium- and aluminum-based high-k gate stacks is described, focusing on the continued importance of the silicon/silicon oxide interface. This includes a review of silicon surface preparation by wet or gas phase processing and its impact on high-k nucleation during ALD growth, and the consideration of gate stack capacitance and carrier mobility. In conclusion, two issues are highlighted: the impact of oxygen vacancies on the electrical characteristics of high-k MOS devices, and the way alloyed metal ions (such as Al in Hf-based gate stacks) in contact with the interfacial silicon oxide layer can be used to control flatband and threshold voltages.

  3. Effect of the Different High Volume Fraction of SiC Particles on the Junction of Bismuthate Glass-SiCp/Al Composite

    PubMed Central

    Li, Xiaoqiang

    2018-01-01

    The in-house developed bismuthate glass and the SiCp/Al composites with different volume fractions of SiC particles (namely, 60 vol.%, 65 vol.%, 70 vol.%, and 75 vol.%) were jointed by vacuum hot-pressing process. The novel material can be used for the space mirror. The SiCp is an abbreviation for SiC particle. Firstly, the SiCp/Al composites with different vol.% of SiC particle were manufactured by using infiltration process. In order to obtain a stable bonding interface, the preoxide layers were fabricated on the surfaces of these composites for reacting with the bismuthate glass. The coefficient of thermal expansion (CTE) was carried out for characterizing the difference between the composites and bismuthate glass. The sealing quality of the composites and the bismuthate glass was quantified by using shear strength testing. The optical microstructures showed the particles were uniformly distributed in the Al matrix. The SEM image shows that a smooth oxidation layer was generated on the SiCp/Al composite. The CTE testing result indicated that the higher the vol.% of the particles in the composite, the lower the CTE value. The shear strength testing result disclosed that SiCp/Al composite with relatively low CTE value was favorable to obtain a bonding interface with high strength. PMID:29675118

  4. Effect of the Different High Volume Fraction of SiC Particles on the Junction of Bismuthate Glass-SiCp/Al Composite.

    PubMed

    Wang, Bin; Qu, Shengguan; Li, Xiaoqiang

    2018-01-01

    The in-house developed bismuthate glass and the SiC p /Al composites with different volume fractions of SiC particles (namely, 60 vol.%, 65 vol.%, 70 vol.%, and 75 vol.%) were jointed by vacuum hot-pressing process. The novel material can be used for the space mirror. The SiCp is an abbreviation for SiC particle. Firstly, the SiC p /Al composites with different vol.% of SiC particle were manufactured by using infiltration process. In order to obtain a stable bonding interface, the preoxide layers were fabricated on the surfaces of these composites for reacting with the bismuthate glass. The coefficient of thermal expansion (CTE) was carried out for characterizing the difference between the composites and bismuthate glass. The sealing quality of the composites and the bismuthate glass was quantified by using shear strength testing. The optical microstructures showed the particles were uniformly distributed in the Al matrix. The SEM image shows that a smooth oxidation layer was generated on the SiC p /Al composite. The CTE testing result indicated that the higher the vol.% of the particles in the composite, the lower the CTE value. The shear strength testing result disclosed that SiC p /Al composite with relatively low CTE value was favorable to obtain a bonding interface with high strength.

  5. Sponge-like Si-SiO2 nanocomposite—Morphology studies of spinodally decomposed silicon-rich oxide

    NASA Astrophysics Data System (ADS)

    Friedrich, D.; Schmidt, B.; Heinig, K. H.; Liedke, B.; Mücklich, A.; Hübner, R.; Wolf, D.; Kölling, S.; Mikolajick, T.

    2013-09-01

    Sponge-like Si nanostructures embedded in SiO2 were fabricated by spinodal decomposition of sputter-deposited silicon-rich oxide with a stoichiometry close to that of silicon monoxide. After thermal treatment a mean feature size of about 3 nm was found in the phase-separated structure. The structure of the Si-SiO2 nanocomposite was investigated by energy-filtered transmission electron microscopy (EFTEM), EFTEM tomography, and atom probe tomography, which revealed a percolated Si morphology. It was shown that the percolation of the Si network in 3D can also be proven on the basis of 2D EFTEM images by comparison with 3D kinetic Monte Carlo simulations.

  6. PRODUCTION OF PLUTONIUM FLUORIDE FROM BISMUTH PHOSPHATE PRECIPITATE CONTAINING PLUTONIUM VALUES

    DOEpatents

    Brown, H.S.; Bohlmann, E.G.

    1961-05-01

    A process is given for separating plutonium from fission products present on a bismuth phosphate carrier. The dried carrier is first treated with hydrogen fluoride at between 500 and 600 deg C whereby some fission product fluorides volatilize away from plutonium tetrafluoride, and nonvolatile fission product fluorides are formed then with anhydrous fluorine at between 400 and 500 deg C. Bismuth and plutonium distill in the form of volatile fluorides away from the nonvolatile fission product fluorides. The bismuth and plutonium fluorides are condensed at below 290 deg C.

  7. Using quality control to limit bismuth in copper cathodes

    NASA Astrophysics Data System (ADS)

    Serrano, John R.; Berger, Dennis; Bridges, Bill

    1994-10-01

    This article describes quality-control work at Phelps Dodge, undertaken as part of ISO 9003 certification, to better identify and prevent the contamination of copper cathodes by bismuth. It also overviews the implementation of a production control system as well as associated training designed to minimize the possibility of bismuth-contaminated copper progressing beyond the cathode stage to other areas of manufacturing or distribution.

  8. Microbridge testing of plasma-enhanced chemical-vapor deposited silicon oxide films on silicon wafers

    NASA Astrophysics Data System (ADS)

    Cao, Zhiqiang; Zhang, Tong-Yi; Zhang, Xin

    2005-05-01

    Plasma-enhanced chemical-vapor deposited (PECVD) silane-based oxides (SiOx) have been widely used in both microelectronics and microelectromechanical systems (MEMS) to form electrical and/or mechanical components. In this paper, a nanoindentation-based microbridge testing method is developed to measure both the residual stresses and Young's modulus of PECVD SiOx films on silicon wafers. Theoretically, we considered both the substrate deformation and residual stress in the thin film and derived a closed formula of deflection versus load. The formula fitted the experimental curves almost perfectly, from which the residual stresses and Young's modulus of the film were determined. Experimentally, freestanding microbridges made of PECVD SiOx films were fabricated using the silicon undercut bulk micromachining technique. Some microbridges were subjected to rapid thermal annealing (RTA) at a temperature of 400 °C, 600 °C, or 800 °C to simulate the thermal process in the device fabrication. The results showed that the as-deposited PECVD SiOx films had a residual stress of -155±17MPa and a Young's modulus of 74.8±3.3GPa. After the RTA, Young's modulus remained relatively unchanged at around 75 GPa, however, significant residual stress hysteresis was found in all the films. A microstructure-based mechanism was then applied to explain the experimental results of the residual stress changes in the PECVD SiOx films after the thermal annealing.

  9. Spike-Timing Dependent Plasticity in Unipolar Silicon Oxide RRAM Devices

    PubMed Central

    Zarudnyi, Konstantin; Mehonic, Adnan; Montesi, Luca; Buckwell, Mark; Hudziak, Stephen; Kenyon, Anthony J.

    2018-01-01

    Resistance switching, or Resistive RAM (RRAM) devices show considerable potential for application in hardware spiking neural networks (neuro-inspired computing) by mimicking some of the behavior of biological synapses, and hence enabling non-von Neumann computer architectures. Spike-timing dependent plasticity (STDP) is one such behavior, and one example of several classes of plasticity that are being examined with the aim of finding suitable algorithms for application in many computing tasks such as coincidence detection, classification and image recognition. In previous work we have demonstrated that the neuromorphic capabilities of silicon-rich silicon oxide (SiOx) resistance switching devices extend beyond plasticity to include thresholding, spiking, and integration. We previously demonstrated such behaviors in devices operated in the unipolar mode, opening up the question of whether we could add plasticity to the list of features exhibited by our devices. Here we demonstrate clear STDP in unipolar devices. Significantly, we show that the response of our devices is broadly similar to that of biological synapses. This work further reinforces the potential of simple two-terminal RRAM devices to mimic neuronal functionality in hardware spiking neural networks. PMID:29472837

  10. Study on ablation behavior of silicone rubber based insulation material under the condition of boron oxide particles erosion

    NASA Astrophysics Data System (ADS)

    Zha, B. L.; Shi, Y. A.; Wang, J. J.; Su, Q. D.

    2018-01-01

    Self-designed oxygen-kerosene ablation system was employed to study the ablation characteristics of silicone rubber based thermal insulation materials under the condition of boron oxide particles erosion. The ablation test was designed with a mass fraction of 1.69% boron oxide particles and particles-free, the microstructure and elemental analysis of the specimens before and after ablation were carried out by Scanning Electron Microscopy (SEM) and Energy Dispersion Spectrum (EDS). Experiment results show that the average mass ablation rate of the materials was 0.0099 g•s-1 and the average ablation rate was -0.025 mm•s-1 under the condition of pure gas phase ablation; and the average mass ablation rate of the multiphase ablation test group was 0.1775 g•s-1, whose average ablation rate was 0.437 mm•s-1 during the ablation process, the boron oxide particles would adhere a molten layer on the flame contact surface of the specimen, which covering the pores on the material surface, blocking the infiltration channel for the oxidizing component and slowing down the oxidation loss rate of the material below the surface, but because the particles erosion was the main reason for material depletion, the combined effect of the above both led to the upward material ablation rates of Silicone Rubber.

  11. Stopping cross sections of He + ions in bismuth

    NASA Astrophysics Data System (ADS)

    Kuldeep; Jain, Animesh K.

    1985-06-01

    The stopping cross sections, ɛ( E), of He + ions in bismuth have been measured by Rutherford backscattering spectrometry (RBS) at incident energies ranging from E = 1.6-3.4 MeV. The energy loss of He + ions and thicknesses of the bismuth films deposited on aluminium substrates were determined from the RBS spectra at each energy for scattering angles of 130° and 165°. The film thicknesses of some of the samples were also measured by weighing and the results compared with those from RBS. Parameters for energy dependence of stopping cross section in the Varelas-Biersack interpolation formula have been obtained for bismuth from a fit to all the available experimental data. Accuracy of our method based on RBS is demonstrated by measurements on copper, for which ɛ( E) is already well studied. It is also shown that reliable ɛ( E) values may be obtained even on samples with non-uniform film thickness.

  12. Raman Spectra of High-κ Dielectric Layers Investigated with Micro-Raman Spectroscopy Comparison with Silicon Dioxide

    PubMed Central

    Borowicz, P.; Taube, A.; Rzodkiewicz, W.; Latek, M.; Gierałtowska, S.

    2013-01-01

    Three samples with dielectric layers from high-κ dielectrics, hafnium oxide, gadolinium-silicon oxide, and lanthanum-lutetium oxide on silicon substrate were studied by Raman spectroscopy. The results obtained for high-κ dielectrics were compared with spectra recorded for silicon dioxide. Raman spectra suggest the similarity of gadolinium-silicon oxide and lanthanum-lutetium oxide to the bulk nondensified silicon dioxide. The temperature treatment of hafnium oxide shows the evolution of the structure of this material. Raman spectra recorded for as-deposited hafnium oxide are similar to the results obtained for silicon dioxide layer. After thermal treatment especially at higher temperatures (600°C and above), the structure of hafnium oxide becomes similar to the bulk non-densified silicon dioxide. PMID:24072982

  13. Chemical structure of bismuth compounds determines their gastric ulcer healing efficacy and anti-Helicobacter pylori activity.

    PubMed

    Sandha, G S; LeBlanc, R; Van Zanten, S J; Sitland, T D; Agocs, L; Burford, N; Best, L; Mahoney, D; Hoffman, P; Leddin, D J

    1998-12-01

    The recognition of the role of Helicobacter pylori in the pathogenesis of peptic ulcer disease has led to renewed interest in bismuth pharmacology since bismuth compounds have both anti-Helicobacter pylori and ulcer healing properties. The precise chemical structure of current bismuth compounds is not known. This has hindered the development of new and potentially more efficacious formulations. We have created two new compounds, 2-chloro-1,3-dithia-2-bismolane (CDTB) and 1,2-[bis(1,3-dithia-2-bismolane)thio]ethane (BTBT), with known structure. In a rat model of gastric ulceration, BTBT was comparable to, and CDTB was significantly less effective than colloidal bismuth subcitrate in healing cryoprobe-induced ulcers. However, both BTBT and CDTB inhibited H. pylori growth in vitro at concentrations <1/10 that of colloidal bismuth subcitrate. The effects on ulcer healing are not mediated by suppression of acid secretion, pepsin inhibition, or prostaglandin production. Since all treated animals received the same amount of elemental bismuth, it appears that the efficacy of bismuth compounds varies with compound structure and is not simply dependent on the delivery of bismuth ion. Because the structure of the novel compounds is known, our understanding of the relationship of bismuth compound structure and to biologic activity will increase. In the future it may be possible to design other novel bismuth compounds with more potent anti-H. pylori and ulcer healing effects.

  14. Process for producing silicon

    DOEpatents

    Olson, J.M.; Carleton, K.L.

    1982-06-10

    A process of producing silicon includes forming an alloy of copper and silicon and positioning the alloy in a dried, molten salt electrolyte to form a solid anode structure therein. An electrically conductive cathode is placed in the electrolyte for plating silicon thereon. The electrolyte is then purified to remove dissolved oxides. Finally, an electrical potential is applied between the anode and cathode in an amount sufficient to form substantially pure silicon on the cathode in the form of substantially dense, coherent deposits.

  15. Process for producing silicon

    DOEpatents

    Olson, Jerry M.; Carleton, Karen L.

    1984-01-01

    A process for producing silicon includes forming an alloy of copper and silicon and positioning the alloy in a dried, molten salt electrolyte to form a solid anode structure therein. An electrically conductive cathode is placed in the electrolyte for plating silicon thereon. The electrolyte is then purified to remove dissolved oxides. Finally, an electrical potential is applied between the anode and cathode in an amount sufficient to form substantially pure silicon on the cathode in the form of substantially dense, coherent deposits.

  16. Investigation of gamma ray shielding efficiency and mechanical performances of concrete shields containing bismuth oxide as an environmentally friendly additive

    NASA Astrophysics Data System (ADS)

    Yao, Ya; Zhang, Xiaowen; Li, Mi; Yang, Rong; Jiang, Tianjiao; Lv, Junwen

    2016-10-01

    Concrete has a proven ability to attenuate gamma rays and neutrons without compromising structural property; therefore, it is widely used as the primary shielding material in many nuclear facilities. Recently, there is a tendency toward using various additives to enhance the shielding properties of these concrete mixtures. However, most of these additives being used either pose hygiene hazards or require special handling processes. It would be ideal if environmentally friendly additives were available for use. The bismuth oxide (Bi2O3) additive shows promise in various shielding applications due to its proven radiation attenuation ability and environmentally friendly nature. To the best of our knowledge, however, Bi2O3 has never been used in concrete mixtures. Therefore, for this research, we fabricated the Bi2O3-based concrete mixtures by adding Bi2O3 powder in the ordinary concrete mixture. Concrete mixtures with lead oxide (PbO) additives were used for comparison. Radiation shielding parameters like the linear attenuation coefficients (LAC) of all these concrete mixtures showing the effects of the Bi2O3 additions are presented. The mechanical performances of concrete mixtures incorporated with Bi2O3 additive were also investigated. It suggested that the concrete mixture containing 25% Bi2O3 powder (B5 in this study) provided the best shielding capacity and mechanical performance among other mixes. It has a significant potential for application as a structural concrete where radiological protection capability is required.

  17. Highly effective electronic passivation of silicon surfaces by atomic layer deposited hafnium oxide

    NASA Astrophysics Data System (ADS)

    Cui, Jie; Wan, Yimao; Cui, Yanfeng; Chen, Yifeng; Verlinden, Pierre; Cuevas, Andres

    2017-01-01

    This paper investigates the application of hafnium oxide (HfO2) thin films to crystalline silicon (c-Si) solar cells. Excellent passivation of both n- and p-type crystalline silicon surfaces has been achieved by the application of thin HfO2 films prepared by atomic layer deposition. Effective surface recombination velocities as low as 3.3 and 9.9 cm s-1 have been recorded with 15 nm thick films on n- and p-type 1 Ω cm c-Si, respectively. The surface passivation by HfO2 is activated at 350 °C by a forming gas anneal. Capacitance voltage measurement shows an interface state density of 3.6 × 1010 cm-2 eV-1 and a positive charge density of 5 × 1011 cm-2 on annealed p-type 1 Ω cm c-Si. X-ray diffraction unveils a positive correlation between surface recombination and crystallinity of the HfO2 and a dependence of the crystallinity on both annealing temperature and film thickness. In summary, HfO2 is demonstrated to be an excellent candidate for surface passivation of crystalline silicon solar cells.

  18. Tension-Compression Fatigue of an Oxide/Oxide Ceramic Matrix Composite at Elevated Temperature in Air and Steam Environments

    DTIC Science & Technology

    2015-03-26

    method has been successfully used with several materials such as silicon carbide fiber - silicon carbide matrix ( SiC / SiC ) CMCs with carbon and boron...elements [14]. These advanced ceramics include oxides, nitrides and carbides of silicon , aluminum, titanium, and zirconium [12]. One of the most...oxides over silicon carbide and other non-oxide materials. In fact, it is the inherent stability of oxides in oxidizing environments which originally

  19. Mixed oxide solid solutions

    DOEpatents

    Magno, Scott; Wang, Ruiping; Derouane, Eric

    2003-01-01

    The present invention is a mixed oxide solid solution containing a tetravalent and a pentavalent cation that can be used as a support for a metal combustion catalyst. The invention is furthermore a combustion catalyst containing the mixed oxide solid solution and a method of making the mixed oxide solid solution. The tetravalent cation is zirconium(+4), hafnium(+4) or thorium(+4). In one embodiment, the pentavalent cation is tantalum(+5), niobium(+5) or bismuth(+5). Mixed oxide solid solutions of the present invention exhibit enhanced thermal stability, maintaining relatively high surface areas at high temperatures in the presence of water vapor.

  20. Theoretical study of bismuth-doped cadmium telluride

    NASA Astrophysics Data System (ADS)

    Menendez-Proupin, E.; Rios-Gonzalez, J. A.; Pena, J. L.

    Cadmium telluride heavily doped with bismuth has been proposed as an absorber with an intermediate band for solar cells. Increase in the photocurrent has been shown recently, although the overall cell efficiency has not improved. In this work, we study the electronic structure and the formation energies of the defects associated to bismuth impurities. We have performed electronic structure calculations within generalized density functional theory, using the exchange-correlation functional HSE(w) , where the range-separation parameter w has been tuned to reproduce the CdTe bandgap. Improving upon previous reports, we have included the spin-orbit interaction, which modifies the structure of the valence band and the energy levels of bismuth. We have found that interstitial Bi (Bii) tends to occupy Cd vacancies, cadmium substitution (BiCd) creates single donor level, while tellurium substitution (BiTe) is a shallow single acceptor. We investigate the interaction between these point defects and how can they be combined to create a partially filled intermediate band. Supported by FONDECYT Grant 1130437, CONACYT-SENER SUSTENTABILIDAD ENERGETICA/project CeMIE-Sol PY-207450/25 and PY-207450/26. JARG acknowledges CONACYT fellowship for research visit. Powered@NLHPC (ECM-02).

  1. Single-electron-occupation metal-oxide-semiconductor quantum dots formed from efficient poly-silicon gate layout

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carroll, Malcolm S.; rochette, sophie; Rudolph, Martin

    We introduce a silicon metal-oxide-semiconductor quantum dot structure that achieves dot-reservoir tunnel coupling control without a dedicated barrier gate. The elementary structure consists of two accumulation gates separated spatially by a gap, one gate accumulating a reservoir and the other a quantum dot. Control of the tunnel rate between the dot and the reservoir across the gap is demonstrated in the single electron regime by varying the reservoir accumulation gate voltage while compensating with the dot accumulation gate voltage. The method is then applied to a quantum dot connected in series to source and drain reservoirs, enabling transport down tomore » the single electron regime. Finally, tuning of the valley splitting with the dot accumulation gate voltage is observed. This split accumulation gate structure creates silicon quantum dots of similar characteristics to other realizations but with less electrodes, in a single gate stack subtractive fabrication process that is fully compatible with silicon foundry manufacturing.« less

  2. Silicon-based microfabricated tin oxide gas sensor incorporating use of Hall effect measurement

    NASA Astrophysics Data System (ADS)

    Hammond, Joseph Wilson

    2000-10-01

    Characterization of a microfabricated sol-gel derived nano-particle tin oxide thin film on a silicon substrate, through simultaneous measurement of conductivity, Hall mobility and electron density, had not been accomplished before this study. Conductivity is a function of carrier density and Hall mobility. Therefore, a full understanding of the sensing mechanism of tin oxide requires knowledge of the sensor conductivity, electron density and Hall mobility. A tin oxide thin film (1100A thick), derived by the sol-gel method, was deposited on a Si/SiO2 substrate by means of spin coating method. The sol-gel method produces films of porous interconnected nano-sized particles and is relatively inexpensive and easy to produce compared to existing methods of tin oxide thin film deposition. A goal of this study was to determine the compatibility of sol-gel derived tin oxide thin films with silicon based microfabrication procedures. It was determined that conductivity sensitivity is strongly dependant on electron density level and shows very weak dependence on Hall mobility. Lack of Hall mobility sensitivity to H2 concentration suggests that conduction is grain control limited. In this regime, in which the grain size (D) is less than twice the characteristic Debye length (LD), a change in reducing gas concentration results in a nearly simultaneous change in carrier density throughout the entire grain, while the Hall mobility remains unchanged. The sensor calcined at 500°C and operated at 250°C showed maximum conductivity sensitivity to H2 in air. The sensor exhibited a high conductivity sensitivity of 10.6 to 100ppm H2 in air with response time of (˜1) minute and recovery time of (˜4) minutes. Images of the thin film surface, obtained by SEM, were used to study the effects of calcination temperature and operating conditions on the tin oxide structure. Sensitivity decreased as average grain size increased from 7.7nm to 14.7nm, with increasing calcination temperature from

  3. ZnO transparent conductive oxide for thin film silicon solar cells

    NASA Astrophysics Data System (ADS)

    Söderström, T.; Dominé, D.; Feltrin, A.; Despeisse, M.; Meillaud, F.; Bugnon, G.; Boccard, M.; Cuony, P.; Haug, F.-J.; Faÿ, S.; Nicolay, S.; Ballif, C.

    2010-03-01

    There is general agreement that the future production of electric energy has to be renewable and sustainable in the long term. Photovoltaic (PV) is booming with more than 7GW produced in 2008 and will therefore play an important role in the future electricity supply mix. Currently, crystalline silicon (c-Si) dominates the market with a share of about 90%. Reducing the cost per watt peak and energy pay back time of PV was the major concern of the last decade and remains the main challenge today. For that, thin film silicon solar cells has a strong potential because it allies the strength of c-Si (i.e. durability, abundancy, non toxicity) together with reduced material usage, lower temperature processes and monolithic interconnection. One of the technological key points is the transparent conductive oxide (TCO) used for front contact, barrier layer or intermediate reflector. In this paper, we report on the versatility of ZnO grown by low pressure chemical vapor deposition (ZnO LP-CVD) and its application in thin film silicon solar cells. In particular, we focus on the transparency, the morphology of the textured surface and its effects on the light in-coupling for micromorph tandem cells in both the substrate (n-i-p) and superstrate (p-i-n) configurations. The stabilized efficiencies achieved in Neuchâtel are 11.2% and 9.8% for p-i-n (without ARC) and n-i-p (plastic substrate), respectively.

  4. Tin-silver-bismuth solders for electronics assembly

    DOEpatents

    Vianco, Paul T.; Rejent, Jerome A.

    1995-01-01

    A lead-free solder alloy for electronic assemblies composed of a eutectic alloy of tin and silver with a bismuth addition, x, of 0bismuth added to the eutectic tin-silver alloy as determined by DSC analysis, 10.degree. C./min. A preferred alloy composition is 91.84Sn-3.33Ag-4.83Bi (weight percent based on total alloy weight).

  5. Tin-silver-bismuth solders for electronics assembly

    DOEpatents

    Vianco, P.T.; Rejent, J.A.

    1995-08-08

    A lead-free solder alloy is disclosed for electronic assemblies composed of a eutectic alloy of tin and silver with a bismuth addition, x, of 0bismuth added to the eutectic tin-silver alloy as determined by DSC analysis, 10 C/min. A preferred alloy composition is 91.84Sn-3.33Ag-4.83Bi (weight percent based on total alloy weight). 4 figs.

  6. Fe₃O₄⁻Silicone Mixture as Flexible Actuator.

    PubMed

    Song, Kahye; Cha, Youngsu

    2018-05-08

    In this study, we introduce Fe₃O₄-silicone flexible composite actuators fabricated by combining silicone and iron oxide particles. The actuators exploit the flexibility of silicone and the electric conductivity of iron oxide particles. These actuators are activated by electrostatic force using the properties of the metal particles. Herein, we investigate the characteristic changes in actuation performance by increasing the concentration of iron oxide from 1% to 20%. The developed flexible actuators exhibit a resonant frequency near 3 Hz and their actuation amplitudes increase with increasing input voltage. We found that the actuator can move well at metal particle concentrations >2.5%. We also studied the changes in actuation behavior, depending on the portion of the Fe₃O₄-silicone in the length. Overall, we experimentally analyzed the characteristics of the newly proposed metal particle-silicone composite actuators.

  7. Low-power bacteriorhodopsin-silicon n-channel metal-oxide field-effect transistor photoreceiver.

    PubMed

    Shin, Jonghyun; Bhattacharya, Pallab; Yuan, Hao-Chih; Ma, Zhenqiang; Váró, György

    2007-03-01

    A bacteriorhodopsin (bR)-silicon n-channel metal-oxide field-effect transistor (NMOSFET) monolithically integrated photoreceiver is demonstrated. The bR film is selectively formed on an external gate electrode of the transistor by electrophoretic deposition. A modified biasing circuit is incorporated, which helps to match the resistance of the bR film to the input impedance of the NMOSFET and to shift the operating point of the transistor to coincide with the maximum gain. The photoreceiver exhibits a responsivity of 4.7 mA/W.

  8. Corrosion behavior of steels in liquid lead bismuth with low oxygen concentrations

    NASA Astrophysics Data System (ADS)

    Kurata, Yuji; Futakawa, Masatoshi; Saito, Shigeru

    2008-02-01

    Corrosion tests in pots were conducted to elucidate corrosion behavior of various steels in liquid lead-bismuth for 3000 h under the condition of an oxygen concentration of 5 × 10 -8 wt% at 450 °C and an oxygen concentration of 3 × 10 -9 wt% at 550 °C, respectively. Significant corrosion was not observed at 450 °C for ferritic/martensitic steels, F82H, Mod.9Cr-1Mo steel, 410SS, 430SS except 2.25Cr-1Mo steel. Pb-Bi penetration into steels and dissolution of elements into Pb-Bi were severe at 550 °C even for ferritic/martensitic steels. Typical dissolution attack occurred for pure iron both at 550 °C without surface Fe 3O 4 and at 450 °C with a thin Fe 3O 4 film. Ferritization due to dissolution of Ni and Cr, and Pb-Bi penetration were recognized for austenitic stainless steels, 316SS and 14Cr-16Ni-2Mo steel at both temperatures of 450 °C and 550 °C. The phenomena were mitigated for 18Cr-20Ni-5Si steel. In some cases oxide films could not be a corrosion barrier in liquid lead-bismuth.

  9. Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells

    PubMed Central

    Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke

    2012-01-01

    Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035

  10. Destruction of monocrystalline silicon with nanosecond pulsed fiber laser accompanied by the oxidation of ablation microparticles

    NASA Astrophysics Data System (ADS)

    Veiko, V. P.; Skvortsov, A. M.; Huynh, C. T.; Petrov, A. A.

    2013-11-01

    In this work, we report an observation of process of local destruction monocrystalline silicon with a scanning beam irradiation of pulse ytterbium fiber laser with a wavelength λ= 1062 nm, accompanied by the oxidation of ablation microparticles. It is shown that depending on the power density of irradiation was observed a large scatter size of the microparticles. From a certain average power density is observed beginning oxidation particulate emitted from the surface of the irradiated area. By varying the parameters of the laser beam such as scanning speed, pulse repetition rate, overlap of laser spot, radiation dose can be achieved almost complete oxidation of all formed during the ablation of microparticles.

  11. Multiwavelength L-band fiber laser with bismuth-oxide EDF and photonic crystal fiber

    NASA Astrophysics Data System (ADS)

    Ramzia Salem, A. M.; Al-Mansoori, M. H.; Hizam, H.; Mohd Noor, S. B.; Abu Bakar, M. H.; Mahdi, M. A.

    2011-05-01

    A multiwavelength laser comb using a bismuth-based erbium-doped fiber and 50 m photonic crystal fiber is demonstrated in a ring cavity configuration. The fiber laser is solely pumped by a single 1455 nm Raman pump laser to exploit its higher power delivery compared to that of a single-mode laser diode pump. At 264 mW Raman pump power and 1 mW Brillouin pump power, 38 output channels in the L-band have been realized with an optical signal-to-noise ratio above 15 dB and a Stokes line spacing of 0.08 nm. The laser exhibits a tuning range of 12 nm and produces stable Stokes lines across the tuning range between Brillouin pump wavelengths of 1603 nm and 1615 nm.

  12. Electrical Characteristics of MnO2 Doped Bismuth Borate Glass Systems

    NASA Astrophysics Data System (ADS)

    Nissar, Umair; Ahmad, Javed; Rana, Anwar Manzoor; Bukhari, S. H.; Jamil, M. T.; Khan, J. Alam; Shakeel, R.; Nadeem, M. Y.

    2018-02-01

    Transparent glasses have a large number of applications in the industry of electronics as well as optical devices. xMnO2-(25- x) Bi2O3-75H3BO3 (0 ≤ x ≤ 1.5 mol.%) transparent glasses have been prepared via melt-quench technique and characterized using dc electrical measurements, and by analyzing x-ray diffraction and Fourier transform infrared (FTIR) spectra. These characteristics were examined to understand the role of modifier oxides, i.e., Bi2O3 and MnO2 in the B2O3 glass network. Adding MnO2 into a glass network causes structural changes, which are responsible for any variations in electrical characteristics of bismuth borate glasses. Manganese bismuth borate glasses (MBBG) show Ohmic conduction at low fields; however, glasses with higher manganese content seem to conduct through bulk limited Poole-Frenkel mechanism. FTIR spectroscopy analyses depict the presence of BO3 and BO4 groups along with B-O-B and Bi-O-Bi bonding vibrations. Glasses with higher MnO2 content also show Mn-O bond vibrations. The reduction of BO4 groups and increase of BO3 units lead to the formation of non-bridging oxygens (NBOs) which are responsible for the variations in the electrical properties of these glasses.

  13. Effect of oxygen plasma on nanomechanical silicon nitride resonators

    NASA Astrophysics Data System (ADS)

    Luhmann, Niklas; Jachimowicz, Artur; Schalko, Johannes; Sadeghi, Pedram; Sauer, Markus; Foelske-Schmitz, Annette; Schmid, Silvan

    2017-08-01

    Precise control of tensile stress and intrinsic damping is crucial for the optimal design of nanomechanical systems for sensor applications and quantum optomechanics in particular. In this letter, we study the influence of oxygen plasma on the tensile stress and intrinsic damping of nanomechanical silicon nitride resonators. Oxygen plasma treatments are common steps in micro and nanofabrication. We show that oxygen plasma for only a few minutes oxidizes the silicon nitride surface, creating several nanometer thick silicon dioxide layers with a compressive stress of 1.30(16) GPa. Such oxide layers can cause a reduction in the effective tensile stress of a 50 nm thick stoichiometric silicon nitride membrane by almost 50%. Additionally, intrinsic damping linearly increases with the silicon dioxide film thickness. An oxide layer of 1.5 nm grown in just 10 s in a 50 W oxygen plasma almost doubled the intrinsic damping. The oxide surface layer can be efficiently removed in buffered hydrofluoric acid.

  14. Determining the thickness of aliphatic alcohol monolayers covalently attached to silicon oxide surfaces using angle-resolved X-ray photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Lee, Austin W. H.; Kim, Dongho; Gates, Byron D.

    2018-04-01

    The thickness of alcohol based monolayers on silicon oxide surfaces were investigated using angle-resolved X-ray photoelectron spectroscopy (ARXPS). Advantages of using alcohols as building blocks for the formation of monolayers include their widespread availability, ease of handling, and stability against side reactions. Recent progress in microwave assisted reactions demonstrated the ease of forming uniform monolayers with alcohol based reagents. The studies shown herein provide a detailed investigation of the thickness of monolayers prepared from a series of aliphatic alcohols of different chain lengths. Monolayers of 1-butanol, 1-hexanol, 1-octanol, 1-decanol, and 1-dodecanol were each successfully formed through microwave assisted reactions and characterized by ARXPS techniques. The thickness of these monolayers consistently increased by ∼1.0 Å for every additional methylene (CH2) within the hydrocarbon chain of the reagents. Tilt angles of the molecules covalently attached to silicon oxide surfaces were estimated to be ∼35° for each type of reagent. These results were consistent with the observations reported for thiol based or silane based monolayers on either gold or silicon oxide surfaces, respectively. The results of this study also suggest that the alcohol based monolayers are uniform at a molecular level.

  15. Dielectric discontinuity at interfaces in the atomic-scale limit: permittivity of ultrathin oxide films on silicon.

    PubMed

    Giustino, Feliciano; Umari, Paolo; Pasquarello, Alfredo

    2003-12-31

    Using a density-functional approach, we study the dielectric permittivity across interfaces at the atomic scale. Focusing on the static and high-frequency permittivities of SiO2 films on silicon, for oxide thicknesses from 12 A down to the atomic scale, we find a departure from bulk values in accord with experiment. A classical three-layer model accounts for the calculated permittivities and is supported by the microscopic polarization profile across the interface. The local screening varies on length scales corresponding to first-neighbor distances, indicating that the dielectric transition is governed by the chemical grading. Silicon-induced gap states are shown to play a minor role.

  16. Lateral solid phase epitaxy of silicon and application to the fabrication of metal oxide semiconductor field-effect transistors

    NASA Astrophysics Data System (ADS)

    Greene, Brian Joseph

    Thin film silicon on insulator fabrication is an increasingly important technology requirement for improving performance in future generation devices and circuits. One process for SOI fabrication that has recently been generating renewed interest is Lateral Solid Phase Epitaxy (LSPE) of silicon over oxide. This process involves annealing amorphous silicon that has been deposited on oxide patterned Si wafers. The (001) Si substrate forms the crystalline seed for epitaxial growth, permitting the generation of Si films that are both single crystal, and oriented to the substrate. This method is particularly attractive to fabrication that requires low temperature processing, because the Si films are deposited in the amorphous phase at temperatures near 525°C, and crystallized at temperatures near 570°C. It is also attractive for applications requiring three dimensional stacking of active silicon device layers, due to the relatively low temperatures involved. For sub-50 nm gate length MOSFET fabrication, an SOI thickness on the order of 10 nm will be required. One limitation of the LSPE process has been the need for thick films (0.5--2 mum) and/or heavy P doping (10 19--1020 cm-3) to increase the maximum achievable lateral growth distance, and therefore minimize the area on the substrate occupied by seed holes. This dissertation discusses the characterization and optimization of process conditions for large area LSPE silicon film growth, as well as efforts to adapt the traditional LSPE process to achieve ultra-thin SOI layers (Tsilicon ≤ 25 nm) while avoiding the use of heavy active doping layers. MOSFETs fabricated in these films that exhibit electron mobility comparable to the Universal Si MOS Mobility are described.

  17. OPTICAL FIBRES AND FIBREOPTIC SENSORS: Bismuth-ring-doped fibres

    NASA Astrophysics Data System (ADS)

    Zlenko, Aleksandr S.; Akhmetshin, Ural G.; Dvoirin, Vladislav V.; Bogatyrev, Vladimir A.; Firstov, Sergei V.

    2009-11-01

    A new process for bismuth doping of optical fibres is proposed in which the dopant is introduced into a thin layer surrounding the fibre core. This enables bismuth stabilisation in the silica glass, with no limitations on the core composition. In particular, the GeO2 content of the fibre core in this study is 16 mol %. Spectroscopic characterisation of such fibres and optical gain measurements suggest that the proposed approach has considerable potential for laser applications.

  18. Bioavailability and chronic toxicity of bismuth citrate to earthworm Eisenia andrei exposed to natural sandy soil.

    PubMed

    Omouri, Zohra; Hawari, Jalal; Fournier, Michel; Robidoux, Pierre Yves

    2018-01-01

    The present study describes bioavailability and chronic effects of bismuth to earthworms Eisenia andrei using OECD reproduction test. Adult earthworms were exposed to natural sandy soil contaminated artificially by bismuth citrate. Average total concentrations of bismuth in soil recovered by HNO 3 digestion ranged from 75 to 289mg/kg. Results indicate that bismuth decreased significantly all reproduction parameters of Eisenia andrei at concentrations ≥ 116mg/kg. However, number of hatched cocoons and number of juveniles seem to be more sensitive than total number of cocoons, as determined by IC 50 ; i.e., 182, 123 and > 289mg/kg, respectively. Bismuth did not affect Eisenia andrei growth and survival, and had little effect on phagocytic efficiency of coelomocytes. The low immunotoxicity effect might be explained by the involvement of other mechanisms i.e. bismuth sequestered by metal-binding compounds. After 28 days of exposure bismuth concentrations in earthworms tissue increased with increasing bismuth concentrations in soil reaching a stationary state of 21.37mg/kg dry tissue for 243mg Bi/kg dry soil total content. Data indicate also that after 56 days of incubation the average fractions of bismuth available extracted by KNO 3 aqueous solution in soil without earthworms varied from 0.0051 to 0.0229mg/kg, while in soil with earthworms bismuth concentration ranged between 0.310-1.347mg/kg dry soil. We presume that mucus and chelating agents produced by earthworms and by soil or/and earthworm gut microorganisms could explain this enhancement, as well as the role of dermal and ingestion routes of earthworms uptake to soil contaminant. Copyright © 2017 Elsevier Inc. All rights reserved.

  19. Orogenic-type copper-gold-arsenic-(bismuth) mineralization at Flatschach (Eastern Alps), Austria

    NASA Astrophysics Data System (ADS)

    Raith, Johann G.; Leitner, Thomas; Paar, Werner H.

    2015-10-01

    Structurally controlled Cu-Au mineralization in the historic Flatschach mining district (Styria, Austria) occurs in a NE-SW to NNE-WSW oriented vein system as multiple steep-dipping calcite-(dolomite)-quartz veins in amphibolite facies metamorphic rocks (banded gneisses/amphibolites, orthogneisses, metagranitoids) of the poly-metamorphosed Austroalpine Silvretta-Seckau nappe. Vein formation postdated ductile deformation events and Eoalpine (Late Cretaceous) peak metamorphism but predated Early to Middle Miocene sediment deposition in the Fohnsdorf pull-apart basin; coal-bearing sediments cover the metamorphic basement plus the mineralized veins at the northern edge of the basin. Three gold-bearing ore stages consist of a stage 1 primary hydrothermal (mesothermal?) ore assemblage dominated by chalcopyrite, pyrite and arsenopyrite. Associated minor minerals include alloclasite, enargite, bornite, sphalerite, galena, bismuth and matildite. Gold in this stage is spatially associated with chalcopyrite occurring as inclusions, along re-healed micro-fractures or along grain boundaries of chalcopyrite with pyrite or arsenopyrite. Sericite-carbonate alteration is developed around the veins. Stage 2 ore minerals formed by the replacement of stage 1 sulfides and include digenite, anilite, "blue-remaining covellite" (spionkopite, yarrowite), bismuth, and the rare copper arsenides domeykite and koutekite. Gold in stage 2 is angular to rounded in shape and occurs primarily in the carbonate (calcite, Fe-dolomite) gangue and less commonly together with digenite, domeykite/koutekite and bismuth. Stage 3 is a strongly oxidized assemblage that includes hematite, cuprite, and various secondary Cu- and Fe-hydroxides and -carbonates. It formed during supergene weathering. Stage 1 and 2 gold consists mostly of electrum (gold fineness 640-860; mean = 725; n = 46), and rare near pure gold (fineness 930-940; n = 6). Gold in stage 3 is Ag-rich electrum (fineness 350-490, n = 12), and has a

  20. Plasmonic Properties of Silicon Nanocrystals Doped with Boron and Phosphorus.

    PubMed

    Kramer, Nicolaas J; Schramke, Katelyn S; Kortshagen, Uwe R

    2015-08-12

    Degenerately doped silicon nanocrystals are appealing plasmonic materials due to silicon's low cost and low toxicity. While surface plasmonic resonances of boron-doped and phosphorus-doped silicon nanocrystals were recently observed, there currently is poor understanding of the effect of surface conditions on their plasmonic behavior. Here, we demonstrate that phosphorus-doped silicon nanocrystals exhibit a plasmon resonance immediately after their synthesis but may lose their plasmonic response with oxidation. In contrast, boron-doped nanocrystals initially do not exhibit plasmonic response but become plasmonically active through postsynthesis oxidation or annealing. We interpret these results in terms of substitutional doping being the dominant doping mechanism for phosphorus-doped silicon nanocrystals, with oxidation-induced defects trapping free electrons. The behavior of boron-doped silicon nanocrystals is more consistent with a strong contribution of surface doping. Importantly, boron-doped silicon nanocrystals exhibit air-stable plasmonic behavior over periods of more than a year.

  1. Adverse events with bismuth salts for Helicobacter pylori eradication: Systematic review and meta-analysis

    PubMed Central

    Ford, Alexander C; Malfertheiner, Peter; Giguère, Monique; Santana, José; Khan, Mostafizur; Moayyedi, Paul

    2008-01-01

    AIM: To assess the safety of bismuth used in Helicobacter pylori (H pylori) eradication therapy regimens. METHODS: We conducted a systematic review and meta-analysis. MEDLINE and EMBASE were searched (up to October 2007) to identify randomised controlled trials comparing bismuth with placebo or no treatment, or bismuth salts in combination with antibiotics as part of eradication therapy with the same dose and duration of antibiotics alone or, in combination, with acid suppression. Total numbers of adverse events were recorded. Data were pooled and expressed as relative risks with 95% confidence intervals (CI). RESULTS: We identified 35 randomised controlled trials containing 4763 patients. There were no serious adverse events occurring with bismuth therapy. There was no statistically significant difference detected in total adverse events with bismuth [relative risk (RR) = 1.01; 95% CI: 0.87-1.16], specific individual adverse events, with the exception of dark stools (RR = 5.06; 95% CI: 1.59-16.12), or adverse events leading to withdrawal of therapy (RR = 0.86; 95% CI: 0.54-1.37). CONCLUSION: Bismuth for the treatment of H pylori is safe and well-tolerated. The only adverse event occurring significantly more commonly was dark stools. PMID:19109870

  2. Solar cells with gallium phosphide/silicon heterojunction

    NASA Astrophysics Data System (ADS)

    Darnon, Maxime; Varache, Renaud; Descazeaux, Médéric; Quinci, Thomas; Martin, Mickaël; Baron, Thierry; Muñoz, Delfina

    2015-09-01

    One of the limitations of current amorphous silicon/crystalline silicon heterojunction solar cells is electrical and optical losses in the front transparent conductive oxide and amorphous silicon layers that limit the short circuit current. We propose to grow a thin (5 to 20 nm) crystalline Gallium Phosphide (GaP) by epitaxy on silicon to form a more transparent and more conducting emitter in place of the front amorphous silicon layers. We show that a transparent conducting oxide (TCO) is still necessary to laterally collect the current with thin GaP emitter. Larger contact resistance of GaP/TCO increases the series resistance compared to amorphous silicon. With the current process, losses in the IR region associated with silicon degradation during the surface preparation preceding GaP deposition counterbalance the gain from the UV region. A first cell efficiency of 9% has been obtained on ˜5×5 cm2 polished samples.

  3. Thermal conductivity and thermal boundary resistance of atomic layer deposited high-k dielectric aluminum oxide, hafnium oxide, and titanium oxide thin films on silicon

    NASA Astrophysics Data System (ADS)

    Scott, Ethan A.; Gaskins, John T.; King, Sean W.; Hopkins, Patrick E.

    2018-05-01

    The need for increased control of layer thickness and uniformity as device dimensions shrink has spurred increased use of atomic layer deposition (ALD) for thin film growth. The ability to deposit high dielectric constant (high-k) films via ALD has allowed for their widespread use in a swath of optical, optoelectronic, and electronic devices, including integration into CMOS compatible platforms. As the thickness of these dielectric layers is reduced, the interfacial thermal resistance can dictate the overall thermal resistance of the material stack compared to the resistance due to the finite dielectric layer thickness. Time domain thermoreflectance is used to interrogate both the thermal conductivity and the thermal boundary resistance of aluminum oxide, hafnium oxide, and titanium oxide films on silicon. We calculate a representative design map of effective thermal resistances, including those of the dielectric layers and boundary resistances, as a function of dielectric layer thickness, which will be of great importance in predicting the thermal resistances of current and future devices.

  4. Anti resonant reflecting optical waveguide structure based on oxidized porous silicon for label free bio sensing applications

    NASA Astrophysics Data System (ADS)

    Haji, L.; Hiraoui, M.; Lorrain, N.; Guendouz, M.

    2012-03-01

    In this letter we report on the use of an electrochemical process for the fabrication of anti resonant reflecting optical waveguide based on oxidized porous silicon. This method is known to allow the formation of various photonic structures (Bragg mirror, microcavity), thanks to the easy and in situ modulation of the porosity and thus of the refractive index. Planar anti resonant reflecting optical waveguide structure made from porous silicon is demonstrated to be very effective for low losses as compared to conventional resonant waveguide. Optical measurements carried out for TE and TM polarizations are reported and related to optical sensing.

  5. Deposition of Visible Light Active Photocatalytic Bismuth Molybdate Thin Films by Reactive Magnetron Sputtering

    PubMed Central

    Ratova, Marina; Kelly, Peter J.; West, Glen T.; Xia, Xiaohong; Gao, Yun

    2016-01-01

    Bismuth molybdate thin films were deposited by reactive magnetron co-sputtering from two metallic targets in an argon/oxygen atmosphere, reportedly for the first time. Energy dispersive X-ray spectroscopy (EDX) analysis showed that the ratio of bismuth to molybdenum in the coatings can be effectively controlled by varying the power applied to each target. Deposited coatings were annealed in air at 673 K for 30 min. The crystalline structure was assessed by means of Raman spectroscopy and X-ray diffraction (XRD). Oxidation state information was obtained by X-ray photoelectron spectroscopy (XPS). Photodegradation of organic dyes methylene blue and rhodamine B was used for evaluation of the photocatalytic properties of the coatings under a visible light source. The photocatalytic properties of the deposited coatings were then compared to a sample of commercial titanium dioxide-based photocatalytic product. The repeatability of the dye degradation reactions and photocatalytic coating reusability are discussed. It was found that coatings with a Bi:Mo ratio of approximately 2:1 exhibited the highest photocatalytic activity of the coatings studied; its efficacy in dye photodegradation significantly outperformed a sample of commercial photocatalytic coating. PMID:28787867

  6. Hexagonal Ag nanoarrays induced enhancement of blue light emission from amorphous oxidized silicon nitride via localized surface plasmon coupling.

    PubMed

    Ma, Zhongyuan; Ni, Xiaodong; Zhang, Wenping; Jiang, Xiaofan; Yang, Huafeng; Yu, Jie; Wang, Wen; Xu, Ling; Xu, Jun; Chen, Kunji; Feng, Duan

    2014-11-17

    A significant enhancement of blue light emission from amorphous oxidized silicon nitride (a-SiNx:O) films is achieved by introduction of ordered and size-controllable arrays of Ag nanoparticles between the silicon substrate and a-SiNx:O films. Using hexagonal arrays of Ag nanoparticles fabricated by nanosphere lithography, the localized surface plasmons (LSPs) resonance can effectively increase the internal quantum efficiency from 3.9% to 13.3%. Theoretical calculation confirms that the electromagnetic field-intensity enhancement is through the dipole surface plasma coupling with the excitons of a-SiNx:O films, which demonstrates a-SiNx:O films with enhanced blue emission are promising for silicon-based light-emitting applications by patterned Ag arrays.

  7. [Geochemical distribution of dissolved bismuth in the Yellow Sea and East China Sea].

    PubMed

    Wu, Xiao-Dan; Song, Jin-Ming; Wu, Bin; Li, Xue-Gang

    2014-01-01

    Occurrence level, geochemical distribution of dissolved bismuth and its coupling relationship to eco-environment were investigated in the Yellow Sea and East China Sea to explore the source and influencing factors. The results showed that the concentration of dissolved bismuth was within the range of 0-0. 029 microg x L(-1) at the surface and 0.001-0.189 microg x L(-1) at the bottom, with the averages of 0.008 and 0.016 microg x L(-1), respectively. Horizontally, low value of dissolved bismuth exhibited the bidirectional extension feature, indicating that it could trace the path of Changjiang Diluted Water. High value of dissolved bismuth was observed where the Subei Costal Current and Yellow Sea Warm Current flowed and the Changjiang Diluted Water and Zhejiang-Fujian Coastal Current met, suggesting that it was controlled by the cycle of current system. Vertically, the coastal water was fully mixed by water convection and eddy mixing, and was divided from the stratified water by strong tidal front, which blocked the transport of dissolved bismuth to the open sea. Thus, the concentration in front area was significantly higher than that in the open sea. Diurnal variation of dissolved bismuth was related to the hydrodynamic conditions (tide, suspension and thermocline) instead of the environmental factors (temperature and salinity). Positive relationship to SPM (suspended particulate matter) clarified that bismuth was prone to release from solid phase to liquid phase. Furthermore, conditions with temperature ranging 22-27 degrees C, salinity ranging 28-31 and pH ranging 7.9-8.1 were shown to be optimal for the release process.

  8. Ultrafast electronic relaxation in superheated bismuth

    NASA Astrophysics Data System (ADS)

    Gamaly, E. G.; Rode, A. V.

    2013-01-01

    Interaction of moving electrons with vibrating ions in the lattice forms the basis for many physical properties from electrical resistivity and electronic heat capacity to superconductivity. In ultrafast laser interaction with matter the electrons are heated much faster than the electron-ion energy equilibration, leading to a two-temperature state with electron temperature far above that of the lattice. The rate of temperature equilibration is governed by the strength of electron-phonon energy coupling, which is conventionally described by a coupling constant, neglecting the dependence on the electron and lattice temperature. The application of this constant to the observations of fast relaxation rate led to a controversial notion of ‘ultra-fast non-thermal melting’ under extreme electronic excitation. Here we provide theoretical grounds for a strong dependence of the electron-phonon relaxation time on the lattice temperature. We show, by taking proper account of temperature dependence, that the heating and restructuring of the lattice occurs much faster than were predicted on the assumption of a constant, temperature independent energy coupling. We applied the temperature-dependent momentum and energy transfer time to experiments on fs-laser excited bismuth to demonstrate that all the observed ultra-fast transformations of the transient state of bismuth are purely thermal in nature. The developed theory, when applied to ultrafast experiments on bismuth, provides interpretation of the whole variety of transient phase relaxation without the non-thermal melting conjecture.

  9. THORIUM DISPERSION IN BISMUTH

    DOEpatents

    Bryner, J.S.

    1961-07-01

    The growth of thorium bismutaide particles, which are formed when thorium is suspended in liquid bismuth, is inhibited when the liquid metal suspension is being flowed through a reactor and through a heat exchanger in sequence. It involves the addition of as little as 1 part by weight of tellurium to 100 parts of thorium. This addition is sufficient to inhibit particle growth and agglomeration.

  10. Tribology study of reduced graphene oxide sheets on silicon substrate synthesized via covalent assembly.

    PubMed

    Ou, Junfei; Wang, Jinqing; Liu, Sheng; Mu, Bo; Ren, Junfang; Wang, Honggang; Yang, Shengrong

    2010-10-19

    Reduced graphene oxide (RGO) sheets were covalently assembled onto silicon wafers via a multistep route based on the chemical adsorption and thermal reduction of graphene oxide (GO). The formation and microstructure of RGO were analyzed by X-ray photoelectron spectroscopy (XPS), attenuated total reflectance Fourier transform infrared (ATR-FTIR) spectroscopy, Raman spectroscopy, and water contact angle (WCA) measurements. Characterization by atomic force microscopy (AFM) was performed to evaluate the morphology and microtribological behaviors of the samples. Macrotribological performance was tested on a ball-on-plate tribometer. Results show that the assembled RGO possesses good friction reduction and antiwear ability, properties ascribed to its intrinsic structure, that is, the covalent bonding to the substrate and self-lubricating property of RGO.

  11. Heat-Pipe Bismuth Laser; Examination of Laser Action at 4722A in Bismuth Vapor

    DTIC Science & Technology

    1976-11-01

    11, 15(1975). of Type 6p 3 -6p 2 7s in the Bismuth Atomic Spectrum in Intermediate Coupling," Acta Physica Polonica A47, 231(1975). 19. A.N. Nesmeyanov...Calculated Transit n Probabilities and Lifetimes for the First Excited Configuration np (n+l)s in the Neutral As, Sb and Bi Atoms, " Physica Scripta

  12. Protective coating for alumina-silicon carbide whisker composites

    DOEpatents

    Tiegs, Terry N.

    1989-01-01

    Ceramic composites formed of an alumina matrix reinforced with silicon carbide whiskers homogenously dispersed therein are provided with a protective coating for preventing fracture strength degradation of the composite by oxidation during exposure to high temperatures in oxygen-containing atmospheres. The coating prevents oxidation of the silicon carbide whiskers within the matrix by sealing off the exterior of the matrix so as to prevent oxygen transport into the interior of the matrix. The coating is formed of mullite or mullite plus silicon oxide and alumina and is formed in place by heating the composite in air to a temperature greater than 1200.degree. C. This coating is less than about 100 microns thick and adequately protects the underlying composite from fracture strength degradation due to oxidation.

  13. Silicon induced stability and mobility of indium zinc oxide based bilayer thin film transistors

    NASA Astrophysics Data System (ADS)

    Chauhan, Ram Narayan; Tiwari, Nidhi; Liu, Po-Tsun; Shieh, Han-Ping D.; Kumar, Jitendra

    2016-11-01

    Indium zinc oxide (IZO), silicon containing IZO, and IZO/IZO:Si bilayer thin films have been prepared by dual radio frequency magnetron sputtering on glass and SiO2/Si substrates for studying their chemical compositions and electrical characteristics in order to ascertain reliability for thin film transistor (TFT) applications. An attempt is therefore made here to fabricate single IZO and IZO/IZO:Si bilayer TFTs to study the effect of film thickness, silicon incorporation, and bilayer active channel on device performance and negative bias illumination stress (NBIS) stability. TFTs with increasing single active IZO layer thickness exhibit decrease in carrier mobility but steady improvement in NBIS; the best values being μFE ˜ 27.0, 22.0 cm2/Vs and ΔVth ˜ -13.00, -6.75 V for a channel thickness of 7 and 27 nm, respectively. While silicon incorporation is shown to reduce the mobility somewhat, it raises the stability markedly (ΔVth ˜ -1.20 V). Further, IZO (7 nm)/IZO:Si (27 nm) bilayer based TFTs display useful characteristics (field effect mobility, μFE = 15.3 cm2/Vs and NBIS value, ΔVth =-0.75 V) for their application in transparent electronics.

  14. Indium-tin-oxide nanowhiskers crystalline silicon photovoltaics combining micro- and nano-scale surface textures

    NASA Astrophysics Data System (ADS)

    Chang, C. H.; Hsu, M. H.; Chang, W. L.; Sun, W. C.; Yu, Peichen

    2011-02-01

    In this work, we present a solution that employs combined micro- and nano-scale surface textures to increase light harvesting in the near infrared for crystalline silicon photovoltaics, and discuss the associated antireflection and scattering mechanisms. The combined surface textures are achieved by uniformly depositing a layer of indium-tin-oxide nanowhiskers on passivated, micro-grooved silicon solar cells using electron-beam evaporation. The nanowhiskers facilitate optical transmission in the near-infrared, which is optically equivalent to a stack of two dielectric thin-films with step- and graded- refractive index profiles. The ITO nanowhiskers provide broadband anti-reflective properties (R<5%) in the wavelength range of 350-1100nm. In comparison with conventional Si solar cell, the combined surface texture solar cell shows higher external quantum efficiency (EQE) in the range of 700-1100nm. Moreover, the ITO nano-whisker coating Si solar cell shows a high total efficiency increase of 1.1% (from 16.08% to17.18%). Furthermore, the nano-whiskers also provide strong forward scattering for ultraviolet and visible light, favorable in thin-wafer silicon photovoltaics to increase the optical absorption path.

  15. Low loss poly-silicon for high performance capacitive silicon modulators.

    PubMed

    Douix, Maurin; Baudot, Charles; Marris-Morini, Delphine; Valéry, Alexia; Fowler, Daivid; Acosta-Alba, Pablo; Kerdilès, Sébastien; Euvrard, Catherine; Blanc, Romuald; Beneyton, Rémi; Souhaité, Aurélie; Crémer, Sébastien; Vulliet, Nathalie; Vivien, Laurent; Boeuf, Frédéric

    2018-03-05

    Optical properties of poly-silicon material are investigated to be integrated in new silicon photonics devices, such as capacitive modulators. Test structure fabrication is done on 300 mm wafer using LPCVD deposition: 300 nm thick amorphous silicon layers are deposited on thermal oxide, followed by solid phase crystallization anneal. Rib waveguides are fabricated and optical propagation losses measured at 1.31 µm. Physical analysis (TEM ASTAR, AFM and SIMS) are used to assess the origin of losses. Optimal deposition and annealing conditions have been defined, resulting in 400 nm-wide rib waveguides with only 9.2-10 dB/cm losses.

  16. One-step Melt Synthesis of Water Soluble, Photoluminescent, Surface-Oxidized Silicon Nanoparticles for Cellular Imaging Applications

    PubMed Central

    Manhat, Beth A.; Brown, Anna L.; Black, Labe A.; Ross, J.B. Alexander; Fichter, Katye; Vu, Tania; Richman, Erik

    2012-01-01

    We have developed a versatile, one-step melt synthesis of water-soluble, highly emissive silicon nanoparticles using bi-functional, low-melting solids (such as glutaric acid) as reaction media. Characterization through transmission electron microscopy, selected area electron diffraction, X-ray photoelectron spectroscopy, and Raman spectroscopy shows that the one-step melt synthesis produces nanoscale Si cores surrounded by a silicon oxide shell. Analysis of the nanoparticle surface using FT-IR, zeta potential, and gel electrophoresis indicates that the bi-functional ligand used in the one-step synthesis is grafted onto the nanoparticle, which allows for tuning of the particle surface charge, solubility, and functionality. Photoluminescence spectra of the as-prepared glutaric acid-synthesized silicon nanoparticles show an intense blue-green emission with a short (ns) lifetime suitable for biological imaging. These nanoparticles are found to be stable in biological media and have been used to examine cellular uptake and distribution in live N2a cells. PMID:23139440

  17. Porous Silicon Nanowires

    PubMed Central

    Qu, Yongquan; Zhou, Hailong; Duan, Xiangfeng

    2011-01-01

    In this minreview, we summarize recent progress in the synthesis, properties and applications of a new type of one-dimensional nanostructures — single crystalline porous silicon nanowires. The growth of porous silicon nanowires starting from both p- and n-type Si wafers with a variety of dopant concentrations can be achieved through either one-step or two-step reactions. The mechanistic studies indicate the dopant concentration of Si wafers, oxidizer concentration, etching time and temperature can affect the morphology of the as-etched silicon nanowires. The porous silicon nanowires are both optically and electronically active and have been explored for potential applications in diverse areas including photocatalysis, lithium ion battery, gas sensor and drug delivery. PMID:21869999

  18. Glutathione and multidrug resistance protein transporter mediate a self-propelled disposal of bismuth in human cells

    PubMed Central

    Hong, Yifan; Lai, Yau-Tsz; Chan, Godfrey Chi-Fung; Sun, Hongzhe

    2015-01-01

    Glutathione and multidrug resistance protein (MRP) play an important role on the metabolism of a variety of drugs. Bismuth drugs have been used to treat gastrointestinal disorder and Helicobacter pylori infection for decades without exerting acute toxicity. They were found to interact with a wide variety of biomolecules, but the major metabolic pathway remains unknown. For the first time (to our knowledge), we systematically and quantitatively studied the metabolism of bismuth in human cells. Our data demonstrated that over 90% of bismuth was passively absorbed, conjugated to glutathione, and transported into vesicles by MRP transporter. Mathematical modeling of the system reveals an interesting phenomenon. Passively absorbed bismuth consumes intracellular glutathione, which therefore activates de novo biosynthesis of glutathione. Reciprocally, sequestration by glutathione facilitates the passive uptake of bismuth and thus completes a self-sustaining positive feedback circle. This mechanism robustly removes bismuth from both intra- and extracellular space, protecting critical systems of human body from acute toxicity. It elucidates the selectivity of bismuth drugs between human and pathogens that lack of glutathione, such as Helicobacter pylori, opening new horizons for further drug development. PMID:25737551

  19. Formation of multiple levels of porous silicon for buried insulators and conductors in silicon device technologies

    DOEpatents

    Blewer, Robert S.; Gullinger, Terry R.; Kelly, Michael J.; Tsao, Sylvia S.

    1991-01-01

    A method of forming a multiple level porous silicon substrate for semiconductor integrated circuits including anodizing non-porous silicon layers of a multi-layer silicon substrate to form multiple levels of porous silicon. At least one porous silicon layer is then oxidized to form an insulating layer and at least one other layer of porous silicon beneath the insulating layer is metallized to form a buried conductive layer. Preferably the insulating layer and conductive layer are separated by an anodization barrier formed of non-porous silicon. By etching through the anodization barrier and subsequently forming a metallized conductive layer, a fully or partially insulated buried conductor may be fabricated under single crystal silicon.

  20. Molybdenum disilicide composites reinforced with zirconia and silicon carbide

    DOEpatents

    Petrovic, John J.

    1995-01-01

    Compositions consisting essentially of molybdenum disilicide, silicon carbide, and a zirconium oxide component. The silicon carbide used in the compositions is in whisker or powder form. The zirconium oxide component is pure zirconia or partially stabilized zirconia or fully stabilized zirconia.

  1. Hydrothermal synthesis of barium strontium titanate and bismuth titanate materials

    NASA Astrophysics Data System (ADS)

    Xu, Huiwen

    Hydrothermal processing facilitates the synthesis of crystalline ceramic materials of varying composition or complex crystal structure. The present work can be divided into two parts. First is to study the low temperature hydrothermal synthesis of bismuth titanate. Second is to study both thermodynamic and kinetic aspects of the hydrothermally synthesized barium strontium titanate. A chelating agent was used to form a Bi-Ti gel precursor. By hydrothermally treating the Bi-Ti gel, crystalline bismuth titanate has been synthesized at 160°C for the first time. Microstructural evolution during the low temperature synthesis of bismuth titanate can be divided into two stages, including condensation of Bi-Ti gel particles and crystallization of bismuth titanate. Crystallization of bismuth titanate occurred by an in situ transformation mechanism at an early stage followed by a dissolution-reprecipitation mechanism. Phase separation was observed in hydrothermally synthesized barium strontium titanate (BST). By hydrothermally treating BST powders between 250°C--300°C, an asymmetrical miscibility gap was found in the BaTiO3-SrTiO 3 system at low temperatures (T ≤ 320°C). A subregular solid solution model was applied to calculate the equilibrium compositions and the Gibbs free energy of formation of BST solid solution at low temperatures (T ≤ 320°C). The Gibbs free energy of formation of Sr-rich BST phase is larger than that of Ba-rich BST phase. Kinetic studies of single phase BST solid solution at 80°C show that, compared to the BaTiO3 or Ba-rich BST, SrTiO3 and Sr-rich BST powders form at lower reaction rates.

  2. Enhanced detection of quantum dots labeled protein by simultaneous bismuth electrodeposition into microfluidic channel.

    PubMed

    Medina-Sánchez, Mariana; Miserere, Sandrine; Cadevall, Miquell; Merkoçi, Arben

    2016-02-01

    In this study, we propose an electrochemical immunoassay into a disposable microfluidic platform, using quantum dots (QDs) as labels and their enhanced detection using bismuth as an alternative to mercury electrodes. CdSe@ZnS QDs were used to tag human IgG as a model protein and detected through highly sensitive stripping voltammetry of the dissolved metallic component (cadmium in our case). The modification of the screen printed carbon electrodes (SPCEs) was done by a simple electrodeposition of bismuth that was previously mixed with the sample containing QDs. A magneto-immunosandwich assay was performed using a micromixer. A magnet placed at its outlet in order to capture the magnetic beads used as solid support for the immunoassay. SPCEs were integrated at the end of the channel as detector. Different parameters such as bismuth concentration, flow rate, and incubation times, were optimized. The LOD for HIgG in presence of bismuth was 3.5 ng/mL with a RSD of 13.2%. This LOD was about 3.3-fold lower than the one obtained without bismuth. Furthermore, the sensitivity of the system was increased 100-fold respect to experiments carried out with classical screen-printed electrodes, both in presence of bismuth. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Isotopic generator for bismuth-212 and lead-212 from radium

    DOEpatents

    Atcher, Robert W.; Friedman, Arnold M.; Hines, John

    1987-01-01

    A method and apparatus for providing radionuclides of bismuth-212 and lead-212. Thorium-228 and carrier solution starting material is input to a radiologically contained portion of an isotopic generator system, and radium-224 is separated from thorium-228 which is retained by a strongly basic anion exchange column. The separated radium-224 is transferred to an accessible, strongly acidic cationic exchange column. The cationic column retains the radium-224, and natural radioactive decay generates bismuth-212 and lead-212. The cationic exchange column can also be separated from the contained portion of the system and utilized without the extraordinary safety measures necessary in the contained portion. Furthermore, the cationic exchange column provides over a relatively long time period the short lived lead-212 and bismuth-212 radionuclides which are useful for a variety of medical therapies.

  4. Nanoparticle-based etching of silicon surfaces

    DOEpatents

    Branz, Howard [Boulder, CO; Duda, Anna [Denver, CO; Ginley, David S [Evergreen, CO; Yost, Vernon [Littleton, CO; Meier, Daniel [Atlanta, GA; Ward, James S [Golden, CO

    2011-12-13

    A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.

  5. Bismuth Propellant Feed System Development at NASA-MSFC

    NASA Technical Reports Server (NTRS)

    Polzin, Kurt A.

    2007-01-01

    NASA-MSFC has been developing liquid metal propellant feed systems capable of delivering molten bismuth at a prescribed mass flow rate to the vaporizer of an electric thruster. The first such system was delivered to NASA-JPL as part of the Very High Isp Thruster with Anode Layer (VHITAL) program. In this system, the components pictured were placed in a vacuum chamber and heated while the control electronics were located outside the chamber. The system was successfully operated at JPL in conjunction with a propellant vaporizer, and data was obtained demonstrating a new liquid bismuth flow sensing technique developed at MSFC. The present effort is aimed at producing a feed-system for use in conjunction with a bismuth-fed Hall thruster developed by Busek Co. Developing this system is more ambitious, however, in that it is designed to self-contain all the control electronics inside the same vacuum chamber as an operating bismuth-fed thruster. Consequently, the entire system, including an on-board computer, DC-output power supplies, and a gas-pressurization electro-pneumatic regulator, must be designed to survive a vacuum environment and shielded to keep bismuth plasma from intruding on the electronics and causing a shortcircuit. In addition, the hot portions of the feed system must be thermally isolated from the electronics to avoid failure due to high heat loads. This is accomplished using a thermal protection system (TPS) consisting of multiple layers of aluminum foil. The only penetrations into the vacuum chamber are an electrically isolated (floating) 48 VDC line and a fiberoptic line. The 48 VDC provides power for operation of the power supplies and electronics co-located with the system in the vacuum chamber. The fiberoptic Ethernet connection is used to communicate user-input control commands to the on-board computer and transmit real-time data back to the external computer. The partially assembled second-generation system is shown. Before testing at Busek, a

  6. 75 FR 14491 - Listing of Color Additives Exempt From Certification; Bismuth Citrate

    Federal Register 2010, 2011, 2012, 2013, 2014

    2010-03-26

    ... DEPARTMENT OF HEALTH AND HUMAN SERVICES Food and Drug Administration 21 CFR Part 73 [Docket No. FDA-2008-C-0098] Listing of Color Additives Exempt From Certification; Bismuth Citrate AGENCY: Food... amending the color additive regulations to increase the permitted use level of bismuth citrate as a color...

  7. Improved toughness of silicon carbide

    NASA Technical Reports Server (NTRS)

    Palm, J. A.

    1976-01-01

    Impact energy absorbing layers (EALs) comprised of partially densified silicon carbide were formed in situ on fully sinterable silicon carbide substrates. After final sintering, duplex silicon carbide structures resulted which were comprised of a fully sintered, high density silicon carbide substrate or core, overlayed with an EAL of partially sintered silicon carbide integrally bonded to its core member. Thermal cycling tests proved such structures to be moderately resistant to oxidation and highly resistant to thermal shock stresses. The strength of the developed structures in some cases exceeded but essentially it remained the same as the fully sintered silicon carbide without the EAL. Ballistic impact tests indicated that substantial improvements in the toughness of sintered silicon carbide were achieved by the use of the partially densified silicon carbide EALs.

  8. Molybdenum disilicide composites reinforced with zirconia and silicon carbide

    DOEpatents

    Petrovic, J.J.

    1995-01-17

    Compositions are disclosed consisting essentially of molybdenum disilicide, silicon carbide, and a zirconium oxide component. The silicon carbide used in the compositions is in whisker or powder form. The zirconium oxide component is pure zirconia or partially stabilized zirconia or fully stabilized zirconia.

  9. Processing and Fabrication of High Temperature Oxide Superconductors

    DTIC Science & Technology

    1992-11-30

    I. Gusman and S. M. Johnson, "Cryochemical Method of Preparing Ultrafine Particles of High-Purity Superconducting Oxides," U.S. Patent 4,975,415...Supercon- PREPARING ULTRAFINE PARTICLES OF ducting-MRS EA 11, Apr. 1987, pp. 265-267. HIGH-PURITY SUPERCONDUCTING Materials and Processing Report vol. 2, No... ULTRAFINE PARTICLES OF HIGH-PURITY A. W. Sleight in U.S. Pa&. No. 3,932.315 discloses SUPERCONDUCTING OXIDES superconductive barium-lead-bismuth oxides of

  10. Self-limiting and complete oxidation of silicon nanostructures produced by laser ablation in water

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vaccaro, L.; Messina, F.; Camarda, P.

    2016-07-14

    Oxidized Silicon nanomaterials produced by 1064 nm pulsed laser ablation in deionized water are investigated. High-resolution transmission electron microscopy coupled with energy dispersive X-ray spectroscopy allows to characterize the structural and chemical properties at a sub-nanometric scale. This analysis clarifies that laser ablation induces both self-limiting and complete oxidation processes which produce polycrystalline Si surrounded by a layer of SiO{sub 2} and amorphous fully oxidized SiO{sub 2}, respectively. These nanostructures exhibit a composite luminescence spectrum which is investigated by time-resolved spectroscopy with a tunable laser excitation. The origin of the observed luminescence bands agrees with the two structural typologies: Si nanocrystalsmore » emit a μs-decaying red band; defects of SiO{sub 2} give rise to a ns-decaying UV band and two overlapping blue bands with lifetime in the ns and ms timescale.« less

  11. Topological Insulator State in Thin Bismuth Films Subjected to Plane Tensile Strain

    NASA Astrophysics Data System (ADS)

    Demidov, E. V.; Grabov, V. M.; Komarov, V. A.; Kablukova, N. S.; Krushel'nitskii, A. N.

    2018-03-01

    The results of experimental examination of galvanomagnetic properties of thin bismuth films subjected to plane tensile strain resulting from the difference in thermal expansion coefficients of the substrate material and bismuth are presented. The resistivity, the magnetoresistance, and the Hall coefficient were studied at temperatures ranging from 5 to 300 K in magnetic fields as strong as 0.65 T. Carrier densities were calculated. A considerable increase in carrier density in films thinner than 30 nm was observed. This suggests that surface states are more prominent in thin bismuth films on mica substrates, while the films themselves may exhibit the properties of a topological insulator.

  12. Method of Creating Micro-scale Silver Telluride Grains Covered with Bismuth Nanoparticles

    NASA Technical Reports Server (NTRS)

    Park, Yeonjoon (Inventor); Lee, Kunik (Inventor); Kim, Hyun-Jung (Inventor); Choi, Sang Hyouk (Inventor); King, Glen C. (Inventor)

    2014-01-01

    Provided is a method of enhancing thermoelectric performance by surrounding crystalline semiconductors with nanoparticles by contacting a bismuth telluride material with a silver salt under a substantially inert atmosphere and a temperature approximately near the silver salt decomposition temperature; and recovering a metallic bismuth decorated material comprising silver telluride crystal grains.

  13. JAGUAR Procedures for Detonation Behavior of Silicon Containing Explosives

    NASA Astrophysics Data System (ADS)

    Stiel, Leonard; Baker, Ernest; Capellos, Christos; Poulos, William; Pincay, Jack

    2007-06-01

    Improved relationships for the thermodynamic properties of solid and liquid silicon and silicon oxide for use with JAGUAR thermo-chemical equation of state routines were developed in this study. Analyses of experimental melting temperature curves for silicon and silicon oxide indicated complex phase behavior and that improved coefficients were required for solid and liquid thermodynamic properties. Advanced optimization routines were utilized in conjunction with the experimental melting point data to establish volumetric coefficients for these substances. The new property libraries resulted in agreement with available experimental values, including Hugoniot data at elevated pressures. Detonation properties were calculated with JAGUAR using the revised property libraries for silicon containing explosives. Constants of the JWLB equation of state were established for varying extent of silicon reaction. Supporting thermal heat transfer analyses were conducted for varying silicon particle sizes to establish characteristic times for melting and silicon reaction.

  14. Consequences of Atomic Oxygen Interaction With Silicone and Silicone Contamination on Surfaces in Low Earth Orbit

    NASA Technical Reports Server (NTRS)

    Banks, Bruce A.; deGroh, Kim K.; Rutledge, Sharon K.; Haytas, Christy A.

    1999-01-01

    The exposure of silicones to atomic oxygen in low Earth orbit causes oxidation of the surface, resulting in conversion of silicone to silica. This chemical conversion increases the elastic modulus of the surface and initiates the development of a tensile strain. Ultimately, with sufficient exposure, tensile strain leads to cracking of the surface enabling the underlying unexposed silicone to be converted to silica resulting in additional depth and extent of cracking. The use of silicone coatings for the protection of materials from atomic oxygen attack is limited because of the eventual exposure of underlying unprotected polymeric material due to deep tensile stress cracking of the oxidized silicone. The use of moderate to high volatility silicones in low Earth orbit has resulted in a silicone contamination arrival at surfaces which are simultaneously being bombarded with atomic oxygen, thus leading to conversion of the silicone contaminant to silica. As a result of these processes, a gradual accumulation of contamination occurs leading to deposits which at times have been up to several microns thick (as in the case of a Mir solar array after 10 years in space). The contamination species typically consist of silicon, oxygen and carbon. which in the synergistic environment of atomic oxygen and UV radiation leads to increased solar absorptance and reduced solar transmittance. A comparison of the results of atomic oxygen interaction with silicones and silicone contamination will be presented based on the LDEF, EOIM-111, Offeq-3 spacecraft and Mir solar array in-space results. The design of a contamination pin-hole camera space experiment which uses atomic oxygen to produce an image of the sources of silicone contamination will also be presented.

  15. METHOD OF MAINTAINING PLUTONIUM IN A HIGHER STATE OF OXIDATION DURING PROCESSING

    DOEpatents

    Thompson, S.G.; Miller, D.R.

    1959-06-30

    This patent deals with the oxidation of tetravalent plutonium contained in an aqueous acid solution together with fission products to the hexavalent state, prior to selective fission product precipitation, by adding to the solution bismuthate or ceric ions as the oxidant and a water-soluble dichromate as a holding oxidant. Both oxidant and holding oxidant are preferably added in greater than stoichiometric quantities with regard to the plutonium present.

  16. Develop Silicone Encapsulation Systems for Terrestrial Silicon Solar Arrays

    NASA Technical Reports Server (NTRS)

    1979-01-01

    A cost effective encapsulant system was identified and a silicone acrylic cover material containing a durable ultraviolet screening agent was prepared. The effectiveness of the cover material in protecting photo-oxidatively sensitive polymers was demonstrated.

  17. The Selective Epitaxy of Silicon at Low Temperatures.

    NASA Astrophysics Data System (ADS)

    Lou, Jen-Chung

    1991-01-01

    This dissertation has developed a process for the selective epitaxial growth (SEG) of silicon at low temperatures using a dichlorosilane-hydrogen mixture in a hot-wall low pressure chemical vapor deposition (LPCVD) reactor. Some basic issues concerning the quality of epilayers --substrate preparation, ex-situ and in-situ cleaning, and deposition cycle, have been studied. We find it necessary to use a plasma etch to open epitaxial windows for the SEG of Si. A cycled plasma etch, a thin sacrificial oxide growth, and an oxide etching step can completely remove plasma-etch-induced surface damage and contaminants, which result in high quality epilayers. A practical wafer cleaning step is developed for low temperature Si epitaxial growth. An ex-situ HF vapor treatment can completely remove chemical oxide from the silicon surface and retard the reoxidation of the silicon surface. An in-situ low-concentration DCS cycle can aid in decomposition of surface oxide during a 900 ^circC H_2 prebake step. An HF vapor treatment combined with a low-concentration of DCS cycle consistently achieves defect-free epilayers at 850^circC and lower temperatures. We also show that a BF_sp{2}{+ } or F^+ ion implantation is a potential ex-situ wafer cleaning process for SEG of Si at low temperatures. The mechanism for the formation of surface features on Si epilayers is also discussed. Based on O ^+ ion implantation, we showed that the oxygen incorporation in silicon epilayers suppresses the Si growth rate. Therefore, we attribute the formation of surface features to the local reduction of the Si growth rate due to the dissolution of oxide islands at the epi/substrate interface. Finally, with this developed process for the SEG of silicon, defect-free overgrown epilayers are also obtained. This achievement demonstrates the feasibility for the future silicon-on-oxide (SOI) manufacturing technology.

  18. Spin Hall effect and Landau spectrum of Dirac electrons in bismuth

    NASA Astrophysics Data System (ADS)

    Fuseya, Yuki

    2015-03-01

    Bismuth has played an important role in solid-state physics. Many key phenomena were first discovered in bismuth, such as diamagnetism, Seebeck, Nernst, Shubnikov-de Haas, and de Haas-van Alphen effects. These phenomena result from particular electronic states of bismuth. The strong spin-orbit interaction (~ 1.5eV) causes strong spin-dependent interband couplings resulting in an anomalous spin magnetic moment. We investigate the spin Hall effect and the angular dependent Landau spectrum of bismuth paying special attention to the effect of the anomalous spin magnetic moment. It is shown that the spin Hall insulator is possible and there is a fundamental relationship between the spin Hall conductivity and orbital diamagnetism in the insulating state of the Dirac electrons. Based on this theoretical finding, the magnitude of spin Hall conductivity is estimated for bismuth by that of orbital susceptibility. The magnitude of spin Hall conductivity turns out to be as large as 104Ω-1 cm-1, which is about 100 times larger than that of Pt. It is also shown that the ratio of the Zeeman splitting to the cyclotron energy, which reflects the effect of crystalline spin-orbit interaction, for holes at the T-point can be larger than 1.0 (the maximum of previous theories) and exhibit strong angular dependence, which gives a possible solution to the long-standing mystery of holes at the T-point. In collaboration with Masao Ogata, Hidetoshi Fukuyama, Zengwei Zhu, Benoît Fauqué, Woun Kang, and Kamran Behnia. Supported by JSPS (KAKENHI 24244053, 25870231, and 13428660).

  19. Limits on silicon nanoelectronics for terascale integration.

    PubMed

    Meindl, J D; Chen, Q; Davis, J A

    2001-09-14

    Throughout the past four decades, silicon semiconductor technology has advanced at exponential rates in both performance and productivity. Concerns have been raised, however, that the limits of silicon technology may soon be reached. Analysis of fundamental, material, device, circuit, and system limits reveals that silicon technology has an enormous remaining potential to achieve terascale integration (TSI) of more than 1 trillion transistors per chip. Such massive-scale integration is feasible assuming the development and economical mass production of double-gate metal-oxide-semiconductor field effect transistors with gate oxide thickness of about 1 nanometer, silicon channel thickness of about 3 nanometers, and channel length of about 10 nanometers. The development of interconnecting wires for these transistors presents a major challenge to the achievement of nanoelectronics for TSI.

  20. Silicon oxide nanoparticles doped PQ-PMMA for volume holographic imaging filters.

    PubMed

    Luo, Yuan; Russo, Juan M; Kostuk, Raymond K; Barbastathis, George

    2010-04-15

    Holographic imaging filters are required to have high Bragg selectivity, namely, narrow angular and spectral bandwidth, to obtain spatial-spectral information within a three-dimensional object. In this Letter, we present the design of holographic imaging filters formed using silicon oxide nanoparticles (nano-SiO(2)) in phenanthrenquinone-poly(methyl methacrylate) (PQ-PMMA) polymer recording material. This combination offers greater Bragg selectivity and increases the diffraction efficiency of holographic filters. The holographic filters with optimized ratio of nano-SiO(2) in PQ-PMMA can significantly improve the performance of Bragg selectivity and diffraction efficiency by 53% and 16%, respectively. We present experimental results and data analysis demonstrating this technique in use for holographic spatial-spectral imaging filters.

  1. Microstructure and Mechanical Properties of Tin-Bismuth Solder Reinforced by Aluminum Borate Whiskers

    NASA Astrophysics Data System (ADS)

    Wang, Jun; Wei, Hongmei; He, Peng; Lin, Tiesong; Lu, Fengjiao

    2015-10-01

    Tin-bismuth solder has emerged as a promising lead-free alternative to tin-lead solder, especially for low-temperature packaging applications. However, the intrinsic brittleness of tin-bismuth solder alloy, aggravated by the coarse bismuth-rich phase and the thick interfacial intermetallic layer, notably limits the mechanical performance of the bonded joints. In this work, the microstructure and mechanical performance of solder joints were improved by adding 3.2 vol.% aluminum borate whiskers to the tin-bismuth solder alloy. This whisker-reinforced composite solder was fabricated through a simple process. Typically, 25- μm to 75- μm tin-bismuth particles were mixed with a small amount of aluminum borate whiskers with diameter of 0.5 μm to 1.5 μm and length of 5 μm to 15 μm. The addition of whiskers restrained the formation of coarse brittle bismuth-rich phase and decreased the lamellar spacing from 0.84 μm to 7.94 μm to the range of 0.22 μm to 1.80 μm. Moreover, the growth rate of the interfacial intermetallic layer during the remelting treatment decreased as well. The joint shear strength increased from 19.4 MPa to 24.7 MPa, and only declined by 4.9% (average, -5.9% to 15.8%) after the tenth remelting, while the shear strength of the joint without whiskers declined by 31.5% (average, 10.1-44.1%). The solder alloy was reinforced because of their high strength and high modulus and also the refinement effect on the solder alloy microstructure.

  2. Fe3O4–Silicone Mixture as Flexible Actuator

    PubMed Central

    Song, Kahye

    2018-01-01

    In this study, we introduce Fe3O4-silicone flexible composite actuators fabricated by combining silicone and iron oxide particles. The actuators exploit the flexibility of silicone and the electric conductivity of iron oxide particles. These actuators are activated by electrostatic force using the properties of the metal particles. Herein, we investigate the characteristic changes in actuation performance by increasing the concentration of iron oxide from 1% to 20%. The developed flexible actuators exhibit a resonant frequency near 3 Hz and their actuation amplitudes increase with increasing input voltage. We found that the actuator can move well at metal particle concentrations >2.5%. We also studied the changes in actuation behavior, depending on the portion of the Fe3O4-silicone in the length. Overall, we experimentally analyzed the characteristics of the newly proposed metal particle-silicone composite actuators. PMID:29738466

  3. Bismuth doping effect on crystal structure and photodegradation activity of Bi-TiO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Wu, Ming-Chung; Chang, Yin-Hsuan; Lin, Ting-Han

    2017-04-01

    The bismuth precursor is adopted as dopant to synthesize bismuth doped titanium dioxide nanoparticles (Bi-TiO2 NPs) with sol-gel method following by the thermal annealing treatment. We systematically developed a series of Bi-TiO2 NPs at several calcination temperatures and discovered the corresponding crystal structure by varying the bismuth doping concentration. At a certain 650 °C calcination temperature, the crystal structure of bismuth titanate (Bi2Ti2O7) is formed when the bismuth doping concentration is as high as 10.0 mol %. The photocatalytic activity of Bi-TiO2 NPs is increased by varying the doping concentration at the particular calcination temperature. By the definition X-ray diffraction (XRD) structural identification, a phase diagram of Bi-TiO2 NPs in doping concentration versus calcination temperature is provided. It can be useful for further study in the crystal structure engineering and the development of photocatalyst.

  4. Bismuth molybdate thick films as ethanol sensor

    NASA Astrophysics Data System (ADS)

    Jain, Kiran; Kumar, Vipin; Gupta, H. P.; Rastogi, A. C.

    2003-10-01

    Ethanol sensitivity of bismuth molybdate thick films and sintered pellets were investigated. Sintered pellets were prepared by traditional ceramic processing. Thick films were prepared by metallorganic decomposition process. Ethanol gas sensitivity was measured at various temperatures and concentrations. Thick films of alpha phase bismuth molybdate prepared by spray pyrolysis showed a very fast response to ethanol detection. The response time for the bulk samples is about 40 sec which decreased to about 6 sec for thick films at an operating temperature of 300°C. An extremely low level approximately 10 ppm detection and fast response makes this technique ideal for sensor element fabrication for detection and estimation of alcohol in breath-analyzer. Unlike SnO2, the resistance of these sensors is not affected by humidity at the operating temperature.

  5. Reactive magnetron sputtering deposition of bismuth tungstate onto titania nanoparticles for enhancing visible light photocatalytic activity

    NASA Astrophysics Data System (ADS)

    Ratova, Marina; Kelly, Peter J.; West, Glen T.; Tosheva, Lubomira; Edge, Michele

    2017-01-01

    Titanium dioxide - bismuth tungstate composite materials were prepared by pulsed DC reactive magnetron sputtering of bismuth and tungsten metallic targets in argon/oxygen atmosphere onto anatase and rutile titania nanoparticles. The use of an oscillating bowl placed beneath the two magnetrons arranged in a co-planar closed field configuration enabled the deposition of bismuth tungstate onto loose powders, rather than a solid substrate. The atomic ratio of the bismuth/tungsten coatings was controlled by varying the power applied to each target. The effect of the bismuth tungstate coatings on the phase, optical and photocatalytic properties of titania was investigated by X-ray diffraction, energy-dispersive X-ray spectroscopy (EDX), Brunauer-Emmett-Teller (BET) surface area measurements, transmission electron microscopy (TEM), UV-vis diffuse reflectance spectroscopy and an acetone degradation test. The latter involved measurements of the rate of CO2 evolution under visible light irradiation of the photocatalysts, which indicated that the deposition of bismuth tungstate resulted in a significant enhancement of visible light activity, for both anatase and rutile titania particles. The best results were achieved for coatings with a bismuth to tungsten atomic ratio of 2:1. In addition, the mechanism by which the photocatalytic activity of the TiO2 nanoparticles was enhanced by compounding it with bismuth tungstate was studied by microwave cavity perturbation. The results of these tests confirmed that such enhancement of the photocatalytic properties is due to more efficient photogenerated charge carrier separation, as well as to the contribution of the intrinsic photocatalytic properties of Bi2WO6.

  6. Radiopacifier Particle Size Impacts the Physical Properties of Tricalcium Silicate–based Cements

    PubMed Central

    Saghiri, Mohammad Ali; Gutmann, James L.; Orangi, Jafar; Asatourian, Armen; Sheibani, Nader

    2016-01-01

    Introduction The aim of this study was to evaluate the impact of radiopaque additive, bismuth oxide, particle size on the physical properties, and radiopacity of tricalcium silicate–based cements. Methods Six types of tricalcium silicate cement (CSC) including CSC without bismuth oxide, CSC + 10% (wt%) regular bismuth oxide (particle size 10 μm), CSC + 20% regular bismuth oxide (simulating white mineral trioxide aggregate [WMTA]) as a control, CSC + 10% nano bismuth oxide (particle size 50–80 nm), CSC + 20% nano-size bismuth oxide, and nano WMTA (a nano modification of WMTA comprising nanoparticles in the range of 40–100 nm) were prepared. Twenty-four samples from each group were divided into 4 groups and subjected to push-out, surface microhardness, radiopacity, and compressive strength tests. Data were analyzed by 1-way analysis of variance with the post hoc Tukey test. Results The push-out and compressive strength of CSC without bismuth oxide and CSC with 10% and 20% nano bismuth oxide were significantly higher than CSC with 10% or 20% regular bismuth oxide (P < .05). The surface micro-hardness of CSC without bismuth oxide and CSC with 10% regular bismuth oxide had the lowest values (P < .05). The lowest radiopacity values were seen in CSC without bismuth oxide and CSC with 10% nano bismuth oxide (P < .05). Nano WMTA samples showed the highest values for all tested properties (P < .05) except for radiopacity. Conclusions The addition of 20% nano bismuth oxide enhanced the physical properties of CSC without any significant changes in radiopacity. Regular particle-size bismuth oxide reduced the physical properties of CSC material for tested parameters. PMID:25492489

  7. Bismuth- and lithium-loaded plastic scintillators for gamma and neutron detection

    NASA Astrophysics Data System (ADS)

    Cherepy, Nerine J.; Sanner, Robert D.; Beck, Patrick R.; Swanberg, Erik L.; Tillotson, Thomas M.; Payne, Stephen A.; Hurlbut, Charles R.

    2015-04-01

    Transparent plastic scintillators based on polyvinyltoluene (PVT) have been fabricated with high loading of bismuth carboxylates for gamma spectroscopy, and with lithium carboxylates for neutron detection. When activated with a combination of standard fluors, 2,5-diphenyloxazole (PPO) and tetraphenylbutadiene (TPB), gamma light yields with 15 wt% bismuth tripivalate of 5000 Ph/MeV are measured. A PVT plastic formulation including 30 wt% lithium pivalate and 30 wt% PPO offers both pulse shape discrimination, and a neutron capture peak at 400 keVee. In another configuration, a bismuth-loaded PVT plastic is coated with ZnS(6Li) paint, permitting simultaneous gamma and neutron detection via pulse shape discrimination with a figure-of-merit of 3.8, while offering gamma spectroscopy with energy resolution of R(662 keV)=15%.

  8. Porous silicon nanocrystals in a silica aerogel matrix

    PubMed Central

    2012-01-01

    Silicon nanoparticles of three types (oxide-terminated silicon nanospheres, micron-sized hydrogen-terminated porous silicon grains and micron-size oxide-terminated porous silicon grains) were incorporated into silica aerogels at the gel preparation stage. Samples with a wide range of concentrations were prepared, resulting in aerogels that were translucent (but weakly coloured) through to completely opaque for visible light over sample thicknesses of several millimetres. The photoluminescence of these composite materials and of silica aerogel without silicon inclusions was studied in vacuum and in the presence of molecular oxygen in order to determine whether there is any evidence for non-radiative energy transfer from the silicon triplet exciton state to molecular oxygen adsorbed at the silicon surface. No sensitivity to oxygen was observed from the nanoparticles which had partially H-terminated surfaces before incorporation, and so we conclude that the silicon surface has become substantially oxidised. Finally, the FTIR and Raman scattering spectra of the composites were studied in order to establish the presence of crystalline silicon; by taking the ratio of intensities of the silicon and aerogel Raman bands, we were able to obtain a quantitative measure of the silicon nanoparticle concentration independent of the degree of optical attenuation. PMID:22805684

  9. Porous silicon nanocrystals in a silica aerogel matrix.

    PubMed

    Amonkosolpan, Jamaree; Wolverson, Daniel; Goller, Bernhard; Polisski, Sergej; Kovalev, Dmitry; Rollings, Matthew; Grogan, Michael D W; Birks, Timothy A

    2012-07-17

    Silicon nanoparticles of three types (oxide-terminated silicon nanospheres, micron-sized hydrogen-terminated porous silicon grains and micron-size oxide-terminated porous silicon grains) were incorporated into silica aerogels at the gel preparation stage. Samples with a wide range of concentrations were prepared, resulting in aerogels that were translucent (but weakly coloured) through to completely opaque for visible light over sample thicknesses of several millimetres. The photoluminescence of these composite materials and of silica aerogel without silicon inclusions was studied in vacuum and in the presence of molecular oxygen in order to determine whether there is any evidence for non-radiative energy transfer from the silicon triplet exciton state to molecular oxygen adsorbed at the silicon surface. No sensitivity to oxygen was observed from the nanoparticles which had partially H-terminated surfaces before incorporation, and so we conclude that the silicon surface has become substantially oxidised. Finally, the FTIR and Raman scattering spectra of the composites were studied in order to establish the presence of crystalline silicon; by taking the ratio of intensities of the silicon and aerogel Raman bands, we were able to obtain a quantitative measure of the silicon nanoparticle concentration independent of the degree of optical attenuation.

  10. Increased InAs quantum dot size and density using bismuth as a surfactant

    NASA Astrophysics Data System (ADS)

    Dasika, Vaishno D.; Krivoy, E. M.; Nair, H. P.; Maddox, S. J.; Park, K. W.; Jung, D.; Lee, M. L.; Yu, E. T.; Bank, S. R.

    2014-12-01

    We have investigated the growth of self-assembled InAs quantum dots using bismuth as a surfactant to control the dot size and density. We find that the bismuth surfactant increases the quantum dot density, size, and uniformity, enabling the extension of the emission wavelength with increasing InAs deposition without a concomitant reduction in dot density. We show that these effects are due to bismuth acting as a reactive surfactant to kinetically suppress the surface adatom mobility. This mechanism for controlling quantum dot density and size has the potential to extend the operating wavelength and enhance the performance of various optoelectronic devices.

  11. Development of a Compton camera for medical applications based on silicon strip and scintillation detectors

    NASA Astrophysics Data System (ADS)

    Krimmer, J.; Ley, J.-L.; Abellan, C.; Cachemiche, J.-P.; Caponetto, L.; Chen, X.; Dahoumane, M.; Dauvergne, D.; Freud, N.; Joly, B.; Lambert, D.; Lestand, L.; Létang, J. M.; Magne, M.; Mathez, H.; Maxim, V.; Montarou, G.; Morel, C.; Pinto, M.; Ray, C.; Reithinger, V.; Testa, E.; Zoccarato, Y.

    2015-07-01

    A Compton camera is being developed for the purpose of ion-range monitoring during hadrontherapy via the detection of prompt-gamma rays. The system consists of a scintillating fiber beam tagging hodoscope, a stack of double sided silicon strip detectors (90×90×2 mm3, 2×64 strips) as scatter detectors, as well as bismuth germanate (BGO) scintillation detectors (38×35×30 mm3, 100 blocks) as absorbers. The individual components will be described, together with the status of their characterization.

  12. Organosilicon compounds. XVIII - Silicon-containing dianhydrides

    NASA Technical Reports Server (NTRS)

    Pratt, J. R.; Thames, S. F.

    1973-01-01

    Description of four new silicon-containing dianhydrides synthetized in an attempt to provide useful silicon-containing polyimide precursors. They were prepared by aqueous potassium permanganate-pyridine oxidations of corresponding tetramethyl intermediates to form tetracarboxylic acids, which were dehydrated to the dianhydrides.

  13. Strong and reversible modulation of carbon nanotube-silicon heterojunction solar cells by an interfacial oxide layer.

    PubMed

    Jia, Yi; Cao, Anyuan; Kang, Feiyu; Li, Peixu; Gui, Xuchun; Zhang, Luhui; Shi, Enzheng; Wei, Jinquan; Wang, Kunlin; Zhu, Hongwei; Wu, Dehai

    2012-06-21

    Deposition of nanostructures such as carbon nanotubes on Si wafers to make heterojunction structures is a promising route toward high efficiency solar cells with reduced cost. Here, we show a significant enhancement in the cell characteristics and power conversion efficiency by growing a silicon oxide layer at the interface between the nanotube film and Si substrate. The cell efficiency increases steadily from 0.5% without interfacial oxide to 8.8% with an optimal oxide thickness of about 1 nm. This systematic study reveals that formation of an oxide layer switches charge transport from thermionic emission to a mixture of thermionic emission and tunneling and improves overall diode properties, which are critical factors for tailoring the cell behavior. By controlled formation and removal of interfacial oxide, we demonstrate oscillation of the cell parameters between two extreme states, where the cell efficiency can be reversibly altered by a factor of 500. Our results suggest that the oxide layer plays an important role in Si-based photovoltaics, and it might be utilized to tune the cell performance in various nanostructure-Si heterojunction structures.

  14. Intracellular trafficking of silicon particles and logic-embedded vectors

    NASA Astrophysics Data System (ADS)

    Ferrati, Silvia; Mack, Aaron; Chiappini, Ciro; Liu, Xuewu; Bean, Andrew J.; Ferrari, Mauro; Serda, Rita E.

    2010-08-01

    Mesoporous silicon particles show great promise for use in drug delivery and imaging applications as carriers for second-stage nanoparticles and higher order particles or therapeutics. Modulation of particle geometry, surface chemistry, and porosity allows silicon particles to be optimized for specific applications such as vascular targeting and avoidance of biological barriers commonly found between the site of drug injection and the final destination. In this study, the intracellular trafficking of unloaded carrier silicon particles and carrier particles loaded with secondary iron oxide nanoparticles was investigated. Following cellular uptake, membrane-encapsulated silicon particles migrated to the perinuclear region of the cell by a microtubule-driven mechanism. Surface charge, shape (spherical and hemispherical) and size (1.6 and 3.2 μm) of the particle did not alter the rate of migration. Maturation of the phagosome was associated with an increase in acidity and acquisition of markers of late endosomes and lysosomes. Cellular uptake of iron oxide nanoparticle-loaded silicon particles resulted in sorting of the particles and trafficking to unique destinations. The silicon carriers remained localized in phagosomes, while the second stage iron oxide nanoparticles were sorted into multi-vesicular bodies that dissociated from the phagosome into novel membrane-bound compartments. Release of iron from the cells may represent exocytosis of iron oxide nanoparticle-loaded vesicles. These results reinforce the concept of multi-functional nanocarriers, in which different particles are able to perform specific tasks, in order to deliver single- or multi-component payloads to specific sub-cellular compartments.Mesoporous silicon particles show great promise for use in drug delivery and imaging applications as carriers for second-stage nanoparticles and higher order particles or therapeutics. Modulation of particle geometry, surface chemistry, and porosity allows silicon

  15. Viability of Bismuth as a Green Substitute for Lead in Jacketed .357 Magnum Revolver Bullets

    NASA Astrophysics Data System (ADS)

    Jenkins, Joel

    In seeking to develop environmentally friendly lead-free non-toxic bullets, the research ballistically evaluated the performance of copper-jacketed handgun bullets containing a pure bismuth core. The lead was first removed from 140 grain Hornady(TM) XTPRTM bullets of 38 caliber (.357 diameter) by melting. The empty jackets were then refilled with pure bismuth, including the forming of a correctly sized hollow-point cavity. Due to the lower density of bismuth as compared to lead, the bismuth-cored bullets consistently weighed 125 gains. Conveniently this allowed direct comparison to commercially available 125 grain Hornady(TM) XTPRTM lead-cored bullets of 38 caliber. Both bismuth-cored and lead-cored versions of the 125 grain bullets had identical nose dimensions and jacket material, the only dimensional difference being the bullet length below the cannelure. Shooting took place at an outdoor range using a 357 Magnum Ruger(TM) SP101RTM revolver with 3" barrel as the test weapon. FBI protocols were followed when firing through clothing, wallboard, plywood, steel plates and laminated glass. Wound paths and bullets were captured in ballistic gelatin, with data collected for velocity, penetration, expansion, and weight retention. Bismuth compared favorably with lead in all but the laminated glass test, where it under penetrated due to jacket separation.

  16. Spectroscopic Characterization of Extracellular Polymeric Substances from Escherichia coli and Serratia marcescens: Suppression using Sub-Inhibitory Concentrations of Bismuth Thiols

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Badireddy, Appala R.; Korpol, Bhoom Reddy; Chellam, Shankararaman

    2008-10-21

    Free and capsular EPS produced by Escherichia coli and Serratia marcescens were characterized in detail using Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), and Auger electron spectroscopy (AES). Total EPS production decreased upon treatment with sub-inhibitory concentrations of lipophilic bismuth thiols (bismuth dimercaptopropanol, BisBAL; bismuth ethanedithiol, BisEDT; and bismuth pyrithione, BisPYR), BisBAL being most effective. Bismuth thiols also influenced acetylation and carboxylation of polysaccharides in EPS from S. marcescens. Extensive homology between EPS samples in the presence and absence of bismuth was observed with proteins, polysaccharides, and nucleic acids varying predominantly only in the total amount expressed. Secondmore » derivative analysis of the amide I region of FTIR spectra revealed decreases in protein secondary structures in the presence of bismuth thiols. Hence, anti-fouling properties of bismuth thiols appear to originate in their ability to suppress O-acetylation and protein secondary structures in addition to total EPS secretion.« less

  17. Oxidation resistant alloys, method for producing oxidation resistant alloys

    DOEpatents

    Dunning, John S.; Alman, David E.

    2002-11-05

    A method for producing oxidation-resistant austenitic alloys for use at temperatures below 800 C. comprising of: providing an alloy comprising, by weight %: 14-18% chromium, 15-18% nickel, 1-3% manganese, 1-2% molybdenum, 2-4% silicon, 0% aluminum and the balance being iron; heating the alloy to 800 C. for between 175-250 hours prior to use in order to form a continuous silicon oxide film and another oxide film. The method provides a means of producing stainless steels with superior oxidation resistance at temperatures above 700 C. at a low cost

  18. Growth and Etch Rate Study of Low Temperature Anodic Silicon Dioxide Thin Films

    PubMed Central

    Ashok, Akarapu; Pal, Prem

    2014-01-01

    Silicon dioxide (SiO2) thin films are most commonly used insulating films in the fabrication of silicon-based integrated circuits (ICs) and microelectromechanical systems (MEMS). Several techniques with different processing environments have been investigated to deposit silicon dioxide films at temperatures down to room temperature. Anodic oxidation of silicon is one of the low temperature processes to grow oxide films even below room temperature. In the present work, uniform silicon dioxide thin films are grown at room temperature by using anodic oxidation technique. Oxide films are synthesized in potentiostatic and potentiodynamic regimes at large applied voltages in order to investigate the effect of voltage, mechanical stirring of electrolyte, current density and the water percentage on growth rate, and the different properties of as-grown oxide films. Ellipsometry, FTIR, and SEM are employed to investigate various properties of the oxide films. A 5.25 Å/V growth rate is achieved in potentiostatic mode. In the case of potentiodynamic mode, 160 nm thickness is attained at 300 V. The oxide films developed in both modes are slightly silicon rich, uniform, and less porous. The present study is intended to inspect various properties which are considered for applications in MEMS and Microelectronics. PMID:24672287

  19. Seedless Growth of Bismuth Nanowire Array via Vacuum Thermal Evaporation

    PubMed Central

    Liu, Mingzhao; Nam, Chang-Yong; Zhang, Lihua

    2015-01-01

    Here a seedless and template-free technique is demonstrated to scalably grow bismuth nanowires, through thermal evaporation in high vacuum at RT. Conventionally reserved for the fabrication of metal thin films, thermal evaporation deposits bismuth into an array of vertical single crystalline nanowires over a flat thin film of vanadium held at RT, which is freshly deposited by magnetron sputtering or thermal evaporation. By controlling the temperature of the growth substrate the length and width of the nanowires can be tuned over a wide range. Responsible for this novel technique is a previously unknown nanowire growth mechanism that roots in the mild porosity of the vanadium thin film. Infiltrated into the vanadium pores, the bismuth domains (~ 1 nm) carry excessive surface energy that suppresses their melting point and continuously expels them out of the vanadium matrix to form nanowires. This discovery demonstrates the feasibility of scalable vapor phase synthesis of high purity nanomaterials without using any catalysts. PMID:26709727

  20. Complete suppression of boron transient-enhanced diffusion and oxidation-enhanced diffusion in silicon using localized substitutional carbon incorporation

    NASA Astrophysics Data System (ADS)

    Carroll, M. S.; Chang, C.-L.; Sturm, J. C.; Büyüklimanli, T.

    1998-12-01

    In this letter, we show the ability, through introduction of a thin Si1-x-yGexCy layer, to eliminate the enhancement of enhanced boron diffusion in silicon due to an oxidizing surface or ion implant damage. This reduction of diffusion is accomplished through a low-temperature-grown thin epitaxial Si1-x-yGexCy layer which completely filters out excess interstitials introduced by oxidation or ion implant damage. We also quantify the oxidation-enhanced diffusion (OED) and transient-enhanced diffusion (TED) dependence on substitutional carbon level, and further report both the observation of carbon TED and OED, and its dependence on carbon levels.

  1. 21 CFR 73.2162 - Bismuth oxychloride.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... COLOR ADDITIVES EXEMPT FROM CERTIFICATION Cosmetics § 73.2162 Bismuth oxychloride. (a) Identity and... following diluents: (i) For coloring cosmetics generally, only those diluents listed under § 73.1001(a)(1); (ii) For coloring externally applied cosmetics, only those diluents listed in § 73.1001(b) and, in...

  2. 21 CFR 73.2162 - Bismuth oxychloride.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... COLOR ADDITIVES EXEMPT FROM CERTIFICATION Cosmetics § 73.2162 Bismuth oxychloride. (a) Identity and... following diluents: (i) For coloring cosmetics generally, only those diluents listed under § 73.1001(a)(1); (ii) For coloring externally applied cosmetics, only those diluents listed in § 73.1001(b) and, in...

  3. 21 CFR 73.2162 - Bismuth oxychloride.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... COLOR ADDITIVES EXEMPT FROM CERTIFICATION Cosmetics § 73.2162 Bismuth oxychloride. (a) Identity and... following diluents: (i) For coloring cosmetics generally, only those diluents listed under § 73.1001(a)(1); (ii) For coloring externally applied cosmetics, only those diluents listed in § 73.1001(b) and, in...

  4. High concentration effects of neutral-potential-well interface traps on recombination dc current-voltage lineshape in metal-oxide-silicon transistors

    NASA Astrophysics Data System (ADS)

    Chen, Zuhui; Jie, Bin B.; Sah, Chih-Tang

    2008-11-01

    Steady-state Shockley-Read-Hall kinetics is employed to explore the high concentration effect of neutral-potential-well interface traps on the electron-hole recombination direct-current current-voltage (R-DCIV) properties in metal-oxide-silicon field-effect transistors. Extensive calculations include device parameter variations in neutral-trapping-potential-well electron interface-trap density NET (charge states 0 and -1), dopant impurity concentration PIM, oxide thickness Xox, forward source/drain junction bias VPN, and transistor temperature T. It shows significant distortion of the R-DCIV lineshape by the high concentrations of the interface traps. The result suggests that the lineshape distortion observed in past experiments, previously attributed to spatial variation in surface impurity concentration and energy distribution of interface traps in the silicon energy gap, can also arise from interface-trap concentration along surface channel region.

  5. A silicon-on-insulator complementary-metal-oxide-semiconductor compatible flexible electronics technology

    NASA Astrophysics Data System (ADS)

    Tu, Hongen; Xu, Yong

    2012-07-01

    This paper reports a simple flexible electronics technology that is compatible with silicon-on-insulator (SOI) complementary-metal-oxide-semiconductor (CMOS) processes. Compared with existing technologies such as direct fabrication on flexible substrates and transfer printing, the main advantage of this technology is its post-SOI-CMOS compatibility. Consequently, high-performance and high-density CMOS circuits can be first fabricated on SOI wafers using commercial foundry and then be integrated into flexible substrates. The yield is also improved by eliminating the transfer printing step. Furthermore, this technology allows the integration of various sensors and microfluidic devices. To prove the concept of this technology, flexible MOSFETs have been demonstrated.

  6. Isotopic generator for bismuth-212 and lead-212 based on radium

    DOEpatents

    Hines, J.J.; Atcher, R.W.; Friedman, A.M.

    1985-01-30

    Disclosed are method and apparatus for providing radionuclides of bismuth-212 and lead-212. Thorium-228 and carrier solution starting material is input to a radiologically contained portion of an isotopic generator system, and radium-224 is separated from thorium-228 which is retained by a strongly basic anion exchange column. The separated radium-224 is transferred to an accessible, strongly acidic cationic exchange column. The cationic column retains the radium-224, and natural radioactive decay generates bismuth-212 and lead-212. The cationic exchange column can also be separated from the contained portion of the system and utilized without the extraordinary safety measures necessary in the contained portion. Furthermore, the cationic exchange column provides over a relatively long time period the short lived lead-212 and bismuth-212 radionuclides which are useful for a variety of medical therapies.

  7. Bismuth- and lithium-loaded plastic scintillators for gamma and neutron detection

    DOE PAGES

    Cherepy, Nerine J.; Sanner, Robert D.; Beck, Patrick R.; ...

    2015-01-09

    In this paper, transparent plastic scintillators based on polyvinyltoluene (PVT) have been fabricated with high loading of bismuth carboxylates for gamma spectroscopy, and with lithium carboxylates for neutron detection. When activated with a combination of standard fluors, 2,5-diphenyloxazole (PPO) and tetraphenylbutadiene (TPB), gamma light yields with 15 wt% bismuth tripivalate of 5000 Ph/MeV are measured. A PVT plastic formulation including 30 wt% lithium pivalate and 30 wt% PPO offers both pulse shape discrimination, and a neutron capture peak at ~400 keVee. Finally, in another configuration, a bismuth-loaded PVT plastic is coated with ZnS( 6Li) paint, permitting simultaneous gamma and neutronmore » detection via pulse shape discrimination with a figure-of-merit of 3.8, while offering gamma spectroscopy with energy resolution of R(662 keV)=15%.« less

  8. Characterization of hybrid cobalt-porous silicon systems: protective effect of the Matrix in the metal oxidation

    PubMed Central

    2012-01-01

    In the present work, the characterization of cobalt-porous silicon (Co-PSi) hybrid systems is performed by a combination of magnetic, spectroscopic, and structural techniques. The Co-PSi structures are composed by a columnar matrix of PSi with Co nanoparticles embedded inside, as determined by Transmission Electron Microscopy (TEM). The oxidation state, crystalline structure, and magnetic behavior are determined by X-Ray Absorption Spectroscopy (XAS) and Alternating Gradient Field Magnetometry (AGFM). Additionally, the Co concentration profile inside the matrix has been studied by Rutherford Backscattering Spectroscopy (RBS). It is concluded that the PSi matrix can be tailored to provide the Co nanoparticles with extra protection against oxidation. PMID:22938050

  9. Process for forming silicon carbide films and microcomponents

    DOEpatents

    Hamza, A.V.; Balooch, M.; Moalem, M.

    1999-01-19

    Silicon carbide films and microcomponents are grown on silicon substrates at surface temperatures between 900 K and 1700 K via C{sub 60} precursors in a hydrogen-free environment. Selective crystalline silicon carbide growth can be achieved on patterned silicon-silicon oxide samples. Patterned SiC films are produced by making use of the high reaction probability of C{sub 60} with silicon at surface temperatures greater than 900 K and the negligible reaction probability for C{sub 60} on silicon dioxide at surface temperatures less than 1250 K. 5 figs.

  10. Process for forming silicon carbide films and microcomponents

    DOEpatents

    Hamza, Alex V.; Balooch, Mehdi; Moalem, Mehran

    1999-01-01

    Silicon carbide films and microcomponents are grown on silicon substrates at surface temperatures between 900 K and 1700 K via C.sub.60 precursors in a hydrogen-free environment. Selective crystalline silicon carbide growth can be achieved on patterned silicon-silicon oxide samples. Patterned SiC films are produced by making use of the high reaction probability of C.sub.60 with silicon at surface temperatures greater than 900 K and the negligible reaction probability for C.sub.60 on silicon dioxide at surface temperatures less than 1250 K.

  11. 21 CFR 73.1162 - Bismuth oxychloride.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 1 2011-04-01 2011-04-01 false Bismuth oxychloride. 73.1162 Section 73.1162 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF... subpart as safe in color additive mixtures for coloring externally applied drugs. (b) Specifications. The...

  12. Feasibility study of silicon nitride regenerators

    NASA Technical Reports Server (NTRS)

    Fucinari, C. A.; Rao, V. D. N.

    1979-01-01

    The feasibility of silicon nitride as a regenerator matrix material for applications requiring inlet temperatures above 1000 C is examined. The present generation oxide ceramics are used as a reference to examine silicon nitride from a material characteristics, manufacturing, thermal stress and aerothermodynamic viewpoint.

  13. Silicon carbide-free graphene growth on silicon for lithium-ion battery with high volumetric energy density

    PubMed Central

    Son, In Hyuk; Hwan Park, Jong; Kwon, Soonchul; Park, Seongyong; Rümmeli, Mark H.; Bachmatiuk, Alicja; Song, Hyun Jae; Ku, Junhwan; Choi, Jang Wook; Choi, Jae-man; Doo, Seok-Gwang; Chang, Hyuk

    2015-01-01

    Silicon is receiving discernable attention as an active material for next generation lithium-ion battery anodes because of its unparalleled gravimetric capacity. However, the large volume change of silicon over charge–discharge cycles weakens its competitiveness in the volumetric energy density and cycle life. Here we report direct graphene growth over silicon nanoparticles without silicon carbide formation. The graphene layers anchored onto the silicon surface accommodate the volume expansion of silicon via a sliding process between adjacent graphene layers. When paired with a commercial lithium cobalt oxide cathode, the silicon carbide-free graphene coating allows the full cell to reach volumetric energy densities of 972 and 700 Wh l−1 at first and 200th cycle, respectively, 1.8 and 1.5 times higher than those of current commercial lithium-ion batteries. This observation suggests that two-dimensional layered structure of graphene and its silicon carbide-free integration with silicon can serve as a prototype in advancing silicon anodes to commercially viable technology. PMID:26109057

  14. Hot Electron Injection into Uniaxially Strained Silicon

    NASA Astrophysics Data System (ADS)

    Kim, Hyun Soo

    In semiconductor spintronics, silicon attracts great attention due to the long electron spin lifetime. Silicon is also one of the most commonly used semiconductor in microelectronics industry. The spin relaxation process of diamond crystal structure such as silicon is dominant by Elliot-Yafet mechanism. Yafet shows that intravalley scattering process is dominant. The conduction electron spin lifetime measured by electron spin resonance measurement and electronic measurement using ballistic hot electron method well agrees with Yafet's theory. However, the recent theory predicts a strong contribution of intervalley scattering process such as f-process in silicon. The conduction band minimum is close the Brillouin zone edge, X point which causes strong spin mixing at the conduction band. A recent experiment of electric field-induced hot electron spin relaxation also shows the strong effect of f-process in silicon. In uniaxially strained silicon along crystal axis [100], the suppression of f-process is predicted which leads to enhance electron spin lifetime. By inducing a change in crystal structure due to uniaxial strain, the six fold degeneracy becomes two fold degeneracy, which is valley splitting. As the valley splitting increases, intervalley scattering is reduced. A recent theory predicts 4 times longer electron spin lifetime in 0.5% uniaxially strained silicon. In this thesis, we demonstrate ballistic hot electron injection into silicon under various uniaxial strain. Spin polarized hot electron injection under strain is experimentally one of the most challenging part to measure conduction electron spin lifetime in silicon. Hot electron injection adopts tunnel junction which is a thin oxide layer between two conducting materials. Tunnel barrier, which is an oxide layer, is only 4 ˜ 5 nm thick. Also, two conducting materials are only tens of nanometer. Therefore, under high pressure to apply 0.5% strain on silicon, thin films on silicon substrate can be easily

  15. Silicon Cations Intermixed Indium Zinc Oxide Interface for High-Performance Thin-Film Transistors Using a Solution Process.

    PubMed

    Na, Jae Won; Rim, You Seung; Kim, Hee Jun; Lee, Jin Hyeok; Hong, Seonghwan; Kim, Hyun Jae

    2017-09-06

    Solution-processed amorphous metal-oxide thin-film transistors (TFTs) utilizing an intermixed interface between a metal-oxide semiconductor and a dielectric layer are proposed. In-depth physical characterizations are carried out to verify the existence of the intermixed interface that is inevitably formed by interdiffusion of cations originated from a thermal process. In particular, when indium zinc oxide (IZO) semiconductor and silicon dioxide (SiO 2 ) dielectric layer are in contact and thermally processed, a Si 4+ intermixed IZO (Si/IZO) interface is created. On the basis of this concept, a high-performance Si/IZO TFT having both a field-effect mobility exceeding 10 cm 2 V -1 s -1 and a on/off current ratio over 10 7 is successfully demonstrated.

  16. Process for strengthening silicon based ceramics

    DOEpatents

    Kim, Hyoun-Ee; Moorhead, A. J.

    1993-01-01

    A process for strengthening silicon based ceramic monolithic materials and omposite materials that contain silicon based ceramic reinforcing phases that requires that the ceramic be exposed to a wet hydrogen atmosphere at about 1400.degree. C. The process results in a dense, tightly adherent silicon containing oxide layer that heals, blunts , or otherwise negates the detrimental effect of strength limiting flaws on the surface of the ceramic body.

  17. Process for strengthening silicon based ceramics

    DOEpatents

    Kim, Hyoun-Ee; Moorhead, A. J.

    1993-04-06

    A process for strengthening silicon based ceramic monolithic materials and omposite materials that contain silicon based ceramic reinforcing phases that requires that the ceramic be exposed to a wet hydrogen atmosphere at about 1400.degree. C. The process results in a dense, tightly adherent silicon containing oxide layer that heals, blunts , or otherwise negates the detrimental effect of strength limiting flaws on the surface of the ceramic body.

  18. Improvements to a Flow Sensor for Liquid Bismuth-Fed Hall Thrusters

    NASA Technical Reports Server (NTRS)

    Bonds, Kevin; Polzin, Kurt A.

    2010-01-01

    Recently, there has been significant interest in using bismuth metal as a propellant in Hall Thrusters [1, 2]. Bismuth offers some considerable cost, weight, and space savings over the traditional propellant--xenon. Quantifying the performance of liquid metal-fed Hall thrusters requires a very precise measure of the low propellant flow rates [1, 2]. The low flow rates (10 mg/sec) and the temperature at which free flowing liquid bismuth exists (above 300 C) preclude the use of off-the-shelf flow sensing equipment [3]. Therefore a new type of sensor is required. The hotspot bismuth flow sensor, described in Refs. [1-5] is designed to perform a flow rate measurement by measuring the velocity at which a thermal feature moves through a flow chamber. The mass flow rate can be determined from the time of flight of the thermal peak, [4, 5]. Previous research and testing has been concerned mainly with the generation of the thermal peak and it's subsequent detection. In this paper, we present design improvements to the sensor concept; and the results of testing conducted to verify the functionality of these improvements. A ceramic material is required for the sensor body (see Fig. 1), which must allow for active heating of the bismuth flow channel to keep the propellant in a liquid state. The material must be compatible with bismuth and must be bonded to conductive elements to allow for conduction of current into the liquid metal and measurement of the temperature in the flow. The new sensor requires fabrication techniques that will allow for a very small diameter flow chamber, which is required to produce useful measurements. Testing of various materials has revealed several that are potentially compatible with liquid bismuth. Of primary concern in the fabrication and testing of a robust, working prototype, is the compatibility of the selected materials with one another. Specifically, the thermal expansion rates of the materials relative to the ceramic body cannot expand so

  19. Bismuth Oxysulfide and Its Polymer Nanocomposites for Efficient Purification

    PubMed Central

    Luo, Yidong; Qiao, Lina; Wang, Huanchun; Lan, Shun; Shen, Yang; Lin, Yuanhua; Nan, Cewen

    2018-01-01

    The danger of toxic organic pollutants in both aquatic and air environments calls for high-efficiency purification material. Herein, layered bismuth copper oxychalcogenides, BiCuSO, nanosheets of high photocatalytic activity were introduced to the PVDF (Polyvinylidene Fluoride). The fibrous membranes provide an easy, efficient, and recyclable way to purify organic pollutant. The physical and photophysical properties of the BiCuSO and its polymer composite were characterized by scanning electron microscopy (SEM), X-ray diffraction (XRD), ultraviolet-visible diffuse reflection spectroscopy (DRS), X-ray photoelectron spectroscopy (XPS), electron spin resonance (EPR). Photocatalysis of Congo Red reveals that the BiCuSO/PVDF shows a superior photocatalytic activity of a 55% degradation rate in 70 min at visible light. The high photocatalytic activity is attributed to the exposed active {101} facets and the triple vacant associates VBi‴VO••VBi‴. By engineering the intrinsic defects on the surface of bismuth oxysulfide, high solar-driven photocatalytic activity can be approached. The successful fabrication of the bismuth oxysulfide and its polymer nanocomposites provides an easy and general approach for high-performance purification materials for various applications. PMID:29562701

  20. Tin, Bismuth, and Tin–Bismuth Alloy Electrodeposition from Chlorometalate Salts in Deep Eutectic Solvents

    PubMed Central

    Vieira, Luciana; Burt, Jennifer; Richardson, Peter W.; Schloffer, Daniel; Fuchs, David; Moser, Alwin; Bartlett, Philip N.; Reid, Gillian

    2017-01-01

    Abstract The electrodeposition of tin, bismuth, and tin–bismuth alloys from SnII and BiIII chlorometalate salts in the choline chloride/ethylene glycol (1:2 molar ratio) deep eutectic solvent was studied on glassy carbon and gold by cyclic voltammetry, rotating disc voltammetry, and chronoamperometry. The SnII‐containing electrolyte showed one voltammetric redox process corresponding to SnII/Sn0. The diffusion coefficient of [SnCl3]−, detected as the dominating species by Raman spectroscopy, was determined from Levich and Cottrell analyses. The BiIII‐containing electrolyte showed two voltammetric reduction processes, both attributed to BiIII/Bi0. Dimensionless current/time transients revealed that the electrodeposition of both Sn and Bi on glassy carbon proceeded by 3D‐progressive nucleation at a low overpotential and changed to instantaneous at higher overpotentials. The nucleation rate of Bi on glassy carbon was considerably smaller than that of Sn. Elemental Sn and Bi were electrodeposited on Au‐coated glass slides from their respective salt solutions, as were Sn–Bi alloys from a 2:1 SnII/BiIII solution. The biphasic Sn–Bi alloys changed from a Bi‐rich composition to a Sn‐rich composition by making the deposition potential more negative. PMID:28638772

  1. Tin, Bismuth, and Tin-Bismuth Alloy Electrodeposition from Chlorometalate Salts in Deep Eutectic Solvents.

    PubMed

    Vieira, Luciana; Burt, Jennifer; Richardson, Peter W; Schloffer, Daniel; Fuchs, David; Moser, Alwin; Bartlett, Philip N; Reid, Gillian; Gollas, Bernhard

    2017-06-01

    The electrodeposition of tin, bismuth, and tin-bismuth alloys from Sn II and Bi III chlorometalate salts in the choline chloride/ethylene glycol (1:2 molar ratio) deep eutectic solvent was studied on glassy carbon and gold by cyclic voltammetry, rotating disc voltammetry, and chronoamperometry. The Sn II -containing electrolyte showed one voltammetric redox process corresponding to Sn II /Sn 0 . The diffusion coefficient of [SnCl 3 ] - , detected as the dominating species by Raman spectroscopy, was determined from Levich and Cottrell analyses. The Bi III -containing electrolyte showed two voltammetric reduction processes, both attributed to Bi III /Bi 0 . Dimensionless current/time transients revealed that the electrodeposition of both Sn and Bi on glassy carbon proceeded by 3D-progressive nucleation at a low overpotential and changed to instantaneous at higher overpotentials. The nucleation rate of Bi on glassy carbon was considerably smaller than that of Sn. Elemental Sn and Bi were electrodeposited on Au-coated glass slides from their respective salt solutions, as were Sn-Bi alloys from a 2:1 Sn II /Bi III solution. The biphasic Sn-Bi alloys changed from a Bi-rich composition to a Sn-rich composition by making the deposition potential more negative.

  2. Oxidation resistant alloys, method for producing oxidation resistant alloys

    DOEpatents

    Dunning, John S.; Alman, David E.

    2002-11-05

    A method for producing oxidation-resistant austenitic alloys for use at temperatures below 800.degree. C. comprising of: providing an alloy comprising, by weight %: 14-18% chromium, 15-18% nickel, 1-3% manganese, 1-2% molybdenum, 2-4% silicon, 0% aluminum and the balance being iron; heating the alloy to 800.degree. C. for between 175-250 hours prior to use in order to form a continuous silicon oxide film and another oxide film. The method provides a means of producing stainless steels with superior oxidation resistance at temperatures above 700.degree. C. at a low cost

  3. Oriented conductive oxide electrodes on SiO2/Si and glass

    DOEpatents

    Jia, Quanxi; Arendt, Paul N.

    2001-01-01

    A thin film structure is provided including a silicon substrate with a layer of silicon dioxide on a surface thereof, and a layer of cubic oxide material deposited upon the layer of silicon dioxide by ion-beam-assisted-deposition, said layer of cubic oxide material characterized as biaxially oriented. Preferably, the cubic oxide material is yttria-stabilized zirconia. Additional thin layers of biaxially oriented ruthenium oxide or lanthanum strontium cobalt oxide are deposited upon the layer of yttria-stabilized zirconia. An intermediate layer of cerium oxide is employed between the yttria-stabilized zirconia layer and the lanthanum strontium cobalt oxide layer. Also, a layer of barium strontium titanium oxide can be upon the layer of biaxially oriented ruthenium oxide or lanthanum strontium cobalt oxide. Also, a method of forming such thin film structures, including a low temperature deposition of a layer of a biaxially oriented cubic oxide material upon the silicon dioxide surface of a silicon dioxide/silicon substrate is provided.

  4. 21 CFR 73.2162 - Bismuth oxychloride.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... 21 Food and Drugs 1 2011-04-01 2011-04-01 false Bismuth oxychloride. 73.2162 Section 73.2162 Food and Drugs FOOD AND DRUG ADMINISTRATION, DEPARTMENT OF HEALTH AND HUMAN SERVICES GENERAL LISTING OF... following diluents: (i) For coloring cosmetics generally, only those diluents listed under § 73.1001(a)(1...

  5. The suitability of silicon carbide for photocatalytic water oxidation

    NASA Astrophysics Data System (ADS)

    Aslam, M.; Qamar, M. T.; Ahmed, Ikram; Rehman, Ateeq Ur; Ali, Shahid; Ismail, I. M. I.; Hameed, Abdul

    2018-04-01

    Silicon carbide (SiC), owing to its extraordinary chemical stability and refractory properties, is widely used in the manufacturing industry. Despite the semiconducting nature and morphology-tuned band gap, its efficacy as photocatalysts has not been thoroughly investigated. The current study reports the synthesis, characterization and the evaluation of the capability of silicon carbide for hydrogen generation from water splitting. The optical characterization of the as-synthesized powder exposed the formation of multi-wavelength absorbing entities in synthetic process. The structural analysis by XRD and the fine microstructure analysis by HRTEM revealed the cubic 3C-SiC (β-SiC) and hexagonal α-polymorphs (2H-SiC and 6H-SiC) as major and minor phases, respectively. The Mott-Schottky analysis verified the n-type nature of the material with the flat band potential of - 0.7 V. In the electrochemical evaluation, the sharp increase in the peak currents in various potential ranges, under illumination, revealed the plausible potential of the material for the oxidation of water and generation of hydrogen. The generation of hydrogen and oxygen, as a consequence of water splitting in the actual photocatalytic experiments, was observed and measured. A significant increase in the yield of hydrogen was noticed in the presence of methanol as h + scavenger, whereas a retarding effect was offered by the Fe3+ entities that served as e - scavengers. The combined effect of both methanol and Fe3+ ions in the photocatalytic process was also investigated. Besides hydrogen gas, the other evolved gasses such as methane and carbon monoxide were also measured to estimate the mechanism of the process.

  6. Silicon-graphene photonic devices

    NASA Astrophysics Data System (ADS)

    Yin, Yanlong; Li, Jiang; Xu, Yang; Tsang, Hon Ki; Dai, Daoxin

    2018-06-01

    Silicon photonics has attracted much attention because of the advantages of CMOS (complementary-metal-oxide-semiconductor) compatibility, ultra-high integrated density, etc. Great progress has been achieved in the past decades. However, it is still not easy to realize active silicon photonic devices and circuits by utilizing the material system of pure silicon due to the limitation of the intrinsic properties of silicon. Graphene has been regarded as a promising material for optoelectronics due to its unique properties and thus provides a potential option for realizing active photonic integrated devices on silicon. In this paper, we present a review on recent progress of some silicon-graphene photonic devices for photodetection, all-optical modulation, as well as thermal-tuning. Project supported by the National Major Research and Development Program (No. 2016YFB0402502), the National Natural Science Foundation of China (Nos. 11374263, 61422510, 61431166001, 61474099, 61674127), and the National Key Research and Development Program (No. 2016YFA0200200).

  7. Identification of Nanocrystalline Inclusions in Bismuth-Doped Silica Fibers and Preforms.

    PubMed

    Iskhakova, Liudmila D; Milovich, Filipp O; Mashinsky, Valery M; Zlenko, Alexander S; Borisovsky, Sergey E; Dianov, Evgeny M

    2016-10-01

    The nature of nanocrystalline inclusions and dopant distribution in bismuth-doped silicate fibers and preforms are studied by scanning and transmission electron microscopy, and energy and wavelength-dispersive X-ray microanalysis. The core compositions are Bi:SiO2, Bi:Al2O3-SiO2, Bi:GeO2-SiO2, Bi:Al2O3-GeO2-SiO2, and Bi:P2O5-Al2O3-GeO2-SiO2. Nanocrystals of metallic Bi, Bi2O3, SiO2, GeO2, and Bi4(GeO4)3 are observed in these glasses. These inclusions can be the reason for the background optical loss in bismuth-doped optical fibers. The bismuth concentration of 0.0048±0.0006 at% is directly measured in aluminosilicate optical fibers with effective laser generation (slope efficiency of 27% at room temperature).

  8. Myoclonic encephalopathy due to bismuth salts: treatment with dimercaprol and analysis of CSF transmitters.

    PubMed

    Molina, J A; Calandre, L; Bermejo, F

    1989-03-01

    Two cases of myoclonic encephalopathy due to bismuth salts intoxication are reported. In both, treatment with dimercaprol led to clinical recovery. This therapy was shown to enhance bismuth clearance. We also present data on the CSF metabolites dopamine, norepinephrine and serotonin of one patient.

  9. Low resistance Ohmic contact to p-type crystalline silicon via nitrogen-doped copper oxide films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, Xinyu, E-mail: xinyu.zhang@anu.edu.au; Wan, Yimao; Bullock, James

    2016-08-01

    This work explores the application of transparent nitrogen doped copper oxide (CuO{sub x}:N) films deposited by reactive sputtering to create hole-selective contacts for p-type crystalline silicon (c-Si) solar cells. It is found that CuO{sub x}:N sputtered directly onto crystalline silicon is able to form an Ohmic contact. X-ray photoelectron spectroscopy and Raman spectroscopy measurements are used to characterise the structural and physical properties of the CuO{sub x}:N films. Both the oxygen flow rate and the substrate temperature during deposition have a significant impact on the film composition, as well as on the resulting contact resistivity. After optimization, a low contactmore » resistivity of ∼10 mΩ cm{sup 2} has been established. This result offers significant advantages over conventional contact structures in terms of carrier transport and device fabrication.« less

  10. Hydrolysis studies on bismuth nitrate: synthesis and crystallization of four novel polynuclear basic bismuth nitrates.

    PubMed

    Miersch, L; Rüffer, T; Schlesinger, M; Lang, H; Mehring, M

    2012-09-03

    Hydrolysis of Bi(NO(3))(3) in aqueous solution gave crystals of the novel compounds [Bi(6)O(4)(OH)(4)(NO(3))(5)(H(2)O)](NO(3)) (1) and [Bi(6)O(4)(OH)(4)(NO(3))(6)(H(2)O)(2)]·H(2)O (2) among the series of hexanuclear bismuth oxido nitrates. Compounds 1 and 2 both crystallize in the monoclinic space group P2(1)/n but show significant differences in their lattice parameters: 1, a = 9.2516(6) Å, b = 13.4298(9) Å, c = 17.8471(14) Å, β = 94.531(6)°, V = 2210.5(3) Å(3); 2, a = 9.0149(3) Å, b = 16.9298(4) Å, c = 15.6864(4) Å, β = 90.129(3)°, V = 2394.06(12) Å(3). Variation of the conditions for partial hydrolysis of Bi(NO(3))(3) gave bismuth oxido nitrates of even higher nuclearity, [{Bi(38)O(45)(NO(3))(24)(DMSO)(26)}·4DMSO][{Bi(38)O(45)(NO(3))(24)(DMSO)(24)}·4DMSO] (3) and [{Bi(38)O(45)(NO(3))(24)(DMSO)(26)}·2DMSO][{Bi(38)O(45)(NO(3))(24)(DMSO)(24)}·0.5DMSO] (5), upon crystallization from DMSO. Bismuth oxido clusters 3 and 5 crystallize in the triclinic space group P1 both with two crystallographically independent molecules in the asymmetric unit. The following lattice parameters are observed: 3, a = 20.3804(10) Å, b = 20.3871(9) Å, c = 34.9715(15) Å, α = 76.657(4)°, β = 73.479(4)°, γ = 60.228(5)°, V = 12021.7(9) Å(3); 5, a = 20.0329(4) Å, b = 20.0601(4) Å, c = 34.3532(6) Å, α = 90.196(1)°, β = 91.344(2)°, γ = 119.370(2)°, V = 12025.8(4) Å(3). Differences in the number of DMSO molecules (coordinated and noncoordinated) and ligand (nitrate, DMSO) coordination modes are observed.

  11. Plasmaless cleaning process of silicon surface using chlorine trifluoride

    NASA Astrophysics Data System (ADS)

    Saito, Yoji; Yamaoka, Osamu; Yoshida, Akira

    1990-03-01

    Plasmaless etching using ClF3 gas around room temperature has been investigated for the silicon substrates with the various thicknesses of native oxide. The native oxide can be removed with ClF3 gas. A specular surface is obtained by ultraviolet light irradiation which remarkably accelerates the removal of the native oxide without changing the etch rate of silicon. The etched surface is analyzed with Auger electron measurement, indicating the existence of Cl atoms on it.

  12. Infrared photocurrent management in monolithic perovskite/silicon heterojunction tandem solar cells by using a nanocrystalline silicon oxide interlayer.

    PubMed

    Mazzarella, Luana; Werth, Matteo; Jäger, Klaus; Jošt, Marko; Korte, Lars; Albrecht, Steve; Schlatmann, Rutger; Stannowski, Bernd

    2018-05-14

    We performed optical simulations using hydrogenated nanocrystalline silicon oxide (nc-SiO x :H) as n-doped interlayer in monolithic perovskite/c-Si heterojunction tandem solar cells. Depending on the adjustable value of its refractive index (2.0 - 2.7) and thickness, nc-SiO x :H allows to optically manage the infrared light absorption in the c-Si bottom cell minimizing reflection losses. We give guidelines for nc-SiO x :H optimization in tandem devices in combination with a systematic investigation of the effect of the surface morphology (flat or textured) on the photocurrent density. For full-flat and rear textured devices, we found matched photocurrents higher than 19 and 20 mA/cm 2 , respectively, using a 90 nm nc-SiO x :H interlayer with a refractive index of 2.7.

  13. Bi12TiO20 crystallization in a Bi2O3-TiO2-SiO2-Nd2O3 system

    NASA Astrophysics Data System (ADS)

    Slavov, S.; Jiao, Z.

    2018-03-01

    Polycrystalline mono-phase bismuth titanate was produced by free cooling from melts heated to 1170 °C. The control over the initial amounts in the starting compositions in the system Bi2O3/TiO2/SiO2/Nd2O3 and over the thermal gradient of the heat process resulted in the formation of specific structures and microstructures of monophase sillenite ceramics. The main phase Bi12TiO20 belongs to the amorphous network groups based on oxides of silicon, bismuth and titanium. In this work, we demonstrated a way to control the crystalline and amorphous phase formation in bulk poly-crystalline materials in the selected system.

  14. Carrier Selective, Passivated Contacts for High Efficiency Silicon Solar Cells based on Transparent Conducting Oxides

    DOE PAGES

    Young, David L.; Nemeth, William; Grover, Sachit; ...

    2014-01-01

    We describe the design, fabrication and results of passivated contacts to n-type silicon utilizing thin SiO 2 and transparent conducting oxide layers. High temperature silicon dioxide is grown on both surfaces of an n-type wafer to a thickness <50 Å, followed by deposition of tin-doped indium oxide (ITO) and a patterned metal contacting layer. As deposited, the thin-film stack has a very high J0, contact, and a non-ohmic, high contact resistance. However, after a forming gas anneal, the passivation quality and the contact resistivity improve significantly. The contacts are characterized by measuring the recombination parameter of the contact (J0, contact)more » and the specific contact resistivity (ρ contact) using a TLM pattern. The best ITO/SiO 2 passivated contact in this study has J 0,contact = 92.5 fA/cm 2 and ρ contact = 11.5 mOhm-cm 2. These values are placed in context with other passivating contacts using an analysis that determines the ultimate efficiency and the optimal area fraction for contacts for a given set of (J0, contact, ρ contact) values. The ITO/SiO 2 contacts are found to have a higher J0, contact, but a similar ρ contact compared to the best reported passivated contacts.« less

  15. Shadow-casted ultrathin surface coatings of titanium and titanium/silicon oxide sol particles via ultrasound-assisted deposition.

    PubMed

    Karahan, H Enis; Birer, Özgür; Karakuş, Kerem; Yıldırım, Cansu

    2016-07-01

    Ultrasound-assisted deposition (USAD) of sol nanoparticles enables the formation of uniform and inherently stable thin films. However, the technique still suffers in coating hard substrates and the use of fast-reacting sol-gel precursors still remains challenging. Here, we report on the deposition of ultrathin titanium and titanium/silicon hybrid oxide coatings using hydroxylated silicon wafers as a model hard substrate. We use acetic acid as the catalyst which also suppresses the reactivity of titanium tetraisopropoxide while increasing the reactivity of tetraethyl orthosilicate through chemical modifications. Taking the advantage of this peculiar behavior, we successfully prepared titanium and titanium/silicon hybrid oxide coatings by USAD. Varying the amount of acetic acid in the reaction media, we managed to modulate thickness and surface roughness of the coatings in nanoscale. Field-emission scanning electron microscopy and atomic force microscopy studies showed the formation of conformal coatings having nanoroughness. Quantitative chemical state maps obtained by x-ray photoelectron spectroscopy (XPS) suggested the formation of ultrathin (<10nm) coatings and thickness measurements by rotating analyzer ellipsometry supported this observation. For the first time, XPS chemical maps revealed the transport effect of ultrasonic waves since coatings were directly cast on rectangular substrates as circular shadows of the horn with clear thickness gradient from the center to the edges. In addition to the progress made in coating hard substrates, employing fast-reacting precursors and achieving hybrid coatings; this report provides the first visual evidence on previously suggested "acceleration and smashing" mechanism as the main driving force of USAD. Copyright © 2016 Elsevier B.V. All rights reserved.

  16. Build-up enhancement of photoluminescence from phenylazomethine bismuth dendrimer using Bi(OTf)3

    NASA Astrophysics Data System (ADS)

    Kambe, Tetsuya; Imaoka, Shotaro; Imaoka, Takane; Yamamoto, Kimihisa

    2018-05-01

    Metal assembly to a dendrimer can provide various functionalities based on the branched structure. Here, we researched assembly phenomena of bismuth salts in the phenylazomethine dendrimer and achieved enhancement of emission intensity per metal unit by using Bi(OTf)3. This enhancement suggested increasing of Bi-N coordination bonds derived from the bismuth units in the dendrimer.

  17. Silicon nanocluster-sensitized emission from erbium: The role of stress in the formation of silicon nanoclusters

    NASA Astrophysics Data System (ADS)

    Ahmad, I.; Temple, M. P.; Kallis, A.; Wojdak, M.; Oton, C. J.; Barbier, D.; Saleh, H.; Kenyon, A. J.; Loh, W. H.

    2008-12-01

    Erbium-doped silicon-rich silicon oxide films deposited by plasma enhanced chemical vapor deposition suffer from compressive stress as deposited, which converts to a large tensile stress on annealing due to the release of hydrogen. Although the cracking that results from this stress can be avoided by patterning the films into ridges, significant stress remains along the ridge axis. Measurements of erbium photoluminescence sensitized by silicon nanoclusters in stressed and relaxed films suggest an important role for internal film stresses in promoting the phase separation of excess silicon into nanoclusters, which has previously been thought of as a thermally driven process.

  18. Contrasting role of antimony and bismuth dopants on the thermoelectric performance of lead selenide

    DOE PAGES

    Lee, Yeseul; Lo, Shih -Han; Chen, Changqiang; ...

    2014-05-02

    Increasing the conversion efficiency of thermoelectric materials is a key scientific driver behind a worldwide effort to enable heat to electricity power generation at competitive cost. Here we report an increased performance for antimony-doped lead selenide with a thermoelectric figure of merit of ~1.5 at 800 K. This is in sharp contrast to bismuth doped lead selenide, which reaches a figure of merit of <1. Substituting antimony or bismuth for lead achieves maximum power factors between ~23–27μW cm –1 K –2 at temperatures above 400 K. The addition of small amounts (~0.25 mol%) of antimony generates extensive nanoscale precipitates, whereasmore » comparable amounts of bismuth results in very few or no precipitates. The antimony-rich precipitates are endotaxial in lead selenide, and appear remarkably effective in reducing the lattice thermal conductivity. As a result, the corresponding bismuth-containing samples exhibit smaller reduction in lattice thermal conductivity.« less

  19. Transparent electrodes in silicon heterojunction solar cells: Influence on contact passivation

    DOE PAGES

    Tomasi, Andrea; Sahli, Florent; Seif, Johannes Peter; ...

    2015-10-26

    Charge carrier collection in silicon heterojunction solar cells occurs via intrinsic/doped hydrogenated amorphous silicon layer stacks deposited on the crystalline silicon wafer surfaces. Usually, both the electron and hole collecting stacks are externally capped by an n-type transparent conductive oxide, which is primarily needed for carrier extraction. Earlier, it has been demonstrated that the mere presence of such oxides can affect the carrier recombination in the crystalline silicon absorber. Here, we present a detailed investigation of the impact of this phenomenon on both the electron and hole collecting sides, including its consequences for the operating voltages of silicon heterojunction solarmore » cells. As a result, we define guiding principles for improved passivating contact design for high-efficiency silicon solar cells.« less

  20. Transparent electrodes in silicon heterojunction solar cells: Influence on contact passivation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tomasi, Andrea; Sahli, Florent; Seif, Johannes Peter

    Charge carrier collection in silicon heterojunction solar cells occurs via intrinsic/doped hydrogenated amorphous silicon layer stacks deposited on the crystalline silicon wafer surfaces. Usually, both the electron and hole collecting stacks are externally capped by an n-type transparent conductive oxide, which is primarily needed for carrier extraction. Earlier, it has been demonstrated that the mere presence of such oxides can affect the carrier recombination in the crystalline silicon absorber. Here, we present a detailed investigation of the impact of this phenomenon on both the electron and hole collecting sides, including its consequences for the operating voltages of silicon heterojunction solarmore » cells. As a result, we define guiding principles for improved passivating contact design for high-efficiency silicon solar cells.« less

  1. Separation of bismuth from gram amounts of thallium and silver by cation-exchange chromatography in nitric acid.

    PubMed

    Meintjies, E; Strelow, F W; Victor, A H

    1987-04-01

    Traces and small amounts of bismuth can be separated from gram amounts of thallium and silver by successively eluting these elements with 0.3M and 0.6M nitric acid from a column containing 13 ml (3 g) of AG50W-X4, a cation-exchanger (100-200 mesh particle size) with low cross-linking. Bismuth is retained and can be eluted with 0.2M hydrobromic acid containing 20% v/v acetone, leaving many other trace elements absorbed. Elution of thallium is quite sharp, but silver shows a small amount of tailing (less than 1 gmg/ml silver in the eluate) when gram amounts are present, between 20 and 80 mug of silver appearing in the bismuth fraction. Relevant elution curves and results for the analysis of synthetic mixtures containing between 50 mug and 10 mg of bismuth and up to more than 1 g of thallium and silver are presented, as well as results for bismuth in a sample of thallium metal and in Merck thallium(I) carbonate. As little as 0.01 ppm of bismuth can be determined when the separation is combined with electrothermal atomic-absorption spectrometry.

  2. Antireflection/Passivation Step For Silicon Cell

    NASA Technical Reports Server (NTRS)

    Crotty, Gerald T.; Kachare, Akaram H.; Daud, Taher

    1988-01-01

    New process excludes usual silicon oxide passivation. Changes in principal electrical parameters during two kinds of processing suggest antireflection treatment almost as effective as oxide treatment in passivating cells. Does so without disadvantages of SiOx passivation.

  3. 21 CFR 73.2110 - Bismuth citrate.

    Code of Federal Regulations, 2013 CFR

    2013-04-01

    ... restrictions. The color additive bismuth citrate may be safely used in cosmetics intended for coloring hair on..., eyebrows, or hair on parts of the body other than the scalp. (d) Labeling. (1) The label of the color... abraded scalp. Do not use to color eyelashes, eyebrows, or hair on parts of the body other than the scalp...

  4. 21 CFR 73.2110 - Bismuth citrate.

    Code of Federal Regulations, 2014 CFR

    2014-04-01

    ... restrictions. The color additive bismuth citrate may be safely used in cosmetics intended for coloring hair on..., eyebrows, or hair on parts of the body other than the scalp. (d) Labeling. (1) The label of the color... abraded scalp. Do not use to color eyelashes, eyebrows, or hair on parts of the body other than the scalp...

  5. 21 CFR 73.2110 - Bismuth citrate.

    Code of Federal Regulations, 2010 CFR

    2010-04-01

    ... restrictions. The color additive bismuth citrate may be safely used in cosmetics intended for coloring hair on..., eyebrows, or hair on parts of the body other than the scalp. (d) Labeling. (1) The label of the color... abraded scalp. Do not use to color eyelashes, eyebrows, or hair on parts of the body other than the scalp...

  6. 21 CFR 73.2110 - Bismuth citrate.

    Code of Federal Regulations, 2011 CFR

    2011-04-01

    ... restrictions. The color additive bismuth citrate may be safely used in cosmetics intended for coloring hair on..., eyebrows, or hair on parts of the body other than the scalp. (d) Labeling. (1) The label of the color... abraded scalp. Do not use to color eyelashes, eyebrows, or hair on parts of the body other than the scalp...

  7. 21 CFR 73.2110 - Bismuth citrate.

    Code of Federal Regulations, 2012 CFR

    2012-04-01

    ... restrictions. The color additive bismuth citrate may be safely used in cosmetics intended for coloring hair on..., eyebrows, or hair on parts of the body other than the scalp. (d) Labeling. (1) The label of the color... abraded scalp. Do not use to color eyelashes, eyebrows, or hair on parts of the body other than the scalp...

  8. Shape-controlled solvothermal synthesis of bismuth subcarbonate nanomaterials

    NASA Astrophysics Data System (ADS)

    Cheng, Gang; Yang, Hanmin; Rong, Kaifeng; Lu, Zhong; Yu, Xianglin; Chen, Rong

    2010-08-01

    Much effort has been devoted to the synthesis of novel nanostructured materials because of their unique properties and potential applications. Bismuth subcarbonate ((BiO) 2CO 3) is one of commonly used antibacterial agents against Helicobacter pylori ( H. pylori). Different (BiO) 2CO 3 nanostructures such as cube-like nanoparticles, nanobars and nanoplates, were fabricated from bismuth nitrate via a simple solvothermal method. The nanostructures were characterized by powder X-ray diffraction (XRD), scanning electron microscope (SEM), transmission electron microscopy (TEM) and high-resolution transmission electron microscopy (HRTEM). It was found that the solvents and precursors have an influence on the morphologies of (BiO) 2CO 3 nanostructures. The possible formation mechanism of different (BiO) 2CO 3 nanostructures fabricated under different conditions was also discussed.

  9. Structural and optical characterization of bismuth sulphide nanorods

    NASA Astrophysics Data System (ADS)

    Shah, N. M.; Poria, K. C.

    2017-05-01

    In this work Bismuth sulfide (Bi2S3) nanorods with a high order of crystallinity is synthesized via hydrothermal method from aqueous solution of Bismuth Nitrate Pentahydrate and elemental Sulphur using Triethanolamine (TEA) as capping agent. The microstructures of Bi2S3 nanorods were investigated by X-ray diffraction (XRD) analysis. The positions and relative intensities of all the peaks in XRD pattern are in good agreement with those of the orthorhombic crystal structure of Bi2S3. TEM images shows that synthesized Bi2S3 has morphology of nanorods while selected area electron diffraction pattern indicates single crystalline nature. The analysis of diffuse reflectance (DR) spectrum of as synthesized Bi2S3 using Kubelka - Munk theory suggests direct energy band gap of 1.5 eV.

  10. Volume and Surface Properties of a Bismuth-Containing Separating Nickel Melt

    NASA Astrophysics Data System (ADS)

    Filippov, K. S.

    2017-11-01

    The influence of a bismuth impurity on the properties of solid and liquid alloys in the concentration range that obeys Henry's law is considered. The structural and physicochemical properties, specifically, the density and the surface tension, of real melts are studied on relatively pure metals. The changes in the properties of the melts are estimated from changes in the temperature dependences of the density and the surface tension upon heating and cooling and in the concentration dependences of these parameters at a constant temperature. These dependences exhibit a correlation between the volume and surface properties of the melts: the density and the surface tension increase or decrease simultaneously. The introduction of bismuth in the nickel melt is accompanied by the appearance of a relatively strong compression effect (i.e., a decrease in the melt volume). At a certain bismuth content in the melt, the compression effect weakens because of the appearance of an excess phase or its associates and melt separation.

  11. Electron tunnelling into amorphous germanium and silicon.

    NASA Technical Reports Server (NTRS)

    Smith, C. W.; Clark, A. H.

    1972-01-01

    Measurements of tunnel conductance versus bias, capacitance versus bias, and internal photoemission were made in the systems aluminum-oxide-amorphous germanium and aluminium-oxide-amorphous silicon. A function was extracted which expresses the deviation of these systems from the aluminium-oxide-aluminium system.

  12. Effect of gamma irradiation on the photoluminescence of porous silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Elistratova, M. A., E-mail: Marina.Elistratova@mail.ioffe.ru; Romanov, N. M.; Goryachev, D. N.

    The effect of gamma irradiation on the luminescence properties of porous silicon produced by the electrochemical technique is studied. Changes in the photoluminescence intensity between irradiation doses and over a period of several days after the last irradiation are recorded. The quenching of photoluminescence at low irradiation doses and recovery after further irradiation are registered. It is found that porous silicon is strongly oxidized after gamma irradiation and the oxidation process continues for several days after irradiation. It is conceived that the change in the photoluminescence spectra and intensity of porous silicon after gamma irradiation is caused by a changemore » in the passivation type of the porous surface: instead of hydrogen passivation, more stable oxygen passivation is observed. To stabilize the photoluminescence spectra of porous silicon, the use of fullerenes is proposed. No considerable changes in the photoluminescence spectra during irradiation and up to 18 days after irradiation are detected in a porous silicon sample with a thermally deposited fullerene layer. It is shown that porous silicon samples with a deposited C{sub 60} layer are stable to gamma irradiation and oxidation.« less

  13. Graphene oxide as a p-dopant and an anti-reflection coating layer, in graphene/silicon solar cells

    NASA Astrophysics Data System (ADS)

    Yavuz, S.; Kuru, C.; Choi, D.; Kargar, A.; Jin, S.; Bandaru, P. R.

    2016-03-01

    It is shown that coating graphene-silicon (Gr/Si) Schottky junction based solar cells with graphene oxide (GO) improves the power conversion efficiency (PCE) of the cells, while demonstrating unprecedented device stability. The PCE has been shown to be increased to 10.6% (at incident radiation of 100 mW cm-2) for the Gr/Si solar cell with an optimal GO coating thickness compared to 3.6% for a bare/uncoated Gr/Si solar cell. The p-doping of graphene by the GO, which also serves as an antireflection coating (ARC) has been shown to be a main contributing factor to the enhanced PCE. A simple spin coating process has been used to apply GO with thickness commensurate with an anti-refection coating (ARC) and indicates the suitability of the developed methodology for large-scale solar cell assembly.It is shown that coating graphene-silicon (Gr/Si) Schottky junction based solar cells with graphene oxide (GO) improves the power conversion efficiency (PCE) of the cells, while demonstrating unprecedented device stability. The PCE has been shown to be increased to 10.6% (at incident radiation of 100 mW cm-2) for the Gr/Si solar cell with an optimal GO coating thickness compared to 3.6% for a bare/uncoated Gr/Si solar cell. The p-doping of graphene by the GO, which also serves as an antireflection coating (ARC) has been shown to be a main contributing factor to the enhanced PCE. A simple spin coating process has been used to apply GO with thickness commensurate with an anti-refection coating (ARC) and indicates the suitability of the developed methodology for large-scale solar cell assembly. Electronic supplementary information (ESI) available: (i) Experimental methods, (ii) optical images of devices with and without graphene oxide (GO), (iii) comparison of the power conversion efficiency (PCE) due to the GO coating and nitric acid doping, (iv) specular and diffuse reflectance measurements, (v) stability data of pristine graphene/silicon (Gr/Si) solar cells. See DOI: 10.1039/c5

  14. Bis(tri-n-hexylsilyl oxide) silicon phthalocyanine: a unique additive in ternary bulk heterojunction organic photovoltaic devices.

    PubMed

    Lessard, Benoît H; Dang, Jeremy D; Grant, Trevor M; Gao, Dong; Seferos, Dwight S; Bender, Timothy P

    2014-09-10

    Previous studies have shown that the use of bis(tri-n-hexylsilyl oxide) silicon phthalocyanine ((3HS)2-SiPc) as an additive in a P3HT:PC61BM cascade ternary bulk heterojunction organic photovoltaic (BHJ OPV) device results in an increase in the short circuit current (J(SC)) and efficiency (η(eff)) of up to 25% and 20%, respectively. The previous studies have attributed the increase in performance to the presence of (3HS)2-SiPc at the BHJ interface. In this study, we explored the molecular characteristics of (3HS)2-SiPc which makes it so effective in increasing the OPV device J(SC) and η(eff. Initially, we synthesized phthalocyanine-based additives using different core elements such as germanium and boron instead of silicon, each having similar frontier orbital energies compared to (3HS)2-SiPc and tested their effect on BHJ OPV device performance. We observed that addition of bis(tri-n-hexylsilyl oxide) germanium phthalocyanine ((3HS)2-GePc) or tri-n-hexylsilyl oxide boron subphthalocyanine (3HS-BsubPc) resulted in a nonstatistically significant increase in JSC and η(eff). Secondly, we kept the silicon phthalocyanine core and substituted the tri-n-hexylsilyl solubilizing groups with pentadecyl phenoxy groups and tested the resulting dye in a BHJ OPV. While an increase in JSC and η(eff) was observed at low (PDP)2-SiPc loadings, the increase was not as significant as (3HS)2-SiPc; therefore, (3HS)2-SiPc is a unique additive. During our study, we observed that (3HS)2-SiPc had an extraordinary tendency to crystallize compared to the other compounds in this study and our general experience. On the basis of this observation, we have offered a hypothesis that when (3HS)2-SiPc migrates to the P3HT:PC61BM interface the reason for its unique performance is not solely due to its frontier orbital energies but also might be due to a high driving force for crystallization.

  15. Fabrication of Nanovoid-Imbedded Bismuth Telluride with Low Dimensional System

    NASA Technical Reports Server (NTRS)

    Chu, Sang-Hyon (Inventor); Choi, Sang H. (Inventor); Kim, Jae-Woo (Inventor); Park, Yeonjoon (Inventor); Elliott, James R. (Inventor); King, Glen C. (Inventor); Stoakley, Diane M. (Inventor)

    2013-01-01

    A new fabrication method for nanovoids-imbedded bismuth telluride (Bi--Te) material with low dimensional (quantum-dots, quantum-wires, or quantum-wells) structure was conceived during the development of advanced thermoelectric (TE) materials. Bismuth telluride is currently the best-known candidate material for solid-state TE cooling devices because it possesses the highest TE figure of merit at room temperature. The innovative process described here allows nanometer-scale voids to be incorporated in Bi--Te material. The final nanovoid structure such as void size, size distribution, void location, etc. can be also controlled under various process conditions.

  16. Comparative cytotoxicity and genotoxicity of cobalt (II, III) oxide, iron (III) oxide, silicon dioxide, and aluminum oxide nanoparticles on human lymphocytes in vitro.

    PubMed

    Rajiv, S; Jerobin, J; Saranya, V; Nainawat, M; Sharma, A; Makwana, P; Gayathri, C; Bharath, L; Singh, M; Kumar, M; Mukherjee, A; Chandrasekaran, N

    2016-02-01

    Despite the extensive use of nanoparticles (NPs) in various fields, adequate knowledge of human health risk and potential toxicity is still lacking. The human lymphocytes play a major role in the immune system, and it can alter the antioxidant level when exposed to NPs. Identification of the hazardous NPs was done using in vitro toxicity tests and this study mainly focuses on the comparative in vitro cytotoxicity and genotoxicity of four different NPs including cobalt (II, III) oxide (Co3O4), iron (III) oxide (Fe2O3), silicon dioxide (SiO2), and aluminum oxide (Al2O3) on human lymphocytes. The Co3O4 NPs showed decrease in cellular viability and increase in cell membrane damage followed by Fe2O3, SiO2, and Al2O3 NPs in a dose-dependent manner after 24 h of exposure to human lymphocytes. The oxidative stress was evidenced in human lymphocytes by the induction of reactive oxygen species, lipid peroxidation, and depletion of catalase, reduced glutathione, and superoxide dismutase. The Al2O3 NPs showed the least DNA damage when compared with all the other NPs. Chromosomal aberration was observed at 100 µg/ml when exposed to Co3O4 NPs and Fe2O3 NPs. The alteration in the level of antioxidant caused DNA damage and chromosomal aberration in human lymphocytes. © The Author(s) 2015.

  17. Porous silicon carbide (SIC) semiconductor device

    NASA Technical Reports Server (NTRS)

    Shor, Joseph S. (Inventor); Kurtz, Anthony D. (Inventor)

    1996-01-01

    Porous silicon carbide is fabricated according to techniques which result in a significant portion of nanocrystallites within the material in a sub 10 nanometer regime. There is described techniques for passivating porous silicon carbide which result in the fabrication of optoelectronic devices which exhibit brighter blue luminescence and exhibit improved qualities. Based on certain of the techniques described porous silicon carbide is used as a sacrificial layer for the patterning of silicon carbide. Porous silicon carbide is then removed from the bulk substrate by oxidation and other methods. The techniques described employ a two-step process which is used to pattern bulk silicon carbide where selected areas of the wafer are then made porous and then the porous layer is subsequently removed. The process to form porous silicon carbide exhibits dopant selectivity and a two-step etching procedure is implemented for silicon carbide multilayers.

  18. Surface acoustic wave/silicon monolithic sensor/processor

    NASA Technical Reports Server (NTRS)

    Kowel, S. T.; Kornreich, P. G.; Nouhi, A.; Kilmer, R.; Fathimulla, M. A.; Mehter, E.

    1983-01-01

    A new technique for sputter deposition of piezoelectric zinc oxide (ZnO) is described. An argon-ion milling system was converted to sputter zinc oxide films in an oxygen atmosphere using a pure zinc oxide target. Piezoelectric films were grown on silicon dioxide and silicon dioxide overlayed with gold. The sputtered films were evaluated using surface acoustic wave measurements, X-ray diffraction, scanning electron microscopy, Auger electron spectroscopy, and resistivity measurements. The effect of the sputtering conditions on the film quality and the result of post-deposition annealing are discussed. The application of these films to the generation of surface acoustic waves is also discussed.

  19. Plasma Enabled Fabrication of Silicon Carbide Nanostructures

    NASA Astrophysics Data System (ADS)

    Fang, Jinghua; Levchenko, Igor; Aramesh, Morteza; Rider, Amanda E.; Prawer, Steven; Ostrikov, Kostya (Ken)

    Silicon carbide is one of the promising materials for the fabrication of various one- and two-dimensional nanostructures. In this chapter, we discuss experimental and theoretical studies of the plasma-enabled fabrication of silicon carbide quantum dots, nanowires, and nanorods. The discussed fabrication methods include plasma-assisted growth with and without anodic aluminium oxide membranes and with or without silane as a source of silicon. In the silane-free experiments, quartz was used as a source of silicon to synthesize the silicon carbide nanostructures in an environmentally friendly process. The mechanism of the formation of nanowires and nanorods is also discussed.

  20. Metal-assisted chemical etch porous silicon formation method

    DOEpatents

    Li, Xiuling; Bohn, Paul W.; Sweedler, Jonathan V.

    2004-09-14

    A thin discontinuous layer of metal such as Au, Pt, or Au/Pd is deposited on a silicon surface. The surface is then etched in a solution including HF and an oxidant for a brief period, as little as a couple seconds to one hour. A preferred oxidant is H.sub.2 O.sub.2. Morphology and light emitting properties of porous silicon can be selectively controlled as a function of the type of metal deposited, Si doping type, silicon doping level, and/or etch time. Electrical assistance is unnecessary during the chemical etching of the invention, which may be conducted in the presence or absence of illumination.

  1. Enhancement of Er optical efficiency through bismuth sensitization in yttrium oxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Scarangella, Adriana; Dipartimento di Fisica e Astronomia, Università di Catania, Via S. Sofia 64, 95123 Catania; Reitano, Riccardo

    2015-07-27

    The process of energy transfer (ET) between optically active ions has been widely studied to improve the optical efficiency of a system for different applications, from lighting and photovoltaics to silicon microphotonics. In this work, we report the influence of Bi on the Er optical emission in erbium-yttrium oxide thin films synthesized by magnetron co-sputtering. We demonstrate that this host permits to well dissolve Er and Bi ions, avoiding their clustering, and thus to stabilize the optically active Er{sup 3+} and Bi{sup 3+} valence states. In addition, we establish the ET occurrence from Bi{sup 3+} to Er{sup 3+} by themore » observed Bi{sup 3+} PL emission decrease and the simultaneous Er{sup 3+} photoluminescence (PL) emission increase. This was further confirmed by the coincidence of the Er{sup 3+} and Bi{sup 3+} excitation bands, analyzed by PL excitation spectroscopy. By increasing the Bi content of two orders of magnitude inside the host, though the occurrence of Bi-Bi interactions becomes deleterious for Bi{sup 3+} optical efficiency, the ET process between Bi{sup 3+} and Er{sup 3+} is still prevalent. We estimate ET efficiency of 70% for the optimized Bi:Er ratio equal to 1:3. Moreover, we have demonstrated to enhance the Er{sup 3+} effective excitation cross section by more than three orders of magnitude with respect to the direct one, estimating a value of 5.3 × 10{sup −18} cm{sup 2}, similar to the expected Bi{sup 3+} excitation cross section. This value is one of the highest obtained for Er in Si compatible hosts. These results make this material very promising as an efficient emitter for Si-compatible photonics devices.« less

  2. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    PubMed

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  3. The reactive element effect of yttrium and yttrium silicon on high temperature oxidation of NiCrAl coating

    NASA Astrophysics Data System (ADS)

    Ramandhany, S.; Sugiarti, E.; Desiati, R. D.; Martides, E.; Junianto, E.; Prawara, B.; Sukarto, A.; Tjahjono, A.

    2018-03-01

    The microstructure formed on the bond coat affects the oxidation resistance, particularly the formation of a protective oxide layer. The adhesion of bond coat and TGO increased significantly by addition of reactive element. In the present work, the effect of yttrium and yttrium silicon as reactive element (RE) on NiCrAl coating was investigated. The NiCrAl (without RE) and NiCrAlX (X:Y or YSi) bond coating were deposited on Hastelloy C-276 substrate by High Velocity Oxygen Fuel (HVOF) method. Isothermal oxidation was carried out at 1000 °C for 100 hours. The results showed that the addition of RE could prevent the breakaway oxidation. Therefore, the coating with reactive element were more protective against high temperature oxidation. Furthermore, the oxidation rate of NiCrAlY coating was lower than NiCrAlYSi coating with the total mass change was ±2.394 mg/cm2 after 100 hours of oxidation. The thickness of oxide scale was approximately 1.18 μm consisting of duplex oxide scale of spinel NiCr2O4 in outer scale and protective α-Al2O3 in inner scale.

  4. Bismuth oxyiodide incorporated reduced graphene oxide nanocomposite material as an efficient photocatalyst for visible light assisted degradation of organic pollutants

    NASA Astrophysics Data System (ADS)

    Vinoth, R.; Babu, S. Ganesh; Ramachandran, R.; Neppolian, B.

    2017-10-01

    Herein, Bismuth oxyiodide (BiOI) - reduced graphene oxide (rGO) photocatalysts were prepared via simple hydrothermal method. The BiOI-rGO photocatalyst exhibited high crystallinity with tetragonal phase of BiOI. In addition, the electronic interaction between rGO sheet and BiOI reduced the band-gap value from 1.86 eV of bare BiOI to 1.51 eV of BiOI-rGO (6 wt%) photocatalyst. More interestingly, the rGO showed a strong influence on tailoring the morphology of BiOI to different nanostructures with different rGO loading (wt%), which further reflected differences in the photocatalytic activity. A significant quenching in the photoluminescence intensity of rGO supported BiOI photocatalyst confirmed the effective suppression of electron-hole pair recombination. The optimized rGO (4 wt%) loaded BiOI photocatalyst significantly improved the photocatalytic activity (∼85%) towards the degradation of methyl orange (MO) dye compared to that of pristine BiOI (∼29%). Thus, around three folds enhancement in the photocatalytic activity of BiOI-rGO (4 wt%) catalyst was mainly attributed to ultrafast separation of electron-hole pairs and rapid transportation of carriers by rGO support. The superior photocatalytic activity demonstrated by this newly synthesized BiOI-rGO photocatalyst makes it's a potential candidate for environmental remediation process.

  5. Silicon heterojunction solar cell with passivated hole selective MoOx contact

    NASA Astrophysics Data System (ADS)

    Battaglia, Corsin; de Nicolás, Silvia Martín; De Wolf, Stefaan; Yin, Xingtian; Zheng, Maxwell; Ballif, Christophe; Javey, Ali

    2014-03-01

    We explore substoichiometric molybdenum trioxide (MoOx, x < 3) as a dopant-free, hole-selective contact for silicon solar cells. Using an intrinsic hydrogenated amorphous silicon passivation layer between the oxide and the silicon absorber, we demonstrate a high open-circuit voltage of 711 mV and power conversion efficiency of 18.8%. Due to the wide band gap of MoOx, we observe a substantial gain in photocurrent of 1.9 mA/cm2 in the ultraviolet and visible part of the solar spectrum, when compared to a p-type amorphous silicon emitter of a traditional silicon heterojunction cell. Our results emphasize the strong potential for oxides as carrier selective heterojunction partners to inorganic semiconductors.

  6. Interaction at the silicon/transition metal oxide heterojunction interface and its effect on the photovoltaic performance.

    PubMed

    Liang, Zhimin; Su, Mingze; Zhou, Yangyang; Gong, Li; Zhao, Chuanxi; Chen, Keqiu; Xie, Fangyan; Zhang, Weihong; Chen, Jian; Liu, Pengyi; Xie, Weiguang

    2015-11-07

    The interfacial reaction and energy level alignment at the Si/transition metal oxide (TMO, including MoO3-x, V2O5-x, WO3-x) heterojunction are systematically investigated. We confirm that the interfacial reaction appears during the thermal deposition of TMO, with the reaction extent increasing from MoO3-x, to V2O5-x, and to WO3-x. The reaction causes the surface oxidation of silicon for faster electron/hole recombination, and the reduction of TMO for effective hole collection. The photovoltaic performance of the Si/TMO heterojunction devices is affected by the interface reaction. MoO3-x are the best hole selecting materials that induce least surface oxidation but strongest reduction. Compared with H-passivation, methyl group passivation is an effective way to reduce the interface reaction and improve the interfacial energy level alignment for better electron and hole collection.

  7. Intracellular Trafficking of Silicon Particles and Logic-Embedded Vectors

    PubMed Central

    Ferrati, Silvia; Mack, Aaron; Chiappini, Ciro; Liu, Xuewu; Bean, Andrew J.; Ferrari, Mauro; Serda, Rita E.

    2010-01-01

    Mesoporous silicon particles show great promise for use in drug delivery and imaging applications as carriers for second-stage nanoparticles and higher order particles or therapeutics. Modulation of particle geometry, surface chemistry, and porosity allows silicon particles to be optimized for specific applications such as vascular targeting and avoidance of biological barriers commonly found between the site of drug injection and the final destination. In this study, the intracellular trafficking of unloaded carrier silicon particles and carrier particles loaded with secondary iron oxide nanoparticles was investigated. Following cellular uptake, membrane-encapsulated silicon particles migrated to the perinuclear region of the cell by a microtubule-driven mechanism. Surface charge, shape (spherical and hemispherical) and size (1.6 and 3.2 μm) of the particle did not alter the rate of migration. Maturation of the phagosome was associated with an increase in acidity and acquisition of markers of late endosomes and lysosomes. Cellular uptake of iron oxide nanoparticle-loaded silicon particles resulted in sorting of the particles and trafficking to unique destinations. The silicon carriers remained localized in phagosomes, while the second stage iron oxide nanoparticles were sorted into multi-vesicular bodies that dissociated from the phagosome into novel membrane-bound compartments. Release of iron from the cells may represent exocytosis of iron oxide nanoparticle-loaded vesicles. These results reinforce the concept of multi-functional nanocarriers, in which different particles are able to perform specific tasks, in order to deliver single- or multi-component payloads to specific sub-cellular compartments. PMID:20820744

  8. Synthesis of silicon nanotubes with cobalt silicide ends using anodized aluminum oxide template.

    PubMed

    Zhang, Zhang; Liu, Lifeng; Shimizu, Tomohiro; Senz, Stephan; Gösele, Ulrich

    2010-02-05

    Silicon nanotubes (SiNTs) are compatible with Si-based semiconductor technology. In particular, the small diameters and controllable structure of such nanotubes are remaining challenges. Here we describe a method to fabricate SiNTs intrinsically connected with cobalt silicide ends based on highly ordered anodic aluminum oxide (AAO) templates. Size and growth direction of the SiNTs can be well controlled via the templates. The growth of SiNTs is catalyzed by the Co nanoparticles reduced on the pore walls of the AAO after annealing, with a controllable thickness at a given growth temperature and time. Simultaneously, cobalt silicide forms on the bottom side of the SiNTs.

  9. Effect of the temperature and dew point of the decarburization process on the oxide subscale of a 3% silicon steel

    NASA Astrophysics Data System (ADS)

    Cesar, Maria das Graças M. M.; Mantel, Marc J.

    2003-01-01

    The oxide subscale formed on the decarburization annealing of 3% Si-Fe was investigated using microscopy and spectroscopy techniques. It was found that the morphology as well as the molecular structure of the subscale are affected by temperature and dew point. The results suggest that there is an optimum level of internal oxidation and an optimum fayalite/silica ratio in the subscale to achieve a oriented grain silicon steel having a continuous and smooth ceramic film and low core loss.

  10. Silicone azide fireproof material

    NASA Technical Reports Server (NTRS)

    1978-01-01

    Finely powdered titanium oxide was added to silicone azide as the sintering agent to produce a nonflammable material. Mixing proportions, physical properties, and chemical composition of the fireproofing material are included.

  11. Bismuth knowledge during the Renaissance strengthened by its use in Italian lustres production

    NASA Astrophysics Data System (ADS)

    Padeletti, G.; Fermo, P.

    The knowledge of bismuth during the XV and XVI centuries represents an open question since, according to some authors, this element was confused with lead, tin and silver. On the contrary, G. Agricola (1494-1555), the pioneer of mineralogical science in Europe, in his two works (De Natura Fossilium, Lib X, 1546 and Bermannus Sive De Re Metallica Dialogus, 1528) asserts that bismuth was considered as an element distinct from the other metals at that time. This question gave rise to some interest, and von Lippmann in 1930 wrote a treatise dealing with the history of bismuth between 1400 and 1800. In this work we present the results obtained on Italian and Hispano-Moresque shards studied by means of X-ray diffraction, atomic absorption spectrometry with electrothermal atomisation, inductively coupled plasma optical emission spectrometry and scanning electron microscopy. It seems that our work could provide a new and important contribution to this debate, because we found bismuth in lustre composition of Renaissance shards produced in central Italy. Furthermore, we found that it could also be considered as a discriminating element between Italian and Hispano-Moresque productions, useful to assess their origin.

  12. Light-Induced Surface Reactions at the Bismuth Vanadate/Potassium Phosphate Interface.

    PubMed

    Favaro, Marco; Abdi, Fatwa F; Lamers, Marlene; Crumlin, Ethan J; Liu, Zhi; van de Krol, Roel; Starr, David E

    2018-01-18

    Bismuth vanadate has recently drawn significant research attention as a light-absorbing photoanode due to its performance for photoelectrochemical water splitting. In this study, we use in situ ambient pressure X-ray photoelectron spectroscopy with "tender" X-rays (4.0 keV) to investigate a polycrystalline bismuth vanadate (BiVO 4 ) electrode in contact with an aqueous potassium phosphate (KPi) solution at open circuit potential under both dark and light conditions. This is facilitated by the creation of a 25 to 30 nm thick electrolyte layer using the "dip-and-pull" method. We observe that under illumination bismuth phosphate forms on the BiVO 4 surface leading to an increase of the surface negative charge. The bismuth phosphate layer may act to passivate surface states observed in photoelectrochemical measurements. The repulsive interaction between the negatively charged surface under illumination and the phosphate ions in solution causes a shift in the distribution of ions in the thin aqueous electrolyte film, which is observed as an increase in their photoelectron signals. Interestingly, we find that such changes at the BiVO 4 /KPi electrolyte interface are reversible upon returning to dark conditions. By measuring the oxygen 1s photoelectron peak intensities from the phosphate ions and liquid water as a function of time under dark and light conditions, we determine the time scales for the forward and reverse reactions. Our results provide direct evidence for light-induced chemical modification of the BiVO 4 /KPi electrolyte interface.

  13. A silicon metal-oxide-semiconductor electron spin-orbit qubit.

    PubMed

    Jock, Ryan M; Jacobson, N Tobias; Harvey-Collard, Patrick; Mounce, Andrew M; Srinivasa, Vanita; Ward, Dan R; Anderson, John; Manginell, Ron; Wendt, Joel R; Rudolph, Martin; Pluym, Tammy; Gamble, John King; Baczewski, Andrew D; Witzel, Wayne M; Carroll, Malcolm S

    2018-05-02

    The silicon metal-oxide-semiconductor (MOS) material system is a technologically important implementation of spin-based quantum information processing. However, the MOS interface is imperfect leading to concerns about 1/f trap noise and variability in the electron g-factor due to spin-orbit (SO) effects. Here we advantageously use interface-SO coupling for a critical control axis in a double-quantum-dot singlet-triplet qubit. The magnetic field-orientation dependence of the g-factors is consistent with Rashba and Dresselhaus interface-SO contributions. The resulting all-electrical, two-axis control is also used to probe the MOS interface noise. The measured inhomogeneous dephasing time, [Formula: see text], of 1.6 μs is consistent with 99.95% 28 Si enrichment. Furthermore, when tuned to be sensitive to exchange fluctuations, a quasi-static charge noise detuning variance of 2 μeV is observed, competitive with low-noise reports in other semiconductor qubits. This work, therefore, demonstrates that the MOS interface inherently provides properties for two-axis qubit control, while not increasing noise relative to other material choices.

  14. Silicone nanocomposite coatings for fabrics

    NASA Technical Reports Server (NTRS)

    Lee, Stein S. (Inventor); Ou, Runqing (Inventor); Eberts, Kenneth (Inventor); Singhal, Amit (Inventor)

    2011-01-01

    A silicone based coating for fabrics utilizing dual nanocomposite fillers providing enhanced mechanical and thermal properties to the silicone base. The first filler includes nanoclusters of polydimethylsiloxane (PDMS) and a metal oxide and a second filler of exfoliated clay nanoparticles. The coating is particularly suitable for inflatable fabrics used in several space, military, and consumer applications, including airbags, parachutes, rafts, boat sails, and inflatable shelters.

  15. A bismuth activation counter for high sensitivity pulsed 14 MeV neutrons

    NASA Astrophysics Data System (ADS)

    Burns, E. J. T.; Thacher, P. D.; Hassig, G. J.; Decker, R. D.; Romero, J. A.; Barrett, K. P.

    2011-08-01

    We have built a fast neutron bismuth activation counter that measures activation counts from pulsed 14-MeV neutron generators for incident neutron fluences between 30 and 300 neutrons/cm2 at 15.2 cm (6 in.). The activation counter consists of a large bismuth germanate (BGO) detector surrounded by a bismuth metal shield in front of and concentric with the cylindrical detector housing. The 14 MeV neutrons activate the 2.6-millisecond (ms) isomer in the shield and the detector by the reaction 209Bi (n,2nγ) 208mBi. The use of millisecond isomers and activation counting times minimizes the background from other activated materials and the environment. In addition to activation, the bismuth metal shields against other outside radiation sources. We have tested the bismuth activation counter, simultaneously, with two data acquisition systems (DASs) and both give similar results. The two-dimensional (2D) DAS and three dimensional (3D) DAS both consist of pulse height analysis (PHA) systems that can be used to discriminate against gamma radiations below 300 keV photon energy, so that the detector can be used strictly as a counter. If the counting time is restricted to less than 25 ms after the neutron pulse, there are less than 10 counts of background for single pulse operation in all our operational environments tested so far. High-fluence neutron generator operations are restricted by large dead times and pulse height saturation. When we operate our 3D DAS PHA system in list mode acquisition (LIST), real-time corrections to dead time or live time can be made on the scale of 1 ms time windows or dwell times. The live time correction is consistent with nonparalyzable models for dead time of 1.0±0.2 μs for our 3D DAS and 1.5±0.3 μs for our 2D DAS dominated by our fixed time width analog to digital converters (ADCs). With the same solid angle, we have shown that the bismuth activation counter has a factor of 4 increase in sensitivity over our lead activation counter

  16. Acute Esophageal Necrosis: A Case of Black Esophagus Associated with Bismuth Subsalicylate Ingestion

    PubMed Central

    Mankal, Pavan; Judeh, Hani; Kim, Sang

    2014-01-01

    We present a case of acute esophageal necrosis (AEN) likely caused by chronic use of bismuth subsalicylate, an active ingredient in over-the-counter Pepto-Bismol®, which contains 220 g of salicylic acid in each 30 mL quantity. While aspirin is known to cause gastritis and gastric ulcers, this is the first case, to our knowledge, reporting AEN after chronic bismuth subsalicylate use. PMID:26157851

  17. Multi-elemental bio-imaging of rat tissue from a study investigating the bioavailability of bismuth from shotgun pellets.

    PubMed

    Urgast, Dagmar S; Ellingsen, Dag G; Berlinger, Balázs; Eilertsen, Einar; Friisk, Grete; Skaug, Vidar; Thomassen, Yngvar; Beattie, John H; Kwun, In-Sook; Feldmann, Jörg

    2012-07-01

    In recent years, bismuth has been promoted as a "green element" and is used as a substitute for the toxic lead in ammunition and other applications. However, the bioavailability and toxicity of bismuth is still not very well described. Following a hunting accident with bismuth-containing shots, a bioavailability study of bismuth from metal pellets inoculated into rat limb muscles was carried out. Bismuth could be found in urine and blood of the animals. Bio-imaging using laser ablation ICP-MS of thin sections of the tissue around the metal implant was carried out to find out more about the distribution of the metal diffusing into the tissue. Two laser ablation systems with different ablation cell designs were compared regarding their analytical performance. Low concentrations of bismuth showing a non-symmetrical pattern were detected in the tissue surrounding the metal implant. This was partly an artefact from cutting the thin sections but also bio-mobilisation of the metals of the implant could be seen. An accumulation of zinc around the implant was interpreted as a marker of inflammation. Challenges regarding sample preparation for laser ablation and bio-imaging of samples of diverse composition became apparent during the analysis.

  18. Efficient Flame Detection and Early Warning Sensors on Combustible Materials Using Hierarchical Graphene Oxide/Silicone Coatings.

    PubMed

    Wu, Qian; Gong, Li-Xiu; Li, Yang; Cao, Cheng-Fei; Tang, Long-Cheng; Wu, Lianbin; Zhao, Li; Zhang, Guo-Dong; Li, Shi-Neng; Gao, Jiefeng; Li, Yongjin; Mai, Yiu-Wing

    2018-01-23

    Design and development of smart sensors for rapid flame detection in postcombustion and early fire warning in precombustion situations are critically needed to improve the fire safety of combustible materials in many applications. Herein, we describe the fabrication of hierarchical coatings created by assembling a multilayered graphene oxide (GO)/silicone structure onto different combustible substrate materials. The resulting coatings exhibit distinct temperature-responsive electrical resistance change as efficient early warning sensors for detecting abnormal high environmental temperature, thus enabling fire prevention below the ignition temperature of combustible materials. After encountering a flame attack, we demonstrate extremely rapid flame detection response in 2-3 s and excellent flame self-extinguishing retardancy for the multilayered GO/silicone structure that can be synergistically transformed to a multiscale graphene/nanosilica protection layer. The hierarchical coatings developed are promising for fire prevention and protection applications in various critical fire risk and related perilous circumstances.

  19. Silicon oxide: a non-innocent surface for molecular electronics and nanoelectronics studies.

    PubMed

    Yao, Jun; Zhong, Lin; Natelson, Douglas; Tour, James M

    2011-02-02

    Silicon oxide (SiO(x)) has been widely used in many electronic systems as a supportive and insulating medium. Here, we demonstrate various electrical phenomena such as resistive switching and related nonlinear conduction, current hysteresis, and negative differential resistance intrinsic to a thin layer of SiO(x). These behaviors can largely mimic numerous electrical phenomena observed in molecules and other nanomaterials, suggesting that substantial caution should be paid when studying conduction in electronic systems with SiO(x) as a component. The actual electrical phenomena can be the result of conduction from SiO(x) at a post soft-breakdown state and not the presumed molecular or nanomaterial component. These electrical properties and the underlying mechanisms are discussed in detail.

  20. Interdigitated back contact solar cells with polycrystalline silicon on oxide passivating contacts for both polarities

    NASA Astrophysics Data System (ADS)

    Haase, Felix; Kiefer, Fabian; Schäfer, Sören; Kruse, Christian; Krügener, Jan; Brendel, Rolf; Peibst, Robby

    2017-08-01

    We demonstrate an independently confirmed 25.0%-efficient interdigitated back contact silicon solar cell with passivating polycrystalline silicon (poly-Si) on oxide (POLO) contacts that enable a high open circuit voltage of 723 mV. We use n-type POLO contacts with a measured saturation current density of J 0n = 4 fA cm-2 and p-type POLO contacts with J 0p = 10 fA cm-2. The textured front side and the gaps between the POLO contacts on the rear are passivated by aluminum oxide (AlO x ) with J 0AlO x = 6 fA cm-2 as measured after deposition. We analyze the recombination characteristics of our solar cells at different process steps using spatially resolved injection-dependent carrier lifetimes measured by infrared lifetime mapping. The implied pseudo-efficiency of the unmasked cell, i.e., cell and perimeter region are illuminated during measurement, is 26.2% before contact opening, 26.0% after contact opening and 25.7% for the finished cell. This reduction is due to an increase in the saturation current density of the AlO x passivation during chemical etching of the contact openings and of the rear side metallization. The difference between the implied pseudo-efficiency and the actual efficiency of 25.0% as determined by designated-area light current-voltage (I-V) measurements is due to series resistance and diffusion of excess carriers into the non-illuminated perimeter region.