Sample records for charge-free etching process

  1. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the

  2. Laser-driven fusion etching process

    DOEpatents

    Ashby, C.I.H.; Brannon, P.J.; Gerardo, J.B.

    1987-08-25

    The surfaces of solids are etched by a radiation-driven chemical reaction. The process involves exposing a substrate coated with a layer of a reactant material on its surface to radiation, e.g., a laser, to induce localized melting of the substrate which results in the occurrence of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic substrates, e.g., LiNbO/sub 3/, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  3. Laser-driven fusion etching process

    DOEpatents

    Ashby, Carol I. H.; Brannon, Paul J.; Gerardo, James B.

    1989-01-01

    The surfaces of solid ionic substrates are etched by a radiation-driven chemical reaction. The process involves exposing an ionic substrate coated with a layer of a reactant material on its surface to radiation, e.g. a laser, to induce localized melting of the substrate which results in the occurrance of a fusion reaction between the substrate and coating material. The resultant reaction product and excess reactant salt are then removed from the surface of the substrate with a solvent which is relatively inert towards the substrate. The laser-driven chemical etching process is especially suitable for etching ionic salt substrates, e.g., a solid inorganic salt such as LiNbO.sub.3, such as used in electro-optical/acousto-optic devices. It is also suitable for applications wherein the etching process is required to produce an etched ionic substrate having a smooth surface morphology or when a very rapid etching rate is desired.

  4. Lithography-free glass surface modification by self-masking during dry etching

    NASA Astrophysics Data System (ADS)

    Hein, Eric; Fox, Dennis; Fouckhardt, Henning

    2011-01-01

    Glass surface morphologies with defined shapes and roughness are realized by a two-step lithography-free process: deposition of an ~10-nm-thin lithographically unstructured metallic layer onto the surface and reactive ion etching in an Ar/CF4 high-density plasma. Because of nucleation or coalescence, the metallic layer is laterally structured during its deposition. Its morphology exhibits islands with dimensions of several tens of nanometers. These metal spots cause a locally varying etch velocity of the glass substrate, which results in surface structuring. The glass surface gets increasingly rougher with further etching. The mechanism of self-masking results in the formation of surface structures with typical heights and lateral dimensions of several hundred nanometers. Several metals, such as Ag, Al, Au, Cu, In, and Ni, can be employed as the sacrificial layer in this technology. Choice of the process parameters allows for a multitude of different glass roughness morphologies with individual defined and dosed optical scattering.

  5. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    PubMed

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  6. Study of Thermal Electrical Modified Etching for Glass and Its Application in Structure Etching

    PubMed Central

    Zhan, Zhan; Li, Wei; Yu, Lingke; Wang, Lingyun; Sun, Daoheng

    2017-01-01

    In this work, an accelerating etching method for glass named thermal electrical modified etching (TEM etching) is investigated. Based on the identification of the effect in anodic bonding, a novel method for glass structure micromachining is proposed using TEM etching. To validate the method, TEM-etched glasses are prepared and their morphology is tested, revealing the feasibility of the new method for micro/nano structure micromachining. Furthermore, two kinds of edge effect in the TEM and etching processes are analyzed. Additionally, a parameter study of TEM etching involving transferred charge, applied pressure, and etching roughness is conducted to evaluate this method. The study shows that TEM etching is a promising manufacture method for glass with low process temperature, three-dimensional self-control ability, and low equipment requirement. PMID:28772521

  7. Guiding gate-etch process development using 3D surface reaction modeling for 7nm and beyond

    NASA Astrophysics Data System (ADS)

    Dunn, Derren; Sporre, John R.; Deshpande, Vaibhav; Oulmane, Mohamed; Gull, Ronald; Ventzek, Peter; Ranjan, Alok

    2017-03-01

    Increasingly, advanced process nodes such as 7nm (N7) are fundamentally 3D and require stringent control of critical dimensions over high aspect ratio features. Process integration in these nodes requires a deep understanding of complex physical mechanisms to control critical dimensions from lithography through final etch. Polysilicon gate etch processes are critical steps in several device architectures for advanced nodes that rely on self-aligned patterning approaches to gate definition. These processes are required to meet several key metrics: (a) vertical etch profiles over high aspect ratios; (b) clean gate sidewalls free of etch process residue; (c) minimal erosion of liner oxide films protecting key architectural elements such as fins; and (e) residue free corners at gate interfaces with critical device elements. In this study, we explore how hybrid modeling approaches can be used to model a multi-step finFET polysilicon gate etch process. Initial parts of the patterning process through hardmask assembly are modeled using process emulation. Important aspects of gate definition are then modeled using a particle Monte Carlo (PMC) feature scale model that incorporates surface chemical reactions.1 When necessary, species and energy flux inputs to the PMC model are derived from simulations of the etch chamber. The modeled polysilicon gate etch process consists of several steps including a hard mask breakthrough step (BT), main feature etch steps (ME), and over-etch steps (OE) that control gate profiles at the gate fin interface. An additional constraint on this etch flow is that fin spacer oxides are left intact after final profile tuning steps. A natural optimization required from these processes is to maximize vertical gate profiles while minimizing erosion of fin spacer films.2

  8. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  9. Etch pit investigation of free electron concentration controlled 4H-SiC

    NASA Astrophysics Data System (ADS)

    Kim, Hong-Yeol; Shin, Yun Ji; Kim, Jung Gon; Harima, Hiroshi; Kim, Jihyun; Bahng, Wook

    2013-04-01

    Etch pits were investigated using the molten KOH selective etching method to examine dependence of etch pit shape and size on free electron concentration. The free electron concentrations of highly doped 4H-silicon carbide (SiC) were controlled by proton irradiation and thermal annealing, which was confirmed by a frequency shift in the LO-phonon-plasmon-coupled (LOPC) mode on micro-Raman spectroscopy. The proton irradiated sample with 5×1015 cm-2 fluence and an intrinsic semi-insulating sample showed clearly classified etch pits but different ratios of threading screw dislocation (TSD) and threading edge dislocation (TED) sizes. Easily classified TEDs and TSDs on proton irradiated 4H-SiC were restored as highly doped 4H-SiC after thermal annealing due to the recovered carrier concentrations. The etched surface of proton irradiated 4H-SiC and boron implanted SiC showed different surface conditions after activation.

  10. Process margin enhancement for 0.25-μm metal etch process

    NASA Astrophysics Data System (ADS)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side

  11. Graphene nanoribbons: Relevance of etching process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simonet, P., E-mail: psimonet@phys.ethz.ch; Bischoff, D.; Moser, A.

    2015-05-14

    Most graphene nanoribbons in the experimental literature are patterned using plasma etching. Various etching processes induce different types of defects and do not necessarily result in the same electronic and structural ribbon properties. This study focuses on two frequently used etching techniques, namely, O{sub 2} plasma ashing and O{sub 2 }+ Ar reactive ion etching (RIE). O{sub 2} plasma ashing represents an alternative to RIE physical etching for sensitive substrates, as it is a more gentle chemical process. We find that plasma ashing creates defective graphene in the exposed trenches, resulting in instabilities in the ribbon transport. These are probably caused bymore » more or larger localized states at the edges of the ashed device compared to the RIE defined device.« less

  12. Trends in Dielectric Etch for Microelectronics Processing

    NASA Astrophysics Data System (ADS)

    Hudson, Eric A.

    2003-10-01

    Dielectric etch technology faces many challenges to meet the requirements for leading-edge microelectronics processing. The move to sub 100-nm device design rules increases the aspect ratios of certain features, imposes tighter restrictions on etched features' critical dimensions, and increases the density of closely packed arrays of features. Changes in photolithography are driving transitions to new photoresist materials and novel multilayer resist methods. The increasing use of copper metallization and low-k interlayer dielectric materials has introduced dual-damascene integration methods, with specialized dielectric etch applications. A common need is the selective removal of multiple layers which have very different compositions, while maintaining close control of the etched features' profiles. To increase productivity, there is a growing trend toward in-situ processing, which allows several films to be successively etched during a single pass through the process module. Dielectric etch systems mainly utilize capacitively coupled etch reactors, operating with medium-density plasmas and low gas residence time. Commercial technology development increasingly relies upon plasma diagnostics and modeling to reduce development cycle time and maximize performance.

  13. Alternative process for thin layer etching: Application to nitride spacer etching stopping on silicon germanium

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Posseme, N., E-mail: nicolas.posseme@cea.fr; Pollet, O.; Barnola, S.

    2014-08-04

    Silicon nitride spacer etching realization is considered today as one of the most challenging of the etch process for the new devices realization. For this step, the atomic etch precision to stop on silicon or silicon germanium with a perfect anisotropy (no foot formation) is required. The situation is that none of the current plasma technologies can meet all these requirements. To overcome these issues and meet the highly complex requirements imposed by device fabrication processes, we recently proposed an alternative etching process to the current plasma etch chemistries. This process is based on thin film modification by light ionsmore » implantation followed by a selective removal of the modified layer with respect to the non-modified material. In this Letter, we demonstrate the benefit of this alternative etch method in term of film damage control (silicon germanium recess obtained is less than 6 A), anisotropy (no foot formation), and its compatibility with other integration steps like epitaxial. The etch mechanisms of this approach are also addressed.« less

  14. Damage-free back channel wet-etch process in amorphous indium-zinc-oxide thin-film transistors using a carbon-nanofilm barrier layer.

    PubMed

    Luo, Dongxiang; Zhao, Mingjie; Xu, Miao; Li, Min; Chen, Zikai; Wang, Lang; Zou, Jianhua; Tao, Hong; Wang, Lei; Peng, Junbiao

    2014-07-23

    Amorphous indium-zinc-oxide thin film transistors (IZO-TFTs) with damage-free back channel wet-etch (BCE) process were investigated. A carbon (C) nanofilm was inserted into the interface between IZO layer and source/drain (S/D) electrodes as a barrier layer. Transmittance electron microscope images revealed that the 3 nm-thick C nanofilm exhibited a good corrosion resistance to a commonly used H3PO4-based etchant and could be easily eliminated. The TFT device with a 3 nm-thick C barrier layer showed a saturated field effect mobility of 14.4 cm(2) V(-1) s(-1), a subthreshold swing of 0.21 V/decade, an on-to-off current ratio of 8.3 × 10(10), and a threshold voltage of 2.0 V. The favorable electrical performance of this kind of IZO-TFTs was due to the protection of the inserted C to IZO layer in the back-channel-etch process. Moreover, the low contact resistance of the devices was proved to be due to the graphitization of the C nanofilms after annealing. In addition, the hysteresis and thermal stress testing confirmed that the usage of C barrier nanofilms is an effective method to fabricate the damage-free BCE-type devices with high reliability.

  15. Overview of several applications of chemical downstream etching (CDE) for IC manufacturing: advantages and drawbacks versus WET processes

    NASA Astrophysics Data System (ADS)

    de Buttet, Côme; Prevost, Emilie; Campo, Alain; Garnier, Philippe; Zoll, Stephane; Vallier, Laurent; Cunge, Gilles; Maury, Patrick; Massin, Thomas; Chhun, Sonarith

    2017-03-01

    Today the IC manufacturing faces lots of problematics linked to the continuous down scaling of printed structures. Some of those issues are related to wet processing, which are often used in the IC manufacturing flow for wafer cleaning, material etching and surface preparation. In the current work we summarize the limitations for the next nodes of wet processing such as metallic contaminations, wafer charging, corrosion and pattern collapse. As a replacement, we promoted the isotropic chemical dry etching (CDE) which is supposed to fix all the above drawbacks. Etching steps of SI3N4 layers were evaluated in order to prove the interest of such technique.

  16. AlGaN-Cladding-Free m-Plane InGaN/GaN Laser Diodes with p-Type AlGaN Etch Stop Layers

    NASA Astrophysics Data System (ADS)

    Farrell, Robert M.; Haeger, Daniel A.; Hsu, Po Shan; Hardy, Matthew T.; Kelchner, Kathryn M.; Fujito, Kenji; Feezell, Daniel F.; Mishra, Umesh K.; DenBaars, Steven P.; Speck, James S.; Nakamura, Shuji

    2011-09-01

    We present a new method of improving the accuracy and reproducibility of dry etching processes for ridge waveguide InGaN/GaN laser diodes (LDs). A GaN:Al0.09Ga0.91N etch rate selectivity of 11:1 was demonstrated for an m-plane LD with a 40 nm p-Al0.09Ga0.91N etch stop layer (ESL) surrounded by Al-free cladding layers, establishing the effectiveness of AlGaN-based ESLs for controlling etch depth in ridge waveguide InGaN/GaN LDs. These results demonstrate the potential for integrating AlGaN ESLs into commercial device designs where accurate control of the etch depth of the ridge waveguide is necessary for stable, kink-free operation at high output powers.

  17. Dry etch challenges for CD shrinkage in memory process

    NASA Astrophysics Data System (ADS)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  18. Characterization of plasma processing induced charging damage to MOS devices

    NASA Astrophysics Data System (ADS)

    Ma, Shawming

    1997-12-01

    Plasma processing has become an integral part of the fabrication of integrated circuits and takes at least 30% of whole process steps since it offers advantages in terms of directionality, low temperature and process convenience. However, wafer charging during plasma processes is a significant concern for both thin oxide damage and profile distortion. In this work, the factors affecting this damage will be explained by plasma issues, device structure and oxide quality. The SPORT (Stanford Plasma On-wafer Real Time) charging probe was developed to investigate the charging mechanism of different plasma processes including poly-Si etching, resist ashing and PECVD. The basic idea of this probe is that it simulates a real device structure in the plasma environment and allows measurement of plasma induced charging voltages and currents directly in real time. This measurement is fully compatible with other charging voltage measurement but it is the only one to do in real-time. Effect of magnetic field induced plasma nonuniformity on spatial dependent charging is well understood by this measurement. In addition, the plasma parameters including ion current density and electron temperature can also be extracted from the probe's plasma I-V characteristics using a dc Langmuir probe like theory. It will be shown that the MOS device tunneling current from charging, the dependence on antenna ratio and the etch uniformity can all be predicted by using this measurement. Moreover, the real-time measurement reveals transient and electrode edge effect during processing. Furthermore, high aspect ratio pattern induced electron shading effects can also be characterized by the probe. On the oxide quality issue, wafer temperature during plasma processing has been experimentally shown to be critical to charging damage. Finally, different MOS capacitor testing methods including breakdown voltage, charge-to-breakdown, gate leakage current and voltage-time at constant current bias were

  19. Lithography-free fabrication of silicon nanowire and nanohole arrays by metal-assisted chemical etching

    PubMed Central

    2013-01-01

    We demonstrated a novel, simple, and low-cost method to fabricate silicon nanowire (SiNW) arrays and silicon nanohole (SiNH) arrays based on thin silver (Ag) film dewetting process combined with metal-assisted chemical etching. Ag mesh with holes and semispherical Ag nanoparticles can be prepared by simple thermal annealing of Ag thin film on a silicon substrate. Both the diameter and the distribution of mesh holes as well as the nanoparticles can be manipulated by the film thickness and the annealing temperature. The silicon underneath Ag coverage was etched off with the catalysis of metal in an aqueous solution containing HF and an oxidant, which form silicon nanostructures (either SiNW or SiNH arrays). The morphologies of the corresponding etched SiNW and SiNH arrays matched well with that of Ag holes and nanoparticles. This novel method allows lithography-free fabrication of the SiNW and SiNH arrays with control of the size and distribution. PMID:23557325

  20. The Effect of Phosphoric Acid Pre-etching Times on Bonding Performance and Surface Free Energy with Single-step Self-etch Adhesives.

    PubMed

    Tsujimoto, A; Barkmeier, W W; Takamizawa, T; Latta, M A; Miyazaki, M

    2016-01-01

    The purpose of this study was to evaluate the effect of phosphoric acid pre-etching times on shear bond strength (SBS) and surface free energy (SFE) with single-step self-etch adhesives. The three single-step self-etch adhesives used were: 1) Scotchbond Universal Adhesive (3M ESPE), 2) Clearfil tri-S Bond (Kuraray Noritake Dental), and 3) G-Bond Plus (GC). Two no pre-etching groups, 1) untreated enamel and 2) enamel surfaces after ultrasonic cleaning with distilled water for 30 seconds to remove the smear layer, were prepared. There were four pre-etching groups: 1) enamel surfaces were pre-etched with phosphoric acid (Etchant, 3M ESPE) for 3 seconds, 2) enamel surfaces were pre-etched for 5 seconds, 3) enamel surfaces were pre-etched for 10 seconds, and 4) enamel surfaces were pre-etched for 15 seconds. Resin composite was bonded to the treated enamel surface to determine SBS. The SFEs of treated enamel surfaces were determined by measuring the contact angles of three test liquids. Scanning electron microscopy was used to examine the enamel surfaces and enamel-adhesive interface. The specimens with phosphoric acid pre-etching showed significantly higher SBS and SFEs than the specimens without phosphoric acid pre-etching regardless of the adhesive system used. SBS and SFEs did not increase for phosphoric acid pre-etching times over 3 seconds. There were no significant differences in SBS and SFEs between the specimens with and without a smear layer. The data suggest that phosphoric acid pre-etching of ground enamel improves the bonding performance of single-step self-etch adhesives, but these bonding properties do not increase for phosphoric acid pre-etching times over 3 seconds.

  1. Comparative study of resist stabilization techniques for metal etch processing

    NASA Astrophysics Data System (ADS)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  2. Two-Dimensional Si-Nanodisk Array Fabricated Using Bio-Nano-Process and Neutral Beam Etching for Realistic Quantum Effect Devices

    NASA Astrophysics Data System (ADS)

    Huang, Chi-Hsien; Igarashi, Makoto; Woné, Michel; Uraoka, Yukiharu; Fuyuki, Takashi; Takeguchi, Masaki; Yamashita, Ichiro; Samukawa, Seiji

    2009-04-01

    A high-density, large-area, and uniform two-dimensional (2D) Si-nanodisk array was successfully fabricated using the bio-nano-process, advanced etching techniques, including a treatment using nitrogen trifluoride and hydrogen radical (NF3 treatment) and a damage-free chlorine neutral beam (NB). By using the surface oxide formed by neutral beam oxidation (NBO) for the preparation of a 2D nanometer-sized iron core array as an etching mask, a well-ordered 2D Si-nanodisk array was obtained owing to the dangling bonds of the surface oxide. By changing the NF3 treatment time without changing the quantum effect of each nanodisk, we could control the gap between adjacent nanodisks. A device with two electrodes was fabricated to investigate the electron transport in a 2D Si-nanodisk array. Current fluctuation and time-dependent currents were clearly observed owing to the charging-discharging of the nanodisks adjacent to the current percolation path. The new structure may have great potential for future novel quantum effect devices.

  3. CHARGE SPECTRUM OF HEAVY AND SUPERHEAVY COMPONENTS OF GALACTIC COSMIC RAYS: RESULTS OF THE OLIMPIYA EXPERIMENT

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alexeev, Victor; Kalinina, Galina; Pavlova, Tatyana, E-mail: aval37@mail.ru, E-mail: gakalin@mail.ru, E-mail: pavlova4tat@mail.ru

    2016-10-01

    The aim of the OLIMPIYA experiment is to search for and identify traces of heavy and superheavy nuclei of galactic cosmic rays (GCR) in olivine crystals from stony–iron meteorites serving as nuclear track detectors. The method is based on layer-by-layer grinding and etching of particle tracks in these crystals. Unlike the techniques of other authors, this annealing-free method uses two parameters: the etching rate along the track ( V {sub etch}) and the total track length ( L ), to identify charge Z of a projectile. A series of irradiations with different swift heavy ions at the accelerator facilities ofmore » GSI (Darmstadt) and IMP (Lanzhou) were performed in order to determine and calibrate the dependence of projectile charge on V {sub etch} and L . To date, one of the most essential results of the experiment is the obtained charge spectrum of GCR nuclei within the range of Z > 40, based on about 11.6 thousand processed tracks. As the result of data processing, 384 nuclei with charges Z ≥ 75 have been identified, including 10 nuclei identified as actinides (90 < Z < 103). Three tracks were identified to be produced by nuclei with charges 113 < Z < 129. Such nuclei may be part of the Island of Stability of transfermium elements.« less

  4. Process for etching mixed metal oxides

    DOEpatents

    Ashby, Carol I. H.; Ginley, David S.

    1994-01-01

    An etching process using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstom range may be achieved by this method.

  5. Influence of duration of phosphoric acid pre-etching on bond durability of universal adhesives and surface free-energy characteristics of enamel.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2016-08-01

    The purpose of this study was to evaluate the influence of duration of phosphoric acid pre-etching on the bond durability of universal adhesives and the surface free-energy characteristics of enamel. Three universal adhesives and extracted human molars were used. Two no-pre-etching groups were prepared: ground enamel; and enamel after ultrasonic cleaning with distilled water for 30 s to remove the smear layer. Four pre-etching groups were prepared: enamel pre-etched with phosphoric acid for 3, 5, 10, and 15 s. Shear bond strength (SBS) values of universal adhesive after no thermal cycling and after 30,000 or 60,000 thermal cycles, and surface free-energy values of enamel surfaces, calculated from contact angle measurements, were determined. The specimens that had been pre-etched showed significantly higher SBS and surface free-energy values than the specimens that had not been pre-etched, regardless of the aging condition and adhesive type. The SBS and surface free-energy values did not increase for pre-etching times of longer than 3 s. There were no significant differences in SBS values and surface free-energy characteristics between the specimens with and without a smear layer. The results of this study suggest that phosphoric acid pre-etching of enamel improves the bond durability of universal adhesives and the surface free-energy characteristics of enamel, but these bonding properties do not increase for phosphoric acid pre-etching times of longer than 3 s. © 2016 Eur J Oral Sci.

  6. Preparation of etched tantalum semimicro capacitor stimulation electrodes.

    PubMed

    Robblee, L S; Kelliher, E M; Langmuir, M E; Vartanian, H; McHardy, J

    1983-03-01

    The ideal electrode for stimulation of the nervous system is one that will inject charge by purely capacitive processes. One approach is to exploit the type of metal-oxide combination used in electrolytic capacitors, e.g., Ta/Ta2O5. For this purpose, fine tantalum wire (0.25 mm diam) was etched electrolytically at constant current in a methanol solution of NH4Br containing 1.5 wt % H2O. Electrolytic etching produced a conical tip with a length of ca. 0.5 mm and shaft diameters ranging from 0.10 to 0.16 mm. The etched electrodes were anodized to 10 V (vs. SCE) in 0.1 vol % H3PO4. The capacitance values normalized to geometric area of etched electrodes ranged from 0.13 to 0.33 micro F mm-2. Comparison of these values to the capacitance of "smooth" tantalum anodized to 10 V (0.011 micro F mm-2) indicated that the degree of surface enhancement, or etch ratio, was 12-30. The surface roughness was confirmed by scanning electron microscopy studies which revealed an intricate array of irregularly shaped surface projections about 1-2 micrometers wide. The etched electrodes were capable of delivering 0.06-0.1 micro C of charge with 0.1 ms pulses at a pulse repetition rate of 400 Hz when operated at 50% of the anodization voltage. This quantity of charge corresponded to volumetric charge densities of 20-30 micro C mm-3 and area charge densities of 0.55-0.88 micro C mm-2. Charge storage was proportionately higher at higher fractional values of the formation voltage. Leakage currents at 5 V were ca. 2 nA. Neither long-term passive storage (1500 h) nor extended pulsing time (18 h) had a deleterious effect on electrode performance. The trend in electrical stimulation work is toward smaller electrodes. The procedures developed in this study should be particularly well-suited to the fabrication of even smaller electrodes because of the favorable electrical and geometric characteristics of the etched surface.

  7. Process for etching mixed metal oxides

    DOEpatents

    Ashby, C.I.H.; Ginley, D.S.

    1994-10-18

    An etching process is described using dicarboxylic and tricarboxylic acids as chelating etchants for mixed metal oxide films such as high temperature superconductors and ferroelectric materials. Undesirable differential etching rates between different metal oxides are avoided by selection of the proper acid or combination of acids. Feature sizes below one micron, excellent quality vertical edges, and film thicknesses in the 100 Angstrom range may be achieved by this method. 1 fig.

  8. Deep Reactive Ion Etching (DRIE) of High Aspect Ratio SiC Microstructures using a Time-Multiplexed Etch-Passivate Process

    NASA Technical Reports Server (NTRS)

    Evans, Laura J.; Beheim, Glenn M.

    2006-01-01

    High aspect ratio silicon carbide (SiC) microstructures are needed for microengines and other harsh environment micro-electro-mechanical systems (MEMS). Previously, deep reactive ion etching (DRIE) of low aspect ratio (AR less than or = 1) deep (greater than 100 micron) trenches in SiC has been reported. However, existing DRIE processes for SiC are not well-suited for definition of high aspect ratio features because such simple etch-only processes provide insufficient control over sidewall roughness and slope. Therefore, we have investigated the use of a time-multiplexed etch-passivate (TMEP) process, which alternates etching with polymer passivation of the etch sidewalls. An optimized TMEP process was used to etch high aspect ratio (AR greater than 5) deep (less than 100 micron) trenches in 6H-SiC. Power MEMS structures (micro turbine blades) in 6H-SiC were also fabricated.

  9. Template-free fabrication of silicon micropillar/nanowire composite structure by one-step etching

    PubMed Central

    2012-01-01

    A template-free fabrication method for silicon nanostructures, such as silicon micropillar (MP)/nanowire (NW) composite structure is presented. Utilizing an improved metal-assisted electroless etching (MAEE) of silicon in KMnO4/AgNO3/HF solution and silicon composite nanostructure of the long MPs erected in the short NWs arrays were generated on the silicon substrate. The morphology evolution of the MP/NW composite nanostructure and the role of self-growing K2SiF6 particles as the templates during the MAEE process were investigated in detail. Meanwhile, a fabrication mechanism based on the etching of silver nanoparticles (catalyzed) and the masking of K2SiF6 particles is proposed, which gives guidance for fabricating different silicon nanostructures, such as NW and MP arrays. This one-step method provides a simple and cost-effective way to fabricate silicon nanostructures. PMID:23043719

  10. Thermodynamics of nuclear track chemical etching

    NASA Astrophysics Data System (ADS)

    Rana, Mukhtar Ahmed

    2018-05-01

    This is a brief paper with new and useful scientific information on nuclear track chemical etching. Nuclear track etching is described here by using basic concepts of thermodynamics. Enthalpy, entropy and free energy parameters are considered for the nuclear track etching. The free energy of etching is determined using etching experiments of fission fragment tracks in CR-39. Relationship between the free energy and the etching temperature is explored and is found to be approximately linear. The above relationship is discussed. A simple enthalpy-entropy model of chemical etching is presented. Experimental and computational results presented here are of fundamental interest in nuclear track detection methodology.

  11. A review on plasma-etch-process induced damage of HgCdTe

    NASA Astrophysics Data System (ADS)

    Liu, Lingfeng; Chen, Yiyu; Ye, Zhenhua; Ding, Ruijun

    2018-05-01

    Dry etching techniques with minimal etch induced damage are required to develop highly anisotropic etch for pixel delineation of HgCdTe infrared focal plane arrays (IRFPAs). High density plasma process has become the main etching technique for HgCdTe in the past twenty years, In this paper, high density plasma electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etching of HgCdTe are summarized. Common plasma-etch-process induced type conversion and related mechanisms are reviewed particularly.

  12. Environmentally benign semiconductor processing for dielectric etch

    NASA Astrophysics Data System (ADS)

    Liao, Marci Yi-Ting

    Semiconductor processing requires intensive usage of chemicals, electricity, and water. Such intensive resource usage leaves a large impact on the environment. For instance, in Silicon Valley, the semiconductor industry is responsible for 80% of the hazardous waste sites contaminated enough to require government assistance. Research on environmentally benign semiconductor processing is needed to reduce the environmental impact of the semiconductor industry. The focus of this dissertation is on the environmental impact of one aspect of semiconductor processing: patterning of dielectric materials. Plasma etching of silicon dioxide emits perfluorocarbons (PFCs) gases, like C2F6 and CF4, into the atmosphere. These gases are super global warming/greenhouse gases because of their extremely long atmospheric lifetimes and excellent infrared absorption properties. We developed the first inductively coupled plasma (ICP) abatement device for destroying PFCs downstream of a plasma etcher. Destruction efficiencies of 99% and 94% can be obtained for the above mentioned PFCs, by using O 2 as an additive gas. Our results have lead to extensive modeling in academia as well as commercialization of the ICP abatement system. Dielectric patterning of hi-k materials for future device technology brings different environment challenges. The uncertainty of the hi-k material selection and the patterning method need to be addressed. We have evaluated the environmental impact of three different dielectric patterning methods (plasma etch, wet etch and chemical-mechanical polishing), as well as, the transistor device performances associated with the patterning methods. Plasma etching was found to be the most environmentally benign patterning method, which also gives the best device performance. However, the environmental concern for plasma etching is the possibility of cross-contamination from low volatility etch by-products. Therefore, mass transfer in a plasma etcher for a promising hi

  13. The research on conformal acid etching process of glass ceramic

    NASA Astrophysics Data System (ADS)

    Wang, Kepeng; Guo, Peiji

    2014-08-01

    A series of experiments have been done to explore the effect of different conditions on the hydrofluoric acid etching. The hydrofluoric acid was used to etch the glass ceramic called "ZERODUR", which is invented by SCHOTT in Germany. The glass ceramic was processed into cylindrical samples. The hydrofluoric acid etching was done in a plastic beaker. The concentration of hydrofluoric acid and the etching time were changed to measure the changes of geometric tolerance and I observed the surface using a microscope in order to find an appropriate condition of hydrofluoric acid etching.

  14. Deep Etching Process Developed for the Fabrication of Silicon Carbide Microsystems

    NASA Technical Reports Server (NTRS)

    Beheim, Glenn M.

    2000-01-01

    Silicon carbide (SiC), because of its superior electrical and mechanical properties at elevated temperatures, is a nearly ideal material for the microminiature sensors and actuators that are used in harsh environments where temperatures may reach 600 C or greater. Deep etching using plasma methods is one of the key processes used to fabricate silicon microsystems for more benign environments, but SiC has proven to be a more difficult material to etch, and etch depths in SiC have been limited to several micrometers. Recently, the Sensors and Electronics Technology Branch at the NASA Glenn Research Center at Lewis Field developed a plasma etching process that was shown to be capable of etching SiC to a depth of 60 mm. Deep etching of SiC is achieved by inductive coupling of radiofrequency electrical energy to a sulfur hexafluoride (SF6) plasma to direct a high flux of energetic ions and reactive fluorine atoms to the SiC surface. The plasma etch is performed at a low pressure, 5 mtorr, which together with a high gas throughput, provides for rapid removal of the gaseous etch products. The lateral topology of the SiC microstructure is defined by a thin film of etch-resistant material, such as indium-tin-oxide, which is patterned using conventional photolithographic processes. Ions from the plasma bombard the exposed SiC surfaces and supply the energy needed to initiate a reaction between SiC and atomic fluorine. In the absence of ion bombardment, no reaction occurs, so surfaces perpendicular to the wafer surface (the etch sidewalls) are etched slowly, yielding the desired vertical sidewalls.

  15. Metal-assisted etch combined with regularizing etch

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yim, Joanne; Miller, Jeff; Jura, Michael

    In an aspect of the disclosure, a process for forming nanostructuring on a silicon-containing substrate is provided. The process comprises (a) performing metal-assisted chemical etching on the substrate, (b) performing a clean, including partial or total removal of the metal used to assist the chemical etch, and (c) performing an isotropic or substantially isotropic chemical etch subsequently to the metal-assisted chemical etch of step (a). In an alternative aspect of the disclosure, the process comprises (a) performing metal-assisted chemical etching on the substrate, (b) cleaning the substrate, including removal of some or all of the assisting metal, and (c) performingmore » a chemical etch which results in regularized openings in the silicon substrate.« less

  16. Process for Smoothing an Si Substrate after Etching of SiO2

    NASA Technical Reports Server (NTRS)

    Turner, Tasha; Wu, Chi

    2003-01-01

    A reactive-ion etching (RIE) process for smoothing a silicon substrate has been devised. The process is especially useful for smoothing those silicon areas that have been exposed by etching a pattern of holes in a layer of silicon dioxide that covers the substrate. Applications in which one could utilize smooth silicon surfaces like those produced by this process include fabrication of optical waveguides, epitaxial deposition of silicon on selected areas of silicon substrates, and preparation of silicon substrates for deposition of adherent metal layers. During etching away of a layer of SiO2 that covers an Si substrate, a polymer becomes deposited on the substrate, and the substrate surface becomes rough (roughness height approximately equal to 50 nm) as a result of over-etching or of deposition of the polymer. While it is possible to smooth a silicon substrate by wet chemical etching, the undesired consequences of wet chemical etching can include compromising the integrity of the SiO2 sidewalls and undercutting of the adjacent areas of the silicon dioxide that are meant to be left intact. The present RIE process results in anisotropic etching that removes the polymer and reduces height of roughness of the silicon substrate to less than 10 nm while leaving the SiO2 sidewalls intact and vertical. Control over substrate versus sidewall etching (in particular, preferential etching of the substrate) is achieved through selection of process parameters, including gas flow, power, and pressure. Such control is not uniformly and repeatably achievable in wet chemical etching. The recipe for the present RIE process is the following: Etch 1 - A mixture of CF4 and O2 gases flowing at rates of 25 to 75 and 75 to 125 standard cubic centimeters per minute (stdcm3/min), respectively; power between 44 and 55 W; and pressure between 45 and 55 mtorr (between 6.0 and 7.3 Pa). The etch rate lies between approximately equal to 3 and approximately equal to 6 nm/minute. Etch 2 - O2 gas

  17. Dry etching, surface passivation and capping processes for antimonide based photodetectors

    NASA Astrophysics Data System (ADS)

    Dutta, Partha; Langer, Jeffery; Bhagwat, Vinay; Juneja, Jasbir

    2005-05-01

    III-V antimonide based devices suffer from leakage currents. Surface passivation and subsequent capping of the surfaces are absolutely essential for any practical applicability of antimonide based devices. The quest for a suitable surface passivation technology is still on. In this paper, we will present some of the promising recent developments in this area based on dry etching of GaSb based homojunction photodiodes structures followed by various passivation and capping schemes. We have developed a damage-free, universal dry etching recipe based on unique ratios of Cl2/BCl3/CH4/Ar/H2 in ECR plasma. This novel dry plasma process etches all III-V compounds at different rates with minimal damage to the side walls. In GaSb based photodiodes, an order of magnitude lower leakage current, improved ideality factor and higher responsivity has been demonstrated using this recipe compared to widely used Cl2/Ar and wet chemical etch recipes. The dynamic zero bias resistance-area product of the Cl2/BCl3/CH4/Ar/H2 etched diodes (830 Ω cm2) is higher than the Cl2/Ar (300 Ω cm2) and wet etched (330 Ω cm2) diodes. Ammonium sulfide has been known to passivate surfaces of III-V compounds. In GaSb photodiodes, the leakage current density reduces by a factor of 3 upon sulfur passivation using ammonium sulfide. However, device performance degrades over a period of time in the absence of any capping or protective layer. Silicon Nitride has been used as a cap layer by various researchers. We have found that by using silicon nitride caps, the devices exhibit higher leakage than unpassivated devices probably due to plasma damage during SiNx deposition. We have experimented with various polymers for capping material. It has been observed that ammonium sulfide passivation when combined with parylene capping layer (150 Å), devices retain their improved performance for over 4 months.

  18. Dry etching of metallization

    NASA Technical Reports Server (NTRS)

    Bollinger, D.

    1983-01-01

    The production dry etch processes are reviewed from the perspective of microelectronic fabrication applications. The major dry etch processes used in the fabrication of microelectronic devices can be divided into two categories - plasma processes in which samples are directly exposed to an electrical discharge, and ion beam processes in which samples are etched by a beam of ions extracted from a discharge. The plasma etch processes can be distinguished by the degree to which ion bombardment contributes to the etch process. This, in turn is related to capability for anisotropic etching. Reactive Ion Etching (RIE) and Ion Beam Etching are of most interest for etching of thin film metals. RIE is generally considered the best process for large volume, anisotropic aluminum etching.

  19. In-situ photoluminescence imaging for passivation-layer etching process control for photovoltaics

    NASA Astrophysics Data System (ADS)

    Lee, J. Z.; Michaelson, L.; Munoz, K.; Tyson, T.; Gallegos, A.; Sullivan, J. T.; Buonassisi, T.

    2014-07-01

    Light-induced plating (LIP) of solar-cell metal contacts is a scalable alternative to silver paste. However, LIP requires an additional patterning step to create openings in the silicon nitride (SiNx) antireflection coating (ARC) layer prior to metallization. One approach to pattern the SiNx is masking and wet chemical etching. In-situ real-time photoluminescence imaging (PLI) is demonstrated as a process-monitoring method to determine when SiNx has been fully removed during etching. We demonstrate that the change in PLI signal intensity during etching is caused by a combination of (1) decreasing light absorption from the reduction in SiNx ARC layer thickness and (2) decreasing surface lifetime as the SiNx/Si interface transitions to an etch-solution/Si. Using in-situ PLI to guide the etching process, we demonstrate a full-area plated single-crystalline silicon device. In-situ PLI has the potential to be integrated into a commercial processing line to improve process control and reliability.

  20. EUV process establishment through litho and etch for N7 node

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Kawakami, Shinichiro; Kubota, Minoru; Matsunaga, Koichi; Nafus, Kathleen; Foubert, Philippe; Mao, Ming

    2016-03-01

    Extreme ultraviolet lithography (EUVL) technology is steadily reaching high volume manufacturing for 16nm half pitch node and beyond. However, some challenges, for example scanner availability and resist performance (resolution, CD uniformity (CDU), LWR, etch behavior and so on) are remaining. Advance EUV patterning on the ASML NXE:3300/ CLEAN TRACK LITHIUS Pro Z- EUV litho cluster is launched at imec, allowing for finer pitch patterns for L/S and CH. Tokyo Electron Ltd. and imec are continuously collabo rating to develop manufacturing quality POR processes for NXE:3300. TEL's technologies to enhance CDU, defectivity and LWR/LER can improve patterning performance. The patterning is characterized and optimized in both litho and etch for a more complete understanding of the final patterning performance. This paper reports on post-litho CDU improvement by litho process optimization and also post-etch LWR reduction by litho and etch process optimization.

  1. Effects of wet etch processing on laser-induced damage of fused silica surfaces

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Battersby, C.L.; Kozlowski, M.R.; Sheehan, L.M.

    1998-12-22

    Laser-induced damage of transparent fused silica optical components by 355 nm illumination occurs primarily at surface defects produced during the grinding and polishing processes. These defects can either be surface defects or sub-surface damage.Wet etch processing in a buffered hydrogen fluoride (HF) solution has been examined as a tool for characterizing such defects. A study was conducted to understand the effects of etch depth on the damage threshold of fused silica substrates. The study used a 355 nm, 7.5 ns, 10 Hz Nd:YAG laser to damage test fused silica optics through various wet etch processing steps. Inspection of the surfacemore » quality was performed with Nomarski microscopy and Total Internal Reflection Microscopy. The damage test data and inspection results were correlated with polishing process specifics. The results show that a wet etch exposes subsurface damage while maintaining or improving the laser damage performance. The benefits of a wet etch must be evaluated for each polishing process.« less

  2. Neutral beam and ICP etching of HKMG MOS capacitors: Observations and a plasma-induced damage model

    NASA Astrophysics Data System (ADS)

    Kuo, Tai-Chen; Shih, Tzu-Lang; Su, Yin-Hsien; Lee, Wen-Hsi; Current, Michael Ira; Samukawa, Seiji

    2018-04-01

    In this study, TiN/HfO2/Si metal-oxide-semiconductor (MOS) capacitors were etched by a neutral beam etching technique under two contrasting conditions. The configurations of neutral beam etching technique were specially designed to demonstrate a "damage-free" condition or to approximate "reactive-ion-etching-like" conditions to verify the effect of plasma-induced damage on electrical characteristics of MOS capacitors. The results show that by neutral beam etching (NBE), the interface state density (Dit) and the oxide trapped charge (Qot) were lower than routine plasma etching. Furthermore, the decrease in capacitor size does not lead to an increase in leakage current density, indicating less plasma induced side-wall damage. We present a plasma-induced gate stack damage model which we demonstrate by using these two different etching configurations. These results show that NBE is effective in preventing plasma-induced damage at the high-k/Si interface and on the high-k oxide sidewall and thus improve the electrical performance of the gate structure.

  3. Ion track etching revisited: II. Electronic properties of aged tracks in polymers

    NASA Astrophysics Data System (ADS)

    Fink, D.; Muñoz Hernández, G.; Cruz, S. A.; Garcia-Arellano, H.; Vacik, J.; Hnatowicz, V.; Kiv, A.; Alfonta, L.

    2018-02-01

    We compile here electronic ion track etching effects, such as capacitive-type currents, current spike emission, phase shift, rectification and background currents that eventually emerge upon application of sinusoidal alternating voltages across thin, aged swift heavy ion-irradiated polymer foils during etching. Both capacitive-type currents and current spike emission occur as long as obstacles still prevent a smooth continuous charge carrier passage across the foils. In the case of sufficiently high applied electric fields, these obstacles are overcome by spike emission. These effects vanish upon etchant breakthrough. Subsequent transmitted currents are usually of Ohmic type, but shortly after breakthrough (during the track' core etching) often still exhibit deviations such as strong positive phase shifts. They stem from very slow charge carrier mobility across the etched ion tracks due to retarding trapping/detrapping processes. Upon etching the track's penumbra, one occasionally observes a split-up into two transmitted current components, one with positive and another one with negative phase shifts. Usually, these phase shifts vanish when bulk etching starts. Current rectification upon track etching is a very frequent phenomenon. Rectification uses to inverse when core etching ends and penumbra etching begins. When the latter ends, rectification largely vanishes. Occasionally, some residual rectification remains which we attribute to the aged polymeric bulk itself. Last not least, we still consider background currents which often emerge transiently during track etching. We could assign them clearly to differences in the electrochemical potential of the liquids on both sides of the etched polymer foils. Transient relaxation effects during the track etching cause their eventually chaotic behaviour.

  4. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  5. A plasmaless, photochemical etch process for porous organosilicate glass films

    NASA Astrophysics Data System (ADS)

    Ryan, E. Todd; Molis, Steven E.

    2017-12-01

    A plasmaless, photochemical etch process using ultraviolet (UV) light in the presence of NH3 or O2 etched porous organosilicate glass films, also called pSiCOH films, in a two-step process. First, a UV/NH3 or UV/O2 treatment removed carbon (mostly methyl groups bonded to silicon) from a pSiCOH film by demethylation to a depth determined by the treatment exposure time. Second, aqueous HF was used to selectively remove the demethylated layer of the pSiCOH film leaving the methylated layer below. UV in the presence of inert gas or H2 did not demethylate the pSiCOH film. The depth of UV/NH3 demethylation followed diffusion limited kinetics and possible mechanisms of demethylation are presented. Unlike reactive plasma processes, which contain ions that can damage surrounding structures during nanofabrication, the photochemical etch contains no damaging ions. Feasibility of the photochemical etching was shown by comparing it to a plasma-based process to remove the pSiCOH dielectric from between Cu interconnect lines, which is a critical step during air gap fabrication. The findings also expand our understanding of UV photon interactions in pSiCOH films that may contribute to plasma-induced damage to pSiCOH films.

  6. Advanced Simulation Technology to Design Etching Process on CMOS Devices

    NASA Astrophysics Data System (ADS)

    Kuboi, Nobuyuki

    2015-09-01

    Prediction and control of plasma-induced damage is needed to mass-produce high performance CMOS devices. In particular, side-wall (SW) etching with low damage is a key process for the next generation of MOSFETs and FinFETs. To predict and control the damage, we have developed a SiN etching simulation technique for CHxFy/Ar/O2 plasma processes using a three-dimensional (3D) voxel model. This model includes new concepts for the gas transportation in the pattern, detailed surface reactions on the SiN reactive layer divided into several thin slabs and C-F polymer layer dependent on the H/N ratio, and use of ``smart voxels''. We successfully predicted the etching properties such as the etch rate, polymer layer thickness, and selectivity for Si, SiO2, and SiN films along with process variations and demonstrated the 3D damage distribution time-dependently during SW etching on MOSFETs and FinFETs. We confirmed that a large amount of Si damage was caused in the source/drain region with the passage of time in spite of the existing SiO2 layer of 15 nm in the over etch step and the Si fin having been directly damaged by a large amount of high energy H during the removal step of the parasitic fin spacer leading to Si fin damage to a depth of 14 to 18 nm. By analyzing the results of these simulations and our previous simulations, we found that it is important to carefully control the dose of high energy H, incident energy of H, polymer layer thickness, and over-etch time considering the effects of the pattern structure, chamber-wall condition, and wafer open area ratio. In collaboration with Masanaga Fukasawa and Tetsuya Tatsumi, Sony Corporation. We thank Mr. T. Shigetoshi and Mr. T. Kinoshita of Sony Corporation for their assistance with the experiments.

  7. Investigation on electrical tree propagation in polyethylene based on etching method

    NASA Astrophysics Data System (ADS)

    Shi, Zexiang; Zhang, Xiaohong; Wang, Kun; Gao, Junguo; Guo, Ning

    2017-11-01

    To investigate the characteristic of electrical tree propagation in semi-crystalline polymers, the low-density polyethylene (LDPE) samples containing electrical trees are cut into slices by using ultramicrotome. Then the slice samples are etched by potassium permanganate etchant. Finally, the crystalline structure and the electrical tree propagation path in samples are observed by polarized light microscopy (PLM). According to the observation, the LDPE spherocrystal structure model is established on the basis of crystallization kinetics and morphology of polymers. And the electrical tree growth process in LDPE is discussed based on the free volume breakdown theory, the molecular chain relaxation theory, the electromechanical force theory, the thermal expansion effect and the space charge shielding effect.

  8. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    NASA Astrophysics Data System (ADS)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (<30 sec), comparable with the annealing times necessary for dopant activation of p-GaN films and provides an opportunity for streamlining process flow. Plasma etching degrades contact quality on n-GaN films and this degradation has been found to increase with the rf bias levels (ion energies) used, most notably in films with higher doping levels. Immersion in 1:1 mixture of hydrochloric acid and de-ionized water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer

  9. Chemical etching for automatic processing of integrated circuits

    NASA Technical Reports Server (NTRS)

    Kennedy, B. W.

    1981-01-01

    Chemical etching for automatic processing of integrated circuits is discussed. The wafer carrier and loading from a receiving air track into automatic furnaces and unloading onto a sending air track are included.

  10. Fabrication and etching processes of silicon-based PZT thin films

    NASA Astrophysics Data System (ADS)

    Zhao, Hongjin; Liu, Yanxiang; Liu, Jianshe; Ren, Tian-Ling; Liu, Li-Tian; Li, Zhijian

    2001-09-01

    Lead-zirconate-titanate (PZT) thin films on silicon were prepared by a sol-gel method. Phase characterization and crystal orientation of the films were investigated by x-ray diffraction analysis (XRD). It was shown that the PZT thin films had a perfect perovskite structure after annealed at a low temperature of 600 degrees C. PZT thin films were chemically etched using HCl/HF solution through typical semiconductor lithographic process, and the etching condition was optimized. The scanning electron microscopy results indicated that the PZT thin film etching problem was well solved for the applications of PZT thin film devices.

  11. New Deep Reactive Ion Etching Process Developed for the Microfabrication of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Evans, Laura J.; Beheim, Glenn M.

    2005-01-01

    Silicon carbide (SiC) is a promising material for harsh environment sensors and electronics because it can enable such devices to withstand high temperatures and corrosive environments. Microfabrication techniques have been studied extensively in an effort to obtain the same flexibility of machining SiC that is possible for the fabrication of silicon devices. Bulk micromachining using deep reactive ion etching (DRIE) is attractive because it allows the fabrication of microstructures with high aspect ratios (etch depth divided by lateral feature size) in single-crystal or polycrystalline wafers. Previously, the Sensors and Electronics Branch of the NASA Glenn Research Center developed a DRIE process for SiC using the etchant gases sulfur hexafluoride (SF6) and argon (Ar). This process provides an adequate etch rate of 0.2 m/min and yields a smooth surface at the etch bottom. However, the etch sidewalls are rougher than desired, as shown in the preceding photomicrograph. Furthermore, the resulting structures have sides that slope inwards, rather than being precisely vertical. A new DRIE process for SiC was developed at Glenn that produces smooth, vertical sidewalls, while maintaining an adequately high etch rate.

  12. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    NASA Astrophysics Data System (ADS)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  13. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1988-06-16

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  14. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, Carol I. H.; Myers, David R.; Vook, Frederick L.

    1989-01-01

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  15. Chemical etching of nitinol stents.

    PubMed

    Katona, Bálint; Bognár, Eszter; Berta, Balázs; Nagy, Péter; Hirschberg, Kristóf

    2013-01-01

    At present the main cause of death originates from cardiovascular diseases. Primarily the most frequent cause is vessel closing thus resulting in tissue damage. The stent can help to avoid this. It expands the narrowed vessel section and allows free blood flow. The good surface quality of stents is important. It also must have adequate mechanical characteristics or else it can be damaged which can easily lead to the fracture of the implant. Thus, we have to consider the importance of the surface treatment of these implants. In our experiments the appropriate design was cut from a 1.041 mm inner diameter and 0.100 mm wall thickness nitinol tube by using Nd:YAG laser device. Then, the stent was subjected to chemical etching. By doing so, the burr created during the laser cutting process can be removed and the surface quality refined. In our research, we changed the time of chemical etching and monitored the effects of this parameter. The differently etched stents were subjected to microscopic analysis, mass measurement and in vivo environment tests. The etching times that gave suitable surface and mechanical features were identified.

  16. Self-etching adhesive on intact enamel, with and without pre-etching.

    PubMed

    Devarasa, G M; Subba Reddy, V V; Chaitra, N L; Swarna, Y M

    2012-05-01

    Bond strengths of composite resin to enamel using self-etch adhesive (SEA) Clearfil SE bond system on intact enamel and enamel pre-etched with phosphoric acid were compared. The objective was to determine if the pre-etching would increase the bond strengths of the SEA systems to intact enamel and to evaluate the effect of pre-etching on bond formation of self-etch adhesives on intact enamel. Labial surfaces of 40 caries free permanent upper central and lateral incisors were cleaned, sectioned of their roots. All specimens were mounted on acrylic block and divided randomly into four groups. In two groups the application of self-etch adhesive, Clearfil SE bond was carried as per manufacturer's instructions, composite cylinders were built, whereas in the other two groups, 37% phosphoric acid etching was done before the application of self-etching adhesives. Then the resin tags were analyzed using scanning electron microscope and shear bond strength was measured using Instron universal testing machine. When phosphoric acid was used, there was significant increase in the depth of penetration of resin tags and in the Shear Bond Strength of composite to enamel. The results indicate that out of both treatment groups, pre-etching the intact enamel with 37% phosphoric acid resulted in formation of longer resin tags and higher depth of penetration of resin tags of the Clearfil SE bond, and attaining higher bond strength of the Clearfil SE bond to intact enamel. Copyright © 2011 Wiley Periodicals, Inc.

  17. A novel methodology for litho-to-etch pattern fidelity correction for SADP process

    NASA Astrophysics Data System (ADS)

    Chen, Shr-Jia; Chang, Yu-Cheng; Lin, Arthur; Chang, Yi-Shiang; Lin, Chia-Chi; Lai, Jun-Cheng

    2017-03-01

    For 2x nm node semiconductor devices and beyond, more aggressive resolution enhancement techniques (RETs) such as source-mask co-optimization (SMO), litho-etch-litho-etch (LELE) and self-aligned double patterning (SADP) are utilized for the low k1 factor lithography processes. In the SADP process, the pattern fidelity is extremely critical since a slight photoresist (PR) top-loss or profile roughness may impact the later core trim process, due to its sensitivity to environment. During the subsequent sidewall formation and core removal processes, the core trim profile weakness may worsen and induces serious defects that affect the final electrical performance. To predict PR top-loss, a rigorous lithography simulation can provide a reference to modify mask layouts; but it takes a much longer run time and is not capable of full-field mask data preparation. In this paper, we first brought out an algorithm which utilizes multi-intensity levels from conventional aerial image simulation to assess the physical profile through lithography to core trim etching steps. Subsequently, a novel correction method was utilized to improve the post-etch pattern fidelity without the litho. process window suffering. The results not only matched PR top-loss in rigorous lithography simulation, but also agreed with post-etch wafer data. Furthermore, this methodology can also be incorporated with OPC and post-OPC verification to improve core trim profile and final pattern fidelity at an early stage.

  18. Anisotropic etching of platinum electrodes at the onset of cathodic corrosion

    PubMed Central

    Hersbach, Thomas J. P.; Yanson, Alexei I.; Koper, Marc T. M.

    2016-01-01

    Cathodic corrosion is a process that etches metal electrodes under cathodic polarization. This process is presumed to occur through anionic metallic reaction intermediates, but the exact nature of these intermediates and the onset potential of their formation is unknown. Here we determine the onset potential of cathodic corrosion on platinum electrodes. Electrodes are characterized electrochemically before and after cathodic polarization in 10 M sodium hydroxide, revealing that changes in the electrode surface start at an electrode potential of −1.3 V versus the normal hydrogen electrode. The value of this onset potential rules out previous hypotheses regarding the nature of cathodic corrosion. Scanning electron microscopy shows the formation of well-defined etch pits with a specific orientation, which match the voltammetric data and indicate a remarkable anisotropy in the cathodic etching process, favouring the creation of (100) sites. Such anisotropy is hypothesized to be due to surface charge-induced adsorption of electrolyte cations. PMID:27554398

  19. Controlled ion track etching

    NASA Astrophysics Data System (ADS)

    George, J.; Irkens, M.; Neumann, S.; Scherer, U. W.; Srivastava, A.; Sinha, D.; Fink, D.

    2006-03-01

    It is a common practice since long to follow the ion track-etching process in thin foils via conductometry, i.e . by measurement of the electrical current which passes through the etched track, once the track breakthrough condition has been achieved. The major disadvantage of this approach, namely the absence of any major detectable signal before breakthrough, can be avoided by examining the track-etching process capacitively. This method allows one to define precisely not only the breakthrough point before it is reached, but also the length of any non-transient track. Combining both capacitive and conductive etching allows one to control the etching process perfectly. Examples and possible applications are given.

  20. Performance improvements of binary diffractive structures via optimization of the photolithography and dry etch processes

    NASA Astrophysics Data System (ADS)

    Welch, Kevin; Leonard, Jerry; Jones, Richard D.

    2010-08-01

    Increasingly stringent requirements on the performance of diffractive optical elements (DOEs) used in wafer scanner illumination systems are driving continuous improvements in their associated manufacturing processes. Specifically, these processes are designed to improve the output pattern uniformity of off-axis illumination systems to minimize degradation in the ultimate imaging performance of a lithographic tool. In this paper, we discuss performance improvements in both photolithographic patterning and RIE etching of fused silica diffractive optical structures. In summary, optimized photolithographic processes were developed to increase critical dimension uniformity and featuresize linearity across the substrate. The photoresist film thickness was also optimized for integration with an improved etch process. This etch process was itself optimized for pattern transfer fidelity, sidewall profile (wall angle, trench bottom flatness), and across-wafer etch depth uniformity. Improvements observed with these processes on idealized test structures (for ease of analysis) led to their implementation in product flows, with comparable increases in performance and yield on customer designs.

  1. Integration of Electrodeposited Ni-Fe in MEMS with Low-Temperature Deposition and Etch Processes

    PubMed Central

    Schiavone, Giuseppe; Murray, Jeremy; Perry, Richard; Mount, Andrew R.; Desmulliez, Marc P. Y.; Walton, Anthony J.

    2017-01-01

    This article presents a set of low-temperature deposition and etching processes for the integration of electrochemically deposited Ni-Fe alloys in complex magnetic microelectromechanical systems, as Ni-Fe is known to suffer from detrimental stress development when subjected to excessive thermal loads. A selective etch process is reported which enables the copper seed layer used for electrodeposition to be removed while preserving the integrity of Ni-Fe. In addition, a low temperature deposition and surface micromachining process is presented in which silicon dioxide and silicon nitride are used, respectively, as sacrificial material and structural dielectric. The sacrificial layer can be patterned and removed by wet buffered oxide etch or vapour HF etching. The reported methods limit the thermal budget and minimise the stress development in Ni-Fe. This combination of techniques represents an advance towards the reliable integration of Ni-Fe components in complex surface micromachined magnetic MEMS. PMID:28772683

  2. Optical properties of micromachined polysilicon reflective surfaces with etching holes

    NASA Astrophysics Data System (ADS)

    Zou, Jun; Byrne, Colin; Liu, Chang; Brady, David J.

    1998-08-01

    MUMPS (Multi-User MEMS Process) is receiving increasingly wide use in micro optics. We have investigated the optical properties of the polysilicon reflective surface in a typical MUMPS chip within the visible light spectrum. The effect of etching holes on the reflected laser beam is studied. The reflectivity and diffraction patterns at five different wavelengths have been measured. The optical properties of the polysilicon reflective surface are greatly affected by the surface roughness, the etching holes, as well as the material. The etching holes contribute to diffraction and reduction of reflectivity. This study provides a basis for optimal design of micromachined free-space optical systems.

  3. Modeling the characteristic etch morphologies along specific crystallographic orientations by anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Kun-Dar; Miao, Jin-Ru

    2018-02-01

    To improve the advanced manufacturing technology for functional materials, a sophisticated control of chemical etching process is highly demanded, especially in the fields of environment and energy related applications. In this study, a phase-field-based model is utilized to investigate the etch morphologies influenced by the crystallographic characters during anisotropic chemical etching. Three types of etching modes are inspected theoretically, including the isotropic, <100> and <111> preferred oriented etchings. Owing to the specific etching behavior along the crystallographic directions, different characteristic surface structures are presented in the simulations, such as the pimple-like, pyramidal hillock and ridge-like morphologies. In addition, the processing parameters affecting the surface morphological formation and evolution are also examined systematically. According to the numerical results, the growth mechanism of surface morphology in a chemical etching is revealed distinctly. While the etching dynamics plays a dominant role on the surface formation, the characteristic surface morphologies corresponding to the preferred etching direction become more apparent. As the atomic diffusion turned into a determinative factor, a smoothened surface would appear, even under the anisotropic etching conditions. These simulation results provide fundamental information to enhance the development and application of anisotropic chemical etching techniques.

  4. Uniform lateral etching of tungsten in deep trenches utilizing reaction-limited NF3 plasma process

    NASA Astrophysics Data System (ADS)

    Kofuji, Naoyuki; Mori, Masahito; Nishida, Toshiaki

    2017-06-01

    The reaction-limited etching of tungsten (W) with NF3 plasma was performed in an attempt to achieve the uniform lateral etching of W in a deep trench, a capability required by manufacturing processes for three-dimensional NAND flash memory. Reaction-limited etching was found to be possible at high pressures without ion irradiation. An almost constant etching rate that showed no dependence on NF3 pressure was obtained. The effect of varying the wafer temperature was also examined. A higher wafer temperature reduced the threshold pressure for reaction-limited etching and also increased the etching rate in the reaction-limited region. Therefore, the control of the wafer temperature is crucial to controlling the etching amount by this method. We found that the uniform lateral etching of W was possible even in a deep trench where the F radical concentration was low.

  5. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  6. Charging-free electrochemical system for harvesting low-grade thermal energy

    PubMed Central

    Yang, Yuan; Lee, Seok Woo; Ghasemi, Hadi; Loomis, James; Li, Xiaobo; Kraemer, Daniel; Zheng, Guangyuan; Cui, Yi; Chen, Gang

    2014-01-01

    Efficient and low-cost systems are needed to harvest the tremendous amount of energy stored in low-grade heat sources (<100 °C). Thermally regenerative electrochemical cycle (TREC) is an attractive approach which uses the temperature dependence of electrochemical cell voltage to construct a thermodynamic cycle for direct heat-to-electricity conversion. By varying temperature, an electrochemical cell is charged at a lower voltage than discharge, converting thermal energy to electricity. Most TREC systems still require external electricity for charging, which complicates system designs and limits their applications. Here, we demonstrate a charging-free TREC consisting of an inexpensive soluble Fe(CN)63−/4− redox pair and solid Prussian blue particles as active materials for the two electrodes. In this system, the spontaneous directions of the full-cell reaction are opposite at low and high temperatures. Therefore, the two electrochemical processes at both low and high temperatures in a cycle are discharge. Heat-to-electricity conversion efficiency of 2.0% can be reached for the TREC operating between 20 and 60 °C. This charging-free TREC system may have potential application for harvesting low-grade heat from the environment, especially in remote areas. PMID:25404325

  7. Submicron patterned metal hole etching

    DOEpatents

    McCarthy, Anthony M.; Contolini, Robert J.; Liberman, Vladimir; Morse, Jeffrey

    2000-01-01

    A wet chemical process for etching submicron patterned holes in thin metal layers using electrochemical etching with the aid of a wetting agent. In this process, the processed wafer to be etched is immersed in a wetting agent, such as methanol, for a few seconds prior to inserting the processed wafer into an electrochemical etching setup, with the wafer maintained horizontal during transfer to maintain a film of methanol covering the patterned areas. The electrochemical etching setup includes a tube which seals the edges of the wafer preventing loss of the methanol. An electrolyte composed of 4:1 water: sulfuric is poured into the tube and the electrolyte replaces the wetting agent in the patterned holes. A working electrode is attached to a metal layer of the wafer, with reference and counter electrodes inserted in the electrolyte with all electrodes connected to a potentiostat. A single pulse on the counter electrode, such as a 100 ms pulse at +10.2 volts, is used to excite the electrochemical circuit and perform the etch. The process produces uniform etching of the patterned holes in the metal layers, such as chromium and molybdenum of the wafer without adversely effecting the patterned mask.

  8. Multi-Step Deep Reactive Ion Etching Fabrication Process for Silicon-Based Terahertz Components

    NASA Technical Reports Server (NTRS)

    Reck, Theodore (Inventor); Perez, Jose Vicente Siles (Inventor); Lee, Choonsup (Inventor); Cooper, Ken B. (Inventor); Jung-Kubiak, Cecile (Inventor); Mehdi, Imran (Inventor); Chattopadhyay, Goutam (Inventor); Lin, Robert H. (Inventor); Peralta, Alejandro (Inventor)

    2016-01-01

    A multi-step silicon etching process has been developed to fabricate silicon-based terahertz (THz) waveguide components. This technique provides precise dimensional control across multiple etch depths with batch processing capabilities. Nonlinear and passive components such as mixers and multipliers waveguides, hybrids, OMTs and twists have been fabricated and integrated into a small silicon package. This fabrication technique enables a wafer-stacking architecture to provide ultra-compact multi-pixel receiver front-ends in the THz range.

  9. Optimization of etching and reading procedures for the Autoscan 60 track etch system

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    McKeever, R.; Devine, R.; Coennen, C.

    1997-02-11

    The Los Alamos National Laboratory is charged with measuring the occupational exposure to radiological workers and contractors throughout the Laboratory, which includes many different sites with multiple and varied radiation fields. Of concern here are the high energy neutrons such as those generated during accelerator operations at Los Alamos Neutron Science Center (LANSCE). In 1993, the Los Alamos National Laboratory purchased an Autoscan 60 automated reader for use with chemically etched CR39 detectors. The dosimeter design employed at LANL uses a plastic, hemispherical case, encompassing a polystyrene pyramidal detector holder. The pyramidal holder supports three detectors at a 35{degree} angle.more » Averaging the results of the three detectors minimizes the angular dependence normally associated with a planar dosimeter. The Autoscan 60 is an automated reading system for use with CR39 chemical etch detectors. The detectors are immersed in an etch solution to enhance the visibility of the damage sites caused by recoil proton impact with the hydrogen atoms in the detector. The authors decided to increase the etch time from six hours to 15 hours, while retaining the 70 C temperature. The reason for the change in the etch is to enhance the sensitivity and precision of the CR39 detector as indicated by this study.« less

  10. Metal assisted photochemical etching of 4H silicon carbide

    NASA Astrophysics Data System (ADS)

    Leitgeb, Markus; Zellner, Christopher; Schneider, Michael; Schwab, Stefan; Hutter, Herbert; Schmid, Ulrich

    2017-11-01

    Metal assisted photochemical etching (MAPCE) of 4H-silicon carbide (SiC) in Na2S2O8/HF and H2O2/HF aqueous solutions is investigated with platinum as metallic cathode. The formation process of the resulting porous layer is studied with respect to etching time, concentration and type of oxidizing agent. From the experiments it is concluded that the porous layer formation is due to electron hole pairs generated in the semiconductor, which stem from UV light irradiation. The generated holes are consumed during the oxidation of 4H-SiC and the formed oxide is dissolved by HF. To maintain charge balance, the oxidizing agent has to take up electrons at the Pt/etching solution interface. Total dissolution of the porous layers is achieved when the oxidizing agent concentration decreases during MAPCE. In combination with standard photolithography, the definition of porous regions is possible. Furthermore chemical micromachining of 4 H-SiC at room temperature is possible.

  11. Delocalization Drives Free Charge Generation in Conjugated Polymer Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pace, Natalie A.; Reid, Obadiah G.; Rumbles, Garry

    We demonstrate that the product of photoinduced electron transfer between a conjugated polymer host and a dilute molecular sensitizer is controlled by the structural state of the polymer. Ordered semicrystalline solids exhibit free charge generation, while disordered polymers in the melt phase do not. We use photoluminescence (PL) and time-resolved microwave conductivity (TRMC) measurements to sweep through polymer melt transitions in situ. Free charge generation measured by TRMC turns off upon melting, whereas PL quenching of the molecular sensitizers remains constant, implying unchanged electron transfer efficiency. The key difference is the intermolecular order of the polymer host in the solidmore » state compared to the melt. We propose that this order-disorder transition modulates the localization length of the initial charge-transfer state, which controls the probability of free charge formation.« less

  12. Delocalization Drives Free Charge Generation in Conjugated Polymer Films

    DOE PAGES

    Pace, Natalie A.; Reid, Obadiah G.; Rumbles, Garry

    2018-02-19

    We demonstrate that the product of photoinduced electron transfer between a conjugated polymer host and a dilute molecular sensitizer is controlled by the structural state of the polymer. Ordered semicrystalline solids exhibit free charge generation, while disordered polymers in the melt phase do not. We use photoluminescence (PL) and time-resolved microwave conductivity (TRMC) measurements to sweep through polymer melt transitions in situ. Free charge generation measured by TRMC turns off upon melting, whereas PL quenching of the molecular sensitizers remains constant, implying unchanged electron transfer efficiency. The key difference is the intermolecular order of the polymer host in the solidmore » state compared to the melt. We propose that this order-disorder transition modulates the localization length of the initial charge-transfer state, which controls the probability of free charge formation.« less

  13. Utilization of optical emission endpoint in photomask dry etch processing

    NASA Astrophysics Data System (ADS)

    Faure, Thomas B.; Huynh, Cuc; Lercel, Michael J.; Smith, Adam; Wagner, Thomas

    2002-03-01

    Use of accurate and repeatable endpoint detection during dry etch processing of photomask is very important for obtaining good mask mean-to-target and CD uniformity performance. It was found that the typical laser reflectivity endpoint detecting system used on photomask dry etch systems had several key limitations that caused unnecessary scrap and non-optimum image size performance. Consequently, work to develop and implement use of a more robust optical emission endpoint detection system for chrome dry etch processing of photomask was performed. Initial feasibility studies showed that the emission technique was sensitive enough to monitor pattern loadings on contact and via level masks down to 3 percent pattern coverage. Additional work was performed to further improve this to 1 percent pattern coverage by optimizing the endpoint detection parameters. Comparison studies of mask mean-to-target performance and CD uniformity were performed with the use of optical emission endpoint versus laser endpoint for masks built using TOK IP3600 and ZEP 7000 resist systems. It was found that an improvement in mean-to-target performance and CD uniformity was realized on several types of production masks. In addition, part-to-part endpoint time repeatability was found to be significantly improved with the use of optical emission endpoint.

  14. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  15. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  16. Oxygen plasma etching of graphene: A first-principles dynamical inspection of the reaction mechanisms and related activation barriers

    NASA Astrophysics Data System (ADS)

    Koizumi, Kenichi; Boero, Mauro; Shigeta, Yasuteru; Oshiyama, Atsushi; Dept. of Applied Physics Team; Institute of Physics and Chemistry of Strasbourg (IPCMS) Collaboration; Department Of Materials Engineering Science Collaboration

    2013-03-01

    Oxygen plasma etching is a crucial step in the fabrication of electronic circuits and has recently received a renovated interest in view of the realization of carbon-based nanodevices. In an attempt at unraveling the atomic-scale details and to provide guidelines for the control of the etching processes mechanisms, we inspected the possible reaction pathways via reactive first principles simulations. These processes involve breaking and formation of several chemical bonds and are characterized by different free-energy barriers. Free-energy sampling techniques (metadynamics and blue moon), used to enhance the standard Car-Parrinello molecular dynamics, provide us a detailed microscopic picture of the etching of graphene surfaces and a comprehensive scenario of the activation barriers involved in the various steps. MEXT, Japan - contract N. 22104005

  17. Understanding the free energy barrier and multiple timescale dynamics of charge separation in organic photovoltaic cells.

    PubMed

    Yan, Yaming; Song, Linze; Shi, Qiang

    2018-02-28

    By employing several lattice model systems, we investigate the free energy barrier and real-time dynamics of charge separation in organic photovoltaic (OPV) cells. It is found that the combined effects of the external electric field, entropy, and charge delocalization reduce the free energy barrier significantly. The dynamic disorder reduces charge carrier delocalization and results in the increased charge separation barrier, while the effect of static disorder is more complicated. Simulation of the real-time dynamics indicates that the free charge generation process involves multiple time scales, including an ultrafast component within hundreds of femtoseconds, an intermediate component related to the relaxation of the hot charge transfer (CT) state, and a slow component on the time scale of tens of picoseconds from the thermally equilibrated CT state. Effects of hot exciton dissociation as well as its dependence on the energy offset between the Frenkel exciton and the CT state are also analyzed. The current results indicate that only a small energy offset between the band gap and the lowest energy CT state is needed to achieve efficient free charge generation in OPV devices, which agrees with recent experimental findings.

  18. Understanding the free energy barrier and multiple timescale dynamics of charge separation in organic photovoltaic cells

    NASA Astrophysics Data System (ADS)

    Yan, Yaming; Song, Linze; Shi, Qiang

    2018-02-01

    By employing several lattice model systems, we investigate the free energy barrier and real-time dynamics of charge separation in organic photovoltaic (OPV) cells. It is found that the combined effects of the external electric field, entropy, and charge delocalization reduce the free energy barrier significantly. The dynamic disorder reduces charge carrier delocalization and results in the increased charge separation barrier, while the effect of static disorder is more complicated. Simulation of the real-time dynamics indicates that the free charge generation process involves multiple time scales, including an ultrafast component within hundreds of femtoseconds, an intermediate component related to the relaxation of the hot charge transfer (CT) state, and a slow component on the time scale of tens of picoseconds from the thermally equilibrated CT state. Effects of hot exciton dissociation as well as its dependence on the energy offset between the Frenkel exciton and the CT state are also analyzed. The current results indicate that only a small energy offset between the band gap and the lowest energy CT state is needed to achieve efficient free charge generation in OPV devices, which agrees with recent experimental findings.

  19. Photonic jet μ-etching: from static to dynamic process

    NASA Astrophysics Data System (ADS)

    Abdurrochman, A.; Lecler, S.; Zelgowski, J.; Mermet, F.; Fontaine, J.; Tumbelaka, B. Y.

    2017-05-01

    Photonic jet etching is a direct-laser etching method applying photonic jet phenomenon to concentrate the laser beam onto the proceeded material. We call photonic jet the phenomenon of the localized sub-wavelength propagative beam generated at the shadow-side surfaces of micro-scale dielectric cylinders or spheres, when they are illuminated by an electromagnetic plane-wave or laser beam. This concentration has made possible the laser to yield sub-μ etching marks, despite the laser was a near-infrared with nano-second pulses sources. We will present these achievements from the beginning when some spherical glasses were used for static etching to dynamic etching using an optical fiber with a semi-elliptical tip.

  20. Free Webinar February 28: Overcoming the Impacts of Fast Charging | News |

    Science.gov Websites

    NREL Free Webinar February 28: Overcoming the Impacts of Fast Charging Free Webinar February 28 will be moderating a free one-hour webinar titled, "Overcoming the Impacts of Fast Charging on

  1. Dry etching technologies for reflective multilayer

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  2. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    NASA Astrophysics Data System (ADS)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  3. Efficient Charge Transfer and Fine-Tuned Energy Level Alignment in a THF-Processed Fullerene-Free Organic Solar Cell with 11.3% Efficiency.

    PubMed

    Zheng, Zhong; Awartani, Omar M; Gautam, Bhoj; Liu, Delong; Qin, Yunpeng; Li, Wanning; Bataller, Alexander; Gundogdu, Kenan; Ade, Harald; Hou, Jianhui

    2017-02-01

    Fullerene-free organic solar cells show over 11% power conversion efficiency, processed by low toxic solvents. The applied donor and acceptor in the bulk heterojunction exhibit almost the same highest occupied molecular orbital level, yet exhibit very efficient charge creation. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  4. Etching and Growth of GaAs

    NASA Technical Reports Server (NTRS)

    Seabaugh, A. C.; Mattauch, R., J.

    1983-01-01

    In-place process for etching and growth of gallium arsenide calls for presaturation of etch and growth melts by arsenic source crystal. Procedure allows precise control of thickness of etch and newly grown layer on substrate. Etching and deposition setup is expected to simplify processing and improve characteristics of gallium arsenide lasers, high-frequency amplifiers, and advanced integrated circuits.

  5. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    NASA Astrophysics Data System (ADS)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  6. Quantum cascade laser based monitoring of CF{sub 2} radical concentration as a diagnostic tool of dielectric etching plasma processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hübner, M.; Lang, N.; Röpcke, J.

    2015-01-19

    Dielectric etching plasma processes for modern interlevel dielectrics become more and more complex by the introduction of new ultra low-k dielectrics. One challenge is the minimization of sidewall damage, while etching ultra low-k porous SiCOH by fluorocarbon plasmas. The optimization of this process requires a deeper understanding of the concentration of the CF{sub 2} radical, which acts as precursor in the polymerization of the etch sample surfaces. In an industrial dielectric etching plasma reactor, the CF{sub 2} radical was measured in situ using a continuous wave quantum cascade laser (cw-QCL) around 1106.2 cm{sup −1}. We measured Doppler-resolved ro-vibrational absorption lines andmore » determined absolute densities using transitions in the ν{sub 3} fundamental band of CF{sub 2} with the aid of an improved simulation of the line strengths. We found that the CF{sub 2} radical concentration during the etching plasma process directly correlates to the layer structure of the etched wafer. Hence, this correlation can serve as a diagnostic tool of dielectric etching plasma processes. Applying QCL based absorption spectroscopy opens up the way for advanced process monitoring and etching controlling in semiconductor manufacturing.« less

  7. Etching Behavior of Aluminum Alloy Extrusions

    NASA Astrophysics Data System (ADS)

    Zhu, Hanliang

    2014-11-01

    The etching treatment is an important process step in influencing the surface quality of anodized aluminum alloy extrusions. The aim of etching is to produce a homogeneously matte surface. However, in the etching process, further surface imperfections can be generated on the extrusion surface due to uneven materials loss from different microstructural components. These surface imperfections formed prior to anodizing can significantly influence the surface quality of the final anodized extrusion products. In this article, various factors that influence the materials loss during alkaline etching of aluminum alloy extrusions are investigated. The influencing variables considered include etching process parameters, Fe-rich particles, Mg-Si precipitates, and extrusion profiles. This study provides a basis for improving the surface quality in industrial extrusion products by optimizing various process parameters.

  8. Charge transfer to ground-state ions produces free electrons

    PubMed Central

    You, D.; Fukuzawa, H.; Sakakibara, Y.; Takanashi, T.; Ito, Y.; Maliyar, G. G.; Motomura, K.; Nagaya, K.; Nishiyama, T.; Asa, K.; Sato, Y.; Saito, N.; Oura, M.; Schöffler, M.; Kastirke, G.; Hergenhahn, U.; Stumpf, V.; Gokhberg, K.; Kuleff, A. I.; Cederbaum, L. S.; Ueda, K

    2017-01-01

    Inner-shell ionization of an isolated atom typically leads to Auger decay. In an environment, for example, a liquid or a van der Waals bonded system, this process will be modified, and becomes part of a complex cascade of relaxation steps. Understanding these steps is important, as they determine the production of slow electrons and singly charged radicals, the most abundant products in radiation chemistry. In this communication, we present experimental evidence for a so-far unobserved, but potentially very important step in such relaxation cascades: Multiply charged ionic states after Auger decay may partially be neutralized by electron transfer, simultaneously evoking the creation of a low-energy free electron (electron transfer-mediated decay). This process is effective even after Auger decay into the dicationic ground state. In our experiment, we observe the decay of Ne2+ produced after Ne 1s photoionization in Ne–Kr mixed clusters. PMID:28134238

  9. The Tensile and Shear Bond Strengths of Poly (Methyl Methacrylate) Processed on Electrolytically Etched Ticonium.

    DTIC Science & Technology

    1986-05-01

    METHYL NETHACRYLATE) PROCESSED ON ELECTROLYTICALLY ETCHED TICONIUM A THESIS Presented to the Faculty of The University of Texas Graduate School of...were cast utilizing the manufacturer’s directions for investment, burnout , and casting. Two groups of metal specimens were prepared: 20 for...STRENGTHS OF POLY (METHYL METHACRYLATE) PROCESSED ON ELECTROLYTICALLY ETCHED TICONIUM JOHN EDWARD ZURASKY, M.S. The University of Texas Graduate School

  10. Nitrogen reactive ion etch processes for the selective removal of poly-(4-vinylpyridine) in block copolymer films.

    PubMed

    Flynn, Shauna P; Bogan, Justin; Lundy, Ross; Khalafalla, Khalafalla E; Shaw, Matthew; Rodriguez, Brian J; Swift, Paul; Daniels, Stephen; O'Connor, Robert; Hughes, Greg; Kelleher, Susan M

    2018-08-31

    Self-assembling block copolymer (BCP) patterns are one of the main contenders for the fabrication of nanopattern templates in next generation lithography technology. Transforming these templates to hard mark materials is key for pattern transfer and in some cases, involves selectively removing one block from the nanopattern. For poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP), a high χ BCP system which could be potentially incorporated into semiconductor nanofabrication, this selective removal is predominantly done by a wet etch/activation process. Conversely, this process has numerous disadvantages including lack of control and high generation of waste leading to high cost. For these reasons, our motivation was to move away from the wet etch process and optimise a dry etch which would overcome the limitations associated with the activation process. The work presented herein shows the development of a selective plasma etch process for the removal of P4VP cores from PS-b-P4VP nanopatterned film. Results have shown that a nitrogen reactive ion etch plasma has a selectivity for P4VP of 2.2:1 and suggest that the position of the nitrogen in the aromatic ring of P4VP plays a key role in this selectivity. In situ plasma etching and x-ray photoelectron spectrometry measurements were made without breaking vacuum, confirming that the nitrogen plasma has selectivity for removal of P4VP over PS.

  11. Automated process control for plasma etching

    NASA Astrophysics Data System (ADS)

    McGeown, Margaret; Arshak, Khalil I.; Murphy, Eamonn

    1992-06-01

    This paper discusses the development and implementation of a rule-based system which assists in providing automated process control for plasma etching. The heart of the system is to establish a correspondence between a particular data pattern -- sensor or data signals -- and one or more modes of failure, i.e., a data-driven monitoring approach. The objective of this rule based system, PLETCHSY, is to create a program combining statistical process control (SPC) and fault diagnosis to help control a manufacturing process which varies over time. This can be achieved by building a process control system (PCS) with the following characteristics. A facility to monitor the performance of the process by obtaining and analyzing the data relating to the appropriate process variables. Process sensor/status signals are input into an SPC module. If trends are present, the SPC module outputs the last seven control points, a pattern which is represented by either regression or scoring. The pattern is passed to the rule-based module. When the rule-based system recognizes a pattern, it starts the diagnostic process using the pattern. If the process is considered to be going out of control, advice is provided about actions which should be taken to bring the process back into control.

  12. Improvements of low-detection-limit filter-free fluorescence sensor developed by charge accumulation operation

    NASA Astrophysics Data System (ADS)

    Tanaka, Kiyotsugu; Choi, Yong Joon; Moriwaki, Yu; Hizawa, Takeshi; Iwata, Tatsuya; Dasai, Fumihiro; Kimura, Yasuyuki; Takahashi, Kazuhiro; Sawada, Kazuaki

    2017-04-01

    We developed a low-detection-limit filter-free fluorescence sensor by a charge accumulation technique. For charge accumulation, a floating diffusion amplifier (FDA), which included a floating diffusion capacitor, a transfer gate, and a source follower circuit, was used. To integrate CMOS circuits with the filter-free fluorescence sensor, we adopted a triple-well process to isolate transistors from the sensor on a single chip. We detected 0.1 nW fluorescence under the illumination of excitation light by 1.5 ms accumulation, which was one order of magnitude greater than that of a previous current detection sensor.

  13. Ion-rejection, electrokinetic and electrochemical properties of a nanoporous track-etched membrane and their interpretation by means of space charge model.

    PubMed

    Yaroshchuk, Andriy; Boiko, Yuriy; Makovetskiy, Alexandre

    2009-08-18

    Due to their straight cylindrical pores, nanoporous track-etched membranes are suitable materials for studies of the fundamentals of nanofluidics. In contrast to single nanochannels, the nano/micro interface, in this case, can be quantitatively considered within the scope of macroscopically 1D models. The pressure-induced changes in the concentration of dilute KCl solutions (salt rejection phenomenon) have been studied experimentally with a commercially available nanoporous track-etched membrane of poly (ethylene terephthalate) (pore diameter ca. 21 nm). Besides that, we have also studied the concomitant stationary transmembrane electrical phenomenon (filtration potential) and carried out time-resolved measurements of the electrical response to a rapid pressure switch-off (within 5-10 ms). The latter has enabled us to split the filtration potential into the streaming potential and membrane potential components. In this way, we could also confirm that the observed nonlinearity of filtration potential, as a function of the transmembrane volume flow, was primarily caused by the salt rejection. The results of experimental measurements have been interpreted by means of a space charge model with the surface charge density being a single fitting parameter (the pore size was estimated from the membrane hydraulic permeability). By using the surface charge density fitted to the salt rejection data, the results of electrical measurements could be reproduced theoretically with a typical accuracy of 10% or better. Taking into account the simplifications made in the modeling, this accuracy appears to be good and confirms the quantitative applicability of the basic concept of space charge model to the description of transport properties of dilute electrolyte solutions in nanochannels of ca. 20 nm.

  14. Decontamination of metals using chemical etching

    DOEpatents

    Lerch, Ronald E.; Partridge, Jerry A.

    1980-01-01

    The invention relates to chemical etching process for reclaiming contaminated equipment wherein a reduction-oxidation system is included in a solution of nitric acid to contact the metal to be decontaminated and effect reduction of the reduction-oxidation system, and includes disposing a pair of electrodes in the reduced solution to permit passage of an electrical current between said electrodes and effect oxidation of the reduction-oxidation system to thereby regenerate the solution and provide decontaminated equipment that is essentially radioactive contamination-free.

  15. Porous silicon formation during Au-catalyzed etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Algasinger, Michael; Bernt, Maximilian; Koynov, Svetoslav

    2014-04-28

    The formation of “black” nano-textured Si during the Au-catalyzed wet-chemical etch process was investigated with respect to photovoltaic applications. Cross-sectional scanning electron microscopy (SEM) images recorded at different stages of the etch process exhibit an evolution of a two-layer structure, consisting of cone-like Si hillocks covered with a nano-porous Si (np-Si) layer. Optical measurements confirm the presence of a np-Si phase which appears after the first ∼10 s of the etch process and continuously increases with the etch time. Furthermore, the etch process was investigated on Si substrates with different doping levels (∼0.01–100 Ω cm). SEM images show a transition frommore » the two-layer morphology to a structure consisting entirely of np-Si for higher doping levels (<0.1 Ω cm). The experimental results are discussed on the basis of the model of a local electrochemical etch process. A better understanding of the metal-catalyzed etch process facilitates the fabrication of “black” Si on various Si substrates, which is of significant interest for photovoltaic applications.« less

  16. Electrodeposited manganese dioxide nanostructures on electro-etched carbon fibers: High performance materials for supercapacitor applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kazemi, Sayed Habib, E-mail: habibkazemi@iasbs.ac.ir; Center for Research in Climate Change and Global Warming; Maghami, Mostafa Ghaem

    Highlights: • We report a facile method for fabrication of MnO{sub 2} nanostructures on electro-etched carbon fiber. • MnO{sub 2}-ECF electrode shows outstanding supercapacitive behavior even at high discharge rates. • Exceptional cycle stability was achieved for MnO{sub 2}-ECF electrode. • The coulombic efficiency of MnO{sub 2}-ECF electrode is nearly 100%. - Abstract: In this article we introduce a facile, low cost and additive/template free method to fabricate high-rate electrochemical capacitors. Manganese oxide nanostructures were electrodeposited on electro-etched carbon fiber substrate by applying a constant anodic current. Nanostructured MnO{sub 2} on electro-etched carbon fiber was characterized by scanning electron microscopy,more » X-ray diffraction and energy dispersive X-ray analysis. The electrochemical behavior of MnO{sub 2} electro-etched carbon fiber electrode was investigated by electrochemical techniques including cyclic voltammetry, galvanostatic charge/discharge, and electrochemical impedance spectroscopy. A maximum specific capacitance of 728.5 F g{sup −1} was achieved at a scan rate of 5 mV s{sup −1} for MnO{sub 2} electro-etched carbon fiber electrode. Also, this electrode showed exceptional cycle stability, suggesting that it can be considered as a good candidate for supercapacitor electrodes.« less

  17. The effect of solvent relaxation time constants on free energy gap law for ultrafast charge recombination following photoinduced charge separation.

    PubMed

    Mikhailova, Valentina A; Malykhin, Roman E; Ivanov, Anatoly I

    2018-05-16

    To elucidate the regularities inherent in the kinetics of ultrafast charge recombination following photoinduced charge separation in donor-acceptor dyads in solutions, the simulations of the kinetics have been performed within the stochastic multichannel point-transition model. Increasing the solvent relaxation time scales has been shown to strongly vary the dependence of the charge recombination rate constant on the free energy gap. In slow relaxing solvents the non-equilibrium charge recombination occurring in parallel with solvent relaxation is very effective so that the charge recombination terminates at the non-equilibrium stage. This results in a crucial difference between the free energy gap laws for the ultrafast charge recombination and the thermal charge transfer. For the thermal reactions the well-known Marcus bell-shaped dependence of the rate constant on the free energy gap is realized while for the ultrafast charge recombination only a descending branch is predicted in the whole area of the free energy gap exceeding 0.2 eV. From the available experimental data on the population kinetics of the second and first excited states for a series of Zn-porphyrin-imide dyads in toluene and tetrahydrofuran solutions, an effective rate constant of the charge recombination into the first excited state has been calculated. The obtained rate constant being very high is nearly invariable in the area of the charge recombination free energy gap from 0.2 to 0.6 eV that supports the theoretical prediction.

  18. More vertical etch profile using a Faraday cage in plasma etching

    NASA Astrophysics Data System (ADS)

    Cho, Byeong-Ok; Hwang, Sung-Wook; Ryu, Jung-Hyun; Moon, Sang Heup

    1999-05-01

    Scanning electron microscope images of sidewalls obtained by plasma etching of an SiO2 film with and without a Faraday cage have been compared. When the substrate film is etched in the Faraday cage, faceting is effectively suppressed and the etch profile becomes more vertical regardless of the process conditions. This is because the electric potential in the cage is nearly uniform and therefore distortion of the electric field at the convex corner of a microfeature is prevented. The most vertical etch profile is obtained when the cage is used in fluorocarbon plasmas, where faceting is further suppressed due to the decrease in the chemical sputtering yield and the increase in the radical/ion flux on the substrate.

  19. Mechanism of the free charge carrier generation in the dielectric breakdown

    NASA Astrophysics Data System (ADS)

    Rahim, N. A. A.; Ranom, R.; Zainuddin, H.

    2017-12-01

    Many studies have been conducted to investigate the effect of environmental, mechanical and electrical stresses on insulator. However, studies on physical process of discharge phenomenon, leading to the breakdown of the insulator surface are lacking and difficult to comprehend. Therefore, this paper analysed charge carrier generation mechanism that can cause free charge carrier generation, leading toward surface discharge development. Besides, this paper developed a model of surface discharge based on the charge generation mechanism on the outdoor insulator. Nernst’s Planck theory was used in order to model the behaviour of the charge carriers while Poisson’s equation was used to determine the distribution of electric field on insulator surface. In the modelling of surface discharge on the outdoor insulator, electric field dependent molecular ionization was used as the charge generation mechanism. A mathematical model of the surface discharge was solved using method of line technique (MOL). The result from the mathematical model showed that the behaviour of net space charge density was correlated with the electric field distribution.

  20. Evolution and characteristics of GaN nanowires produced via maskless reactive ion etching.

    PubMed

    Haab, Anna; Mikulics, Martin; Sutter, Eli; Jin, Jiehong; Stoica, Toma; Kardynal, Beata; Rieger, Torsten; Grützmacher, Detlev; Hardtdegen, Hilde

    2014-06-27

    The formation of nanowires (NWs) by reactive ion etching (RIE) of maskless GaN layers was investigated. The morphological, structural and optical characteristics of the NWs were studied and compared to those of the layer they evolve from. It is shown that the NWs are the result of a defect selective etching process. The evolution of density and length with etching time is discussed. Densely packed NWs with a length of more than 1 μm and a diameter of ∼60 nm were obtained by RIE of a ∼2.5 μm thick GaN layer. The NWs are predominantly free of threading dislocations and show an improvement of optical properties compared to their layer counterpart. The production of NWs via a top down process on non-masked group III-nitride layers is assessed to be very promising for photovoltaic applications.

  1. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    NASA Astrophysics Data System (ADS)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  2. GPU based 3D feature profile simulation of high-aspect ratio contact hole etch process under fluorocarbon plasmas

    NASA Astrophysics Data System (ADS)

    Chun, Poo-Reum; Lee, Se-Ah; Yook, Yeong-Geun; Choi, Kwang-Sung; Cho, Deog-Geun; Yu, Dong-Hun; Chang, Won-Seok; Kwon, Deuk-Chul; Im, Yeon-Ho

    2013-09-01

    Although plasma etch profile simulation has been attracted much interest for developing reliable plasma etching, there still exist big gaps between current research status and predictable modeling due to the inherent complexity of plasma process. As an effort to address this issue, we present 3D feature profile simulation coupled with well-defined plasma-surface kinetic model for silicon dioxide etching process under fluorocarbon plasmas. To capture the realistic plasma surface reaction behaviors, a polymer layer based surface kinetic model was proposed to consider the simultaneous polymer deposition and oxide etching. Finally, the realistic plasma surface model was used for calculation of speed function for 3D topology simulation, which consists of multiple level set based moving algorithm, and ballistic transport module. In addition, the time consumable computations in the ballistic transport calculation were improved drastically by GPU based numerical computation, leading to the real time computation. Finally, we demonstrated that the surface kinetic model could be coupled successfully for 3D etch profile simulations in high-aspect ratio contact hole plasma etching.

  3. Chemically etched fiber tips for near-field optical microscopy: a process for smoother tips.

    PubMed

    Lambelet, P; Sayah, A; Pfeffer, M; Philipona, C; Marquis-Weible, F

    1998-11-01

    An improved method for producing fiber tips for scanning near-field optical microscopy is presented. The improvement consists of chemically etching quartz optical fibers through their acrylate jacket. This new method is compared with the previous one in which bare fibers were etched. With the new process the meniscus formed by the acid along the fiber does not move during etching, leading to a much smoother surface of the tip cone. Subsequent metallization is thus improved, resulting in better coverage of the tip with an aluminum opaque layer. Our results show that leakage can be avoided along the cone, and light transmission through the tip is spatially limited to an optical aperture of a 100-nm dimension.

  4. Implementation of atomic layer etching of silicon: Scaling parameters, feasibility, and profile control

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ranjan, Alok, E-mail: alok.ranjan@us.tel.com; Wang, Mingmei; Sherpa, Sonam D.

    2016-05-15

    Atomic or layer by layer etching of silicon exploits temporally segregated self-limiting adsorption and material removal steps to mitigate the problems associated with continuous or quasicontinuous (pulsed) plasma processes: selectivity loss, damage, and profile control. Successful implementation of atomic layer etching requires careful choice of the plasma parameters for adsorption and desorption steps. This paper illustrates how process parameters can be arrived at through basic scaling exercises, modeling and simulation, and fundamental experimental tests of their predictions. Using chlorine and argon plasma in a radial line slot antenna plasma source as a platform, the authors illustrate how cycle time, ionmore » energy, and radical to ion ratio can be manipulated to manage the deviation from ideality when cycle times are shortened or purges are incomplete. Cell based Monte Carlo feature scale modeling is used to illustrate profile outcomes. Experimental results of atomic layer etching processes are illustrated on silicon line and space structures such that iso-dense bias and aspect ratio dependent free profiles are produced. Experimental results also illustrate the profile control margin as processes move from atomic layer to multilayer by layer etching. The consequence of not controlling contamination (e.g., oxygen) is shown to result in deposition and roughness generation.« less

  5. Surface etching technologies for monocrystalline silicon wafer solar cells

    NASA Astrophysics Data System (ADS)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  6. A Dry-Etch Process for Low Temperature Superconducting Transition Edge Sensors for Far Infrared Bolometer Arrays

    NASA Technical Reports Server (NTRS)

    Allen, Christine A.; Chervenak, James A.; Hsieh, Wen-Ting; McClanahan, Richard A.; Miller, Timothy M.; Mitchell, Robert; Moseley, S. Harvey; Staguhn, Johannes; Stevenson, Thomas R.

    2003-01-01

    The next generation of ultra-low power bolometer arrays, with applications in far infrared imaging, spectroscopy and polarimetry, utilizes a superconducting bilayer as the sensing element to enable SQUID multiplexed readout. Superconducting transition edge sensors (TES s) are being produced with dual metal systems of superconductinghormal bilayers. The transition temperature (Tc) is tuned by altering the relative thickness of the superconductor with respect to the normal layer. We are currently investigating MoAu and MoCu bilayers. We have developed a dry-etching process for MoAu TES s with integrated molybdenum leads, and are working on adapting the process to MoCu. Dry etching has the advantage over wet etching in the MoAu system in that one can achieve a high degree of selectivity, greater than 10, using argon ME, or argon ion milling, for patterning gold on molybdenum. Molybdenum leads are subsequently patterned using fluorine plasma.. The dry-etch technique results in a smooth, featureless TES with sharp sidewalls, no undercutting of the Mo beneath the normal metal, and Mo leads with high critical current. The effects of individual processing parameters on the characteristics of the transition will be reported.

  7. Dry etching technologies for the advanced binary film

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  8. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  9. Angular dependence of etch rates in the etching of poly-Si and fluorocarbon polymer using SF6, C4F8, and O2 plasmas

    NASA Astrophysics Data System (ADS)

    Min, Jae-Ho; Lee, Gyeo-Re; Lee, Jin-Kwan; Moon, Sang Heup; Kim, Chang-Koo

    2004-05-01

    The dependences of etch rates on the angle of ions incident on the substrate surface in four plasma/substrate systems that constitute the advanced Bosch process were investigated using a Faraday cage designed for the accurate control of the ion-incident angle. The four systems, established by combining discharge gases and substrates, were a SF6/poly-Si, a SF6/fluorocarbon polymer, an O2/fluorocarbon polymer, and a C4F8/Si. In the case of SF6/poly-Si, the normalized etch rates (NERs), defined as the etch rates normalized by the rate on the horizontal surface, were higher at all angles than values predicted from the cosine of the ion-incident angle. This characteristic curve shape was independent of changes in process variables including the source power and bias voltage. Contrary to the earlier case, the NERs for the O2/polymer decreased and eventually reached much lower values than the cosine values at angles between 30° and 70° when the source power was increased and the bias voltage was decreased. On the other hand, the NERs for the SF6/polymer showed a weak dependence on the process variables. In the case of C4F8/Si, which is used in the Bosch process for depositing a fluorocarbon layer on the substrate surface, the deposition rate varied with the ion incident angle, showing an S-shaped curve. These characteristic deposition rate curves, which were highly dependent on the process conditions, could be divided into four distinct regions: a Si sputtering region, an ion-suppressed polymer deposition region, an ion-enhanced polymer deposition region, and an ion-free polymer deposition region. Based on the earlier characteristic angular dependences of the etch (or deposition) rates in the individual systems, ideal process conditions for obtaining an anisotropic etch profile in the advanced Bosch process are proposed. .

  10. Defect-free fabrication of nano-disk and nano-wire by fusion of bio-template and neutral beam etching

    NASA Astrophysics Data System (ADS)

    Samukawa, S.; Noda, Shuichi; Higo, Akio; Yasuda, Manabu; Wada, Kazumi

    2016-11-01

    We have developed an innovated fabrication technology of Si, GaAs, and Ge nano-structures, i.e., we called defect-free neutral beam etching. The technology has been successfully applied to prototype the quantum nano-disks and nano-wires with ferritin based bio-templates. SEM observation verifies that the designed structures are prototyped. Photoluminescence measurements demonstrates high optical quality of nano-structures based on the technology.

  11. Microtrenching-free two-step reactive ion etching of 4H-SiC using NF{sub 3}/HBr/O{sub 2} and Cl{sub 2}/O{sub 2}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tseng, Yuan-Hung, E-mail: yhtseng.ee99g@nctu.edu.tw; Tsui, Bing-Yue

    2014-05-15

    In this paper, the authors performed a reactive ion etch of a 4H-SiC substrate with a gas mixture of NF{sub 3}, HBr, and O{sub 2}, resulting in a microtrenching-free etch. The etch rate was 107.8 nm/min, and the selectivity over the oxide hard mask was ∼3.85. Cross-sectional scanning electron microscopy showed no microtrenching compared with etches using plasmas of NF{sub 3}, NF{sub 3}/HBr, and NF{sub 3}/O{sub 2}. Analyzing a variety of HBr/O{sub 2} mixing ratios, the authors discuss the additive effect of each gas and their respective potential mechanisms for alleviating microtrenching. To increase the radius of gyration of the bottommore » corners, they introduced a second etch step with Cl{sub 2}/O{sub 2} plasma. Fabricating simple metal-oxide-semiconductor capacitors on the two-step etched surface, the authors found that the electrical characteristics of the etched sample were nearly the same as the nonetched sample.« less

  12. Radicals are required for thiol etching of gold particles

    PubMed Central

    Dreier, Timothy A.

    2016-01-01

    Etching of gold with excess thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is opaque. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. PMID:26089294

  13. Inversion layer solar cell fabrication and evaluation. [etching on silicon films

    NASA Technical Reports Server (NTRS)

    Call, R. L.

    1974-01-01

    Inversion layer solar cells were fabricated by etching through the diffused layer on p-type silicon wafers in a comb-like contact pattern. The charge separation comes from an induced p-n junction at the surface. The inverted surface is caused by a layer of transparent material applied to the surface that either contains free positive ions or that creates donor states at the interface. Cells are increased from 3 ma I sub sc to 100 ma by application of sodium silicate. The action is unstable, however, and decays. Non-mesa contaminated oxide cells were fabricated with short circuit currents of over 100 ma measured in the sun. Cells of this type have demonstrated stability.

  14. A Sliding-Mode Triboelectric Nanogenerator with Chemical Group Grated Structure by Shadow Mask Reactive Ion Etching.

    PubMed

    Shang, Wanyu; Gu, Guang Qin; Yang, Feng; Zhao, Lei; Cheng, Gang; Du, Zu-Liang; Wang, Zhong Lin

    2017-09-26

    The sliding-mode triboelectric nanogenerator (S-TENG) with grated structure has important applications in energy harvest and active sensors; however its concavo-convex structure leads to large frictional resistance and abrasion. Here, we developed a S-TENG with a chemical group grated structure (S-TENG-CGG), in which the triboelectric layer's triboelectric potential has a positive-negative alternating charged structure. The triboelectric layer of the S-TENG-CGG was fabricated through a reactive ion etching process with a metal shadow mask with grated structure. In the etched region, the nylon film, originally positively charged as in friction with stainless steel, gained opposite triboelectric potential and became negatively charged because of the change of surface functional groups. The output signals of the S-TENG-CGG are alternating and the frequency is determined by both the segment numbers and the moving speed. The applications of the S-TENG-CGG in the charging capacitor and driving calculator are demonstrated. In the S-TENG-CGG, since there is no concavo-convex structure, the frictional resistance and abrasion are largely reduced, which enhances its performances in better stability and longer working time.

  15. Silicon etching using only Oxygen at high temperature: An alternative approach to Si micro-machining on 150 mm Si wafers

    NASA Astrophysics Data System (ADS)

    Chai, Jessica; Walker, Glenn; Wang, Li; Massoubre, David; Tan, Say Hwa; Chaik, Kien; Hold, Leonie; Iacopi, Alan

    2015-12-01

    Using a combination of low-pressure oxygen and high temperatures, isotropic and anisotropic silicon (Si) etch rates can be controlled up to ten micron per minute. By varying the process conditions, we show that the vertical-to-lateral etch rate ratio can be controlled from 1:1 isotropic etch to 1.8:1 anisotropic. This simple Si etching technique combines the main respective advantages of both wet and dry Si etching techniques such as fast Si etch rate, stiction-free, and high etch rate uniformity across a wafer. In addition, this alternative O2-based Si etching technique has additional advantages not commonly associated with dry etchants such as avoiding the use of halogens and has no toxic by-products, which improves safety and simplifies waste disposal. Furthermore, this process also exhibits very high selectivity (>1000:1) with conventional hard masks such as silicon carbide, silicon dioxide and silicon nitride, enabling deep Si etching. In these initial studies, etch rates as high as 9.2 μm/min could be achieved at 1150 °C. Empirical estimation for the calculation of the etch rate as a function of the feature size and oxygen flow rate are presented and used as proof of concepts.

  16. Alternating SiCl4/O2 passivation steps with SF6 etch steps for silicon deep etching

    NASA Astrophysics Data System (ADS)

    Duluard, C. Y.; Ranson, P.; Pichon, L. E.; Pereira, J.; Oubensaid, E. H.; Lefaucheux, P.; Puech, M.; Dussart, R.

    2011-06-01

    Deep etching of silicon has been investigated in an inductively coupled plasma etch reactor using short SiCl4/O2 plasma steps to passivate the sidewalls of the etched structures. A study was first carried out to define the appropriate parameters to create, at a substrate temperature of -20 °C, a passivation layer by SiCl4/O2 plasma that resists lateral chemical etching in SF6 plasma. The most efficient passivation layer was obtained for a SiCl4/O2 gas flow ratio of 2:1, a pressure of 1 Pa and a source power of 1000 W. Ex situ analyses on a film deposited with these parameters show that it is very rich in oxygen. Silicon etching processes that alternate SF6 plasma etch steps with SiCl4/O2 plasma passivation steps were then developed. Preliminary tests in pulsed-mode conditions have enabled etch rates greater than 2 µm min-1 with selectivities higher than 220. These results show that it is possible to develop a silicon deep etching process at substrate temperatures around -20 °C that uses low SiCl4 and O2 gas flows instead of conventional fluorocarbon gases for sidewall protection.

  17. Porous carbon-free SnSb anodes for high-performance Na-ion batteries

    NASA Astrophysics Data System (ADS)

    Choi, Jeong-Hee; Ha, Choong-Wan; Choi, Hae-Young; Seong, Jae-Wook; Park, Cheol-Min; Lee, Sang-Min

    2018-05-01

    A simple melt-spinning/chemical-etching process is developed to create porous carbon-free SnSb anodes. Sodium ion batteries (SIBs) incorporating these anodes exhibit excellent electrochemical performances by accomodating large volume changes during repeated cycling. The porous carbon-free SnSb anode produced by the melt-spinning/chemical-etching process shows a high reversible capacity of 481 mAh g-1, high ICE of 80%, stable cyclability with a high capacity retention of 99% after 100 cycles, and a fast rate capability of 327 mAh g-1 at 4C-rate. Ex-situ X-ray diffraction and high resolution-transmission electron microscopy analyses demonstrate that the synthesized porous carbon-free SnSb anodes involve the highly reversible reaction with sodium through the conversion and recombination reactions during sodiation/desodiation process. The novel and simple melt-spinning/chemical-etching synthetic process represents a technological breakthrough in the commercialization of Na alloy-able anodes for SIBs.

  18. 3D memory: etch is the new litho

    NASA Astrophysics Data System (ADS)

    Petti, Christopher

    2018-03-01

    This paper discusses the process challenges and limitations for 3D NAND processes, focusing on vertical 3D architectures. The effect of deep memory hole etches on die cost is calculated, with die cost showing a minimum at a given number of layers because of aspect-ratio dependent etch effects. Techniques to mitigate these etch effects are summarized, as are other etch issues, such as bowing and twisting. Metal replacement gate processes and their challenges are also described. Lastly, future directions of vertical 3D NAND technologies are explored.

  19. Direct mapping and characterization of dry etch damage-induced PN junction for long-wavelength HgCdTe infrared detector arrays.

    PubMed

    Li, Yantao; Hu, Weida; Ye, Zhenhua; Chen, Yiyu; Chen, Xiaoshuang; Lu, Wei

    2017-04-01

    Mercury cadmium telluride is the standard material to fabricate high-performance infrared focal plane array (FPA) detectors. However, etch-induced damage is a serious obstacle for realizing highly uniform and damage-free FPA detectors. In this Letter, the high signal-to-noise ratio and high spatial resolution scanning photocurrent microscopy (SPCM) is used to characterize the dry etch-induced inversion layer of vacancy-doped p-type Hg1-xCdxTe (x=0.22) material under different etching temperatures. It is found that the peak-to-peak magnitude of the SPCM profile decreases with a decrease in etching temperature, showing direct proof of controlling dry etch-induced type conversion. Our work paves the way toward seeking optimal etching processes in large-scale infrared FPAs.

  20. Aerosol chemistry in Titan's ionosphere: simultaneous growth and etching processes

    NASA Astrophysics Data System (ADS)

    Carrasco, Nathalie; Cernogora, Guy; Jomard, François; Etcheberry, Arnaud; Vigneron, Jackie

    2016-10-01

    Since the Cassini-CAPS measurements, organic aerosols are known to be present and formed at high altitudes in the diluted and partially ionized medium that is Titan's ionosphere [1]. This unexpected chemistry can be further investigated in the laboratory with plasma experiments simulating the complex ion-neutral chemistry starting from N2-CH4 [2]. Two sorts of solid organic samples can be produced in laboratory experiments simulating Titan's atmospheric reactivity: grains in the volume and thin films on the reactor walls. We expect that grains are more representative of Titan's atmospheric aerosols, but films are used to provide optical indices for radiative models of Titan's atmosphere.The aim of the present study is to address if these two sorts of analogues are chemically equivalent or not, when produced in the same N2-CH4 plasma discharge. The chemical compositions of both these materials are measured by using elemental analysis, XPS analysis and Secondary Ion Mass Spectrometry. We find that films are homogeneous but significantly less rich in nitrogen and hydrogen than grains produced in the same experimental conditions. This surprising difference in their chemical compositions is explained by the efficient etching occurring on the films, which stay in the discharge during the whole plasma duration, whereas the grains are ejected after a few minutes [3]. The impact for our understanding of Titan's aerosols chemical composition is important. Our study shows that chemical growth and etching process are simultaneously at stake in Titan's ionosphere. The more the aerosols stay in the ionosphere, the more graphitized they get through etching process. In order to infer Titan's aerosols composition, our work highlights a need for constraints on the residence time of aerosols in Titan's ionosphere. [1] Waite et al. (2009) Science , 316, p. 870[2] Szopa et al. (2006) PSS, 54, p. 394[3] Carrasco et al. (2016) PSS, 128, p. 52

  1. Highly Manufacturable Deep (Sub-Millimeter) Etching Enabled High Aspect Ratio Complex Geometry Lego-Like Silicon Electronics.

    PubMed

    Ghoneim, Mohamed Tarek; Hussain, Muhammad Mustafa

    2017-04-01

    A highly manufacturable deep reactive ion etching based process involving a hybrid soft/hard mask process technology shows high aspect ratio complex geometry Lego-like silicon electronics formation enabling free-form (physically flexible, stretchable, and reconfigurable) electronic systems. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  2. Radicals Are Required for Thiol Etching of Gold Particles.

    PubMed

    Dreier, Timothy A; Ackerson, Christopher J

    2015-08-03

    Etching of gold with an excess of thiol ligand is used in both synthesis and analysis of gold particles. Mechanistically, the process of etching gold with excess thiol is unclear. Previous studies have obliquely considered the role of oxygen in thiolate etching of gold. Herein, we show that oxygen or a radical initiator is a necessary component for efficient etching of gold by thiolates. Attenuation of the etching process by radical scavengers in the presence of oxygen, and the restoration of activity by radical initiators under inert atmosphere, strongly implicate the oxygen radical. These data led us to propose an atomistic mechanism in which the oxygen radical initiates the etching process. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  3. Ultraviolet Laser Damage Dependence on Contamination Concentration in Fused Silica Optics during Reactive Ion Etching Process

    PubMed Central

    Sun, Laixi; Shao, Ting; Shi, Zhaohua; Huang, Jin; Ye, Xin; Jiang, Xiaodong; Wu, Weidong; Yang, Liming; Zheng, Wanguo

    2018-01-01

    The reactive ion etching (RIE) process of fused silica is often accompanied by surface contamination, which seriously degrades the ultraviolet laser damage performance of the optics. In this study, we find that the contamination behavior on the fused silica surface is very sensitive to the RIE process which can be significantly optimized by changing the plasma generating conditions such as discharge mode, etchant gas and electrode material. Additionally, an optimized RIE process is proposed to thoroughly remove polishing-introduced contamination and efficiently prevent the introduction of other contamination during the etching process. The research demonstrates the feasibility of improving the damage performance of fused silica optics by using the RIE technique. PMID:29642571

  4. Anisotropic Etching Using Reactive Cluster Beams

    NASA Astrophysics Data System (ADS)

    Koike, Kunihiko; Yoshino, Yu; Senoo, Takehiko; Seki, Toshio; Ninomiya, Satoshi; Aoki, Takaaki; Matsuo, Jiro

    2010-12-01

    The characteristics of Si etching using nonionic cluster beams with highly reactive chlorine-trifluoride (ClF3) gas were examined. An etching rate of 40 µm/min or higher was obtained even at room temperature when a ClF3 molecular cluster was formed and irradiated on a single-crystal Si substrate in high vacuum. The etching selectivity of Si with respect to a photoresist and SiO2 was at least 1:1000. We also succeeded in highly anisotropic etching with an aspect ratio of 10 or higher. Moreover, this etching method has a great advantage of low damage, compared with the conventional plasma process.

  5. In Situ Infrared Spectroscopic Studies of Molecular Layer Deposition and Atomic Layer Etching Processes

    NASA Astrophysics Data System (ADS)

    DuMont, Jaime Willadean

    In this thesis, in situ Fourier transform infrared (FTIR) spectroscopy was used to study: i) the growth and pyrolysis of molecular layer deposition (MLD) films. ii) the surface chemistry of atomic layer etching (ALE) processes. Atomic layer processes such as molecular layer deposition (MLD) and atomic layer etching (ALE) are techniques that can add or remove material with atomic level precision using sequential, self-limiting surface reactions. Deposition and removal processes at the atomic scale are powerful tools for many industrial and research applications such as energy storage and semiconductor nanofabrication. The first section of this thesis describes the chemistry of reactions leading to the MLD of aluminum and tin alkoxide polymer films known as "alucone" and "tincone", respectively. The subsequent pyrolysis of these films to produce metal oxide/carbon composites was also investigated. In situ FTIR spectroscopy was conducted to monitor surface species during MLD film growth and to monitor the films background infrared absorbance versus pyrolysis temperature. Ex situ techniques such as transmission electron microscopy (TEM), four-point probe and X-ray diffraction (XRD) were utilized to study the properties of the films post-pyrolysis. TEM confirmed that the pyrolyzed films maintained conformality during post-processing. Four-point probe monitored film resistivity versus pyrolysis temperature and XRD determined the film crystallinity. The second section of this thesis focuses on the surface chemistry of Al2O3 and SiO2 ALE processes, respectively. Thermal ALE processes have been recently developed which utilize sequential fluorination and ligand exchange reactions. An intimate knowledge of the surface chemistry is important in understanding the ALE process. In this section, the competition between the Al2O3 etching and AlF 3 growth that occur during sequential HF (fluorinating agent) and TMA (ligand exchange) exposures is investigated using in situ FTIR

  6. Pattern sampling for etch model calibration

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2017-06-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels as well as the choice of calibration patterns is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels -"internal, external, curvature, Gaussian, z_profile" - designed to capture the finest details of the resist contours and represent precisely any etch bias. By evaluating the etch kernels on various structures it is possible to map their etch signatures in a multi-dimensional space and analyze them to find an optimal sampling of structures to train an etch model. The method was specifically applied to a contact layer containing many different geometries and was used to successfully select appropriate calibration structures. The proposed kernels evaluated on these structures were combined to train an etch model significantly better than the standard one. We also illustrate the usage of the specific kernel "z_profile" which adds a third dimension to the description of the resist profile.

  7. Selective dry etching of silicon containing anti-reflective coating

    NASA Astrophysics Data System (ADS)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic

  8. Enhanced emission of charged-exciton polaritons from colloidal quantum dots on a SiN/SiO2 slab waveguide

    PubMed Central

    Xu, Xingsheng; Li, Xingyun

    2015-01-01

    We investigate the photoluminescence (PL) spectra and the time-resolved PL decay process from colloidal quantum dots on SiN/SiO2 wet etched via BOE (HF:NH4F:H2O). The spectrum displays multi-peak shapes that vary with irradiation time. The evolution of the spectral peaks with irradiation time and collection angle demonstrates that the strong coupling of the charged-exciton emission to the leaky modes of the SiN/SiO2 slab waveguide predominantly produces short-wavelength spectral peaks, resulting in multi-peak spectra. We conclude that BOE etching enhances the charged-exciton emission efficiency and its contribution to the total emission compared with the unetched case. BOE etching smoothes the electron confinement potential, thus decreasing the Auger recombination rate. Therefore, the charged-exciton emission efficiency is high, and the charged-exciton-polariton emission can be further enhanced through strong coupling to the leaky mode of the slab waveguide. PMID:25988709

  9. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing

    NASA Astrophysics Data System (ADS)

    Huan, Z.; Fratila-Apachitei, L. E.; Apachitei, I.; Duszczyk, J.

    2014-02-01

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  10. Synthesis and characterization of hybrid micro/nano-structured NiTi surfaces by a combination of etching and anodizing.

    PubMed

    Huan, Z; Fratila-Apachitei, L E; Apachitei, I; Duszczyk, J

    2014-02-07

    The purpose of this study was to generate hybrid micro/nano-structures on biomedical nickel-titanium alloy (NiTi). To achieve this, NiTi surfaces were firstly electrochemically etched and then anodized in fluoride-containing electrolyte. With the etching process, the NiTi surface was micro-roughened through the formation of micropits uniformly distributed over the entire surface. Following the subsequent anodizing process, self-organized nanotube structures enriched in TiO2 could be superimposed on the etched surface under specific conditions. Furthermore, the anodizing treatment significantly reduced water contact angles and increased the surface free energy compared to the surfaces prior to anodizing. The results of this study show for the first time that it is possible to create hybrid micro/nano-structures on biomedical NiTi alloys by combining electrochemical etching and anodizing under controlled conditions. These novel structures are expected to significantly enhance the surface biofunctionality of the material when compared to conventional implant devices with either micro- or nano-structured surfaces.

  11. The endpoint detection technique for deep submicrometer plasma etching

    NASA Astrophysics Data System (ADS)

    Wang, Wei; Du, Zhi-yun; Zeng, Yong; Lan, Zhong-went

    2009-07-01

    The availability of reliable optical sensor technology provides opportunities to better characterize and control plasma etching processes in real time, they could play a important role in endpoint detection, fault diagnostics and processes feedback control and so on. The optical emission spectroscopy (OES) method becomes deficient in the case of deep submicrometer gate etching. In the newly developed high density inductively coupled plasma (HD-ICP) etching system, Interferometry endpoint (IEP) is introduced to get the EPD. The IEP fringe count algorithm is investigated to predict the end point, and then its signal is used to control etching rate and to call end point with OES signal in over etching (OE) processes step. The experiment results show that IEP together with OES provide extra process control margin for advanced device with thinner gate oxide.

  12. Determination of etching parameters for pulsed XeF2 etching of silicon using chamber pressure data

    NASA Astrophysics Data System (ADS)

    Sarkar, Dipta; Baboly, M. G.; Elahi, M. M.; Abbas, K.; Butner, J.; Piñon, D.; Ward, T. L.; Hieber, Tyler; Schuberth, Austin; Leseman, Z. C.

    2018-04-01

    A technique is presented for determination of the depletion of the etchant, etched depth, and instantaneous etch rate for Si etching with XeF2 in a pulsed etching system in real time. The only experimental data required is the pressure data collected temporally. Coupling the pressure data with the knowledge of the chemical reactions allows for the determination of the etching parameters of interest. Using this technique, it is revealed that pulsed etching processes are nonlinear, with the initial etch rate being the highest and monotonically decreasing as the etchant is depleted. With the pulsed etching system introduced in this paper, the highest instantaneous etch rate of silicon was recorded to be 19.5 µm min-1 for an initial pressure of 1.2 Torr for XeF2. Additionally, the same data is used to determine the rate constant for the reaction of XeF2 with Si; the reaction is determined to be second order in nature. The effect of varying the exposed surface area of Si as well as the effect that pressure has on the instantaneous etch rate as a function of time is shown applying the same technique. As a proof of concept, an AlN resonator is released using XeF2 pulses to remove a sacrificial poly-Si layer.

  13. Catalyst and processing effects on metal-assisted chemical etching for the production of highly porous GaN

    NASA Astrophysics Data System (ADS)

    Geng, Xuewen; Duan, Barrett K.; Grismer, Dane A.; Zhao, Liancheng; Bohn, Paul W.

    2013-06-01

    Metal-assisted chemical etching is a facile method to produce micro-/nanostructures in the near-surface region of gallium nitride (GaN) and other semiconductors. Detailed studies of the production of porous GaN (PGaN) using different metal catalysts and GaN doping conditions have been performed in order to understand the mechanism by which metal-assisted chemical etching is accomplished in GaN. Patterned catalysts show increasing metal-assisted chemical etching activity to n-GaN in the order Ag < Au < Ir < Pt. In addition, the catalytic behavior of continuous films is compared to discontinuous island films. Continuous metal films strongly shield the surface, hindering metal-assisted chemical etching, an effect which can be overcome by using discontinuous films or increasing the irradiance of the light source. With increasing etch time or irradiance, PGaN morphologies change from uniform porous structures to ridge and valley structures. The doping type plays an important role, with metal-assisted chemical etching activity increasing in the order p-GaN < intrinsic GaN < n-GaN. Both the catalyst identity and the doping type effects are explained by the work functions and the related band offsets that affect the metal-assisted chemical etching process through a combination of different barriers to hole injection and the formation of hole accumulation/depletion layers at the metal-semiconductor interface.

  14. Influence of pH on the quantum-size-controlled photoelectrochemical etching of epitaxial InGaN quantum dots

    DOE PAGES

    Xiao, Xiaoyin; Lu, Ping; Fischer, Arthur J.; ...

    2015-11-18

    Illumination by a narrow-band laser has been shown to enable photoelectrochemical (PEC) etching of InGaN thin films into quantum dots with sizes controlled by the laser wavelength. Here, we investigate and elucidate the influence of solution pH on such quantum-size-controlled PEC etch process. We find that although a pH above 5 is often used for PEC etching of GaN-based materials, oxides (In 2O 3 and/or Ga 2O 3) form which interfere with quantum dot formation. Furthermore, at pH below 3, however, oxide-free QDs with self-terminated sizes can be successfully realized.

  15. Engineering charge transport by heterostructuring solution-processed semiconductors

    NASA Astrophysics Data System (ADS)

    Voznyy, Oleksandr; Sutherland, Brandon R.; Ip, Alexander H.; Zhitomirsky, David; Sargent, Edward H.

    2017-06-01

    Solution-processed semiconductor devices are increasingly exploiting heterostructuring — an approach in which two or more materials with different energy landscapes are integrated into a composite system. Heterostructured materials offer an additional degree of freedom to control charge transport and recombination for more efficient optoelectronic devices. By exploiting energetic asymmetry, rationally engineered heterostructured materials can overcome weaknesses, augment strengths and introduce emergent physical phenomena that are otherwise inaccessible to single-material systems. These systems see benefit and application in two distinct branches of charge-carrier manipulation. First, they influence the balance between excitons and free charges to enhance electron extraction in solar cells and photodetectors. Second, they promote radiative recombination by spatially confining electrons and holes, which increases the quantum efficiency of light-emitting diodes. In this Review, we discuss advances in the design and composition of heterostructured materials, consider their implementation in semiconductor devices and examine unexplored paths for future advancement in the field.

  16. Scalloping minimization in deep Si etching on Unaxis DSE tools

    NASA Astrophysics Data System (ADS)

    Lai, Shouliang; Johnson, Dave J.; Westerman, Russ J.; Nolan, John J.; Purser, David; Devre, Mike

    2003-01-01

    Sidewall smoothness is often a critical requirement for many MEMS devices, such as microfludic devices, chemical, biological and optical transducers, while fast silicon etch rate is another. For such applications, the time division multiplex (TDM) etch processes, so-called "Bosch" processes are widely employed. However, in the conventional TDM processes, rough sidewalls result due to scallop formation. To date, the amplitude of the scalloping has been directly linked to the silicon etch rate. At Unaxis USA Inc., we have developed a proprietary fast gas switching technique that is effective for scalloping minimization in deep silicon etching processes. In this technique, process cycle times can be reduced from several seconds to as little as a fraction of second. Scallop amplitudes can be reduced with shorter process cycles. More importantly, as the scallop amplitude is progressively reduced, the silicon etch rate can be maintained relatively constant at high values. An optimized experiment has shown that at etch rate in excess of 7 μm/min, scallops with length of 116 nm and depth of 35 nm were obtained. The fast gas switching approach offers an ideal manufacturing solution for MEMS applications where extremely smooth sidewall and fast etch rate are crucial.

  17. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    NASA Astrophysics Data System (ADS)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  18. Effect of Atomic Charges on Octanol-Water Partition Coefficient Using Alchemical Free Energy Calculation.

    PubMed

    Ogata, Koji; Hatakeyama, Makoto; Nakamura, Shinichiro

    2018-02-15

    The octanol-water partition coefficient (log P ow ) is an important index for measuring solubility, membrane permeability, and bioavailability in the drug discovery field. In this paper, the log P ow values of 58 compounds were predicted by alchemical free energy calculation using molecular dynamics simulation. In free energy calculations, the atomic charges of the compounds are always fixed. However, they must be recalculated for each solvent. Therefore, three different sets of atomic charges were tested using quantum chemical calculations, taking into account vacuum, octanol, and water environments. The calculated atomic charges in the different environments do not necessarily influence the correlation between calculated and experimentally measured ∆ G water values. The largest correlation coefficient values of the solvation free energy in water and octanol were 0.93 and 0.90, respectively. On the other hand, the correlation coefficient of log P ow values calculated from free energies, the largest of which was 0.92, was sensitive to the combination of the solvation free energies calculated from the calculated atomic charges. These results reveal that the solvent assumed in the atomic charge calculation is an important factor determining the accuracy of predicted log P ow values.

  19. Atomic precision etch using a low-electron temperature plasma

    NASA Astrophysics Data System (ADS)

    Dorf, L.; Wang, J.-C.; Rauf, S.; Zhang, Y.; Agarwal, A.; Kenney, J.; Ramaswamy, K.; Collins, K.

    2016-03-01

    Sub-nm precision is increasingly being required of many critical plasma etching processes in the semiconductor industry. Accurate control over ion energy and ion/radical composition is needed during plasma processing to meet these stringent requirements. Described in this work is a new plasma etch system which has been designed with the requirements of atomic precision plasma processing in mind. In this system, an electron sheet beam parallel to the substrate surface produces a plasma with an order of magnitude lower electron temperature Te (~ 0.3 eV) and ion energy Ei (< 3 eV without applied bias) compared to conventional radio-frequency (RF) plasma technologies. Electron beam plasmas are characterized by higher ion-to-radical fraction compared to RF plasmas, so a separate radical source is used to provide accurate control over relative ion and radical concentrations. Another important element in this plasma system is low frequency RF bias capability which allows control of ion energy in the 2-50 eV range. Presented in this work are the results of etching of a variety of materials and structures performed in this system. In addition to high selectivity and low controllable etch rate, an important requirement of atomic precision etch processes is no (or minimal) damage to the remaining material surface. It has traditionally not been possible to avoid damage in RF plasma processing systems, even during atomic layer etch. The experiments for Si etch in Cl2 based plasmas in the aforementioned etch system show that damage can be minimized if the ion energy is kept below 10 eV. Layer-by-layer etch of Si is also demonstrated in this etch system using electrical and gas pulsing.

  20. Power ultrasound irradiation during the alkaline etching process of the 2024 aluminum alloy

    NASA Astrophysics Data System (ADS)

    Moutarlier, V.; Viennet, R.; Rolet, J.; Gigandet, M. P.; Hihn, J. Y.

    2015-11-01

    Prior to any surface treatment on an aluminum alloy, a surface preparation is necessary. This commonly consists in performing an alkaline etching followed by acid deoxidizing. In this work, the use of power ultrasound irradiation during the etching step on the 2024 aluminum alloy was studied. The etching rate was estimated by weight loss, and the alkaline film formed during the etching step was characterized by glow discharge optical emission spectrometry (GDOES) and scanning electron microscope (SEM). The benefit of power ultrasound during the etching step was confirmed by pitting potential measurement in NaCl solution after a post-treatment (anodizing).

  1. Etching radical controlled gas chopped deep reactive ion etching

    DOEpatents

    Olynick, Deidre; Rangelow, Ivo; Chao, Weilun

    2013-10-01

    A method for silicon micromachining techniques based on high aspect ratio reactive ion etching with gas chopping has been developed capable of producing essentially scallop-free, smooth, sidewall surfaces. The method uses precisely controlled, alternated (or chopped) gas flow of the etching and deposition gas precursors to produce a controllable sidewall passivation capable of high anisotropy. The dynamic control of sidewall passivation is achieved by carefully controlling fluorine radical presence with moderator gasses, such as CH.sub.4 and controlling the passivation rate and stoichiometry using a CF.sub.2 source. In this manner, sidewall polymer deposition thicknesses are very well controlled, reducing sidewall ripples to very small levels. By combining inductively coupled plasmas with controlled fluorocarbon chemistry, good control of vertical structures with very low sidewall roughness may be produced. Results show silicon features with an aspect ratio of 20:1 for 10 nm features with applicability to nano-applications in the sub-50 nm regime. By comparison, previous traditional gas chopping techniques have produced rippled or scalloped sidewalls in a range of 50 to 100 nm roughness.

  2. Accuracy of free energies of hydration using CM1 and CM3 atomic charges.

    PubMed

    Udier-Blagović, Marina; Morales De Tirado, Patricia; Pearlman, Shoshannah A; Jorgensen, William L

    2004-08-01

    Absolute free energies of hydration (DeltaGhyd) have been computed for 25 diverse organic molecules using partial atomic charges derived from AM1 and PM3 wave functions via the CM1 and CM3 procedures of Cramer, Truhlar, and coworkers. Comparisons are made with results using charges fit to the electrostatic potential surface (EPS) from ab initio 6-31G* wave functions and from the OPLS-AA force field. OPLS Lennard-Jones parameters for the organic molecules were used together with the TIP4P water model in Monte Carlo simulations with free energy perturbation theory. Absolute free energies of hydration were computed for OPLS united-atom and all-atom methane by annihilating the solutes in water and in the gas phase, and absolute DeltaGhyd values for all other molecules were computed via transformation to one of these references. Optimal charge scaling factors were determined by minimizing the unsigned average error between experimental and calculated hydration free energies. The PM3-based charge models do not lead to lower average errors than obtained with the EPS charges for the subset of 13 molecules in the original study. However, improvement is obtained by scaling the CM1A partial charges by 1.14 and the CM3A charges by 1.15, which leads to average errors of 1.0 and 1.1 kcal/mol for the full set of 25 molecules. The scaled CM1A charges also yield the best results for the hydration of amides including the E/Z free-energy difference for N-methylacetamide in water. Copyright 2004 Wiley Periodicals, Inc.

  3. Semiconductor structure and recess formation etch technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lu, Bin; Sun, Min; Palacios, Tomas Apostol

    2017-02-14

    A semiconductor structure has a first layer that includes a first semiconductor material and a second layer that includes a second semiconductor material. The first semiconductor material is selectively etchable over the second semiconductor material using a first etching process. The first layer is disposed over the second layer. A recess is disposed at least in the first layer. Also described is a method of forming a semiconductor structure that includes a recess. The method includes etching a region in a first layer using a first etching process. The first layer includes a first semiconductor material. The first etching processmore » stops at a second layer beneath the first layer. The second layer includes a second semiconductor material.« less

  4. Highly selective SiO2 etching over Si3N4 using a cyclic process with BCl3 and fluorocarbon gas chemistries

    NASA Astrophysics Data System (ADS)

    Matsui, Miyako; Kuwahara, Kenichi

    2018-06-01

    A cyclic process for highly selective SiO2 etching with atomic-scale precision over Si3N4 was developed by using BCl3 and fluorocarbon gas chemistries. This process consists of two alternately performed steps: a deposition step using BCl3 mixed-gas plasma and an etching step using CF4/Ar mixed-gas plasma. The mechanism of the cyclic process was investigated by analyzing the surface chemistry at each step. BCl x layers formed on both SiO2 and Si3N4 surfaces in the deposition step. Early in the etching step, the deposited BCl x layers reacted with CF x radicals by forming CCl x and BF x . Then, fluorocarbon films were deposited on both surfaces in the etching step. We found that the BCl x layers formed in the deposition step enhanced the formation of the fluorocarbon films in the CF4 plasma etching step. In addition, because F radicals that radiated from the CF4 plasma reacted with B atoms while passing through the BCl x layers, the BCl x layers protected the Si3N4 surface from F-radical etching. The deposited layers, which contained the BCl x , CCl x , and CF x components, became thinner on SiO2 than on Si3N4, which promoted the ion-assisted etching of SiO2. This is because the BCl x component had a high reactivity with SiO2, and the CF x component was consumed by the etching reaction with SiO2.

  5. Self-etch and etch-and-rinse adhesive systems in clinical dentistry.

    PubMed

    Ozer, Fusun; Blatz, Markus B

    2013-01-01

    Current adhesive systems follow either an "etch-and-rinse" or "self-etch" approach, which differ in how they interact with natural tooth structures. Etch-and-rinse systems comprise phosphoric acid to pretreat the dental hard tissues before rinsing and subsequent application of an adhesive. Self-etch adhesives contain acidic monomers, which etch and prime the tooth simultaneously. Etch-and-rinse adhesives are offered as two- or three-step systems, depending on whether primer and bonding are separate or combined in a single bottle. Similarly, self-etch adhesives are available as one- or two-step systems. Both etch-and-rinse and self-etch systems form a hybrid layer as a result of resins impregnating the porous enamel or dentin. Despite current trends toward fewer and simpler clinical application steps, one-step dentin bonding systems exhibit bonding agent lower bond strengths and seem less predictable than multi-step etch-and-rinse and self-etch systems. The varying evidence available today suggests that the choice between etch-and-rinse and self-etch systems is often a matter of personal preference. In general, however, phosphoric acid creates a more pronounced and retentive etching pattern in enamel. Therefore, etch-and-rinse bonding systems are often preferred for indirect restorations and when large areas of enamel are still present. Conversely, self-etch adhesives provide superior and more predictable bond strength to dentin and are, consequently, recommended for direct composite resin restorations, especially when predominantly supported by dentin.

  6. A Study on Ohmic Contact to Dry-Etched p-GaN

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Ao, Jin-Ping; Okada, Masaya; Ohno, Yasuo

    Low-power dry-etching process has been adopted to study the influence of dry-etching on Ohmic contact to p-GaN. When the surface layer of as-grown p-GaN was removed by low-power SiCl4/Cl2-etching, no Ohmic contact can be formed on the low-power dry-etched p-GaN. The same dry-etching process was also applied on n-GaN to understand the influence of the low-power dry-etching process. By capacitance-voltage (C-V) measurement, the Schottky barrier heights (SBHs) of p-GaN and n-GaN were measured. By comparing the change of measured SBHs on p-GaN and n-GaN, it was suggested that etching damage is not the only reason responsible for the degraded Ohmic contacts to dry-etched p-GaN and for Ohmic contact formatin, the original surface layer of as-grown p-GaN have some special properties, which were removed by dry-etching process. To partially recover the original surface of as-grown p-GaN, high temperature annealing (1000°C 30s) was tried on the SiCl4/Cl2-etched p-GaN and Ohmic contact was obtained.

  7. Photolithography and Selective Etching of an Array of Quartz Tuning Fork Resonators with Improved Impact Resistance Characteristics

    NASA Astrophysics Data System (ADS)

    Lee, Sungkyu

    2001-08-01

    Quartz tuning fork blanks with improved impact-resistant characteristics for use in Qualcomm mobile station modem (MSM)-3000 central processing unit (CPU) chips for code division multiple access (CDMA), personal communication system (PCS), and global system for mobile communication (GSM) systems were designed using finite element method (FEM) analysis and suitable processing conditions were determined for the reproducible precision etching of a Z-cut quartz wafer into an array of tuning forks. Negative photoresist photolithography for the additive process was used in preference to positive photoresist photolithography for the subtractive process to etch the array of quartz tuning forks. The tuning fork pattern was transferred via a conventional photolithographical chromium/quartz glass template using a standard single-sided aligner and subsequent negative photoresist development. A tightly adhering and pinhole-free 600/2000 Å chromium/gold mask was coated over the developed photoresist pattern which was subsequently stripped in acetone. This procedure was repeated on the back surface of the wafer. With the protective metallization area of the tuning fork geometry thus formed, etching through the quartz wafer was performed at 80°C in a ± 1.5°C controlled bath containing a concentrated solution of ammonium bifluoride to remove the unwanted areas of the quartz wafer. The quality of the quartz wafer surface finish after quartz etching depended primarily on the surface finish of the quartz wafer prior to etching and the quality of quartz crystals used. Selective etching of a 100 μm quartz wafer could be achieved within 90 min at 80°C. A selective etching procedure with reproducible precision has thus been established and enables the photolithographic mass production of miniature tuning fork resonators.

  8. Measurement of the surface charge accumulation using anodic aluminum oxide(AAO) structure in an inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Park, Ji-Hwan; Oh, Seung-Ju; Lee, Hyo-Chang; Kim, Yu-Sin; Kim, Young-Cheol; Kim, June Young; Ha, Chang-Seoung; Kwon, Soon-Ho; Lee, Jung-Joong; Chung, Chin-Wook

    2014-10-01

    As the critical dimension of the nano-device shrinks, an undesired etch profile occurs during plasma etch process. One of the reasons is the local electric field due to the surface charge accumulation. To demonstrate the surface charge accumulation, an anodic aluminum oxide (AAO) membrane which has high aspect ratio is used. The potential difference between top electrode and bottom electrode in an anodic aluminum oxide contact structure is measured during inductively coupled plasma exposure. The voltage difference is changed with external discharge conditions, such as gas pressure, input power, and gas species and the result is analyzed with the measured plasma parameters.

  9. Electrostatic solvation free energies of charged hard spheres using molecular dynamics with density functional theory interactions

    DOE PAGES

    Duignan, Timothy T.; Baer, Marcel D.; Schenter, Gregory K.; ...

    2017-07-26

    Determining the solvation free energies of single ions in water is one of the most fundamental problems in physical chemistry and yet many unresolved questions remain. In particular, the ability to decompose the solvation free energy into simple and intuitive contributions will have important implications for models of electrolyte solution. In this paper, we provide definitions of the various types of single ion solvation free energies based on different simulation protocols. We calculate solvation free energies of charged hard spheres using density functional theory interaction potentials with molecular dynamics simulation and isolate the effects of charge and cavitation, comparing tomore » the Born (linear response) model. We show that using uncorrected Ewald summation leads to unphysical values for the single ion solvation free energy and that charging free energies for cations are approximately linear as a function of charge but that there is a small non-linearity for small anions. The charge hydration asymmetry for hard spheres, determined with quantum mechanics, is much larger than for the analogous real ions. Finally, this suggests that real ions, particularly anions, are significantly more complex than simple charged hard spheres, a commonly employed representation.« less

  10. Electrostatic solvation free energies of charged hard spheres using molecular dynamics with density functional theory interactions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duignan, Timothy T.; Baer, Marcel D.; Schenter, Gregory K.

    Determining the solvation free energies of single ions in water is one of the most fundamental problems in physical chemistry and yet many unresolved questions remain. In particular, the ability to decompose the solvation free energy into simple and intuitive contributions will have important implications for models of electrolyte solution. In this paper, we provide definitions of the various types of single ion solvation free energies based on different simulation protocols. We calculate solvation free energies of charged hard spheres using density functional theory interaction potentials with molecular dynamics simulation and isolate the effects of charge and cavitation, comparing tomore » the Born (linear response) model. We show that using uncorrected Ewald summation leads to unphysical values for the single ion solvation free energy and that charging free energies for cations are approximately linear as a function of charge but that there is a small non-linearity for small anions. The charge hydration asymmetry for hard spheres, determined with quantum mechanics, is much larger than for the analogous real ions. Finally, this suggests that real ions, particularly anions, are significantly more complex than simple charged hard spheres, a commonly employed representation.« less

  11. Electrostatic solvation free energies of charged hard spheres using molecular dynamics with density functional theory interactions

    NASA Astrophysics Data System (ADS)

    Duignan, Timothy T.; Baer, Marcel D.; Schenter, Gregory K.; Mundy, Chistopher J.

    2017-10-01

    Determining the solvation free energies of single ions in water is one of the most fundamental problems in physical chemistry and yet many unresolved questions remain. In particular, the ability to decompose the solvation free energy into simple and intuitive contributions will have important implications for models of electrolyte solution. Here, we provide definitions of the various types of single ion solvation free energies based on different simulation protocols. We calculate solvation free energies of charged hard spheres using density functional theory interaction potentials with molecular dynamics simulation and isolate the effects of charge and cavitation, comparing to the Born (linear response) model. We show that using uncorrected Ewald summation leads to unphysical values for the single ion solvation free energy and that charging free energies for cations are approximately linear as a function of charge but that there is a small non-linearity for small anions. The charge hydration asymmetry for hard spheres, determined with quantum mechanics, is much larger than for the analogous real ions. This suggests that real ions, particularly anions, are significantly more complex than simple charged hard spheres, a commonly employed representation.

  12. Vapor etching of nuclear tracks in dielectric materials

    DOEpatents

    Musket, Ronald G.; Porter, John D.; Yoshiyama, James M.; Contolini, Robert J.

    2000-01-01

    A process involving vapor etching of nuclear tracks in dielectric materials for creating high aspect ratio (i.e., length much greater than diameter), isolated cylindrical holes in dielectric materials that have been exposed to high-energy atomic particles. The process includes cleaning the surface of the tracked material and exposing the cleaned surface to a vapor of a suitable etchant. Independent control of the temperatures of the vapor and the tracked materials provide the means to vary separately the etch rates for the latent track region and the non-tracked material. As a rule, the tracked regions etch at a greater rate than the non-tracked regions. In addition, the vapor-etched holes can be enlarged and smoothed by subsequent dipping in a liquid etchant. The 20-1000 nm diameter holes resulting from the vapor etching process can be useful as molds for electroplating nanometer-sized filaments, etching gate cavities for deposition of nano-cones, developing high-aspect ratio holes in trackable resists, and as filters for a variety of molecular-sized particles in virtually any liquid or gas by selecting the dielectric material that is compatible with the liquid or gas of interest.

  13. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    NASA Astrophysics Data System (ADS)

    Altamore, C.; Tringali, C.; Sparta', N.; Di Marco, S.; Grasso, A.; Ravesi, S.

    2010-02-01

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (105) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 101 Hz to 106 Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl2/Ar chemistry. The relationship between the etch rate and the Cl2/Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl2/Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  14. Reference binding energies of transition metal carbides by core-level x-ray photoelectron spectroscopy free from Ar+ etching artefacts

    NASA Astrophysics Data System (ADS)

    Greczynski, G.; Primetzhofer, D.; Hultman, L.

    2018-04-01

    We report x-ray photoelectron spectroscopy (XPS) core level binding energies (BE's) for the widely-applicable groups IVb-VIb transition metal carbides (TMCs) TiC, VC, CrC, ZrC, NbC, MoC, HfC, TaC, and WC. Thin film samples are grown in the same deposition system, by dc magnetron co-sputtering from graphite and respective elemental metal targets in Ar atmosphere. To remove surface contaminations resulting from exposure to air during sample transfer from the growth chamber into the XPS system, layers are either (i) Ar+ ion-etched or (ii) UHV-annealed in situ prior to XPS analyses. High resolution XPS spectra reveal that even gentle etching affects the shape of core level signals, as well as BE values, which are systematically offset by 0.2-0.5 eV towards lower BE. These destructive effects of Ar+ ion etch become more pronounced with increasing the metal atom mass due to an increasing carbon-to-metal sputter yield ratio. Systematic analysis reveals that for each row in the periodic table (3d, 4d, and 5d) C 1s BE increases from left to right indicative of a decreased charge transfer from TM to C atoms, hence bond weakening. Moreover, C 1s BE decreases linearly with increasing carbide/metal melting point ratio. Spectra reported here, acquired from a consistent set of samples in the same instrument, should serve as a reference for true deconvolution of complex XPS cases, including multinary carbides, nitrides, and carbonitrides.

  15. Recovery of GaN surface after reactive ion etching

    NASA Astrophysics Data System (ADS)

    Fan, Qian; Chevtchenko, S.; Ni, Xianfeng; Cho, Sang-Jun; Morko, Hadis

    2006-02-01

    Surface properties of GaN subjected to reactive ion etching and the impact on device performance have been investigated by surface potential, optical and electrical measurements. Different etching conditions were studied and essentially high power levels and low chamber pressures resulted in higher etch rates accompanying with the roughening of the surface morphology. Surface potential for the as-grown c-plane GaN was found to be in the range of 0.5~0.7 V using Scanning Kevin Probe Microscopy. However, after reactive ion etching at a power level of 300 W, it decreased to 0.1~0.2 V. A nearly linear reduction was observed on c-plane GaN with increasing power. The nonpolar a-plane GaN samples also showed large surface band bending before and after etching. Additionally, the intensity of the near band-edge photoluminescence decreased and the free carrier density increased after etching. These results suggest that the changes in the surface potential may originate from the formation of possible nitrogen vacancies and other surface oriented defects and adsorbates. To recover the etched surface, N II plasma, rapid thermal annealing, and etching in wet KOH were performed. For each of these methods, the surface potential was found to increase by 0.1~0.3 V, also the reverse leakage current in Schottky diodes fabricated on treated samples was reduced considerably compared with as-etched samples, which implies a partial-to-complete recovery from the plasma-induced damage.

  16. ICP etching for InAs-based InAs/GaAsSb superlattice long wavelength infrared detectors

    NASA Astrophysics Data System (ADS)

    Huang, Min; Chen, Jianxin; Xu, Jiajia; Wang, Fangfang; Xu, Zhicheng; He, Li

    2018-05-01

    In this work, we study and report the dry etching processes for InAs-based InAs/GaAsSb strain-free superlattice long wavelength infrared (LWIR) detectors. The proper etching parameters were first obtained through the parametric studies of Inductively Coupled Plasma (ICP) etching of both InAs and GaSb bulk materials in Cl2/N2 plasmas. Then an InAs-based InAs/GaAsSb superlattice LWIR detector with PπN structure was fabricated by using the optimized etching parameters. At 80 K, the detector exhibits a 100% cut-off wavelength of 12 μm and a responsivity of 1.5 A/W. Moreover, the dark current density of the device under a bias of -200 mV reaches 5.5 × 10-4 A/cm2, and the R0A is 15 Ω cm2. Our results pave the way towards InAs-based superlattice LWIR detectors with better performances.

  17. Technique for etching monolayer and multilayer materials

    DOEpatents

    Bouet, Nathalie C. D.; Conley, Raymond P.; Divan, Ralu; Macrander, Albert

    2015-10-06

    A process is disclosed for sectioning by etching of monolayers and multilayers using an RIE technique with fluorine-based chemistry. In one embodiment, the process uses Reactive Ion Etching (RIE) alone or in combination with Inductively Coupled Plasma (ICP) using fluorine-based chemistry alone and using sufficient power to provide high ion energy to increase the etching rate and to obtain deeper anisotropic etching. In a second embodiment, a process is provided for sectioning of WSi.sub.2/Si multilayers using RIE in combination with ICP using a combination of fluorine-based and chlorine-based chemistries and using RF power and ICP power. According to the second embodiment, a high level of vertical anisotropy is achieved by a ratio of three gases; namely, CHF.sub.3, Cl.sub.2, and O.sub.2 with RF and ICP. Additionally, in conjunction with the second embodiment, a passivation layer can be formed on the surface of the multilayer which aids in anisotropic profile generation.

  18. Copper-assisted, anti-reflection etching of silicon surfaces

    DOEpatents

    Toor, Fatima; Branz, Howard

    2014-08-26

    A method (300) for etching a silicon surface (116) to reduce reflectivity. The method (300) includes electroless deposition of copper nanoparticles about 20 nanometers in size on the silicon surface (116), with a particle-to-particle spacing of 3 to 8 nanometers. The method (300) includes positioning (310) the substrate (112) with a silicon surface (116) into a vessel (122). The vessel (122) is filled (340) with a volume of an etching solution (124) so as to cover the silicon surface (116). The etching solution (124) includes an oxidant-etchant solution (146), e.g., an aqueous solution of hydrofluoric acid and hydrogen peroxide. The silicon surface (116) is etched (350) by agitating the etching solution (124) with, for example, ultrasonic agitation, and the etching may include heating (360) the etching solution (124) and directing light (365) onto the silicon surface (116). During the etching, copper nanoparticles enhance or drive the etching process.

  19. Sculpting Silica Colloids by Etching Particles with Nonuniform Compositions

    PubMed Central

    2017-01-01

    We present the synthesis of new shapes of colloidal silica particles by manipulating their chemical composition and subsequent etching. Segments of silica rods, prepared by the ammonia catalyzed hydrolysis and condensation of tetraethylorthosilicate (TEOS) from polyvinylpyrrolidone loaded water droplets, were grown under different conditions. Upon decreasing temperature, delaying ethanol addition, or increasing monomer concentration, the rate of dissolution of the silica segment subsequently formed decreased. A watery solution of NaOH (∼mM) selectively etched these segments. Further tuning the conditions resulted in rod–cone or cone–cone shapes. Deliberately modulating the composition along the particle’s length by delayed addition of (3-aminopropyl)-triethoxysilane (APTES) also allowed us to change the composition stepwise. The faster etching of this coupling agent in neutral conditions or HF afforded an even larger variety of particle morphologies while in addition changing the chemical functionality. A comparable step in composition was applied to silica spheres. Biamine functional groups used in a similar way as APTES caused a charge inversion during the growth, causing dumbbells and higher order aggregates to form. These particles etched more slowly at the neck, resulting in a biconcave silica ring sandwiched between two silica spheres, which could be separated by specifically etching the functionalized layer using HF. PMID:28413261

  20. Generating free charges by carrier multiplication in quantum dots for highly efficient photovoltaics.

    PubMed

    Ten Cate, Sybren; Sandeep, C S Suchand; Liu, Yao; Law, Matt; Kinge, Sachin; Houtepen, Arjan J; Schins, Juleon M; Siebbeles, Laurens D A

    2015-02-17

    CONSPECTUS: In a conventional photovoltaic device (solar cell or photodiode) photons are absorbed in a bulk semiconductor layer, leading to excitation of an electron from a valence band to a conduction band. Directly after photoexcitation, the hole in the valence band and the electron in the conduction band have excess energy given by the difference between the photon energy and the semiconductor band gap. In a bulk semiconductor, the initially hot charges rapidly lose their excess energy as heat. This heat loss is the main reason that the theoretical efficiency of a conventional solar cell is limited to the Shockley-Queisser limit of ∼33%. The efficiency of a photovoltaic device can be increased if the excess energy is utilized to excite additional electrons across the band gap. A sufficiently hot charge can produce an electron-hole pair by Coulomb scattering on a valence electron. This process of carrier multiplication (CM) leads to formation of two or more electron-hole pairs for the absorption of one photon. In bulk semiconductors such as silicon, the energetic threshold for CM is too high to be of practical use. However, CM in nanometer sized semiconductor quantum dots (QDs) offers prospects for exploitation in photovoltaics. CM leads to formation of two or more electron-hole pairs that are initially in close proximity. For photovoltaic applications, these charges must escape from recombination. This Account outlines our recent progress in the generation of free mobile charges that result from CM in QDs. Studies of charge carrier photogeneration and mobility were carried out using (ultrafast) time-resolved laser techniques with optical or ac conductivity detection. We found that charges can be extracted from photoexcited PbS QDs by bringing them into contact with organic electron and hole accepting materials. However, charge localization on the QD produces a strong Coulomb attraction to its counter charge in the organic material. This limits the production

  1. The magnetic properties and microstructure of Co-Pt thin films using wet etching process.

    PubMed

    Lee, Chang-Hyoung; Cho, Young-Lae; Lee, Won-Pyo; Suh, Su-Jeong

    2014-11-01

    Perpendicular magnetic recording (PMR) is a promising candidate for high density magnetic recording and has already been applied to hard disk drive (HDD) systems. However, media noise still limits the recording density. To reduce the media noise and achieve a high signal-to-noise ratio (SNR) in hard disk media, the grains of the magnetic layer must be magnetically isolated from each other. This study examined whether sputter-deposited Co-Pt thin films can have adjacent grains that are physically isolated. To accomplish this, the effects of the sputtering conditions and wet etching process on magnetic properties and the microstructure of the films were investigated. The film structure was Co-Pt (30 nm)/Ru (30 nm)/NiFe (10 nm)/Ta (5 nm). The composition of the Co-Pt thin films was Co-30.7 at.% Pt. The Co-Pt thin films were deposited in Ar gas at 5, 10, 12.5, and 15 mTorr. Wet etching process was performed using 7% nitric acid solution at room temperature. These films had high out-of-plane coercivity of up to 7032 Oe, which is twice that of the as-deposited film. These results suggest that wet etched Co-Pt thin films have weaker exchange coupling and enhanced out-of-plane coercivity, which would reduce the medium noise.

  2. Investigation of the layout and optical proximity correction effects to control the trench etching process on 4H-SiC

    NASA Astrophysics Data System (ADS)

    Kyoung, Sinsu; Jung, Eun-Sik; Sung, Man Young

    2017-07-01

    Although trench gate and super-junction technology have micro-trench problems when applied to the SiC process due to the material characteristics. In this paper, area effects are analyzed from the test element group with various patterns and optical proximity correction (OPC) methods are proposed and analyzed to reduce micro-trenches in the SiC trench etching process. First, the loading effects were analyzed from pattern samples with various trench widths (Wt). From experiments, the area must limited under a proper size for a uniform etching profile and reduced micro-trenches because a wider area accelerates the etch rate. Second, the area effects were more severely unbalanced at corner patterns because the corner pattern necessarily has an in-corner and out-corner that have different etching areas to each other. We can balance areas using OPC patterns to overcome this. Experiments with OPC represented improved micro-trench profile from when comparing differences of trench depth (Δdt) at out corner and in corner. As a result, the area effects can be used to improve the trench profile with optimized etching process conditions. Therefore, the trench gate and super-junction pillar of the SiC power MOSFET can have an improved uniform profile without micro-trenches using proper design and OPC.[Figure not available: see fulltext.

  3. Anisotropic etching of silicon in solutions containing tensioactive compounds

    NASA Astrophysics Data System (ADS)

    Zubel, Irena

    2016-12-01

    The results of investigations concerning anisotropic etching in 3M KOH and 25% TMAH solutions modified by tensioactive compounds such as alcohols, diols and a typical surfactant Triton X100 have been compared. Etching anisotropy was assessed on the basis of etch rates ratio V(110)/V(100). It was stated that the relation between surface tension of the solutions and etch rates of particular planes depend not only on the kind of surfactant but also on the kind of etching solution (KOH, TMAH). It points out an important role of TMA+ ions in the etching process, probably in the process of forming an adsorption layer, consisting of the molecules of tensioactive compounds on Si surface, which decides about etch rate. We have observed that this phenomenon occurs only at high concentration of TMA+ ions (25% TMAH). Reduction of TMAH concentration changes the properties of surfactant containing TMAH solutions. From all investigated solutions, the solutions that assured developing of (110) plane inclined at the angle of 45° to (100) substrate were selected. Such planes can be used as micromirrors in MOEMS structures. The solutions provide the etch rate ratio V(110)/V(100)<0.7, thus they were selected from hydroxide solutions containing surfactants. A simple way for etch rate anisotropy V(110)/V(100) assessment based on microscopic images etched structures has been proposed.

  4. ScAlN etch mask for highly selective silicon etching

    DOE PAGES

    Henry, Michael David; Young, Travis R.; Griffin, Ben

    2017-09-08

    Here, this work reports the utilization of a recently developed film, ScAlN, as a silicon etch mask offering significant improvements in high etch selectivity to silicon. Utilization of ScAlN as a fluorine chemistry based deep reactive ion etch mask demonstrated etch selectivity at 23 550:1, four times better than AlN, 11 times better than Al 2O 3, and 148 times better than silicon dioxide with significantly less resputtering at high bias voltage than either Al 2O 3 or AlN. Ellipsometry film thickness measurements show less than 0.3 nm/min mask erosion rates for ScAlN. Micromasking of resputtered Al for Al 2Omore » 3, AlN, and ScAlN etch masks is also reported here, utilizing cross-sectional scanning electron microscope and confocal microscope roughness measurements. With lower etch bias, the reduced etch rate can be optimized to achieve a trench bottom surface roughness that is comparable to SiO 2 etch masks. Etch mask selectivity enabled by ScAlN is likely to make significant improvements in microelectromechanical systems, wafer level packaging, and plasma dicing of silicon.« less

  5. Method for anisotropic etching in the manufacture of semiconductor devices

    NASA Technical Reports Server (NTRS)

    Koontz, Steven L. (Inventor); Cross, Jon B. (Inventor)

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by hyperthermal atomic oxygen beams (translational energies of 0.2 to 20 eV, preferably 1 to 10 eV). Etching with hyperthermal oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask protected areas.

  6. Investigations on diamond nanostructuring of different morphologies by the reactive-ion etching process and their potential applications.

    PubMed

    Kunuku, Srinivasu; Sankaran, Kamatchi Jothiramalingam; Tsai, Cheng-Yen; Chang, Wen-Hao; Tai, Nyan-Hwa; Leou, Keh-Chyang; Lin, I-Nan

    2013-08-14

    We report the systematic studies on the fabrication of aligned, uniform, and highly dense diamond nanostructures from diamond films of various granular structures. Self-assembled Au nanodots are used as a mask in the self-biased reactive-ion etching (RIE) process, using an O2/CF4 process plasma. The morphology of diamond nanostructures is a close function of the initial phase composition of diamond. Cone-shaped and tip-shaped diamond nanostructures result for microcrystalline diamond (MCD) and nanocrystalline diamond (NCD) films, whereas pillarlike and grasslike diamond nanostructures are obtained for Ar-plasma-based and N2-plasma-based ultrananocrystalline diamond (UNCD) films, respectively. While the nitrogen-incorporated UNCD (N-UNCD) nanograss shows the most-superior electron-field-emission properties, the NCD nanotips exhibit the best photoluminescence properties, viz, different applications need different morphology of diamond nanostructures to optimize the respective characteristics. The optimum diamond nanostructure can be achieved by proper choice of granular structure of the initial diamond film. The etching mechanism is explained by in situ observation of optical emission spectrum of RIE plasma. The preferential etching of sp(2)-bonded carbon contained in the diamond films is the prime factor, which forms the unique diamond nanostructures from each type of diamond films. However, the excited oxygen atoms (O*) are the main etching species of diamond film.

  7. Method for anisotropic etching in the manufacture of semiconductor devices

    DOEpatents

    Koontz, Steven L.; Cross, Jon B.

    1993-01-01

    Hydrocarbon polymer coatings used in microelectronic manufacturing processes are anisotropically etched by atomic oxygen beams (translational energies of 0.2-20 eV, preferably 1-10 eV). Etching with hyperthermal (kinetic energy>1 eV) oxygen atom species obtains highly anisotropic etching with sharp boundaries between etched and mask-protected areas.

  8. Low damage dry etch for III-nitride light emitters

    NASA Astrophysics Data System (ADS)

    Nedy, Joseph G.; Young, Nathan G.; Kelchner, Kathryn M.; Hu, Yanling; Farrell, Robert M.; Nakamura, Shuji; DenBaars, Steven P.; Weisbuch, Claude; Speck, James S.

    2015-08-01

    We have developed a dry etch process for the fabrication of lithographically defined features close to light emitting layers in the III-nitride material system. The dry etch was tested for its effect on the internal quantum efficiency of c-plane InGaN quantum wells using the photoluminescence of a test structure with two active regions. No change was observed in the internal quantum efficiency of the test active region when the etched surface was greater than 71 nm away. To demonstrate the application of the developed dry etch process, surface-etched air gaps were fabricated 275 nm away from the active region of an m-plane InGaN/GaN laser diode and served as the waveguide upper cladding. Electrically injected lasing was observed without the need for regrowth or recovery anneals. This dry etch opens up a new design tool that can be utilized in the next generation of GaN light emitters.

  9. Cryogenic Etching of High Aspect Ratio 400 nm Pitch Silicon Gratings.

    PubMed

    Miao, Houxun; Chen, Lei; Mirzaeimoghri, Mona; Kasica, Richard; Wen, Han

    2016-10-01

    The cryogenic process and Bosch process are two widely used processes for reactive ion etching of high aspect ratio silicon structures. This paper focuses on the cryogenic deep etching of 400 nm pitch silicon gratings with various etching mask materials including polymer, Cr, SiO 2 and Cr-on-polymer. The undercut is found to be the key factor limiting the achievable aspect ratio for the direct hard masks of Cr and SiO 2 , while the etch selectivity responds to the limitation of the polymer mask. The Cr-on-polymer mask provides the same high selectivity as Cr and reduces the excessive undercut introduced by direct hard masks. By optimizing the etching parameters, we etched a 400 nm pitch grating to ≈ 10.6 μ m depth, corresponding to an aspect ratio of ≈ 53.

  10. High density plasma etching of magnetic devices

    NASA Astrophysics Data System (ADS)

    Jung, Kee Bum

    Magnetic materials such as NiFe (permalloy) or NiFeCo are widely used in the data storage industry. Techniques for submicron patterning are required to develop next generation magnetic devices. The relative chemical inertness of most magnetic materials means they are hard to etch using conventional RIE (Reactive Ion Etching). Therefore ion milling has generally been used across the industry, but this has limitations for magnetic structures with submicron dimensions. In this dissertation, we suggest high density plasmas such as ECR (Electron Cyclotron Resonance) and ICP (Inductively Coupled Plasma) for the etching of magnetic materials (NiFe, NiFeCo, CoFeB, CoSm, CoZr) and other related materials (TaN, CrSi, FeMn), which are employed for magnetic devices like magnetoresistive random access memories (MRAM), magnetic read/write heads, magnetic sensors and microactuators. This research examined the fundamental etch mechanisms occurring in high density plasma processing of magnetic materials by measuring etch rate, surface morphology and surface stoichiometry. However, one concern with using Cl2-based plasma chemistry is the effect of residual chlorine or chlorinated etch residues remaining on the sidewalls of etched features, leading to a degradation of the magnetic properties. To avoid this problem, we employed two different processing methods. The first one is applying several different cleaning procedures, including de-ionized water rinsing or in-situ exposure to H2, O2 or SF6 plasmas. Very stable magnetic properties were achieved over a period of ˜6 months except O2 plasma treated structures, with no evidence of corrosion, provided chlorinated etch residues were removed by post-etch cleaning. The second method is using non-corrosive gas chemistries such as CO/NH3 or CO2/NH3. There is a small chemical contribution to the etch mechanism (i.e. formation of metal carbonyls) as determined by a comparison with Ar and N2 physical sputtering. The discharge should be NH3

  11. Large Scale Synthesis of Colloidal Si Nanocrystals and their Helium Plasma Processing into Spin-On, Carbon-Free Nanocrystalline Si Films.

    PubMed

    Mohapatra, Pratyasha; Mendivelso-Perez, Deyny; Bobbitt, Jonathan M; Shaw, Santosh; Yuan, Bin; Tian, Xinchun; Smith, Emily A; Cademartiri, Ludovico

    2018-05-30

    This paper describes a simple approach to the large scale synthesis of colloidal Si nanocrystals and their processing by He plasma into spin-on carbon-free nanocrystalline Si films. We further show that the RIE etching rate in these films is 1.87 times faster than for single crystalline Si, consistent with a simple geometric argument that accounts for the nanoscale roughness caused by the nanoparticle shape.

  12. Anisotropic diamond etching through thermochemical reaction between Ni and diamond in high-temperature water vapour.

    PubMed

    Nagai, Masatsugu; Nakanishi, Kazuhiro; Takahashi, Hiraku; Kato, Hiromitsu; Makino, Toshiharu; Yamasaki, Satoshi; Matsumoto, Tsubasa; Inokuma, Takao; Tokuda, Norio

    2018-04-27

    Diamond possesses excellent physical and electronic properties, and thus various applications that use diamond are under development. Additionally, the control of diamond geometry by etching technique is essential for such applications. However, conventional wet processes used for etching other materials are ineffective for diamond. Moreover, plasma processes currently employed for diamond etching are not selective, and plasma-induced damage to diamond deteriorates the device-performances. Here, we report a non-plasma etching process for single crystal diamond using thermochemical reaction between Ni and diamond in high-temperature water vapour. Diamond under Ni films was selectively etched, with no etching at other locations. A diamond-etching rate of approximately 8.7 μm/min (1000 °C) was successfully achieved. To the best of our knowledge, this rate is considerably greater than those reported so far for other diamond-etching processes, including plasma processes. The anisotropy observed for this diamond etching was considerably similar to that observed for Si etching using KOH.

  13. Metal etching composition

    NASA Technical Reports Server (NTRS)

    Otousa, Joseph E. (Inventor); Thomas, Clark S. (Inventor); Foster, Robert E. (Inventor)

    1991-01-01

    The present invention is directed to a chemical etching composition for etching metals or metallic alloys. The composition includes a solution of hydrochloric acid, phosphoric acid, ethylene glycol, and an oxidizing agent. The etching composition is particularly useful for etching metal surfaces in preparation for subsequent fluorescent penetrant inspection.

  14. An evaluation of shear bond strength of self-etch adhesive on pre-etched enamel: an in vitro study.

    PubMed

    Rao, Bhadra; Reddy, Satti Narayana; Mujeeb, Abdul; Mehta, Kanchan; Saritha, G

    2013-11-01

    To determine the shear bond strength of self-etch adhesive G-bond on pre-etched enamel. Thirty caries free human mandibular premolars extracted for orthodontic purpose were used for the study. Occlusal surfaces of all the teeth were flattened with diamond bur and a silicon carbide paper was used for surface smoothening. The thirty samples were randomly grouped into three groups. Three different etch systems were used for the composite build up: group 1 (G-bond self-etch adhesive system), group 2 (G-bond) and group 3 (Adper single bond). Light cured was applied for 10 seconds with a LED unit for composite buildup on the occlusal surface of each tooth with 8 millimeters (mm) in diameter and 3 mm in thickness. The specimens in each group were tested in shear mode using a knife-edge testing apparatus in a universal testing machine across head speed of 1 mm/ minute. Shear bond strength values in Mpa were calculated from the peak load at failure divided by the specimen surface area. The mean shear bond strength of all the groups were calculated and statistical analysis was carried out using one-way Analysis of Variance (ANOVA). The mean bond strength of group 1 is 15.5 Mpa, group 2 is 19.5 Mpa and group 3 is 20.1 Mpa. Statistical analysis was carried out between the groups using one-way ANOVA. Group 1 showed statistically significant lower bond strength when compared to groups 2 and 3. No statistical significant difference between groups 2 and 3 (p < 0.05). Self-etch adhesive G-bond showed increase in shear bond strength on pre-etched enamel.

  15. Nanosecond laser-induced back side wet etching of fused silica with a copper-based absorber liquid

    NASA Astrophysics Data System (ADS)

    Lorenz, Pierre; Zehnder, Sarah; Ehrhardt, Martin; Frost, Frank; Zimmer, Klaus; Schwaller, Patrick

    2014-03-01

    Cost-efficient machining of dielectric surfaces with high-precision and low-roughness for industrial applications is still challenging if using laser-patterning processes. Laser induced back side wet etching (LIBWE) using UV laser pulses with liquid heavy metals or aromatic hydrocarbons as absorber allows the fabrication of well-defined, nm precise, free-form surfaces with low surface roughness, e.g., needed for optical applications. The copper-sulphatebased absorber CuSO4/K-Na-Tartrate/NaOH/formaldehyde in water is used for laser-induced deposition of copper. If this absorber can also be used as precursor for laser-induced ablation, promising industrial applications combining surface structuring and deposition within the same setup could be possible. The etching results applying a KrF excimer (248 nm, 25 ns) and a Nd:YAG (1064 nm, 20 ns) laser are compared. The topography of the etched surfaces were analyzed by scanning electron microscopy (SEM), white light interferometry (WLI) as well as laser scanning microscopy (LSM). The chemical composition of the irradiated surface was studied by energy-dispersive X-ray spectroscopy (EDX) and Fourier transform infrared spectroscopy (FT-IR). For the discussion of the etching mechanism the laser-induced heating was simulated with finite element method (FEM). The results indicate that the UV and IR radiation allows micro structuring of fused silica with the copper-based absorber where the etching process can be explained by the laser-induced formation of a copper-based absorber layer.

  16. Aggressiveness of contemporary self-etching adhesives. Part II: etching effects on unground enamel.

    PubMed

    Pashley, D H; Tay, F R

    2001-09-01

    The aggressiveness of three self-etching adhesives on unground enamel was investigated. Ultrastructural features and microtensile bond strength were examined, first using these adhesives as both the etching and resin-infiltration components, and then examining their etching efficacy alone through substitution of the proprietary resins with the same control resins. For SEM examination, buccal, mid-coronal, unground enamel from human extracted bicuspids were etched with either Clearfil Mega Bond (Kuraray), Non-Rinse Conditioner (NRC; Dentsply DeTrey) or Prompt L-Pop (ESPE). Those in the control group were etched with 32% phosphoric acid (Bisco) for 15s. They were all rinsed off prior to examination of the etching efficacy. For TEM examination, the self-etching adhesives were used as recommended. Unground enamel treated with NRC were further bonded using Prime&Bond NT (Dentsply), while those in the etched, control group were bonded using All-Bond 2 (Bisco). Completely demineralized, resin replicas were embedded in epoxy resin for examination of the extent of resin infiltration. For microtensile bond strength evaluation, specimens were first etched and bonded using the self-etching adhesives. A second group of specimens were etched with the self-etching adhesives, rinsed but bonded using a control adhesive. Following restoration with Z100 (3M Dental Products), they were sectioned into beams of uniform cross-sectional areas and stressed to failure. Etching patterns of aprismatic enamel, as revealed by SEM, and the subsurface hybrid layer morphology, as revealed by TEM, varied according to the aggressiveness of the self-etching adhesives. Clearfil Mega Bond exhibited the mildest etching patterns, while Prompt L-Pop produced an etching effect that approached that of the total-etch control group. Microtensile bond strength of the three experimental groups were all significantly lower than the control group, but not different from one another. When the self-etching

  17. An optimized one-step wet etching process of Pb(Zr0.52Ti0.48)O3 thin films for microelectromechanical system applications

    NASA Astrophysics Data System (ADS)

    Che, L.; Halvorsen, E.; Chen, X.

    2011-10-01

    The existence of insoluble residues as intermediate products produced during the wet etching process is the main quality-reducing and structure-patterning issue for lead zirconate titanate (PZT) thin films. A one-step wet etching process using the solutions of buffered HF (BHF) and HNO3 acid was developed for patterning PZT thin films for microelectomechanical system (MEMS) applications. PZT thin films with 1 µm thickness were prepared on the Pt/Ti/SiO2/Si substrate by the sol-gel process for compatibility with Si micromachining. Various compositions of the etchant were investigated and the patterns were examined to optimize the etching process. The optimal result is demonstrated by a high etch rate (3.3 µm min-1) and low undercutting (1.1: 1). The patterned PZT thin film exhibits a remnant polarization of 24 µC cm-2, a coercive field of 53 kV cm-1, a leakage current density of 4.7 × 10-8 A cm-2 at 320 kV cm-1 and a dielectric constant of 1100 at 1 KHz.

  18. Niobium oxide synthesized via etching agent - assisted hydrothermal process: A films with low reflectance properties

    NASA Astrophysics Data System (ADS)

    Rani, Rozina Abdul; Zoolfakar, Ahmad Sabirin; Alrokayan, Salman; Khan, Haseeb; Rusop, M.

    2018-05-01

    In this paper, synthesis of the hydrothermal based etching process of niobium oxide (Nb2O5) films and their reflectance properties are presented. The concentration of etching agent, which is ammonium fluoride (NH4F) in the hydrothermal solution as well as the grain size and the annealing condition have significantly affected the reflectance properties of Nb2O5 films. Films that synthesized in 1.65M of NH4F solution showed the lowest percentage of reflectance value of 3.22% at 222 nm. The obtained reflectance results have shown that this kind of Nb2O5 films is very suitable for anti-reflective coating layer and UV sensor application.

  19. Exploration of suitable dry etch technologies for directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  20. Two-year Randomized Clinical Trial of Self-etching Adhesives and Selective Enamel Etching.

    PubMed

    Pena, C E; Rodrigues, J A; Ely, C; Giannini, M; Reis, A F

    2016-01-01

    The aim of this randomized, controlled prospective clinical trial was to evaluate the clinical effectiveness of restoring noncarious cervical lesions with two self-etching adhesive systems applied with or without selective enamel etching. A one-step self-etching adhesive (Xeno V(+)) and a two-step self-etching system (Clearfil SE Bond) were used. The effectiveness of phosphoric acid selective etching of enamel margins was also evaluated. Fifty-six cavities were restored with each adhesive system and divided into two subgroups (n=28; etch and non-etch). All 112 cavities were restored with the nanohybrid composite Esthet.X HD. The clinical effectiveness of restorations was recorded in terms of retention, marginal integrity, marginal staining, caries recurrence, and postoperative sensitivity after 3, 6, 12, 18, and 24 months (modified United States Public Health Service). The Friedman test detected significant differences only after 18 months for marginal staining in the groups Clearfil SE non-etch (p=0.009) and Xeno V(+) etch (p=0.004). One restoration was lost during the trial (Xeno V(+) etch; p>0.05). Although an increase in marginal staining was recorded for groups Clearfil SE non-etch and Xeno V(+) etch, the clinical effectiveness of restorations was considered acceptable for the single-step and two-step self-etching systems with or without selective enamel etching in this 24-month clinical trial.

  1. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  2. Removing Al and regenerating caustic soda from the spent washing liquor of Al etching

    NASA Astrophysics Data System (ADS)

    Barakat, M. A.; El-Sheikh, S. M.; Farghly, F. E.

    2005-08-01

    Spent liquor from washing of aluminum section materials after etching with caustic soda (NaOH) has been treated. Aluminum was removed from the liquor and caustic soda was regenerated by adding precipitating agents to hydrolyze sodium aluminate (Na2AlO2), separating the aluminumprecipitate, and concentrating free NaOH in the resulting solution for reuse in the etching process. Four systems were investigated: hydrated lime [Ca(OH)2], hydrogen peroxide (H2O2), H2O2/Ca(OH)2 mixture, and dry lime (CaO). Results revealed that CaO was more efficient in the removal of aluminum from the spent liquor with a higher hydrolyzing rate of Na2AlO2 than Ca(OH)2, H2O2, or their mixture.

  3. Silicon nanowire photodetectors made by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Xu, Ying; Ni, Chuan; Sarangan, Andrew

    2016-09-01

    Silicon nanowires have unique optical effects, and have potential applications in photodetectors. They can exhibit simple optical effects such as anti-reflection, but can also produce quantum confined effects. In this work, we have fabricated silicon photodetectors, and then post-processed them by etching nanowires on the incident surface. These nanowires were produced by a wet-chemical etching process known as the metal-assisted-chemical etching, abbreviated as MACE. N-type silicon substrates were doped by thermal diffusion from a solid ceramic source, followed by etching, patterning and contact metallization. The detectors were first tested for functionality and optical performance. The nanowires were then made by depositing an ultra-thin film of gold below its percolation thickness to produce an interconnected porous film. This was then used as a template to etch high aspect ratio nanowires into the face of the detectors with a HF:H2O2 mixture.

  4. Determination of nuclear tracks parameters on sequentially etched PADC detectors

    NASA Astrophysics Data System (ADS)

    Horwacik, Tomasz; Bilski, Pawel; Koerner, Christine; Facius, Rainer; Berger, Thomas; Nowak, Tomasz; Reitz, Guenther; Olko, Pawel

    Polyallyl Diglycol Carbonate (PADC) detectors find many applications in radiation protection. One of them is the cosmic radiation dosimetry, where PADC detectors measure the linear energy transfer (LET) spectra of charged particles (from protons to heavy ions), supplementing TLD detectors in the role of passive dosemeter. Calibration exposures to ions of known LET are required to establish a relation between parameters of track observed on the detector and LET of particle creating this track. PADC TASTRAK nuclear track detectors were exposed to 12 C and 56 Fe ions of LET in H2 O between 10 and 544 keV/µm. The exposures took place at the Heavy Ion Medical Accelerator (HIMAC) in Chiba, Japan in the frame of the HIMAC research project "Space Radiation Dosimetry-Ground Based Verification of the MATROSHKA Facility" (20P-240). Detectors were etched in water solution of NaOH with three different temperatures and for various etching times to observe the appearance of etched tracks, the evolution of their parameters and the stability of the etching process. The applied etching times (and the solution's concentrations and temperatures) were: 48, 72, 96, 120 hours (6.25 N NaOH, 50 O C), 20, 40, 60, 80 hours (6.25 N NaOH, 60 O C) and 8, 12, 16, 20 hours (7N NaOH, 70 O C). The analysis of the detectors involved planimetric (2D) measurements of tracks' entrance ellipses and mechanical measurements of bulk layer thickness. Further track parameters, like angle of incidence, track length and etch rate ratio were then calculated. For certain tracks, results of planimetric measurements and calculations were also compared with results of optical track profile (3D) measurements, where not only the track's entrance ellipse but also the location of the track's tip could be directly measured. All these measurements have been performed with the 2D/3D measurement system at DLR. The collected data allow to create sets of V(LET in H2 O) calibration curves suitable for short, intermediate and

  5. Endpoint in plasma etch process using new modified w-multivariate charts and windowed regression

    NASA Astrophysics Data System (ADS)

    Zakour, Sihem Ben; Taleb, Hassen

    2017-09-01

    Endpoint detection is very important undertaking on the side of getting a good understanding and figuring out if a plasma etching process is done in the right way, especially if the etched area is very small (0.1%). It truly is a crucial part of supplying repeatable effects in every single wafer. When the film being etched has been completely cleared, the endpoint is reached. To ensure the desired device performance on the produced integrated circuit, the high optical emission spectroscopy (OES) sensor is employed. The huge number of gathered wavelengths (profiles) is then analyzed and pre-processed using a new proposed simple algorithm named Spectra peak selection (SPS) to select the important wavelengths, then we employ wavelet analysis (WA) to enhance the performance of detection by suppressing noise and redundant information. The selected and treated OES wavelengths are then used in modified multivariate control charts (MEWMA and Hotelling) for three statistics (mean, SD and CV) and windowed polynomial regression for mean. The employ of three aforementioned statistics is motivated by controlling mean shift, variance shift and their ratio (CV) if both mean and SD are not stable. The control charts show their performance in detecting endpoint especially W-mean Hotelling chart and the worst result is given by CV statistic. As the best detection of endpoint is given by the W-Hotelling mean statistic, this statistic will be used to construct a windowed wavelet Hotelling polynomial regression. This latter can only identify the window containing endpoint phenomenon.

  6. Influence of Application Time and Etching Mode of Universal Adhesives on Enamel Adhesion.

    PubMed

    Sai, Keiichi; Takamizawa, Toshiki; Imai, Arisa; Tsujimoto, Akimasa; Ishii, Ryo; Barkmeier, Wayne W; Latta, Mark A; Miyazaki, Masashi

    2018-01-01

    To investigate the influence of application time and etching mode of universal adhesives on enamel adhesion. Five universal adhesives, Adhese Universal, Bondmer Lightless, Clearfil Universal Bond Quick, G-Premio Bond, and Scotchbond Universal, were used. Bovine incisors were prepared and divided into four groups of ten teeth each. SBS, Ra, and SFE were determined after the following procedures: 1. self-etch mode with immediate air blowing after application (IA); 2. self-etch mode with prolonged application time (PA); 3. etch-and-rinse mode with IA; 4. etch-and-rinse mode with PA. After 24-h water storage, the bonded assemblies were subjected to shear bond strength (SBS) tests. For surface roughness (Ra) and surface free energy (SFE) measurements, the adhesives were simply applied to the enamel and rinsed with acetone and water before the measurements were carried out. Significantly higher SBS and Ra values were obtained with etch-and-rinse mode than with self-etch mode regardless of the application time or type of adhesive. Although most adhesives showed decreased SFE values with increased application time in self-etch mode, SFE values in etch-and-rinse mode were dependent on the adhesive type and application time. Etching mode, application time, and type of adhesive significantly influenced the SBS, Ra, and SFE values.

  7. High-sensitivity green resist material with organic solvent-free spin-coating and tetramethylammonium hydroxide-free water-developable processes for EB and EUV lithography

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Hanabata, Makoto; Oshima, Akihiro; Kashiwakura, Miki; Kozawa, Takahiro; Tagawa, Seiichi

    2015-03-01

    We investigated the eco-friendly electron beam (EB) and extreme-ultraviolet (EUV) lithography using a high-sensitive negative type of green resist material derived from biomass to take advantage of organic solvent-free water spin-coating and tetramethylammonium hydroxide(TMAH)-free water-developable techniques. A water developable, non-chemically amplified, high sensitive, and negative tone resist material in EB lithography was developed for environmental affair, safety, easiness of handling, and health of the working people, instead of the common developable process of TMAH. The material design concept to use the water-soluble resist material with acceptable properties such as pillar patterns with less than 100 nm in high EB sensitivity of 10 μC/cm2 and etch selectivity with a silicon-based middle layer in CF4 plasma treatment was demonstrated for EB and EUV lithography.

  8. Surface Modification of Ti-35Nb-10Ta-1.5Fe by the Double Acid-Etching Process

    PubMed Central

    Amigó, Angélica

    2018-01-01

    Surface topography and composition influence the osteoblastic proliferation and osseointegration rates, which favor the biomechanical stability of bone anchoring and implants. In recent years, beta titanium alloys have been developed, and are composed of biocompatible elements, have low elastic modulus, high corrosion resistance, and mechanical properties to improve the long performance behavior of biomaterials. In the present research, the influence of the acid-etching process was studied in Ti6Al4V ELI and Ti35Nb10Ta1.5Fe. Samples were etched in a two-step acid treatment. Surface roughness parameters were quantified under a confocal microscope, topography was studied by scanning electron microscopy, and surface composition was analyzed with energy dispersive X-ray spectroscopy. The results revealed that the two-step acid treatment changes the topography of the β alloy, increases the surface area, and changes the chemical composition of the surface. Two differentiated regions were identified in the Ti35Nb10Ta1.5Fe alloy after the acid-etching process: The α + β region with higher values of mean roughness due to the lower chemical resistance of this region; and the β region with lower values of roughness parameters. PMID:29587427

  9. Shear Bond Strength of Self-etching Adhesives to Cavities Prepared by Diamond Bur or Er,Cr:YSGG Laser and Effect of Prior Acid Etching.

    PubMed

    Jhingan, Pulkit; Sachdev, Vinod; Sandhu, Meera; Sharma, Karan

    2015-12-01

    To compare and evaluate shear bond strength of self-etching adhesives bonded to cavities prepared by diamond bur or Er,Cr:YSGG laser and the effect of prior acid etching on shear bond strength. Ninety-six caries-free human premolars were selected and divided into 2 groups depending on mode of cavity preparation (48 teeth each). Cavities were prepared with Er,Cr:YSGG laser in group 1 and diamond burs in an air-turbine handpiece in group 2. Groups 1 and 2 were further subdivided into three subgroups of 8 teeth each, which were bonded with sixth- or seventh-generation adhesives with or without prior acid etching, followed by restoration of all samples with APX Flow. These samples were subjected to shear bond strength testing. In addition, the surface morphology of 24 samples each from groups 1 and 2 was evaluated using SEM. Data were analyzed using the Shapiro-Wilk test, one- and two-way ANOVA, the t-test, and the least significant difference test, which showed that the data were normally distributed (p > 0.05). The shear bond strength of adhesives in cavities prepared by Er,Cr:YSGG laser was significantly higher than in diamond bur-prepared cavities (p < 0.05). SEM analysis showed a smear-layer-free anfractuous surface on laser-ablated teeth, in contrast to conventional bur-prepared teeth. The Er,Cr:YSGG laser-ablated surface proved to be more receptive for adhesion than those prepared by diamond bur irrespective of the bonding agent used. Seventh-generation adhesives yielded higher shear bond strength than did sixth-generation adhesives. Prior acid etching decreased the shear bond strength of self-etching adhesives.

  10. Suppression of low-frequency charge noise in gates-defined GaAs quantum dots

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    You, Jie; Li, Hai-Ou, E-mail: haiouli@ustc.edu.cn, E-mail: gpguo@ustc.edu.cn; Wang, Ke

    To reduce the charge noise of a modulation-doped GaAs/AlGaAs quantum dot, we have fabricated shallow-etched GaAs/AlGaAs quantum dots using the wet-etching method to study the effects of two-dimensional electron gas (2DEG) underneath the metallic gates. The low-frequency 1/f noise in the Coulomb blockade region of the shallow-etched quantum dot is compared with a non-etched quantum dot on the same wafer. The average values of the gate noise are approximately 0.5 μeV in the shallow-etched quantum dot and 3 μeV in the regular quantum dot. Our results show the quantum dot low-frequency charge noise can be suppressed by the removal ofmore » the 2DEG underneath the metallic gates, which provides an architecture for noise reduction.« less

  11. Modeling of block copolymer dry etching for directed self-assembly lithography

    NASA Astrophysics Data System (ADS)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  12. From ‘petal effect’ to ‘lotus effect’ on the highly flexible Silastic S elastomer microstructured using a fluorine based reactive ion etching process

    NASA Astrophysics Data System (ADS)

    Frankiewicz, Christophe; Zoueshtiagh, Farzam; Talbi, Abdelkrim; Streque, Jérémy; Pernod, Philippe; Merlen, Alain

    2014-11-01

    A fluorine-based reactive ion etching (RIE) process has been applied on a new family of silicone elastomers named ‘Silastic S’ for the first time. Excellent mechanical properties are the principal advantage of this elastomer. The main objective of this study was (i) to develop a new process with an electrodeposited thin Nickel (Ni) layer as a mask to obtain a more precise pattern transfer for deep etching (ii) to investigate the etch rates and the etch profiles obtained under various plasma conditions (gas mixture ratios and pressure). The resulting process exhibits etch rates that range from 20 µm h-1 to 40 µm h-1. The process was optimized to obtain anisotropic profiles of the edges. Finally, it is shown that (iii) the wetting contact angle could be easily modified with this process from 103° to 162°, with a hysteresis that ranges from 2° to 140°. The process is, at present, the only reported solution to reproduce the ‘petal effect’ (high contact angle hysteresis value) on a highly flexible substrate. A possibility to control the contact angle hysteresis from the ‘petal effect’ to the ‘lotus effect’ (low contact angle hysteresis value) has been investigated to allow a precise control on the required energy to pin or unpin the contact line of water droplets. This opens multiple possibilities to exploit this elastomer in many microfluidics applications.

  13. Temperature-Dependent Nanofabrication on Silicon by Friction-Induced Selective Etching.

    PubMed

    Jin, Chenning; Yu, Bingjun; Xiao, Chen; Chen, Lei; Qian, Linmao

    2016-12-01

    Friction-induced selective etching provides a convenient and practical way for fabricating protrusive nanostructures. A further understanding of this method is very important for establishing a controllable nanofabrication process. In this study, the effect of etching temperature on the formation of protrusive hillocks and surface properties of the etched silicon surface was investigated. It is found that the height of the hillock produced by selective etching increases with the etching temperature before the collapse of the hillock. The temperature-dependent selective etching rate can be fitted well by the Arrhenius equation. The etching at higher temperature can cause rougher silicon surface with a little lower elastic modulus and hardness. The contact angle of the etched silicon surface decreases with the etching temperature. It is also noted that no obvious contamination can be detected on silicon surface after etching at different temperatures. As a result, the optimized condition for the selective etching was addressed. The present study provides a new insight into the control and application of friction-induced selective nanofabrication.

  14. Overview Of Dry-Etch Techniques

    NASA Astrophysics Data System (ADS)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  15. Epoxy bond and stop etch fabrication method

    DOEpatents

    Simmons, Jerry A.; Weckwerth, Mark V.; Baca, Wes E.

    2000-01-01

    A class of epoxy bond and stop etch (EBASE) microelectronic fabrication techniques is disclosed. The essence of such techniques is to grow circuit components on top of a stop etch layer grown on a first substrate. The first substrate and a host substrate are then bonded together so that the circuit components are attached to the host substrate by the bonding agent. The first substrate is then removed, e.g., by a chemical or physical etching process to which the stop etch layer is resistant. EBASE fabrication methods allow access to regions of a device structure which are usually blocked by the presence of a substrate, and are of particular utility in the fabrication of ultrafast electronic and optoelectronic devices and circuits.

  16. Sculpting the internal architecture of fluorescent silica particles via a template-free approach.

    PubMed

    Rosu, Cornelia; Gorman, Andrew J; Cueto, Rafael; Dooley, Kerry M; Russo, Paul S

    2016-04-01

    Particles with an open, porous structure can be used to deliver payloads. It is often of interest to detect such particles in tissue or materials, which is facilitated by addition of dye. A straightforward approach leading to fluorescent, porous silica particles is described. The particles are etched with 3mM aqueous sodium hydroxide, taking advantage of the etching rate difference between normal silica and an interior band of silica that contains covalently attached dye. No additional steps, such as dye labeling or thermal annealing, are required. Etching modeled the internal structure of the fluorescent silica particles by creating meso/macropores and voids, as reflected by nitrogen absorption measurements. In order to investigate whether a polymer shell influences etching, certain composite particles are top-coated with poly(l-lysine) representing neutral or positive charged surfaces under typical pH conditions in living systems. The polypeptide-coated fluorescent silica cores exhibit the same porous morphology as uncoated homologs. The polypeptide topcoat does little to alter the permeation by the etching agent. Preservation of size during etching, confirmed by dynamic light scattering, transmission electron microscopy and small-angle X-ray scattering, simplifies the use of these template-free porous fluorescent particles as platforms for drug encapsulation, drug carriers and in vivo imaging. Copyright © 2016 Elsevier Inc. All rights reserved.

  17. High-etch-rate bottom-antireflective coating and gap-fill materials using dextrin derivatives in via first dual-Damascene lithography process

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Sakaida, Yasushi; Shinjo, Tetsuya; Hashimoto, Keisuke; Nakajima, Yasuyuki

    2008-03-01

    The present paper describes a novel class of bottom antireflective coating (BARC) and gap fill materials using dextrin derivatives. The general trend of interconnect fabrication for such a high performance LSI is to apply cupper (Cu)/ low-dielectric-constant (low-k) interconnect to reduce RC delay. A via-first dual damascene process is one of the most promising processes to fabricate Cu/ low-k interconnect due to its wide miss-alignment margin. The sacrificial materials containing dextrin derivatives under resist for lithography were developed in via-first dual damascene process. The dextrin derivatives in this study was obtained by the esterification of the hydroxyl groups of dextrin resulting in improved solubility in the resist solvents such as propylene glycol monomethylether, propylene glycol monomethylether acetate, and ethyl lactate due to avoid the issue of defects that were caused by incompatability. The etch rate of our developed BARC and gap fill materials using dextrin derivatives was more than two times faster than one of the ArF resists evaluated in a CF4 gas condition using reactive ion etching. The improved etch performance was also verified by comparison with poly(hydroxystyrene), acrylate-type materials and latest low-k materials as a reference. In addition to superior etch performance, these materials showed good resist profiles and via filling performance without voids in via holes.

  18. Communication: modeling charge-sign asymmetric solvation free energies with nonlinear boundary conditions.

    PubMed

    Bardhan, Jaydeep P; Knepley, Matthew G

    2014-10-07

    We show that charge-sign-dependent asymmetric hydration can be modeled accurately using linear Poisson theory after replacing the standard electric-displacement boundary condition with a simple nonlinear boundary condition. Using a single multiplicative scaling factor to determine atomic radii from molecular dynamics Lennard-Jones parameters, the new model accurately reproduces MD free-energy calculations of hydration asymmetries for: (i) monatomic ions, (ii) titratable amino acids in both their protonated and unprotonated states, and (iii) the Mobley "bracelet" and "rod" test problems [D. L. Mobley, A. E. Barber II, C. J. Fennell, and K. A. Dill, "Charge asymmetries in hydration of polar solutes," J. Phys. Chem. B 112, 2405-2414 (2008)]. Remarkably, the model also justifies the use of linear response expressions for charging free energies. Our boundary-element method implementation demonstrates the ease with which other continuum-electrostatic solvers can be extended to include asymmetry.

  19. Hafnium Oxide Film Etching Using Hydrogen Chloride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Yamaji, Masahiko; Kobori, Yoshitsugu; Horii, Sadayoshi; Kunii, Yasuo

    2009-12-01

    Hydrogen chloride gas removes the hafnium oxide film formed by atomic layer deposition at the etch rate of about 1 nm/min. A 100 nm-thick hafnium oxide film was perfectly etched off at 1173 K for 60 min by 100% hydrogen chloride gas at 100 sccm. A weight decrease in the hafnium oxide film was observed at temperatures higher than ca. 600 K, which corresponds to the sublimation point of hafnium tetrachloride. The etching by-product is considered to be hafnium tetrachloride. The etching technique developed in this study is expected to be applicable to various processes, such as the cleaning of a hafnium oxide film deposition reactor.

  20. Influence of Pre-etching Times on Fatigue Strength of Self-etch Adhesives to Enamel.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Endo, Hajime; Tsuchiya, Kenji; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    To use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence of phosphoric acid pre-etching times prior to application of self-etch adhesives on enamel bonding. Two single-step self-etch universal adhesives (Prime&Bond Elect and Scotchbond Universal), a conventional single-step self-etch adhesive (G-ӕnial Bond), and a conventional two-step self-etch adhesive (OptiBond XTR) were used. The SBS and SFS were obtained with phosphoric acid pre-etching for 3, 10, or 15 s prior to application of the adhesives, and without pre-etching (0 s) as a control. A staircase method was used to determine the SFS with 10 Hz frequency for 50,000 cycles or until failure occurred. The mean demineralization depth for each treated enamel surface was also measured using a profilometer. For all the adhesives, the groups with pre-etching showed significantly higher SBS and SFS than groups without pre-etching. However, there was no significant difference in SBS and SFS among groups with > 3 s of preetching. In addition, although the groups with pre-etching showed significantly deeper demineralization depths than groups without pre-etching, there was no significant difference in depth among groups with > 3 s of pre-etching. Three seconds of phosphoric acid pre-etching prior to application of self-etch adhesive can enhance enamel bonding effectiveness.

  1. Barium-strontium-titanate etching characteristics in chlorinated discharges

    NASA Astrophysics Data System (ADS)

    Stafford, Luc; Margot, Joëlle; Langlois, Olivier; Chaker, Mohamed

    2003-07-01

    The etching characteristics of barium-strontium-titanate (BST) were investigated using a high-density plasma sustained by surface waves at 190 MHz in Ar/Cl2 gas mixtures. The etch rate was examined as a function of both the total gas pressure and the Cl2 fraction in Ar/Cl2 using a wafer temperature of 10 °C. The results were correlated to positive ion density and plasma composition obtained from Langmuir probes and mass spectrometry. The BST etch rate was found to increase linearly with the positive ion density and to decrease with increasing chlorine atom concentration. This result indicates that for the temperature conditions used, the interaction between chlorine and BST yields compounds having a volatility that is lower than the original material. As a consequence, the contribution of neutral atomic Cl atoms to the etch mechanism is detrimental, thereby reducing the etch rate. As the wafer temperature increases, the role of chemistry in the etching process is enhanced.

  2. Self-etching aspects of a three-step etch-and-rinse adhesive.

    PubMed

    Bahillo, Jose; Roig, Miguel; Bortolotto, Tissiana; Krejci, Ivo

    2013-11-01

    The purpose of this study is to assess the marginal adaptation of cavities restored with a three-step etch-and-rinse adhesive, OptiBond FL (OFL) under different application protocols. Twenty-four class V cavities were prepared with half of the margins located in enamel and half in dentin. Cavities were restored with OFL and a microhybrid resin composite (Clearfil AP-X). Three groups (n = 8) that differed in the etching technique were tested with thermomechanical loading, and specimens were subjected to quantitative marginal analysis before and after loading. Micromorphology of etching patters on enamel and dentin were observed with SEM. Data was evaluated with Kruskal-Wallis and Bonferroni post hoc test. Significantly lower percent CM (46.9 ± 19.5) were found after loading on enamel in group 3 compared to group 1 (96.5 ± 5.1) and group 2 (93.1 ± 8.1). However, no significant differences (p = 0.30) were observed on dentin margins. Etching enamel with phosphoric acid but avoiding etching dentin before the application of OFL, optimal marginal adaptation could be obtained, evidencing a self-etching primer effect. A reliable adhesive interface was attained with the application of the three-step etch-and-rinse OFL adhesive with a selective enamel etching, representing an advantage on restoring deep cavities.

  3. A comparative study of capacitively coupled HBr/He, HBr/Ar plasmas for etching applications: Numerical investigation by fluid model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gul, Banat, E-mail: banatgul@gmail.com; Research Group PLASMANT, Department of Chemistry, University of Antwerp, Universiteitsplein 1, B-2610 Antwerp; Aman-ur-Rehman, E-mail: amansadiq@gmail.com

    Fluid model has been applied to perform a comparative study of hydrogen bromide (HBr)/He and HBr/Ar capacitively coupled plasma discharges that are being used for anisotropic etching process. This model has been used to identify the most dominant species in HBr based plasmas. Our simulation results show that the neutral species like H and Br, which are the key player in chemical etching, have bell shape distribution, while ions like HBr{sup +}, Br{sup +}, which play a dominant rule in the physical etching, have double humped distribution and show peaks near electrodes. It was found that the dilution of HBrmore » by Ar and/or He results in an increase in electron density and electron temperature, which results in more ionization and dissociation and hence higher densities of neutral and charged species can be achieved. The ratio of positive ion flux to the neutral flux increases with an increase in additive gas fraction. Compare to HBr/He plasma, the HBr/Ar plasma shows a maximum change in the ion density and flux and hence the etching rate can be considered in the ion-assisted and in the ion-flux etch regime in HBr/Ar discharge. The densities of electron and other dominant species in HBr/Ar plasma are higher than those of HBr/He plasma. The densities and fluxes of the active neutrals and positive ions for etching and subsequently chemical etching versus physical sputtering in HBr/Ar and HBr/He plasmas discharge can be controlled by tuning gas mixture ratio and the desire etching can be achieved.« less

  4. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  5. Laser etching of polymer masked leadframes

    NASA Astrophysics Data System (ADS)

    Ho, C. K.; Man, H. C.; Yue, T. M.; Yuen, C. W.

    1997-02-01

    A typical electroplating production line for the deposition of silver pattern on copper leadframes in the semiconductor industry involves twenty to twenty five steps of cleaning, pickling, plating, stripping etc. This complex production process occupies large floor space and has also a number of problems such as difficulty in the production of rubber masks and alignment, generation of toxic fumes, high cost of water consumption and sometimes uncertainty on the cleanliness of the surfaces to be plated. A novel laser patterning process is proposed in this paper which can replace many steps in the existing electroplating line. The proposed process involves the application of high speed laser etching techniques on leadframes which were protected with polymer coating. The desired pattern for silver electroplating is produced by laser ablation of the polymer coating. Excimer laser was found to be most effective for this process as it can expose a pattern of clean copper substrate which can be silver plated successfully. Previous working of Nd:YAG laser ablation showed that 1.06 μm radiation was not suitable for this etching process because a thin organic and transparent film remained on the laser etched region. The effect of excimer pulse frequency and energy density upon the removal rate of the polymer coating was studied.

  6. Photo-assisted etching of silicon in chlorine- and bromine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhu, Weiye; Sridhar, Shyam; Liu, Lei

    2014-05-28

    Cl{sub 2}, Br{sub 2}, HBr, Br{sub 2}/Cl{sub 2}, and HBr/Cl{sub 2} feed gases diluted in Ar (50%–50% by volume) were used to study etching of p-type Si(100) in a rf inductively coupled, Faraday-shielded plasma, with a focus on the photo-assisted etching component. Etching rates were measured as a function of ion energy. Etching at ion energies below the threshold for ion-assisted etching was observed in all cases, with Br{sub 2}/Ar and HBr/Cl{sub 2}/Ar plasmas having the lowest and highest sub-threshold etching rates, respectively. Sub-threshold etching rates scaled with the product of surface halogen coverage (measured by X-ray photoelectron spectroscopy) andmore » Ar emission intensity (7504 Å). Etching rates measured under MgF{sub 2}, quartz, and opaque windows showed that sub-threshold etching is due to photon-stimulated processes on the surface, with vacuum ultraviolet photons being much more effective than longer wavelengths. Scanning electron and atomic force microscopy revealed that photo-etched surfaces were very rough, quite likely due to the inability of the photo-assisted process to remove contaminants from the surface. Photo-assisted etching in Cl{sub 2}/Ar plasmas resulted in the formation of 4-sided pyramidal features with bases that formed an angle of 45° with respect to 〈110〉 cleavage planes, suggesting that photo-assisted etching can be sensitive to crystal orientation.« less

  7. Dry etching of chrome for photomasks for 100-nm technology using chemically amplified resist

    NASA Astrophysics Data System (ADS)

    Mueller, Mark; Komarov, Serguie; Baik, Ki-Ho

    2002-07-01

    Photo mask etching for the 100nm technology node places new requirements on dry etching processes. As the minimum-size features on the mask, such as assist bars and optical proximity correction (OPC) patterns, shrink down to 100nm, it is necessary to produce etch CD biases of below 20nm in order to reproduce minimum resist features into chrome with good pattern fidelity. In addition, vertical profiles are necessary. In previous generations of photomask technology, footing and sidewall profile slope were tolerated, since this dry etch profile was an improvement from wet etching. However, as feature sizes shrink, it is extremely important to select etch processes which do not generate a foot, because this will affect etch linearity and also limit the smallest etched feature size. Chemically amplified resist (CAR) from TOK is patterned with a 50keV MEBES eXara e-beam writer, allowing for patterning of small features with vertical resist profiles. This resist is developed for raster scan 50 kV e-beam systems. It has high contrast, good coating characteristics, good dry etch selectivity, and high environmental stability. Chrome etch process development has been performed using Design of Experiments to optimize parameters such as sidewall profile, etch CD bias, etch CD linearity for varying sizes of line/space patterns, etch CD linearity for varying sizes of isolated lines and spaces, loading effects, and application to contact etching.

  8. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  9. Communication: Modeling charge-sign asymmetric solvation free energies with nonlinear boundary conditions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bardhan, Jaydeep P.; Knepley, Matthew G.

    2014-10-07

    We show that charge-sign-dependent asymmetric hydration can be modeled accurately using linear Poisson theory after replacing the standard electric-displacement boundary condition with a simple nonlinear boundary condition. Using a single multiplicative scaling factor to determine atomic radii from molecular dynamics Lennard-Jones parameters, the new model accurately reproduces MD free-energy calculations of hydration asymmetries for: (i) monatomic ions, (ii) titratable amino acids in both their protonated and unprotonated states, and (iii) the Mobley “bracelet” and “rod” test problems [D. L. Mobley, A. E. Barber II, C. J. Fennell, and K. A. Dill, “Charge asymmetries in hydration of polar solutes,” J. Phys.more » Chem. B 112, 2405–2414 (2008)]. Remarkably, the model also justifies the use of linear response expressions for charging free energies. Our boundary-element method implementation demonstrates the ease with which other continuum-electrostatic solvers can be extended to include asymmetry.« less

  10. Communication: Modeling charge-sign asymmetric solvation free energies with nonlinear boundary conditions

    PubMed Central

    Bardhan, Jaydeep P.; Knepley, Matthew G.

    2014-01-01

    We show that charge-sign-dependent asymmetric hydration can be modeled accurately using linear Poisson theory after replacing the standard electric-displacement boundary condition with a simple nonlinear boundary condition. Using a single multiplicative scaling factor to determine atomic radii from molecular dynamics Lennard-Jones parameters, the new model accurately reproduces MD free-energy calculations of hydration asymmetries for: (i) monatomic ions, (ii) titratable amino acids in both their protonated and unprotonated states, and (iii) the Mobley “bracelet” and “rod” test problems [D. L. Mobley, A. E. Barber II, C. J. Fennell, and K. A. Dill, “Charge asymmetries in hydration of polar solutes,” J. Phys. Chem. B 112, 2405–2414 (2008)]. Remarkably, the model also justifies the use of linear response expressions for charging free energies. Our boundary-element method implementation demonstrates the ease with which other continuum-electrostatic solvers can be extended to include asymmetry. PMID:25296776

  11. Transfer-free, lithography-free and fast growth of patterned CVD graphene directly on insulators by using sacrificial metal catalyst.

    PubMed

    Dong, Yibo; Xie, Yiyang; Xu, Chen; Fu, Yafei; Fan, Xing; Li, Xuejian; Wang, Le; Xiong, Fangzhu; Guo, Weiling; Pan, Guanzhong; Wang, Qiuhua; Qian, Fengsong; Sun, Jie

    2018-06-14

    Chemical vapor deposited graphene suffers from two problems: transfer from metal catalysts to insulators, and photoresist induced degradation during patterning. Both result in macroscopic and microscopic damages such as holes, tears, doping, and contamination, translated into property and yield dropping. We attempt to solve the problems simultaneously. A nickel thin film is evaporated on SiO 2 as a sacrificial catalyst, on which surface graphene is grown. A polymer (PMMA) support is spin-coated on the graphene. During the Ni wet etching process, the etchant can permeate the polymer, making the etching efficient. The PMMA/graphene layer is fixed on the substrate by controlling the surface morphology of Ni film during the graphene growth. After etching, the graphene naturally adheres to the insulating substrate. By using this method, transfer-free, lithography-free and fast growth of graphene realized. The whole experiment has good repeatability and controllability. Compared with graphene transfer between substrates, here, no mechanical manipulation is required, leading to minimal damage. Due to the presence of Ni, the graphene quality is intrinsically better than catalyst-free growth. The Ni thickness and growth temperature are controlled to limit the number of layers of graphene. The technology can be extended to grow other two-dimensional materials with other catalysts.

  12. Patterning of Spiral Structure on Optical Fiber by Focused-Ion-Beam Etching

    NASA Astrophysics Data System (ADS)

    Mekaru, Harutaka; Yano, Takayuki

    2012-06-01

    We produce patterns on minute and curved surfaces of optical fibers, and develop a processing technology for fabricating sensors, antennas, electrical circuits, and other devices on such patterned surfaces by metallization. A three-dimensional processing technology can be used to fabricate a spiral coil on the surface of cylindrical quartz materials, and then the microcoils can also be applied to capillaries of micro-fluid devices, as well as to receiver coils connected to a catheter and an endoscope of nuclear magnetic resonance imaging (MRI) systems used in imaging blood vessels. To create a spiral line pattern with a small linewidth on a full-circumference surface of an optical fiber, focused-ion-beam (FIB) etching was employed. Here, a simple rotation stage comprising a dc motor and an LR3 battery was built. However, during the development of a prototype rotation stage before finalizing a large-scale remodelling of our FIB etching system, a technical problem was encountered where a spiral line could not be processed without running into breaks and notches in the features. It turned out that the problem was caused by axis blur resulting from an eccentric spinning (or wobbling) of the axis of the fiber caused by its unrestrained free end. The problem was solved by installing a rotation guide and an axis suppression device onto the rotation stage. Using this improved rotation stage. we succeeded in the seamless patterning of 1-µm-wide features on the full-circumference surface of a 250-µm-diameter quartz optical fiber (QOF) by FIB etching.

  13. A Reactive-Ion Etch for Patterning Piezoelectric Thin Film

    NASA Technical Reports Server (NTRS)

    Yang, Eui-Hyeok; Wild, Larry

    2003-01-01

    Reactive-ion etching (RIE) under conditions described below has been found to be a suitable means for patterning piezoelectric thin films made from such materials as PbZr(1-x)Ti(x)O3 or Ba(x)Sr(1.x)TiO3. In the original application for which this particular RIE process was developed, PbZr(1-x)Ti(x)O3 films 0.5 microns thick are to be sandwiched between Pt electrode layers 0.1 microns thick and Ir electrode layers 0.1 microns thick to form piezoelectric capacitor structures. Such structures are typical of piezoelectric actuators in advanced microelectromechanical systems now under development or planned to be developed in the near future. RIE of PbZr(1-x)Ti(x)O3 is usually considered to involve two major subprocesses: an ion-assisted- etching reaction, and a sputtering subprocess that removes reactive byproducts. RIE is favored over other etching techniques because it offers a potential for a high degree of anisotropy, high-resolution pattern definition, and good process control. However, conventional RIE is not ideal for patterning PbZr(1-x)Ti(x)O3 films at a thickness as great as that in the original intended application. In order to realize the potential benefits mentioned above, it is necessary to optimize process conditions . in particular, the composition of the etching gas and the values of such other process parameters as radio-frequency power, gas pressure, gas-flow rate, and duration of the process. Guidelines for determining optimum conditions can be obtained from experimental determination of etch rates as functions of these parameters. Etch-gas mixtures of BCl3 and Cl2, some also including Ar, have been found to offer a high degree of selectivity as needed for patterning of PbZr(1-x)Ti(x)O3 films on top of Ir electrode layers in thin-film capacitor structures. The selectivity is characterized by a ratio of approx.10:1 (rate of etching PbZr(1-x)Ti(x)O3 divided by rate of etching Ir and IrO(x)). At the time of reporting the information for this article

  14. Surface photovoltage studies of p-type AlGaN layers after reactive-ion etching

    NASA Astrophysics Data System (ADS)

    McNamara, J. D.; Phumisithikul, K. L.; Baski, A. A.; Marini, J.; Shahedipour-Sandvik, F.; Das, S.; Reshchikov, M. A.

    2016-10-01

    The surface photovoltage (SPV) technique was used to study the surface and electrical properties of Mg-doped, p-type AlxGa1-xN (0.06 < x < 0.17) layers. SPV measurements reveal significant deviation from previous SPV studies on p-GaN:Mg thin films and from the predictions of a thermionic model for the SPV behavior. In particular, the SPV of the p-AlGaN:Mg layers exhibited slower-than-expected transients under ultraviolet illumination and delayed restoration to the initial dark value. The slow transients and delayed restorations can be attributed to a defective surface region which interferes with normal thermionic processes. The top 45 nm of the p-AlGaN:Mg layer was etched using a reactive-ion etch which caused the SPV behavior to be substantially different. From this study, it can be concluded that a defective, near-surface region is inhibiting the change in positive surface charge by allowing tunneling or hopping conductivity of holes from the bulk to the surface, or by the trapping of electrons traveling to the surface by a high concentration of defects in the near-surface region. Etching removes the defective layer and reveals a region of presumably higher quality, as evidenced by substantial changes in the SPV behavior.

  15. Enhancement of a-IGZO TFT Device Performance Using a Clean Interface Process via Etch-Stopper Nano-layers

    NASA Astrophysics Data System (ADS)

    Chung, Jae-Moon; Zhang, Xiaokun; Shang, Fei; Kim, Ji-Hoon; Wang, Xiao-Lin; Liu, Shuai; Yang, Baoguo; Xiang, Yong

    2018-05-01

    To overcome the technological and economic obstacles of amorphous indium-gallium-zinc-oxide (a-IGZO)-based display backplane for industrial production, a clean etch-stopper (CL-ES) process is developed to fabricate a-IGZO-based thin film transistor (TFT) with improved uniformity and reproducibility on 8.5th generation glass substrates (2200 mm × 2500 mm). Compared with a-IGZO-based TFT with back-channel-etched (BCE) structure, a newly formed ES nano-layer ( 100 nm) and a simultaneous etching of a-IGZO nano-layer (30 nm) and source-drain electrode layer are firstly introduced to a-IGZO-based TFT device with CL-ES structure to improve the uniformity and stability of device for large-area display. The saturation electron mobility of 8.05 cm2/V s and the V th uniformity of 0.72 V are realized on the a-IGZO-based TFT device with CL-ES structure. In the negative bias temperature illumination stress and positive bias thermal stress reliability testing under a ± 30 V bias for 3600 s, the measured V th shift of CL-ES-structured device significantly decreased to - 0.51 and + 1.94 V, which are much lower than that of BCE-structured device (- 3.88 V, + 5.58 V). The electrical performance of the a-IGZO-based TFT device with CL-ES structure implies that the economic transfer from a silicon-based TFT process to the metal oxide semiconductor-based process for LCD fabrication is highly feasible.

  16. Quantitative Analysis of Etching Rate Profiles for 11B+-Implanted Si3N4 Film

    NASA Astrophysics Data System (ADS)

    Nakata, Jyoji; Kajiyama, Kenji

    1983-01-01

    Etching rate enhancement for 11B+-implanted Si3N4 film was investigated both experimentally and theoretically. The etching solution was concentrated H3PO4 at ˜165°C Film thicknesses were precisely measured by ellipsometry. Enhancement resulted from Si-N bond breaking. This was confirmed by a decrease of infrared absorption at a 12.0 μm wavelength for Si-N bond vibration. Main and additional peaks were observed in the etching rate profile. The former was due to nuclear damage and was well represented by the calculated etching rate profile deduced from the nuclear deposited energy density distribution. The latter existed in the surface region only when the ion projected range was shorter than the film thickness. This peak was possibly caused by charge accumulation in the insulating Si3N4 film during 11B+ implantation.

  17. CDU improvement technology of etching pattern using photo lithography

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Jyousaka, Megumi; Ogata, Kunie; Morimoto, Tamotsu; Konishi, Yoshitaka

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving Resist Pattern CDU is to control post-exposure bake (PEB) temperature. When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. Resist Pattern CDU improvement contributes to Etching Pattern CDU improvement to a certain degree. To further improve Etching Pattern CDU, etcher-specific CD variation needs to be controlled. In this evaluation, 1. We verified whether etcher-specific CD variation can be controlled and consequently Etching Pattern CDU can be further improved by controlling resist patterns through PEB control. 2. Verifying whether Etching Pattern CDU improvement through has any effect on the reduction in wiring resistance variation. The evaluation procedure is as follows.1. Wafers with base film of Doped Poly-Si (D-Poly) were prepared. 2. Resist patterns were created on them. 3. To determine etcher-specific characteristics, the first etching was performed, and after cleaning off the resist and BARC, CD of etched D-Poly was measured. 4. Using the obtained within-wafer CD distribution of the etching patterns, within-wafer temperature distribution in the PEB process was modified. 5. Resist patterns were created again, followed by the second etching and cleaning, which was followed by CD measurement. We used Optical CD Measurement (OCD) for measurement of resist patterns and etching patterns as OCD is minimally affected by Line Edge Roughness (LER). As a result, 1. We confirmed the effect of Resist Pattern CD control through PEB control on the reduction in etcher-specific CD variation and the improvement in Etching Pattern CDU. 2. The improvement in Etching

  18. Wide angle near-field optical probes by reverse tube etching.

    PubMed

    Patanè, S; Cefalì, E; Arena, A; Gucciardi, P G; Allegrini, M

    2006-04-01

    We present a simple modification of the tube etching process for the fabrication of fiber probes for near-field optical microscopy. It increases the taper angle of the probe by a factor of two. The novelty is that the fiber is immersed in hydrofluoric acid and chemically etched in an upside-down geometry. The tip formation occurs inside the micrometer tube cavity formed by the polymeric jacket. By applying this approach, called reverse tube etching, to multimode fibers with 200/250 microm core/cladding diameter, we have fabricated tapered regions featuring high surface smoothness and average cone angles of approximately 30 degrees . A simple model based on the crucial role of the gravity in removing the etching products, explains the tip formation process.

  19. Method of plasma etching Ga-based compound semiconductors

    DOEpatents

    Qiu, Weibin; Goddard, Lynford L.

    2012-12-25

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent to the process chamber. The process chamber contains a sample comprising a Ga-based compound semiconductor. The sample is in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. The method includes flowing SiCl.sub.4 gas into the chamber, flowing Ar gas into the chamber, and flowing H.sub.2 gas into the chamber. RF power is supplied independently to the source electrode and the platen. A plasma is generated based on the gases in the process chamber, and regions of a surface of the sample adjacent to one or more masked portions of the surface are etched to create a substantially smooth etched surface including features having substantially vertical walls beneath the masked portions.

  20. Nanofabrication of 10-nm T-shaped gates using a double patterning process with electron beam lithography and dry etch

    NASA Astrophysics Data System (ADS)

    Shao, Jinhai; Deng, Jianan; Lu, W.; Chen, Yifang

    2017-07-01

    A process to fabricate T-shaped gates with the footprint scaling down to 10 nm using a double patterning procedure is reported. One of the keys in this process is to separate the definition of the footprint from that for the gate-head so that the proximity effect originated from electron forward scattering in the resist is significantly minimized, enabling us to achieve as narrow as 10-nm foot width. Furthermore, in contrast to the reported technique for 10-nm T-shaped profile in resist, this process utilizes a metallic film with a nanoslit as an etch mask to form a well-defined 10-nm-wide foot in a SiNx layer by reactive ion etch. Such a double patterning process has demonstrated enhanced reliability. The detailed process is comprehensively described, and its advantages and limitations are discussed. Nanofabrication of InP-based high-electron-mobility transistors using the developed process for 10- to 20-nm T-shaped gates is currently under the way.

  1. Simulation of SiO2 etching in an inductively coupled CF4 plasma

    NASA Astrophysics Data System (ADS)

    Xu, Qing; Li, Yu-Xing; Li, Xiao-Ning; Wang, Jia-Bin; Yang, Fan; Yang, Yi; Ren, Tian-Ling

    2017-02-01

    Plasma etching technology is an indispensable processing method in the manufacturing process of semiconductor devices. Because of the high fluorine/carbon ratio of CF4, the CF4 gas is often used for etching SiO2. A commercial software ESI-CFD is used to simulate the process of plasma etching with an inductively coupled plasma model. For the simulation part, CFD-ACE is used to simulate the chamber, and CFD-TOPO is used to simulate the surface of the sample. The effects of chamber pressure, bias voltage and ICP power on the reactant particles were investigated, and the etching profiles of SiO2 were obtained. Simulation can be used to predict the effects of reaction conditions on the density, energy and angular distributions of reactant particles, which can play a good role in guiding the etching process.

  2. Influence of different pre-etching times on fatigue strength of self-etch adhesives to dentin.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Suzuki, Takayuki; Scheidel, Donal D; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-04-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue strength (SFS) testing to determine the influence on dentin bonding of phosphoric acid pre-etching times before the application of self-etch adhesives. Two single-step self-etch universal adhesives [Prime & Bond Elect (EL) and Scotchbond Universal (SU)], a conventional single-step self-etch adhesive [G-aenial Bond (GB)], and a two-step self-etch adhesive [OptiBond XTR (OX)] were used. The SBS and SFS values were obtained with phosphoric acid pre-etching times of 3, 10, or 15 s before application of the adhesives, and for a control without pre-etching. For groups with 3 s of pre-etching, SU and EL showed higher SBS values than control groups. No significant difference was observed for GB among the 3 s, 10 s, and control groups, but the 15 s pre-etching group showed significantly lower SBS and SFS values than the control group. No significant difference was found for OX among the pre-etching groups. Reducing phosphoric acid pre-etching time can minimize the adverse effect on dentin bonding durability for the conventional self-etch adhesives. Furthermore, a short phosphoric acid pre-etching time enhances the dentin bonding performance of universal adhesives. © 2016 Eur J Oral Sci.

  3. Porous siliconformation and etching process for use in silicon micromachining

    DOEpatents

    Guilinger, Terry R.; Kelly, Michael J.; Martin, Jr., Samuel B.; Stevenson, Joel O.; Tsao, Sylvia S.

    1991-01-01

    A reproducible process for uniformly etching silicon from a series of micromechanical structures used in electrical devices and the like includes providing a micromechanical structure having a silicon layer with defined areas for removal thereon and an electrochemical cell containing an aqueous hydrofluoric acid electrolyte. The micromechanical structure is submerged in the electrochemical cell and the defined areas of the silicon layer thereon are anodically biased by passing a current through the electrochemical cell for a time period sufficient to cause the defined areas of the silicon layer to become porous. The formation of the depth of the porous silicon is regulated by controlling the amount of current passing through the electrochemical cell. The micromechanical structure is then removed from the electrochemical cell and submerged in a hydroxide solution to remove the porous silicon. The process is subsequently repeated for each of the series of micromechanical structures to achieve a reproducibility better than 0.3%.

  4. Wide band laser-plasma soft X-ray source using a gas puff target for direct photo-etching of polymers

    NASA Astrophysics Data System (ADS)

    Bartnik, Andrzej; Fiedorowicz, Henryk; Jarocki, Roman; Kostecki, Jerzy; Rakowski, Rafał; Szczurek, Mirosław

    2005-09-01

    Organic polymers (PMMA, PTFE, PET, and PI) are considered as the important materials in microengineering, especially for biological and medical applications. Micromachining of such materials is possible with the use of different techniques that involve electromagnetic radiation or charged particle beams. Another possibility of high aspect ratio micromachining of PTFE is direct photo-etching using synchrotron radiation. X-ray and ultraviolet radiation from other sources, for micromachining of materials by direct photo-etching can be also applied. In this paper we present the results of investigation of a wide band soft X-ray source and its application for direct photo-etching of organic polymers. X-ray radiation in the wavelength range from about 3 nm to 20 nm was produced as a result of irradiation of a double-stream gas puff target with laser pulses of energy 0.8 J and time duration of about 3 ns. The spectra, plasma size and absolute energies of soft X-ray pulses for different gas puff targets were measured. Photo-etching process of polymers irradiated with the use of the soft X-ray radiation was analyzed and investigated. Samples of organic polymers were placed inside a vacuum chamber of the x-ray source, close to the gas puff target at the distance of about 2 cm from plasmas created by focused laser pulses. A fine metal grid placed in front of the samples was used as a mask to form structures by x-ray ablation. The results of photo-etching process for several minutes exposition with l0Hz repetition rate were presented. High ablation efficiency was obtained with the use of the gas puff target containing xenon surrounded by helium.

  5. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  6. Consideration of VT5 etch-based OPC modeling

    NASA Astrophysics Data System (ADS)

    Lim, ChinTeong; Temchenko, Vlad; Kaiser, Dieter; Meusel, Ingo; Schmidt, Sebastian; Schneider, Jens; Niehoff, Martin

    2008-03-01

    Including etch-based empirical data during OPC model calibration is a desired yet controversial decision for OPC modeling, especially for process with a large litho to etch biasing. While many OPC software tools are capable of providing this functionality nowadays; yet few were implemented in manufacturing due to various risks considerations such as compromises in resist and optical effects prediction, etch model accuracy or even runtime concern. Conventional method of applying rule-based alongside resist model is popular but requires a lot of lengthy code generation to provide a leaner OPC input. This work discusses risk factors and their considerations, together with introduction of techniques used within Mentor Calibre VT5 etch-based modeling at sub 90nm technology node. Various strategies are discussed with the aim of better handling of large etch bias offset without adding complexity into final OPC package. Finally, results were presented to assess the advantages and limitations of the final method chosen.

  7. Comparing alchemical and physical pathway methods for computing the absolute binding free energy of charged ligands.

    PubMed

    Deng, Nanjie; Cui, Di; Zhang, Bin W; Xia, Junchao; Cruz, Jeffrey; Levy, Ronald

    2018-06-13

    Accurately predicting absolute binding free energies of protein-ligand complexes is important as a fundamental problem in both computational biophysics and pharmaceutical discovery. Calculating binding free energies for charged ligands is generally considered to be challenging because of the strong electrostatic interactions between the ligand and its environment in aqueous solution. In this work, we compare the performance of the potential of mean force (PMF) method and the double decoupling method (DDM) for computing absolute binding free energies for charged ligands. We first clarify an unresolved issue concerning the explicit use of the binding site volume to define the complexed state in DDM together with the use of harmonic restraints. We also provide an alternative derivation for the formula for absolute binding free energy using the PMF approach. We use these formulas to compute the binding free energy of charged ligands at an allosteric site of HIV-1 integrase, which has emerged in recent years as a promising target for developing antiviral therapy. As compared with the experimental results, the absolute binding free energies obtained by using the PMF approach show unsigned errors of 1.5-3.4 kcal mol-1, which are somewhat better than the results from DDM (unsigned errors of 1.6-4.3 kcal mol-1) using the same amount of CPU time. According to the DDM decomposition of the binding free energy, the ligand binding appears to be dominated by nonpolar interactions despite the presence of very large and favorable intermolecular ligand-receptor electrostatic interactions, which are almost completely cancelled out by the equally large free energy cost of desolvation of the charged moiety of the ligands in solution. We discuss the relative strengths of computing absolute binding free energies using the alchemical and physical pathway methods.

  8. Physics and chemistry of complex oxide etching and redeposition control

    NASA Astrophysics Data System (ADS)

    Margot, Joëlle

    2012-10-01

    Since its introduction in the 1970s, plasma etching has become the universal method for fine-line pattern transfer onto thin films and is anticipated to remain so in foreseeable future. Despite many success stories, plasma etching processes fail to meet the needs for several of the newest materials involved in advanced devices for photonic, electronic and RF applications like ferroelectrics, electro-optic materials, high-k dielectrics, giant magnetoresistance materials and unconventional conductors. In this context, the work achieved over the last decade on the etching of multicomponent oxides thin films such as barium strontium titanate (BST), strontium titanate (STO) and niobate of calcium and barium (CBN) will be reviewed. These materials present a low reactivity with usual etching gases such as fluorinated and chlorinated gases, their etching is mainly governed by ion sputtering and reactive gases sometimes interact with surface materials to form compounds that inhibit etching. The etching of platinum will also be presented as an example of unconventional conductor materials for which severe redeposition limits the achievable etching quality. Finally, it will be shown how simulation can help to understand the etching mechanisms and to define avenues for higher quality patterning.

  9. Ion beam sputtering of fluoropolymers. [etching polymer films and target surfaces

    NASA Technical Reports Server (NTRS)

    Sovey, J. S.

    1978-01-01

    Ion beam sputter processing rates as well as pertinent characteristics of etched targets and films are described. An argon ion beam source was used to sputter etch and deposit the fluoropolymers PTFE, FEP, and CTFE. Ion beam energy, current density, and target temperature were varied to examine effects on etch and deposition rates. The ion etched fluoropolymers yield cone or spire-like surface structures which vary depending upon the type of polymer, ion beam power density, etch time, and target temperature. Sputter target and film characteristics documented by spectral transmittance measurements, X-ray diffraction, ESCA, and SEM photomicrographs are included.

  10. Development and Research on the Mechanism of Novel Mist Etching Method for Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Kawaharamura, Toshiyuki; Hirao, Takashi

    2012-03-01

    A novel etching process with etchant mist was developed and applied to oxide thin films such as zinc oxide (ZnO), zinc magnesium oxide (ZnMgO), and indium tin oxide (ITO). By using this process, it was shown that precise control of the etching characteristics is possible with a reasonable etching rate, for example, in the range of 10-100 nm/min, and a fine pattern of high accuracy can also be realized, even though this is usually very difficult by conventional wet etching processes, for ZnO and ZnMgO. The mist etching process was found to be similarly and successfully applied to ITO. The mechanism of mist etching has been studied by examining the etching temperature dependence of pattern accuracy, and it was shown that the mechanism was different from that of conventional liquid-phase spray etching. It was ascertained that fine pattern etching was attained using mist droplets completely (or partly) gasified by the heat applied to the substrate. This technique was applied to the fabrication of a ZnO thin-film transistor (TFT) with a ZnO active channel length of 4 µm. The electrical properties of the TFT were found to be excellent with fine uniformity over the entire 4-in. wafer.

  11. Electrostatic solvation free energies of charged hard spheres using molecular dynamics with density functional theory interactions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Duignan, Timothy T.; Baer, Marcel D.; Schenter, Gregory K.

    Determining the solvation free energies of single ions in water is one of the most fundamental problems in physical chemistry and yet many unresolved questions remain. In particular, the ability to decompose the solvation free energy into simple and intuitive contributions will have important implications for coarse grained models of electrolyte solution. Here, we provide rigorous definitions of the various types of single ion solvation free energies based on different simulation protocols. We calculate solvation free energies of charged hard spheres using density functional theory interaction potentials with molecular dynamics simulation (DFT-MD) and isolate the effects of charge and cavitation,more » comparing to the Born (linear response) model. We show that using uncorrected Ewald summation leads to highly unphysical values for the solvation free energy and that charging free energies for cations are approximately linear as a function of charge but that there is a small non-linearity for small anions. The charge hydration asymmetry (CHA) for hard spheres, determined with quantum mechanics, is much larger than for the analogous real ions. This suggests that real ions, particularly anions, are significantly more complex than simple charged hard spheres, a commonly employed representation. We would like to thank Thomas Beck, Shawn Kathmann, Richard Remsing and John Weeks for helpful discussions. Computing resources were generously allocated by PNNL's Institutional Computing program. This research also used resources of the National Energy Research Scientific Computing Center, a DOE Office of Science User Facility supported by the Office of Science of the U.S. Department of Energy under Contract No. DE-AC02-05CH11231. TTD, GKS, and CJM were supported by the U.S. Department of Energy, Office of Science, Office of Basic Energy Sciences, Division of Chemical Sciences, Geosciences, and Biosciences. MDB was supported by MS3 (Materials Synthesis and Simulation Across

  12. Subtractive Plasma-Assisted-Etch Process for Developing High Performance Nanocrystalline Zinc-Oxide Thin-Film-Transistors

    DTIC Science & Technology

    2015-03-26

    THIN - FILM - TRANSISTORS THESIS Thomas M. Donigan, First Lieutenant, USAF AFIT-ENG-MS-15-M-027 DEPARTMENT OF THE AIR FORCE AIR UNIVERSITY AIR...DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS THESIS Presented to the Faculty Department of Electrical and...15-M-027 SUBTRACTIVE PLASMA-ASSISTED-ETCH PROCESS FOR DEVELOPING HIGH PERFORMANCE NANOCRYSTALLINE ZINC-OXIDE THIN - FILM - TRANSISTORS

  13. [Antibacterial effect of self-etching adhesive systems on Streptococcus mutans].

    PubMed

    Zhang, Lu; Yuan, Chong-yang; Tian, Fu-cong; Wang, Xiao-yan; Gao, Xue-jun

    2016-02-18

    To investigate the antibacterial effect of different self-etching adhesive systems against Streptococcus mutans (S. mutans). Six reagents Clearfil(TM) SE Bond primer (SP), Clearfil(TM) SE Bond adhesive (SA),Clearfil(TM) Protect Bond primer (PP), which contained antibacterial monomer methacryloyloxydodecylpyridinium bromide (MDPB), ClearfilTM Protect Bond adhesive (PA), positive control chlorhexidine acetate [CHX, 1% (mass fraction)], and negative control phosphate buffer solution (PBS) were selected. They were mixed with S. mutans for 30 s respectively, then colony-forming units (CFU) were counted after incubated for 48 h on brain heart infusion (BHI) agar medium. The 6 reagents were applied to the sterile paper discs, and distributed onto the BHI agar medium with S. mutans and incubated for 24 h, then the inhibition zones were observed. CHX, PBS, PP, and SP were added on the dentin with artificial caries induced by S. mutans and kept for 30 s, then confocal laser scanning microscope (CLSM) was used to observe the live and dead bacteria after staining. The ratio of live to dead bacteria was calculated. PP+PA and SP+SA were applied on the dentin according to the manual and light cured. S. mutans were incubated on the samples for 2 h, ultrasonically treated and incubated on BHI agar medium for 48 h, then CFU was counted. The data were analyzed by non-parametric analysis and one-way ANOVA. Compared with PBS, the PP, SP, PA, SA and CHX showed the antibacterial effect on free S. mutans (P<0.05); SP and PP showed stronger antibacterial effect than PA, SA and CHX (P<0.05). CHX, SP and PP presented inhibition zones, while PBS, SA and PA did not. Compared with PBS, the CHX, SP and PP could lower the ratio of the live to dead bacteria significantly (P<0.05). Cured self-etching adhesive systems did not show any antibacterial effect on the free S. mutans. The primer of self-etching adhesives Clearfil(TM) SE Bond and Clearfil(TM) Protect Bond showed significant antibacterial

  14. TOPICAL REVIEW: Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    NASA Astrophysics Data System (ADS)

    Jansen, H V; de Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-03-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O2) or a fluorocarbon (FC) gas (C4F8 or CHF3). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF3 and C4F8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF3 is roughly 30 times the flow of C4F8, and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O2 is FC-free but shows only tolerable anisotropic results at -120 °C. The

  15. Micromorphological characterization of adhesive interface of sound dentin and total-etch and self-etch adhesives.

    PubMed

    Drobac, Milan; Stojanac, Igor; Ramić, Bojana; Premović, Milica; Petrović, Ljubomir

    2015-01-01

    The ultimate goal in restorative dentistry has always been to achieve strong and permanent bond between the dental tissues and filling materials. It is not easy to achieve this task because the bonding process is different for enamel and dentin-dentin is more humid and more organic than enamel. It is moisture and organic nature of dentin that make this hard tissue very complex to achieve adhesive bond. One of the first and most widely used tools for examining the adhesive bond between hard dental tissues and composite restorative materials is scanning electron microscopy. The aim of this study was scanning electron microscopy analyzes the interfacial micro morphology of total-etch and self-etch adhesives. Micro morphological characteristics of interface between total-etch adhesive (Prime & Bond NT) in combination with the corresponding composite (Ceram X Mono) were compared with those of self-etching adhesive (AdheSE One) in, combination with the corresponding composite (Tetric EvoCeram). The specimens were observed under 1000 x magnification of scanning electron microscopy (JEOL, JSM-6460 Low Vacuum). Measurement of the thickness of the hybrid layer of the examined com posite systems was performed with the software of the device used (NIH Image Analyser). Micromorphological analysis of interface showed that the hybrid layer in sound dentin was well formed, its average thickness being 2.68 microm, with a large number of resin tags and a large amount of lateral branches for specimens with a composite system Prime & Bond NT-Ceram X Mono. However, the specimens' with composite systems Adhese One-Tetric EvoCeram did not show the presence of hybrid layer and the resin tags were poorly represented. The results of this study suggest that total-etch adhesives bond better with sound dentin than self-etch adhesive.

  16. Silicon vertical microstructure fabrication by catalytic etching

    NASA Astrophysics Data System (ADS)

    Huang, Mao-Jung; Yang, Chii-Rong; Chang, Chun-Ming; Chu, Nien-Nan; Shiao, Ming-Hua

    2012-08-01

    This study presents an effective, simple and inexpensive process for forming micro-scale vertical structures on a (1 0 0) silicon wafer. Several modified etchants and micro-patterns including rectangular, snake-like, circular and comb patterns were employed to determine the optimum etching process. We found that an etchant solution consisting of 4.6 M hydrofluoric acid, 0.44 M hydrogen peroxide and isopropyl alcohol produces microstructures at an etching rate of 0.47 µm min-1 and surface roughness of 17.4 nm. All the patterns were transferred faithfully to the silicon substrate.

  17. Predicting synergy in atomic layer etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J.; Tan, Samantha; Yang, Wenbing

    2017-03-27

    Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO 2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms ofmore » energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bulk material. This insight explains why some materials are more or less amenable to the directional ALE approach. Furthermore, they conclude that ALE is both simpler to understand than conventional plasma etch processing and is applicable to metals, semiconductors, and dielectrics.« less

  18. A study of GaN-based LED structure etching using inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Wang, Pei; Cao, Bin; Gan, Zhiyin; Liu, Sheng

    2011-02-01

    GaN as a wide band gap semiconductor has been employed to fabricate optoelectronic devices such as light-emitting diodes (LEDs) and laser diodes (LDs). Recently several different dry etching techniques for GaN-based materials have been developed. ICP etching is attractive because of its superior plasma uniformity and strong controllability. Most previous reports emphasized on the ICP etching characteristics of single GaN film. In this study dry etching of GaN-based LED structure was performed by inductively coupled plasmas (ICP) etching with Cl2 as the base gas and BCl3 as the additive gas. The effects of the key process parameters such as etching gases flow rate, ICP power, RF power and chamber pressure on the etching properties of GaN-based LED structure including etching rate, selectivity, etched surface morphology and sidewall was investigated. Etch depths were measured using a depth profilometer and used to calculate the etch rates. The etch profiles were observed with a scanning electron microscope (SEM).

  19. [The experience of public guarantees of free-of-charge medical care foreign countries].

    PubMed

    Ulumbekova, G E

    2010-01-01

    The article deals with the analysis of the volumes of financing of public guarantees program of free-of-charge medical care and its algorithm of its elaboration in foreign countries. In the advanced countries, the higher financing of public health permit to ensure factually overall population the full free-of-charge spectrum of up-to-date medical interventions as a "public guarantees pack". It includes the pharmaceuticals supply in outpatient conditions and in most cases the long-term care services. In economically advanced countries, the general trend is the transfer from fundamental principles ("everything needed") to the more transparent approaches in case of implementation of the guarantees to achieve the balance between actual financial resources and stated population guarantees.

  20. Determination of total fluoride in HF/HNO3/H2SiF6 etch solutions by new potentiometric titration methods.

    PubMed

    Weinreich, Wenke; Acker, Jörg; Gräber, Iris

    2007-03-30

    In the photovoltaic industry the etching of silicon in HF/HNO(3) solutions is a decisive process for cleaning wafer surfaces or to produce certain surface morphologies like polishing or texturization. With regard to cost efficiency, a maximal utilisation of etch baths in combination with highest quality and accuracy is strived. To provide an etch bath control realised by a replenishment with concentrated acids the main constituents of these HF/HNO(3) etch solutions including the reaction product H(2)SiF(6) have to be analysed. Two new methods for the determination of the total fluoride content in an acidic etch solution based on the precipitation titration with La(NO(3))(3) are presented within this paper. The first method bases on the proper choice of the reaction conditions, since free fluoride ions have to be liberated from HF and H(2)SiF(6) at the same time to be detected by a fluoride ion-selective electrode (F-ISE). Therefore, the sample is adjusted to a pH of 8 for total cleavage of the SiF(6)(2-) anion and titrated in absence of buffers. In a second method, the titration with La(NO(3))(3) is followed by a change of the pH-value using a HF resistant glass-electrode. Both methods provide consistent values, whereas the analysis is fast and accurate, and thus, applicable for industrial process control.

  1. Wafer hotspot prevention using etch aware OPC correction

    NASA Astrophysics Data System (ADS)

    Hamouda, Ayman; Power, Dave; Salama, Mohamed; Chen, Ao

    2016-03-01

    As technology development advances into deep-sub-wavelength nodes, multiple patterning is becoming more essential to achieve the technology shrink requirements. Recently, Optical Proximity Correction (OPC) technology has proposed simultaneous correction of multiple mask-patterns to enable multiple patterning awareness during OPC correction. This is essential to prevent inter-layer hot-spots during the final pattern transfer. In state-of-art literature, multi-layer awareness is achieved using simultaneous resist-contour simulations to predict and correct for hot-spots during mask generation. However, this approach assumes a uniform etch shrink response for all patterns independent of their proximity, which isn't sufficient for the full prevention of inter-exposure hot-spot, for example different color space violations post etch or via coverage/enclosure post etch. In this paper, we explain the need to include the etch component during multiple patterning OPC. We also introduce a novel approach for Etch-aware simultaneous Multiple-patterning OPC, where we calibrate and verify a lumped model that includes the combined resist and etch responses. Adding this extra simulation condition during OPC is suitable for full chip processing from a computation intensity point of view. Also, using this model during OPC to predict and correct inter-exposures hot-spots is similar to previously proposed multiple-patterning OPC, yet our proposed approach more accurately corrects post-etch defects too.

  2. Search for free fractional electric charge elementary particles using an automated millikan oil drop technique

    PubMed

    Halyo; Kim; Lee; Lee; Loomba; Perl

    2000-03-20

    We have carried out a direct search in bulk matter for free fractional electric charge elementary particles using the largest mass single sample ever studied-about 17.4 mg of silicone oil. The search used an improved and highly automated Millikan oil drop technique. No evidence for fractional charge particles was found. The concentration of particles with fractional charge more than 0. 16e ( e being the magnitude of the electron charge) from the nearest integer charge is less than 4.71x10(-22) particles per nucleon with 95% confidence.

  3. Surface transport processes in charged porous media

    DOE PAGES

    Gabitto, Jorge; Tsouris, Costas

    2017-03-03

    Surface transport processes are important in chemistry, colloidal sciences, engineering, biology, and geophysics. Natural or externally produced charges on surfaces create electrical double layers (EDLs) at the solid-liquid interface. The existence of the EDLs produces several complex processes including bulk and surface transport of ions. In this work, a model is presented to simulate bulk and transport processes in homogeneous porous media comprising big pores. It is based on a theory for capacitive charging by ideally polarizable porous electrodes without Faradaic reactions or specific adsorption of ions. A volume averaging technique is used to derive the averaged transport equations inmore » the limit of thin electrical double layers. Description of the EDL between the electrolyte solution and the charged wall is accomplished using the Gouy-Chapman-Stern (GCS) model. The surface transport terms enter into the average equations due to the use of boundary conditions for diffuse interfaces. Two extra surface transports terms appear in the closed average equations. One is a surface diffusion term equivalent to the transport process in non-charged porous media. The second surface transport term is a migration term unique to charged porous media. The effective bulk and transport parameters for isotropic porous media are calculated solving the corresponding closure problems.« less

  4. Surface transport processes in charged porous media

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gabitto, Jorge; Tsouris, Costas

    Surface transport processes are important in chemistry, colloidal sciences, engineering, biology, and geophysics. Natural or externally produced charges on surfaces create electrical double layers (EDLs) at the solid-liquid interface. The existence of the EDLs produces several complex processes including bulk and surface transport of ions. In this work, a model is presented to simulate bulk and transport processes in homogeneous porous media comprising big pores. It is based on a theory for capacitive charging by ideally polarizable porous electrodes without Faradaic reactions or specific adsorption of ions. A volume averaging technique is used to derive the averaged transport equations inmore » the limit of thin electrical double layers. Description of the EDL between the electrolyte solution and the charged wall is accomplished using the Gouy-Chapman-Stern (GCS) model. The surface transport terms enter into the average equations due to the use of boundary conditions for diffuse interfaces. Two extra surface transports terms appear in the closed average equations. One is a surface diffusion term equivalent to the transport process in non-charged porous media. The second surface transport term is a migration term unique to charged porous media. The effective bulk and transport parameters for isotropic porous media are calculated solving the corresponding closure problems.« less

  5. Shear bond strength of self-etch adhesives to enamel with additional phosphoric acid etching.

    PubMed

    Lührs, Anne-Katrin; Guhr, Silke; Schilke, Reinhard; Borchers, Lothar; Geurtsen, Werner; Günay, Hüsamettin

    2008-01-01

    This study evaluated the shear bond strength of self-etch adhesives to enamel and the effect of additional phosphoric acid etching. Seventy sound human molars were randomly divided into three test groups and one control group. The enamel surfaces of the control group (n=10) were treated with Syntac Classic (SC). Each test group was subdivided into two groups (each n=10). In half of each test group, ground enamel surfaces were coated with the self-etch adhesives AdheSe (ADH), Xeno III (XE) or Futurabond NR (FNR). In the remaining half of each test group, an additional phosphoric acid etching of the enamel surface was performed prior to applying the adhesives. The shear bond strength was measured with a universal testing machine at a crosshead speed of 1 mm/minute after storing the samples in distilled water at 37 degrees C for 24 hours. Fracture modes were determined by SEM examination. For statistical analysis, one-way ANOVA and the two-sided Dunnett Test were used (p>0.05). Additional phosphoric etching significantly increased the shear bond strength of all the examined self-etch adhesives (p<0.001). The highest shear bond strength was found for FNR after phosphoric acid etching. Without phosphoric acid etching, only FNR showed no significant differences compared to the control (SC). SEM evaluations showed mostly adhesive fractures. For all the self-etch adhesives, a slight increase in mixed fractures occurred after conditioning with phosphoric acid. An additional phosphoric acid etching of enamel should be considered when using self-etch adhesives. More clinical studies are needed to evaluate the long-term success of the examined adhesives.

  6. Relationship between enamel bond fatigue durability and surface free-energy characteristics with universal adhesives.

    PubMed

    Nagura, Yuko; Tsujimoto, Akimasa; Barkmeier, Wayne W; Watanabe, Hidehiko; Johnson, William W; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-04-01

    The relationship between enamel bond fatigue durability and surface free-energy characteristics with universal adhesives was investigated. The initial shear bond strengths and shear fatigue strengths of five universal adhesives to enamel were determined with and without phosphoric acid pre-etching. The surface free-energy characteristics of adhesive-treated enamel with and without pre-etching were also determined. The initial shear bond strength and shear fatigue strength of universal adhesive to pre-etched enamel were higher than those to ground enamel. The initial shear bond strength and shear fatigue strength of universal adhesive to pre-etched enamel were material dependent, unlike those to ground enamel. The surface free-energy of the solid (γ S ) and the hydrogen-bonding force (γSh) of universal adhesive-treated enamel were different depending on the adhesive, regardless of the presence or absence of pre-etching. The bond fatigue durability of universal adhesives was higher to pre-etched enamel than to ground enamel. In addition, the bond fatigue durability to pre-etched enamel was material dependent, unlike that to ground enamel. The surface free-energy characteristics of universal adhesive-treated enamel were influenced by the adhesive type, regardless of the presence or absence of pre-etching. The surface free-energy characteristics of universal adhesive-treated enamel were related to the results of the bond fatigue durability. © 2018 Eur J Oral Sci.

  7. Phase Analysis of Laser Direct Etching and Water Assisted Laser Combined Etching of SiC Ceramics

    NASA Astrophysics Data System (ADS)

    Yuan, Genfu; Cong, Qidong; Zhang, Chen; Xie, Bingbing

    2017-12-01

    In this study, to discover the etching mechanism of SiC ceramics under laser direct etching and water-jet assisted laser combined etching, the phenomena of substance change on the etched surface were investigated. Also, the rules of substance transfer in etching are discussed. The elemental content change and the phase change of the etching products on the etched surface were analyzed by energy dispersive spectroscopy (EDS) and X-ray diffraction (XRD), respectively. These studies showed a high amount of carbon black on the etched surface, because of the decomposition of SiC ceramics under the high-power-density laser irradiation. SiC decomposed to Si under the laser irradiation, and the subsequent chemical reaction of Si and O2 easily produced SiO2. The SiO2 on the etched surface melted and vaporized, whereas most of SiO2 was removed through splashing, changing the chemical composition of the etched surface. Following the water jet introduction, an increased amount of O existed on the combined etching surface, because the chemical reaction of SiC and H2O easily produced SiO2 under the high-power-density laser irradiation.

  8. Interactions of chlorine plasmas with silicon chloride-coated reactor walls during and after silicon etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khare, Rohit; Srivastava, Ashutosh; Donnelly, Vincent M.

    2012-09-15

    The interplay between chlorine inductively coupled plasmas (ICP) and reactor walls coated with silicon etching products has been studied in situ by Auger electron spectroscopy and line-of-sight mass spectrometry using the spinning wall method. A bare silicon wafer mounted on a radio frequency powered electrode (-108 V dc self-bias) was etched in a 13.56 MHz, 400 W ICP. Etching products, along with some oxygen due to erosion of the discharge tube, deposit a Si-oxychloride layer on the plasma reactor walls, including the rotating substrate surface. Without Si-substrate bias, the layer that was previously deposited on the walls with Si-substrate biasmore » reacts with Cl-atoms in the chlorine plasma, forming products that desorb, fragment in the plasma, stick on the spinning wall and sometimes react, and then desorb and are detected by the mass spectrometer. In addition to mass-to-charge (m/e) signals at 63, 98, 133, and 168, corresponding to SiCl{sub x} (x = 1 - 4), many Si-oxychloride fragments with m/e = 107, 177, 196, 212, 231, 247, 275, 291, 294, 307, 329, 345, 361, and 392 were also observed from what appear to be major products desorbing from the spinning wall. It is shown that the evolution of etching products is a complex 'recycling' process in which these species deposit and desorb from the walls many times, and repeatedly fragment in the plasma before being detected by the mass spectrometer. SiCl{sub 3} sticks on the walls and appears to desorb for at least milliseconds after exposure to the chlorine plasma. Notably absent are signals at m/e = 70 and 72, indicating little or no Langmuir-Hinshelwood recombination of Cl on this surface, in contrast to previous studies done in the absence of Si etching.« less

  9. Qualitative modeling of silica plasma etching using neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Kwon, Kwang Ho

    2003-01-01

    An etching of silica thin film is qualitatively modeled by using a neural network. The process was characterized by a 23 full factorial experiment plus one center point, in which the experimental factors and ranges include 100-800 W radio-frequency source power, 100-400 W bias power and gas flow rate ratio CHF3/CF4. The gas flow rate ratio varied from 0.2 to 5.0. The backpropagation neural network (BPNN) was trained on nine experiments and tested on six experiments, not pertaining to the original training data. The prediction ability of the BPNN was optimized as a function of the training parameters. Prediction errors are 180 Å/min and 1.33, for the etch rate and anisotropy models, respectively. Physical etch mechanisms were estimated from the three-dimensional plots generated from the optimized models. Predicted response surfaces were consistent with experimentally measured etch data. The dc bias was correlated to the etch responses to evaluate its contribution. Both the source power (plasma density) and bias power (ion directionality) strongly affected the etch rate. The source power was the most influential factor for the etch rate. A conflicting effect between the source and bias powers was noticed with respect to the anisotropy. The dc bias played an important role in understanding or separating physical etch mechanisms.

  10. Improvement of luster consistency between the p-Pad and the n-Pad of GaN-based light-emitting diodes via the under-etching process

    NASA Astrophysics Data System (ADS)

    Zheng, Chenju; Lv, Jiajiang; Zhou, Shengjun; Liu, Sheng

    2017-04-01

    For improvement of the light extraction efficiency of GaN-based lateral light-emitting diodes (LEDs), a p-GaN surface was textured through a low-temperature (850 °C) p-GaN growth process. However, the p-GaN texturing process caused luster inconsistency between the n-pad and the p-pad due to the roughness difference between the indium-tin oxide (ITO) and the n-GaN beneath the pads, which decreased the image recognition rate and accuracy during the wire bonding process for LED packaging. Therefore, an under-etching process was proposed to improve the luster consistency between the p-pad and the n-pad of GaN-based LEDs with a naturally textured p-GaN surface. The under-etching process decreased the roughness of the exposed n-GaN surface from 109 nm to 73.1 nm, which was similar to the roughness (74.8 nm) of the ITO surface. Optical microscopy showed that LEDs with a naturally textured p-GaN surface exhibited excellent luster consistency between the n-pad and the p-pad after the proposed under-etching process had been applied. Further analysis indicated that the LEDs with a naturally textured p-GaN surface showed no degradation of optical or the electrical performance after the proposed under-etching process had been applied. At a 20-mA injection current, the light output power of a LED with naturally a textured p-GaN surface was 8.7% higher than that of a LED with a smooth p-GaN surface.

  11. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme.

    PubMed

    Fan, Ching-Lin; Shang, Ming-Chi; Li, Bo-Jyun; Lin, Yu-Zuo; Wang, Shea-Jue; Lee, Win-Der

    2014-08-11

    Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV) exposure and backside-lift-off (BLO) schemes can not only prevent the damage when etching the source/drain (S/D) electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs) exhibit comparable field-effect mobility (9.5 cm²/V·s), threshold voltage (3.39 V), and subthreshold swing (0.3 V/decade). The delay time of an inverter fabricated using the proposed process was considerably decreased.

  12. A Self-Aligned a-IGZO Thin-Film Transistor Using a New Two-Photo-Mask Process with a Continuous Etching Scheme

    PubMed Central

    Fan, Ching-Lin; Shang, Ming-Chi; Li, Bo-Jyun; Lin, Yu-Zuo; Wang, Shea-Jue; Lee, Win-Der

    2014-01-01

    Minimizing the parasitic capacitance and the number of photo-masks can improve operational speed and reduce fabrication costs. Therefore, in this study, a new two-photo-mask process is proposed that exhibits a self-aligned structure without an etching-stop layer. Combining the backside-ultraviolet (BUV) exposure and backside-lift-off (BLO) schemes can not only prevent the damage when etching the source/drain (S/D) electrodes but also reduce the number of photo-masks required during fabrication and minimize the parasitic capacitance with the decreasing of gate overlap length at same time. Compared with traditional fabrication processes, the proposed process yields that thin-film transistors (TFTs) exhibit comparable field-effect mobility (9.5 cm2/V·s), threshold voltage (3.39 V), and subthreshold swing (0.3 V/decade). The delay time of an inverter fabricated using the proposed process was considerably decreased. PMID:28788159

  13. Design and fabrication of MEMS devices using the integration of MUMPs, trench-refilled molding, DRIE and bulk silicon etching processes

    NASA Astrophysics Data System (ADS)

    Wu, Mingching; Fang, Weileun

    2005-03-01

    This work integrates multi-depth DRIE etching, trench-refilled molding, two poly-Si layers MUMPs and bulk releasing to improve the variety and performance of MEMS devices. In summary, the present fabrication process, named MOSBE II, has three merits. First, this process can monolithically fabricate and integrate poly-Si thin-film structures with different thicknesses and stiffnesses, such as the flexible spring and the stiff mirror plate. Second, multi-depth structures, such as vertical comb electrodes, are available from the DRIE processes. Third, a cavity under the micromachined device is provided by the bulk silicon etching process, so that a large out-of-plane motion is allowed. In application, an optical scanner driven by the self-aligned vertical comb actuator was demonstrated. The poly-Si micromachined components fabricated by MOSBE II can further integrate with the MUMPs devices to establish a more powerful MOEMS platform.

  14. Enhancement of a-IGZO TFT Device Performance Using a Clean Interface Process via Etch-Stopper Nano-layers.

    PubMed

    Chung, Jae-Moon; Zhang, Xiaokun; Shang, Fei; Kim, Ji-Hoon; Wang, Xiao-Lin; Liu, Shuai; Yang, Baoguo; Xiang, Yong

    2018-05-29

    To overcome the technological and economic obstacles of amorphous indium-gallium-zinc-oxide (a-IGZO)-based display backplane for industrial production, a clean etch-stopper (CL-ES) process is developed to fabricate a-IGZO-based thin film transistor (TFT) with improved uniformity and reproducibility on 8.5th generation glass substrates (2200 mm × 2500 mm). Compared with a-IGZO-based TFT with back-channel-etched (BCE) structure, a newly formed ES nano-layer (~ 100 nm) and a simultaneous etching of a-IGZO nano-layer (30 nm) and source-drain electrode layer are firstly introduced to a-IGZO-based TFT device with CL-ES structure to improve the uniformity and stability of device for large-area display. The saturation electron mobility of 8.05 cm 2 /V s and the V th uniformity of 0.72 V are realized on the a-IGZO-based TFT device with CL-ES structure. In the negative bias temperature illumination stress and positive bias thermal stress reliability testing under a ± 30 V bias for 3600 s, the measured V th shift of CL-ES-structured device significantly decreased to - 0.51 and + 1.94 V, which are much lower than that of BCE-structured device (- 3.88 V, + 5.58 V). The electrical performance of the a-IGZO-based TFT device with CL-ES structure implies that the economic transfer from a silicon-based TFT process to the metal oxide semiconductor-based process for LCD fabrication is highly feasible.

  15. Consideration of correlativity between litho and etching shape

    NASA Astrophysics Data System (ADS)

    Matsuoka, Ryoichi; Mito, Hiroaki; Shinoda, Shinichi; Toyoda, Yasutaka

    2012-03-01

    We developed an effective method for evaluating the correlation of shape of Litho and Etching pattern. The purpose of this method, makes the relations of the shape after that is the etching pattern an index in wafer same as a pattern shape on wafer made by a lithography process. Therefore, this method measures the characteristic of the shape of the wafer pattern by the lithography process and can predict the hotspot pattern shape by the etching process. The method adopts a metrology management system based on DBM (Design Based Metrology). This is the high accurate contouring created by an edge detection algorithm used wafer CD-SEM. Currently, as semiconductor manufacture moves towards even smaller feature size, this necessitates more aggressive optical proximity correction (OPC) to drive the super-resolution technology (RET). In other words, there is a trade-off between highly precise RET and lithography management, and this has a big impact on the semiconductor market that centers on the semiconductor business. 2-dimensional shape of wafer quantification is important as optimal solution over these problems. Although 1-dimensional shape measurement has been performed by the conventional technique, 2-dimensional shape management is needed in the mass production line under the influence of RET. We developed the technique of analyzing distribution of shape edge performance as the shape management technique. In this study, we conducted experiments for correlation method of the pattern (Measurement Based Contouring) as two-dimensional litho and etch evaluation technique. That is, observation of the identical position of a litho and etch was considered. It is possible to analyze variability of the edge of the same position with high precision.

  16. Fabrication of ultra-high aspect ratio (>160:1) silicon nanostructures by using Au metal assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Hailiang; Ye, Tianchun; Shi, Lina; Xie, Changqing

    2017-12-01

    We present a facile and effective approach for fabricating high aspect ratio, dense and vertical silicon nanopillar arrays, using a combination of metal etching following electron-beam lithography and Au metal assisted chemical etching (MacEtch). Ti/Au nanostructures used as catalysts in MacEtch are formed by single layer resist-based electron-beam exposure followed by ion beam etching. The effects of MacEtch process parameters, including half period, etching time, the concentrations of H2O2 and HF, etching temperature and drying method are systematically investigated. Especially, we demonstrate an enhancement of etching quality by employing cold MacEtch process, and an enhancement in preventing the collapse of high aspect ratio nanostructures by employing low surface tension rinse liquid and natural evaporation in the drying stage. Using an optimized MacEtch process, vertical silicon nanopillar arrays with a period of 250 nm and aspect ratio up to 160:1 are realized. Our results should be instructive for exploring the achievable aspect ratio limit in silicon nanostructures and may find potential applications in photovoltaic devices, thermoelectric devices and x-ray diffractive optics.

  17. Silicon macroporous arrays with high aspect ratio prepared by ICP etching

    NASA Astrophysics Data System (ADS)

    Wang, Guozheng; Yang, Bingchen; Wang, Ji; Yang, Jikai; Duanmu, Qingduo

    2018-02-01

    This paper reports on a macroporous silicon arrays with high aspect ratio, the pores of which are of 162, 205, 252, 276μm depths with 6, 10, 15 and 20 μm diameters respectively, prepared by Multiplex Inductively Coupled Plasma (ICP) etching. It was shown that there are very differences in process of high aspect ratio microstructures between the deep pores, a closed structure, and deep trenches, a open structure. The morphology and the aspect ratio dependent etching were analyzed and discussed. The macroporous silicon etched by ICP process yield an uneven, re-entrant, notched and ripples surface within the pores. The main factors effecting on the RIE lag of HARP etching are the passivation cycle time, the pressure of reactive chamber, and the platen power of ICP system.

  18. Formation of a pn junction on an anisotropically etched GaAs surface using metalorganic chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Leon, R. P.; Bailey, S. G.; Mazaris, G. A.; Williams, W. D.

    1986-01-01

    A continuous p-type GaAs epilayer has been deposited on an n-type sawtooth GaAs surface using MOCVD. A wet chemical etching process was used to expose the intersecting (111)Ga and (-1 -1 1)Ga planes with 6-micron periodicity. Charge-collection microscopy was used to verify the presence of the pn junction thus formed and to measure its depth. The ultimate goal of this work is to fabricate a V-groove GaAs cell with improved absorptivity, high short-circuit current, and tolerance to particle radiation.

  19. Chemical etching and organometallic chemical vapor deposition on varied geometries of GaAs

    NASA Technical Reports Server (NTRS)

    Bailey, Sheila G.; Landis, Geoffrey A.; Wilt, David M.

    1989-01-01

    Results of micron-spaced geometries produced by wet chemical etching and subsequent OMCVD growth on various GaAs surfaces are presented. The polar lattice increases the complexity of the process. The slow-etch planes defined by anisotropic etching are not always the same as the growth facets produced during MOCVD deposition, especially for deposition on higher-order planes produced by the hex groove etching.

  20. Improving Pyroelectric Energy Harvesting Using a Sandblast Etching Technique

    PubMed Central

    Hsiao, Chun-Ching; Siao, An-Shen

    2013-01-01

    Large amounts of low-grade heat are emitted by various industries and exhausted into the environment. This heat energy can be used as a free source for pyroelectric power generation. A three-dimensional pattern helps to improve the temperature variation rates in pyroelectric elements by means of lateral temperature gradients induced on the sidewalls of the responsive elements. A novel method using sandblast etching is successfully applied in fabricating the complex pattern of a vortex-like electrode. Both experiment and simulation show that the proposed design of the vortex-like electrode improved the electrical output of the pyroelectric cells and enhanced the efficiency of pyroelectric harvesting converters. A three-dimensional finite element model is generated by commercial software for solving the transient temperature fields and exploring the temperature variation rate in the PZT pyroelectric cells with various designs. The vortex-like type has a larger temperature variation rate than the fully covered type, by about 53.9%.The measured electrical output of the vortex-like electrode exhibits an obvious increase in the generated charge and the measured current, as compared to the fully covered electrode, by of about 47.1% and 53.1%, respectively. PMID:24025557

  1. Controlled in situ etch-back

    NASA Technical Reports Server (NTRS)

    Mattauch, R. J.; Seabaugh, A. C. (Inventor)

    1981-01-01

    A controlled in situ etch-back technique is disclosed in which an etch melt and a growth melt are first saturated by a source-seed crystal and thereafter etch-back of a substrate takes place by the slightly undersaturated etch melt, followed by LPE growth of a layer by the growth melt, which is slightly supersaturated.

  2. Metallographic examination of TD-nickel base alloys. [thermal and chemical etching technique evaluation

    NASA Technical Reports Server (NTRS)

    Kane, R. D.; Petrovic, J. J.; Ebert, L. J.

    1975-01-01

    Techniques are evaluated for chemical, electrochemical, and thermal etching of thoria dispersed (TD) nickel alloys. An electrochemical etch is described which yielded good results only for large grain sizes of TD-nickel. Two types of thermal etches are assessed for TD-nickel: an oxidation etch and vacuum annealing of a polished specimen to produce an etch. It is shown that the first etch was somewhat dependent on sample orientation with respect to the processing direction, the second technique was not sensitive to specimen orientation or grain size, and neither method appear to alter the innate grain structure when the materials were fully annealed prior to etching. An electrochemical etch is described which was used to observe the microstructures in TD-NiCr, and a thermal-oxidation etch is shown to produce better detail of grain boundaries and to have excellent etching behavior over the entire range of grain sizes of the sample.

  3. Improvement in etching rate for epilayer lift-off with surfactant

    NASA Astrophysics Data System (ADS)

    Wu, Fan-Lei; Horng, Ray-Hua; Lu, Jian-Heng; Chen, Chun-Li; Kao, Yu-Cheng

    2013-03-01

    In this study, the GaAs epilayer is quickly separated from GaAs substrate by epitaxial lift-off (ELO) process with mixture etchant solution. The HF solution mixes with surfactant as mixture etchant solution to etch AlAs sacrificial layer for the selective wet etching of AlAs sacrificial layer. Addiction surfactants etchant significantly enhance the etching rate in the hydrofluoric acid etching solution. It is because surfactant provides hydrophilicity to change the contact angle with enhances the fluid properties of the mixture etchant between GaAs epilayer and GaAs substrate. Arsine gas was released from the etchant solution because the critical reaction product in semiconductor etching is dissolved arsine gas. Arsine gas forms a bubble, which easily displaces the etchant solution, before the AlAs layer was undercut. The results showed that acetone and hydrofluoric acid ratio of about 1:1 for the fastest etching rate of 13.2 μm / min. The etching rate increases about 4 times compared with pure hydrofluoric acid, moreover can shorten the separation time about 70% of GaAs epilayer with GaAs substrate. The results indicate that etching ratio and stability are improved by mixture etchant solution. It is not only saving the epilayer and the etching solution exposure time, but also reducing the damage to the epilayer structure.

  4. Effect of Phosphoric Acid Pre-etching on Fatigue Limits of Self-etching Adhesives.

    PubMed

    Takamizawa, T; Barkmeier, W W; Tsujimoto, A; Scheidel, D D; Erickson, R L; Latta, M A; Miyazaki, M

    2015-01-01

    The purpose of this study was to use shear bond strength (SBS) and shear fatigue limit (SFL) testing to determine the effect of phosphoric acid pre-etching of enamel and dentin prior to application of self-etch adhesives for bonding resin composite to these substrates. Three self-etch adhesives--1) G- ænial Bond (GC Corporation, Tokyo, Japan); 2) OptiBond XTR (Kerr Corp, Orange, CA, USA); and 3) Scotchbond Universal (3M ESPE Dental Products, St Paul, MN, USA)--were used to bond Z100 Restorative resin composite to enamel and dentin surfaces. A stainless-steel metal ring with an inner diameter of 2.4 mm was used to bond the resin composite to flat-ground (4000 grit) tooth surfaces for determination of both SBS and SFL. Fifteen specimens each were used to determine initial SBS to human enamel/dentin, with and without pre-etching with a 35% phosphoric acid (Ultra-Etch, Ultradent Products Inc, South Jordan, UT, USA) for 15 seconds prior to the application of the adhesives. A staircase method of fatigue testing (25 specimens for each test) was then used to determine the SFL of resin composite bonded to enamel/dentin using a frequency of 10 Hz for 50,000 cycles or until failure occurred. A two-way analysis of variance and Tukey post hoc test were used for analysis of SBS data, and a modified t-test with Bonferroni correction was used for the SFL data. Scanning electron microscopy was used to examine the area of the bonded restorative/tooth interface. For all three adhesive systems, phosphoric acid pre-etching of enamel demonstrated significantly higher (p<0.05) SBS and SFL with pre-etching than it did without pre-etching. The SBS and SFL of dentin bonds decreased with phosphoric acid pre-etching. The SBS and SFL of bonds using phosphoric acid prior to application of self-etching adhesives clearly demonstrated different tendencies between enamel and dentin. The effect of using phosphoric acid, prior to the application of the self-etching adhesives, on SBS and SFL was

  5. Nanoscale silver-assisted wet etching of crystalline silicon for anti-reflection surface textures.

    PubMed

    Li, Rui; Wang, Shuling; Chuwongin, Santhad; Zhou, Weidong

    2013-01-01

    We report here an electro-less metal-assisted chemical etching (MacEtch) process as light management surface-texturing technique for single crystalline Si photovoltaics. Random Silver nanostructures were formed on top of the Si surface based on the thin film evaporation and annealing process. Significant reflection reduction was obtained from the fabricated Si sample, with approximately 2% reflection over a wide spectra range (300 to 1050 nm). The work demonstrates the potential of MacEtch process for anti-reflection surface texture fabrication of large area, high efficiency, and low cost thin film solar cell.

  6. Mechanism for Plasma Etching of Shallow Trench Isolation Features in an Inductively Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Agarwal, Ankur; Rauf, Shahid; He, Jim; Choi, Jinhan; Collins, Ken

    2011-10-01

    Plasma etching for microelectronics fabrication is facing extreme challenges as processes are developed for advanced technological nodes. As device sizes shrink, control of shallow trench isolation (STI) features become more important in both logic and memory devices. Halogen-based inductively coupled plasmas in a pressure range of 20-60 mTorr are typically used to etch STI features. The need for improved performance and shorter development cycles are placing greater emphasis on understanding the underlying mechanisms to meet process specifications. In this work, a surface mechanism for STI etch process will be discussed that couples a fundamental plasma model to experimental etch process measurements. This model utilizes ion/neutral fluxes and energy distributions calculated using the Hybrid Plasma Equipment Model. Experiments are for blanket Si wafers in a Cl2/HBr/O2/N2 plasma over a range of pressures, bias powers, and flow rates of feedstock gases. We found that kinetic treatment of electron transport was critical to achieve good agreement with experiments. The calibrated plasma model is then coupled to a string-based feature scale model to quantify the effect of varying process parameters on the etch profile. We found that the operating parameters strongly influence critical dimensions but have only a subtle impact on the etch depths.

  7. Effects of hard mask etch on final topography of advanced phase shift masks

    NASA Astrophysics Data System (ADS)

    Hortenbach, Olga; Rolff, Haiko; Lajn, Alexander; Baessler, Martin

    2017-07-01

    Continuous shrinking of the semiconductor device dimensions demands steady improvements of the lithographic resolution on wafer level. These requirements challenge the photomask industry to further improve the mask quality in all relevant printing characteristics. In this paper topography of the Phase Shift Masks (PSM) was investigated. Effects of hard mask etch on phase shift uniformity and mask absorber profile were studied. Design of experiments method (DoE) was used for the process optimization, whereas gas composition, bias power of the hard mask main etch and bias power of the over-etch were varied. In addition, influence of the over-etch time was examined at the end of the experiment. Absorber depth uniformity, sidewall angle (SWA), reactive ion etch lag (RIE lag) and through pitch (TP) dependence were analyzed. Measurements were performed by means of Atomic-force microscopy (AFM) using critical dimension (CD) mode with a boot-shaped tip. Scanning electron microscope (SEM) cross-section images were prepared to verify the profile quality. Finally CD analysis was performed to confirm the optimal etch conditions. Significant dependence of the absorber SWA on hard mask (HM) etch conditions was observed revealing an improvement potential for the mask absorber profile. It was found that hard mask etch can leave a depth footprint in the absorber layer. Thus, the etch depth uniformity of hard mask etch is crucial for achieving a uniform phase shift over the active mask area. The optimized hard mask etch process results in significantly improved mask topography without deterioration of tight CD specifications.

  8. Study of Gallium Arsenide Etching in a DC Discharge in Low-Pressure HCl-Containing Mixtures

    NASA Astrophysics Data System (ADS)

    Dunaev, A. V.; Murin, D. B.

    2018-04-01

    Halogen-containing plasmas are often used to form topological structures on semiconductor surfaces; therefore, spectral monitoring of the etching process is an important diagnostic tool in modern electronics. In this work, the emission spectra of gas discharges in mixtures of hydrogen chloride with argon, chlorine, and hydrogen in the presence of a semiconducting gallium arsenide plate were studied. Spectral lines and bands of the GaAs etching products appropriate for monitoring the etching rate were determined. It is shown that the emission intensity of the etching products is proportional to the GaAs etching rate in plasmas of HCl mixtures with Ar and Cl2, which makes it possible to monitor the etching process in real time by means of spectral methods.

  9. Preparation of a new electro-optic polymer cross-linkable via copper-free thermal Huisgen cyclo-addition and fabrication of optical waveguides by Reactive Ion Etching.

    PubMed

    Cabanetos, Clément; Mahé, Hind; Blart, Errol; Pellegrin, Yann; Montembault, Véronique; Fontaine, Laurent; Adamietz, Frédéric; Rodriguez, Vincent; Bosc, Dominique; Odobel, Fabrice

    2011-06-01

    High-quality trails of ridge waveguides were successfully fabricated using a new cross-linkable polymer (PCC01) by UV photolithography followed by Reactive-Ion Etching (RIE) process. The cross-linking reaction of PCC01 is based on the copper-free Huisgen cyclo-addition between an azide and an acetylene group. The new cross-linkable polymer (PCC01) consists of a structural modification of the previously described materials (Scarpaci et al. Polym. Chem.2011, 2, 157), because the ethynyl group is functionalized by a methyl group instead of the TMS protecting group. This feature prevents the formation of silica (SiO(2)) generated by trimethylsilyl groups and which was stopping the engraving process before completion. Herein, we describe the synthesis, the NLO characterizations, and the fabrication of a high-quality ridge waveguide with PCC01. The new cross-linkable polymer PCC01 not only solves the problems encountered with our previously described polymers, but also presents an enhancement of the electro-optic stability, because d(33) coefficients up to 30 pm/V stable at 150 °C were recorded. © 2011 American Chemical Society

  10. Etch Profile Simulation Using Level Set Methods

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Meyyappan, Meyya; Arnold, James O. (Technical Monitor)

    1997-01-01

    Etching and deposition of materials are critical steps in semiconductor processing for device manufacturing. Both etching and deposition may have isotropic and anisotropic components, due to directional sputtering and redeposition of materials, for example. Previous attempts at modeling profile evolution have used so-called "string theory" to simulate the moving solid-gas interface between the semiconductor and the plasma. One complication of this method is that extensive de-looping schemes are required at the profile corners. We will present a 2D profile evolution simulation using level set theory to model the surface. (1) By embedding the location of the interface in a field variable, the need for de-looping schemes is eliminated and profile corners are more accurately modeled. This level set profile evolution model will calculate both isotropic and anisotropic etch and deposition rates of a substrate in low pressure (10s mTorr) plasmas, considering the incident ion energy angular distribution functions and neutral fluxes. We will present etching profiles of Si substrates in Ar/Cl2 discharges for various incident ion energies and trench geometries.

  11. Plasma etching of polymers like SU8 and BCB

    NASA Astrophysics Data System (ADS)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  12. Localized etching of polymer films using an atmospheric pressure air microplasma jet

    NASA Astrophysics Data System (ADS)

    Guo, Honglei; Liu, Jingquan; Yang, Bin; Chen, Xiang; Yang, Chunsheng

    2015-01-01

    A direct-write process device based on the atmospheric pressure air microplasma jet (AμPJ) has been developed for the localized etching of polymer films. The plasma was generated by the air discharge ejected out through a tip-nozzle (inner diameter of 100 μm), forming the microplasma jet. The AμPJ was capable of reacting with the polymer surface since it contains a high concentration of oxygen reactive species and thus resulted in the selective removal of polymer films. The experimental results demonstrated that the AμPJ could fabricate different microstructures on a parylene-C film without using any masks or causing any heat damage. The etch rate of parylene-C reached 5.1 μm min-1 and microstructures of different depth and width could also be realized by controlling two process parameters, namely, the etching time and the distance between the nozzle and the substrate. In addition, combining XPS analysis and oxygen-induced chemical etching principles, the potential etching mechanism of parylene-C by the AμPJ was investigated. Aside from the etching of parylene-C, micro-holes on the photoresist and polyimide film were successfully created by the AμPJ. In summary, maskless pattern etching of polymer films could be achieved using this AμPJ.

  13. Continuum modeling of charging process and piezoelectricity of ferroelectrets

    NASA Astrophysics Data System (ADS)

    Xu, Bai-Xiang; von Seggern, Heinz; Zhukov, Sergey; Gross, Dietmar

    2013-09-01

    Ferroelectrets in the form of electrically charged micro-porous foams exhibit a very large longitudinal piezoelectric coefficient d33. The structure has hence received wide application interests as sensors particularly in acoustic devices. During charging process, electrical breakdown (Paschen breakdown) takes place in the air pores of the foam and introduces free charge pairs. These charges are separated by electrostatic forces and relocated at the interfaces between the polymer and the electrically broken-down medium, where they are trapped quasistatically. The development of this trapped charge density along the interfaces is key for enabling the piezoelectricity of ferroelectrets. In this article, an internal variable based continuum model is proposed to calculate the charge density development at the interfaces, whereas a Maxwell stress based electromechanical model is used for the bulk behavior, i.e., of the polymer and of the medium where the Paschen breakdown takes place. In the modeling, the electrostatic forces between the separated charge pairs are included, as well as the influence of deformation of the solid layers. The material models are implemented in a nonlinear finite element scheme, which allows a detailed analysis of different geometries. A ferroelectret unit with porous expanded polytetrafluoroethylene (ePTFE) surrounded by fluorinated ethylene propylene is studied first. The simulated hysteresis curves of charge density at the surfaces and the calculated longitudinal piezoelectric constant are in good agreement with experimental results. Simulations show a strong dependency of the interface charge development and thus the remnant charges on the thicknesses of the layers and the permittivity of the materials. According to the calculated relation between d33 and the Young's modulus of ePTFE, the value of the Young's modulus of ePTFE is identified to be around 0.75 MPa, which lies well in the predicted range of 0.45 to 0.80 MPa, determined from

  14. In vitro bonding effectiveness of three different one-step self-etch adhesives with additional enamel etching.

    PubMed

    Batra, Charu; Nagpal, Rajni; Tyagi, Shashi Prabha; Singh, Udai Pratap; Manuja, Naveen

    2014-08-01

    To evaluate the effect of additional enamel etching on the shear bond strength of three self-etch adhesives. Class II box type cavities were made on extracted human molars. Teeth were randomly divided into one control group of etch and rinse adhesive and three test groups of self-etch adhesives (Clearfil S3 Bond, Futurabond NR, Xeno V). The teeth in the control group (n = 10) were treated with Adper™ Single Bond 2. The three test groups were further divided into two subgroups (n = 10): (i) self-etch adhesive was applied as per the manufacturer's instructions; (ii) additional etching of enamel surfaces was done prior to the application of self-etch adhesives. All cavities were restored with Filtek Z250. After thermocycling, shear bond strength was evaluated using a Universal testing machine. Data were analyzed using anova independent sample's 't' test and Dunnett's test. The failure modes were evaluated with a stereomicroscope at a magnification of 10×. Additional phosphoric acid etching of the enamel surface prior to the application of the adhesive system significantly increased the shear bond strength of all the examined self-etch adhesives. Additional phosphoric acid etching of enamel surface significantly improved the shear bond strength. © 2013 Wiley Publishing Asia Pty Ltd.

  15. Low-loss, submicron chalcogenide integrated photonics with chlorine plasma etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chiles, Jeff; Malinowski, Marcin; Rao, Ashutosh

    A chlorine plasma etching-based method for the fabrication of high-performance chalcogenide-based integrated photonics on silicon substrates is presented. By optimizing the etching conditions, chlorine plasma is employed to produce extremely low-roughness etched sidewalls on waveguides with minimal penalty to propagation loss. Using this fabrication method, microring resonators with record-high intrinsic Q-factors as high as 450 000 and a corresponding propagation loss as low as 0.42 dB/cm are demonstrated in submicron chalcogenide waveguides. Furthermore, the developed chlorine plasma etching process is utilized to demonstrate fiber-to-waveguide grating couplers in chalcogenide photonics with high power coupling efficiency of 37% for transverse-electric polarized modes.

  16. Molecular dynamic simulation study of plasma etching L10 FePt media in embedded mask patterning (EMP) process

    NASA Astrophysics Data System (ADS)

    Zhu, Jianxin; Quarterman, P.; Wang, Jian-Ping

    2017-05-01

    Plasma etching process of single-crystal L10-FePt media [H. Wang et al., Appl. Phys. Lett. 102(5) (2013)] is studied using molecular dynamic simulation. Embedded-Atom Method [M. S. Daw and M. I. Baskes, Phy. Rev. B 29, 6443 (1984); X. W. Zhou, R. A. Johnson and H. N. G. Wadley, Phy. Rev. B 69, 144113 (2004)] is used to calculate the interatomic potential within atoms in FePt alloy, and ZBL potential [J.F. Ziegler, J. P. Biersack and U. Littmark, "The Stopping and Range of Ions in Matter," Volume 1, Pergamon,1985] in comparison with conventional Lennard-Jones "12-6" potential is applied to interactions between etching gas ions and metal atoms. It is shown the post-etch structure defects can include amorphized surface layer and lattice interstitial point defects that caused by etchant ions passed through the surface layer. We show that the amorphized or damaged FePt lattice surface layer (or "magnetic dead-layer") thickness after etching increases with ion energy for Ar ion impacts, but significantly small for He ions at up to 250eV ion energy. However, we showed that He sputtering creates more interstitial defects at lower energy levels and defects are deeper below the surface compared to Ar sputtering. We also calculate the interstitial defect level and depth as dependence on ion energy for both Ar and He ions. Media magnetic property loss due to these defects is also discussed.

  17. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  18. Correlation between surface chemistry and ion energy dependence of the etch yield in multicomponent oxides etching

    NASA Astrophysics Data System (ADS)

    Bérubé, P.-M.; Poirier, J.-S.; Margot, J.; Stafford, L.; Ndione, P. F.; Chaker, M.; Morandotti, R.

    2009-09-01

    The influence of surface chemistry in plasma etching of multicomponent oxides was investigated through measurements of the ion energy dependence of the etch yield. Using pulsed-laser-deposited CaxBa(1-x)Nb2O6 (CBN) and SrTiO3 thin films as examples, it was found that the etching energy threshold shifts toward values larger or smaller than the sputtering threshold depending on whether or not ion-assisted chemical etching is the dominant etching pathway and whether surface chemistry is enhancing or inhibiting desorption of the film atoms. In the case of CBN films etched in an inductively coupled Cl2 plasma, it is found that the chlorine uptake is inhibiting the etching reaction, with the desorption of nonvolatile NbCl2 and BaCl2 compounds being the rate-limiting step.

  19. Comparison of Self-Etch Primers with Conventional Acid Etching System on Orthodontic Brackets

    PubMed Central

    Zope, Amit; Zope-Khalekar, Yogita; Chitko, Shrikant S.; Kerudi, Veerendra V.; Patil, Harshal Ashok; Jaltare, Pratik; Dolas, Siddhesh G

    2016-01-01

    Introduction The self-etching primer system consists of etchant and primer dispersed in a single unit. The etching and priming are merged as a single step leading to fewer stages in bonding procedure and reduction in the number of steps that also reduces the chance of introduction of error, resulting in saving time for the clinician. It also results in smaller extent of enamel decalcification. Aim To compare the Shear Bond Strength (SBS) of orthodontic bracket bonded with Self-Etch Primers (SEP) and conventional acid etching system and to study the surface appearance of teeth after debonding; etching with conventional acid etch and self-etch priming, using stereomicroscope. Materials and Methods Five Groups (n=20) were created randomly from a total of 100 extracted premolars. In a control Group A, etching of enamel was done with 37% phosphoric acid and bonding of stainless steel brackets with Transbond XT (3M Unitek, Monrovia, California). Enamel conditioning in left over four Groups was done with self-etching primers and adhesives as follows: Group B-Transbond Plus (3M Unitek), Group C Xeno V+ (Dentsply), Group D-G-Bond (GC), Group E-One-Coat (Coltene). The Adhesive Remnant Index (ARI) score was also evaluated. Additionally, the surface roughness using profilometer were observed. Results Mean SBS of Group A was 18.26±7.5MPa, Group B was 10.93±4.02MPa, Group C was 6.88±2.91MPa while of Group D was 7.78±4.13MPa and Group E was 10.39±5.22MPa respectively. In conventional group ARI scores shows that over half of the adhesive was remaining on the surface of tooth (score 1 to 3). In self-etching primer groups ARI scores show that there was no or minor amount of adhesive remaining on the surface of tooth (score 4 and 5). SEP produces a lesser surface roughness on the enamel than conventional etching. However, statistical analysis shows significant correlation (p<0.001) of bond strength with surface roughness of enamel. Conclusion All groups might show clinically

  20. Selective Plasma Etching of Polymeric Substrates for Advanced Applications

    PubMed Central

    Puliyalil, Harinarayanan; Cvelbar, Uroš

    2016-01-01

    In today’s nanoworld, there is a strong need to manipulate and process materials on an atom-by-atom scale with new tools such as reactive plasma, which in some states enables high selectivity of interaction between plasma species and materials. These interactions first involve preferential interactions with precise bonds in materials and later cause etching. This typically occurs based on material stability, which leads to preferential etching of one material over other. This process is especially interesting for polymeric substrates with increasing complexity and a “zoo” of bonds, which are used in numerous applications. In this comprehensive summary, we encompass the complete selective etching of polymers and polymer matrix micro-/nanocomposites with plasma and unravel the mechanisms behind the scenes, which ultimately leads to the enhancement of surface properties and device performance. PMID:28335238

  1. High rate dry etching of InGaZnO by BCl3/O2 plasma

    NASA Astrophysics Data System (ADS)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  2. New frontiers of atomic layer etching

    NASA Astrophysics Data System (ADS)

    Sherpa, Sonam D.; Ranjan, Alok

    2018-03-01

    Interest in atomic layer etching (ALE) has surged recently because it offers several advantages over continuous or quasicontinuous plasma etching. These benefits include (1) independent control of ion energy, ion flux, and radical flux, (2) flux-independent etch rate that mitigates the iso-dense loading effects, and (3) ability to control the etch rate with atomic or nanoscale precision. In addition to these benefits, we demonstrate an area-selective etching for maskless lithography as a new frontier of ALE. In this paper, area-selective etching refers to the confinement of etching into the specific areas of the substrate. The concept of area-selective etching originated during our studies on quasi-ALE of silicon nitride which consists of sequential exposure of silicon nitride to hydrogen and fluorinated plasma. The findings of our studies reported in this paper suggest that it may be possible to confine the etching into specific areas of silicon nitride without using any mask by replacing conventional hydrogen plasma with a localized source of hydrogen ions.

  3. Enhanced ultraviolet photoconductivity in porous GaN prepared by metal-assisted electroless etching

    NASA Astrophysics Data System (ADS)

    Guo, X. Y.; Williamson, T. L.; Bohn, P. W.

    2006-10-01

    The ultraviolet photoconductivity of porous GaN (PGaN) produced by Pt-assisted electroless etching has been investigated. The photoresponse of PGaN prepared from highly doped GaN ( n>1018 cm) shows enhanced ( 15×) magnitude and faster decay of persistent photoconductivity relative to bulk crystalline (CGaN), suggesting advantages for PGaN in photodetector applications. A space charge model for changes in photoconductivity is used to explain these observations. Heightened defect density in the etched material plays an important role in the enhanced photoconductivity in PGaN. Flux-dependent optical quenching (OQ) behavior, linked to the presence of metastable states, is also observed in PGaN as in CGaN.

  4. New type of dummy layout pattern to control ILD etch rate

    NASA Astrophysics Data System (ADS)

    Pohland, Oliver; Spieker, Julie; Huang, Chih-Ta; Govindaswamy, Srikanth; Balasinski, Artur

    2007-12-01

    Adding dummy features (waffles) to drawn geometries of the circuit layout is a common practice to improve its manufacturability. As an example, local dummy pattern improves MOSFET line and space CD control by adjusting short range optical proximity and reducing the aggressiveness of its correction features (OPC) to widen the lithography process window. Another application of dummy pattern (waffles) is to globally equalize layout pattern density, to reduce long-range inter-layer dielectric (ILD) thickness variations after the CMP process and improve contact resistance uniformity over the die area. In this work, we discuss a novel type of dummy pattern with a mid-range interaction distance, to control the ILD composition driven by its deposition and etch process. This composition is reflected on sidewall spacers and depends on the topography of the underlying poly pattern. During contact etch, it impacts the etch rate of the ILD. As a result, the deposited W filling the damascene etched self-aligned trench contacts in the ILD may electrically short to the underlying gates in the areas of isolated poly. To mitigate the dependence of the ILD composition on poly pattern distribution, we proposed a special dummy feature generation with the interaction range defined by the ILD deposition and etch process. This helped equalize mid-range poly pattern density without disabling the routing capability with damascene trench contacts in the periphery which would have increased the layout footprint.

  5. Ion-beam-assisted etching of diamond

    NASA Technical Reports Server (NTRS)

    Efremow, N. N.; Geis, M. W.; Flanders, D. C.; Lincoln, G. A.; Economou, N. P.

    1985-01-01

    The high thermal conductivity, low RF loss, and inertness of diamond make it useful in traveling wave tubes operating in excess of 500 GHz. Such use requires the controlled etching of type IIA diamond to produce grating like structures tens of micrometers deep. Previous work on reactive ion etching with O2 gave etching rates on the order of 20 nm/min and poor etch selectivity between the masking material (Ni or Cr) and the diamond. An alternative approach which uses a Xe(+) beam and a reactive gas flux of NO2 in an ion-beam-assisted etching system is reported. An etching rate of 200 nm/min was obtained with an etching rate ratio of 20 between the diamond and an aluminum mask.

  6. Morphological Study on Porous Silicon Carbide Membrane Fabricated by Double-Step Electrochemical Etching

    NASA Astrophysics Data System (ADS)

    Omiya, Takuma; Tanaka, Akira; Shimomura, Masaru

    2012-07-01

    The structure of porous silicon carbide membranes that peeled off spontaneously during electrochemical etching was studied. They were fabricated from n-type 6H SiC(0001) wafers by a double-step electrochemical etching process in a hydrofluoric electrolyte. Nanoporous membranes were obtained after double-step etching with current densities of 10-20 and 60-100 mA/cm2 in the first and second steps, respectively. Microporous membranes were also fabricated after double-step etching with current densities of 100 and 200 mA/cm2. It was found that the pore diameter is influenced by the etching current in step 1, and that a higher current is required in step 2 when the current in step 1 is increased. During the etching processes in steps 1 and 2, vertical nanopore and lateral crack formations proceed, respectively. The influx pathway of hydrofluoric solution, expansion of generated gases, and transfer limitation of positive holes to the pore surface are the key factors in the peeling-off mechanism of the membrane.

  7. Microtensile bond strength of etch and rinse versus self-etch adhesive systems.

    PubMed

    Hamouda, Ibrahim M; Samra, Nagia R; Badawi, Manal F

    2011-04-01

    The aim of this study was to compare the microtensile bond strength of the etch and rinse adhesive versus one-component or two-component self-etch adhesives. Twelve intact human molar teeth were cleaned and the occlusal enamel of the teeth was removed. The exposed dentin surfaces were polished and rinsed, and the adhesives were applied. A microhybride composite resin was applied to form specimens of 4 mm height and 6 mm diameter. The specimens were sectioned perpendicular to the adhesive interface to produce dentin-resin composite sticks, with an adhesive area of approximately 1.4 mm(2). The sticks were subjected to tensile loading until failure occurred. The debonded areas were examined with a scanning electron microscope to determine the site of failure. The results showed that the microtensile bond strength of the etch and rinse adhesive was higher than that of one-component or two-component self-etch adhesives. The scanning electron microscope examination of the dentin surfaces revealed adhesive and mixed modes of failure. The adhesive mode of failure occurred at the adhesive/dentin interface, while the mixed mode of failure occurred partially in the composite and partially at the adhesive/dentin interface. It was concluded that the etch and rinse adhesive had higher microtensile bond strength when compared to that of the self-etch adhesives. Copyright © 2010 Elsevier Ltd. All rights reserved.

  8. Etching fission tracks in zircons

    USGS Publications Warehouse

    Naeser, C.W.

    1969-01-01

    A new technique has been developed whereby fission tracks can be etched in zircon with a solution of sodium hydroxide at 220??C. Etching time varied between 15 minutes and 5 hours. Colored zircon required less etching time than the colorless varieties.

  9. Etch challenges for DSA implementation in CMOS via patterning

    NASA Astrophysics Data System (ADS)

    Pimenta Barros, P.; Barnola, S.; Gharbi, A.; Argoud, M.; Servin, I.; Tiron, R.; Chevalier, X.; Navarro, C.; Nicolet, C.; Lapeyre, C.; Monget, C.; Martinez, E.

    2014-03-01

    This paper reports on the etch challenges to overcome for the implementation of PS-b-PMMA block copolymer's Directed Self-Assembly (DSA) in CMOS via patterning level. Our process is based on a graphoepitaxy approach, employing an industrial PS-b-PMMA block copolymer (BCP) from Arkema with a cylindrical morphology. The process consists in the following steps: a) DSA of block copolymers inside guiding patterns, b) PMMA removal, c) brush layer opening and finally d) PS pattern transfer into typical MEOL or BEOL stacks. All results presented here have been performed on the DSA Leti's 300mm pilot line. The first etch challenge to overcome for BCP transfer involves in removing all PMMA selectively to PS block. In our process baseline, an acetic acid treatment is carried out to develop PMMA domains. However, this wet development has shown some limitations in terms of resists compatibility and will not be appropriated for lamellar BCPs. That is why we also investigate the possibility to remove PMMA by only dry etching. In this work the potential of a dry PMMA removal by using CO based chemistries is shown and compared to wet development. The advantages and limitations of each approach are reported. The second crucial step is the etching of brush layer (PS-r-PMMA) through a PS mask. We have optimized this step in order to preserve the PS patterns in terms of CD, holes features and film thickness. Several integrations flow with complex stacks are explored for contact shrinking by DSA. A study of CD uniformity has been addressed to evaluate the capabilities of DSA approach after graphoepitaxy and after etching.

  10. Cryo-Etched Black Silicon for Use as Optical Black

    NASA Technical Reports Server (NTRS)

    Yee, Karl Y.; White, Victor E.; Mouroulis, Pantazis; Eastwood, Michael L.

    2011-01-01

    Stray light reflected from the surface of imaging spectrometer components in particular, the spectrometer slit degrade the image quality. A technique has been developed for rapid, uniform, and cost-effective black silicon formation based on inductively coupled plasma (ICP) etching at cryogenic temperatures. Recent measurements show less than 1-percent total reflectance from 350 2,500 nm of doped black silicon formed in this way, making it an excellent option for texturing of component surfaces for reduction of stray light. Oxygen combines with SF6 + Si etch byproducts to form a passivation layer atop the Si when the etch is performed at cryogenic temperatures. Excess flow of oxygen results in micromasking and the formation of black silicon. The process is repeatable and reliable, and provides control over etch depth and sidewall profile. Density of the needles can be controlled to some extent. Regions to be textured can be patterned lithographically. Adhesion is not an issue as the nanotips are part of the underlying substrate. This is in contrast to surface growth/deposition techniques such as carbon nanotubes (CNTs). The black Si surface is compatible with wet processing, including processing with solvents, the textured surface is completely inorganic, and it does not outgas. In radiometry applications, optical absorbers are often constructed using gold black or CNTs. This black silicon technology is an improvement for these types of applications.

  11. EDITORIAL: The Fifth International Workshop on Physical Chemistry of Wet Etching of Semiconductors (PCWES 2006)

    NASA Astrophysics Data System (ADS)

    Seidel, Helmut

    2007-04-01

    , Finland. It provides an atomistic point of view on the etching of the principal crystal surfaces of silicon. The step flow process and step bunching are explained in considerable detail, as well as effects of metal impurities. Simulation aspects of this approach are discussed in the second paper, also headed by M Gosalvez. They are based on a kinetic Monte Carlo scheme. The third contribution, from Z-f Zhou et al from the Southeast University in Nanjing, China also focuses on simulation aspects of anisotropic silicon etching. It proposes a novel 3-D cellular automata approach which is capable of describing the behaviour of high index planes in an efficient way. By choosing a dynamic algorithm, the programme gains speed and uses memory efficiently. The focus of the final two papers is on photoelectrochemical aspects of etching. D H van Dorp and J J Kelly from the University of Utrecht, The Netherlands describe the photoelectrochemistry and the etching behaviour of SiC in KOH. Silicon carbide is particularly attractive for harsh environment applications, due to its high chemical inertness. Therefore it is very difficult to etch purely chemically and can only be attacked by a light-induced process. Finally, F Yang et al from the Hahn-Meitner-Institut and ISAS Institute in Berlin, Germany describe an experiment of anodic oxide formation and subsequent etch back on (111) silicon surfaces in a NH4F solution. By monitoring the photoluminescence intensity and the photovoltage amplitude, effects of interface recombination and surface charging can be observed and characterized at the different steps of preparation. In total, the five papers provide a very fine overview of current activities and areas of interest in the field of wet chemical etching of semiconductors. The next PCWES workshop will be held in Asia in 2008.

  12. Electrochemical etching technique of platinum-iridium tips for scanning tunneling microscopy

    NASA Astrophysics Data System (ADS)

    Herrera, Oscar

    The scanning tunneling microscope (STM) allows researchers to investigate atomic and molecular structures and properties of nanomaterials. Through the quantum tunneling effect a charge is transferred between the surface of the material and a Platinum-Iridium (Pt-Ir) tip. The production of Pt-Ir tips by electrochemical etching (ECE) has been developed as an alternative technique, to achieve enhanced scanned images of samples, in contrast to the standard mechanical method (SMM). The sharpness apex structure is an essential feature during scanning in order to provide reliable data. We generated a control group of tips by the SMM technique and another group by the ECE technique to investigate the resolution effectiveness in scanning of graphite. The etching of the tips was produced using an auto-variable transformer running a 30 V AC in a 1.5 and 4.0 M CaCl2 solution. The scanning of the graphite surface was conducted at 7x7 nm image width, 0.2 seconds time/line, 256 points/line and 0.05 V for tip voltage. ECE etched tips displayed consistent image resolution, and the sharpness of the tip apex was generally uniform.

  13. Plasma processing of superconducting radio frequency cavities

    NASA Astrophysics Data System (ADS)

    Upadhyay, Janardan

    The development of plasma processing technology of superconducting radio frequency (SRF) cavities not only provides a chemical free and less expensive processing method, but also opens up the possibility for controlled modification of the inner surfaces of the cavity for better superconducting properties. The research was focused on the transition of plasma etching from two dimensional flat surfaces to inner surfaces of three dimensional (3D) structures. The results could be applicable to a variety of inner surfaces of 3D structures other than SRF cavities. Understanding the Ar/Cl2 plasma etching mechanism is crucial for achieving the desired modification of Nb SRF cavities. In the process of developing plasma etching technology, an apparatus was built and a method was developed to plasma etch a single cell Pill Box cavity. The plasma characterization was done with the help of optical emission spectroscopy. The Nb etch rate at various points of this cavity was measured before processing the SRF cavity. Cylindrical ring-type samples of Nb placed on the inner surface of the outer wall were used to measure the dependence of the process parameters on plasma etching. The measured etch rate dependence on the pressure, rf power, dc bias, temperature, Cl2 concentration and diameter of the inner electrode was determined. The etch rate mechanism was studied by varying the temperature of the outer wall, the dc bias on the inner electrode and gas conditions. In a coaxial plasma reactor, uniform plasma etching along the cylindrical structure is a challenging task due to depletion of the active radicals along the gas flow direction. The dependence of etch rate uniformity along the cylindrical axis was determined as a function of process parameters. The formation of dc self-biases due to surface area asymmetry in this type of plasma and its variation on the pressure, rf power and gas composition was measured. Enhancing the surface area of the inner electrode to reduce the

  14. Fabrication of SOI structures with buried cavities using Si wafer direct bonding and electrochemical etch-stop

    NASA Astrophysics Data System (ADS)

    Chung, Gwiy-Sang

    2003-10-01

    This paper describes the fabrication of SOI structures with buried cavities using SDB and electrochemical etch-stop. These methods are suitable for thick membrane fabrication with accurate thickness, uniformity, and flatness. After a feed-through hole for supplied voltage and buried cavities was formed on a handle Si wafer with p-type, the handle wafer was bonded to an active Si wafer consisting of a p-type substrate with an n-type epitaxial layer corresponding to membrane thickness. The bonded pair was then thinned until electrochemical etch-stop occurred at the pn junction during electrochemical etchback. By using the SDB SOI structure with buried cavities, active membranes, which have a free standing structure with a dimension of 900×900 μm2, were fabricated. It is confirmed that the fabrication process of the SDB SOI structure with buried cavities is a powerful and versatile technology for new MEMS applications.

  15. Capabilities of ICP-RIE cryogenic dry etching of silicon: review of exemplary microstructures

    NASA Astrophysics Data System (ADS)

    Sökmen, Ü.; Stranz, A.; Fündling, S.; Wehmann, H.-H.; Bandalo, V.; Bora, A.; Tornow, M.; Waag, A.; Peiner, E.

    2009-10-01

    Inductively coupled plasma (ICP) cryogenic dry etching was used to etch submicron pores, nano contact lines, submicron diameter pillars, thin and thick cantilevers, membrane structures and anisotropic deep structures with high aspect ratios in silicon for bio-nanoelectronics, optoelectronics and nano-micro electromechanical systems (NMEMS). The ICP cryogenic dry etching gives us the advantage of switching plasmas between etch rates of 13 nm min-1 and 4 µm min-1 for submicron pores and for membrane structures, respectively. A very thin photoresist mask can endure at -75 °C even during etching 70 µm deep for cantilevers and 300 µm deep for membrane structures. Coating the backsides of silicon membrane substrates with a thin photoresist film inhibited the lateral etching of cantilevers during their front release. Between -95 °C and -140 °C, we realized crystallographic-plane-dependent etching that creates facets only at the etch profile bottom. By varying the oxygen content and the process temperature, we achieved good control over the shape of the etched structures. The formation of black silicon during membrane etching down to 300 µm was delayed by reducing the oxygen content.

  16. Self-etching ceramic primer versus hydrofluoric acid etching: Etching efficacy and bonding performance.

    PubMed

    El-Damanhoury, Hatem M; Gaintantzopoulou, Maria D

    2018-01-01

    This study assessed the effect of pretreatment of hybrid and glass ceramics using a self-etching primer on the shear bond strength (SBS) and surface topography, in comparison to pretreatment with hydrofluoric acid and silane. 40 rectangular discs from each ceramic material (IPS e.max CAD;EM, Vita Mark II;VM, Vita Enamic;VE), were equally divided (n=10) and assigned to one of four surface pretreatment methods; etching with 4.8% hydrofluoric acid followed by Monobond plus (HFMP), Monobond etch & prime (Ivoclar Vivadent) (MEP), No treatment (NT) as negative control and Monobond plus (Ivoclar Vivadent) with no etching (MP) as positive control. SBS of resin cement (Multilink-N, Ivoclar Vivadent) to ceramic surfaces was tested following a standard protocol. Surface roughness was evaluated using an Atomic force microscope (AFM). Surface topography and elemental analysis were analyzed using SEM/EDX. Data were analyzed with two-way analysis of variance (ANOVA) and post-hoc Bonferroni test at a significance level of α=0.05. Pretreatment with HFMP resulted in higher SBS and increased surface roughness in comparison to MEP and MP. Regardless the method of surface pretreatment, the mean SBS values of EM ceramic was significantly higher (p<0.05) than those recorded for VM and VE, except when VE was treated with MEP, where the difference was statistically insignificant. Traces of fluoride ion were detected when MEP was used with VE and VM. Under limited conditions, using MEP resulted in comparable SBS results to HFMP; meanwhile HFMP remains the gold standard for pretreatment of glass ceramics for resin-luting cementation. Copyright © 2017 Japan Prosthodontic Society. Published by Elsevier Ltd. All rights reserved.

  17. Efficient etching-free transfer of high quality, large-area CVD grown graphene onto polyvinyl alcohol films

    NASA Astrophysics Data System (ADS)

    Marta, Bogdan; Leordean, Cosmin; Istvan, Todor; Botiz, Ioan; Astilean, Simion

    2016-02-01

    Graphene transfer is a procedure of paramount importance for the production of graphene-based electronic devices. The transfer procedure can affect the electronic properties of the transferred graphene and can be detrimental for possible applications both due to procedure induced defects which can appear and due to scalability of the method. Hence, it is important to investigate new transfer methods for graphene that are less time consuming and show great promise. In the present study we propose an efficient, etching-free transfer method that consists in applying a thin polyvinyl alcohol layer on top of the CVD grown graphene on Cu and then peeling-off the graphene onto the polyvinyl alcohol film. We investigate the quality of the transferred graphene before and after the transfer, using Raman spectroscopy and imaging as well as optical and atomic force microscopy techniques. This simple transfer method is scalable and can lead to complete transfer of graphene onto flexible and transparent polymer support films without affecting the quality of the graphene during the transfer procedure.

  18. Formation and metrology of dual scale nano-morphology on SF(6) plasma etched silicon surfaces.

    PubMed

    Boulousis, G; Constantoudis, V; Kokkoris, G; Gogolides, E

    2008-06-25

    Surface roughness and nano-morphology in SF(6) plasma etched silicon substrates are investigated in a helicon type plasma reactor as a function of etching time and process parameters. The plasma etched surfaces are analyzed by atomic force microscopy. It is found that dual scale nano-roughness is formatted on the silicon surface comprising an underlying nano-roughness and superimposed nano-mounds. Detailed metrological quantification is proposed for the characterization of dual scale surface morphology. As etching proceeds, the mounds become higher, fewer and wider, and the underlying nano-roughness also increases. Increase in wafer temperature leads to smoother surfaces with lower, fewer and wider nano-mounds. A mechanism based on the deposition of etch inhibiting particles during the etching process is proposed for the explanation of the experimental behavior. In addition, appropriately designed experiments are conducted, and they confirm the presence of this mechanism.

  19. Etching nano-holes in silicon carbide using catalytic platinum nano-particles

    NASA Astrophysics Data System (ADS)

    Moyen, E.; Wulfhekel, W.; Lee, W.; Leycuras, A.; Nielsch, K.; Gösele, U.; Hanbücken, M.

    2006-09-01

    The catalytic reaction of platinum during a hydrogen etching process has been used to perform controlled vertical nanopatterning of silicon carbide substrates. A first set of experiments was performed with platinum powder randomly distributed on the SiC surface. Subsequent hydrogen etching in a hot wall reactor caused local atomic hydrogen production at the catalyst resulting in local SiC etching and hole formation. Secondly, a highly regular and monosized distribution of Pt was obtained by sputter deposition of Pt through an Au membrane serving as a contact mask. After the lift-off of the mask, the hydrogen etching revealed the onset of well-controlled vertical patterned holes on the SiC surface.

  20. Experimental self-etching HEMA-free adhesive systems: cytotoxicity and degree of conversion.

    PubMed

    Barbosa, Marília Oliveira; de Carvalho, Rodrigo Varella; Demarco, Flávio Fernando; Ogliari, Fabrício Aulo; Zanchi, Cesar Henrique; Piva, Evandro; da Silva, Adriana Fernandes

    2015-01-01

    The aim of this study was to evaluate the effect of replacing 2-hydroxyethyl methacrylate (HEMA) by methacrylate surfactant monomers on the cytotoxicity and degree of conversion of two-step self-etching dentin adhesive systems. Five HEMA-free adhesive systems were tested: Bis-EMA 10, Bis-EMA 30, PEG400, PEG400UDMA, PEG1000, and a HEMA group was used as positive control. The cytotoxicity of the experimental primers, with different monomer concentrations (2 or 20 wt%), and bond resins, containing 25 wt% surfactant, was assessed using murine fibroblast cell line 3T3 and the tetrazolium assay (3-(4,5-dimethylthiazol-2-yl)-2,5-diphenyltetrazolium bromide (MTT)). The degree of conversion of the bond resins was analyzed using Fourier transform infrared spectroscopy. The data were submitted to statistical analysis using level of significance set at P < 0.05. The PEG 1000 group obtained higher cell viability in comparison with HEMA in the 2 % primer. The cell survival rate using 20 % primer showed that PEG1000 and BIS-EMA 10 were less cytotoxic than HEMA. With regard to the eluate from bond resin, the data showed that the groups BIS-EMA 10, BIS-EMA 30 and PEG400UDMA were less cytotoxic than HEMA. No statistically significant difference was found among degrees of conversion of the experimental groups and HEMA. PEG 1000, BIS-EMA 10 and 30 monomers showed the biological potential for use in new adhesive system formulations since they showed lower cytotoxicity and similar degree of conversion when compared with the HEMA-containing group.

  1. A deep etching mechanism for trench-bridging silicon nanowires

    NASA Astrophysics Data System (ADS)

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Erdem Alaca, B.

    2016-03-01

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  2. A deep etching mechanism for trench-bridging silicon nanowires.

    PubMed

    Tasdemir, Zuhal; Wollschläger, Nicole; Österle, Werner; Leblebici, Yusuf; Alaca, B Erdem

    2016-03-04

    Introducing a single silicon nanowire with a known orientation and dimensions to a specific layout location constitutes a major challenge. The challenge becomes even more formidable, if one chooses to realize the task in a monolithic fashion with an extreme topography, a characteristic of microsystems. The need for such a monolithic integration is fueled by the recent surge in the use of silicon nanowires as functional building blocks in various electromechanical and optoelectronic applications. This challenge is addressed in this work by introducing a top-down, silicon-on-insulator technology. The technology provides a pathway for obtaining well-controlled silicon nanowires along with the surrounding microscale features up to a three-order-of-magnitude scale difference. A two-step etching process is developed, where the first shallow etch defines a nanoscale protrusion on the wafer surface. After applying a conformal protection on the protrusion, a deep etch step is carried out forming the surrounding microscale features. A minimum nanowire cross-section of 35 nm by 168 nm is demonstrated in the presence of an etch depth of 10 μm. Nanowire cross-sectional features are characterized via transmission electron microscopy and linked to specific process steps. The technology allows control on all dimensional aspects along with the exact location and orientation of the silicon nanowire. The adoption of the technology in the fabrication of micro and nanosystems can potentially lead to a significant reduction in process complexity by facilitating direct access to the nanowire during surface processes such as contact formation and doping.

  3. High-aspect ratio micro- and nanostructures enabled by photo-electrochemical etching for sensing and energy harvesting applications

    NASA Astrophysics Data System (ADS)

    Alhalaili, Badriyah; Dryden, Daniel M.; Vidu, Ruxandra; Ghandiparsi, Soroush; Cansizoglu, Hilal; Gao, Yang; Saif Islam, M.

    2018-03-01

    Photo-electrochemical (PEC) etching can produce high-aspect ratio features, such as pillars and holes, with high anisotropy and selectivity, while avoiding the surface and sidewall damage caused by traditional deep reactive ion etching (DRIE) or inductively coupled plasma (ICP) RIE. Plasma-based techniques lead to the formation of dangling bonds, surface traps, carrier leakage paths, and recombination centers. In pursuit of effective PEC etching, we demonstrate an optical system using long wavelength (λ = 975 nm) infra-red (IR) illumination from a high-power laser (1-10 W) to control the PEC etching process in n-type silicon. The silicon wafer surface was patterned with notches through a lithography process and KOH etching. Then, PEC etching was introduced by illuminating the backside of the silicon wafer to enhance depth, resulting in high-aspect ratio structures. The effect of the PEC etching process was optimized by varying light intensities and electrolyte concentrations. This work was focused on determining and optimizing this PEC etching technique on silicon, with the goal of expanding the method to a variety of materials including GaN and SiC that are used in designing optoelectronic and electronic devices, sensors and energy harvesting devices.

  4. Edge-Controlled Growth and Etching of Two-Dimensional GaSe Monolayers

    DOE PAGES

    Li, Xufan; Dong, Jichen; Idrobo, Juan C.; ...

    2016-12-07

    Understanding the atomistic mechanisms governing the growth of two-dimensional (2D) materials is of great importance in guiding the synthesis of wafer-sized, single-crystalline, high-quality 2D crystals and heterostructures. Etching, in many cases regarded as the reverse process of material growth, has been used to study the growth kinetics of graphene. In this paper, we explore a growth–etching–regrowth process of monolayer GaSe crystals, including single-crystalline triangles and irregularly shaped domains formed by merged triangles. We show that the etching begins at a slow rate, creating triangular, truncated triangular, or hexagonally shaped holes that eventually evolve to exclusively triangles that are rotated 60°more » with respect to the crystalline orientation of the monolayer triangular crystals. The regrowth occurs much faster than etching, reversibly filling the etched holes and then enlarging the size of the monolayer crystals. A theoretical model developed based on kinetic Wulff construction (KWC) theory and density functional theory (DFT) calculations accurately describe the observed morphology evolution of the monolayer GaSe crystals and etched holes during the growth and etching processes, showing that they are governed by the probability of atom attachment/detachment to/from different types of edges with different formation energies of nucleus/dents mediated by chemical potential difference Δμ between Ga and Se. Finally, our growth–etching–regrowth study provides not only guidance to understand the growth mechanisms of 2D binary crystals but also a potential method for the synthesis of large, shape-controllable, high-quality single-crystalline 2D crystals and their lateral heterostructures.« less

  5. longitudinal space charge assisted echo seeding of a free electron laser

    NASA Astrophysics Data System (ADS)

    Hacker, Kirsten

    2015-05-01

    Seed lasers are employed to improve the temporal coherence of free-electron laser light. However, when seed pulses are short relative to the particle bunch, the noisy, temporally incoherent radiation from the un-seeded electrons can overwhelm the coherent, seeded radiation. In this paper a new seeding mechanism to improve the contrast between coherent and incoherent free electron laser radiation is employed together with a novel, simplified echo-seeding method. The concept relies on a combination of longitudinal space charge wakes and an echo-seeding technique to make a short, coherent pulse of FEL light together with noise background suppression. Several different simulation codes are used to illustrate the concept with conditions at the soft x-ray Free-electron LASer in Hamburg, FLASH. The impacts of coherent synchrotron radiation, intra beam scattering, and high peak current operation are investigated.

  6. Focused-ion-beam-inflicted surface amorphization and gallium implantation--new insights and removal by focused-electron-beam-induced etching.

    PubMed

    Roediger, P; Wanzenboeck, H D; Waid, S; Hochleitner, G; Bertagnolli, E

    2011-06-10

    Recently focused-electron-beam-induced etching of silicon using molecular chlorine (Cl(2)-FEBIE) has been developed as a reliable and reproducible process capable of damage-free, maskless and resistless removal of silicon. As any electron-beam-induced processing is considered non-destructive and implantation-free due to the absence of ion bombardment this approach is also a potential method for removing focused-ion-beam (FIB)-inflicted crystal damage and ion implantation. We show that Cl(2)-FEBIE is capable of removing FIB-induced amorphization and gallium ion implantation after processing of surfaces with a focused ion beam. TEM analysis proves that the method Cl(2)-FEBIE is non-destructive and therefore retains crystallinity. It is shown that Cl(2)-FEBIE of amorphous silicon when compared to crystalline silicon can be up to 25 times faster, depending on the degree of amorphization. Also, using this method it has become possible for the first time to directly investigate damage caused by FIB exposure in a top-down view utilizing a localized chemical reaction, i.e. without the need for TEM sample preparation. We show that gallium fluences above 4 × 10(15) cm(-2) result in altered material resulting from FIB-induced processes down to a depth of ∼ 250 nm. With increasing gallium fluences, due to a significant gallium concentration close beneath the surface, removal of the topmost layer by Cl(2)-FEBIE becomes difficult, indicating that gallium serves as an etch stop for Cl(2)-FEBIE.

  7. Effects of etch-and-rinse and self-etch adhesives on dentin MMP-2 and MMP-9.

    PubMed

    Mazzoni, A; Scaffa, P; Carrilho, M; Tjäderhane, L; Di Lenarda, R; Polimeni, A; Tezvergil-Mutluay, A; Tay, F R; Pashley, D H; Breschi, L

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives.

  8. Effects of Etch-and-Rinse and Self-etch Adhesives on Dentin MMP-2 and MMP-9

    PubMed Central

    Mazzoni, A.; Scaffa, P.; Carrilho, M.; Tjäderhane, L.; Di Lenarda, R.; Polimeni, A.; Tezvergil-Mutluay, A.; Tay, F.R.; Pashley, D.H.; Breschi, L.

    2013-01-01

    Auto-degradation of collagen matrices occurs within hybrid layers created by contemporary dentin bonding systems, by the slow action of host-derived matrix metalloproteinases (MMPs). This study tested the null hypothesis that there are no differences in the activities of MMP-2 and -9 after treatment with different etch-and-rinse or self-etch adhesives. Tested adhesives were: Adper Scotchbond 1XT (3M ESPE), PQ1 (Ultradent), Peak LC (Ultradent), Optibond Solo Plus (Kerr), Prime&Bond NT (Dentsply) (all 2-step etch-and-rinse adhesives), and Adper Easy Bond (3M ESPE), Tri-S (Kuraray), and Xeno-V (Dentsply) (1-step self-etch adhesives). MMP-2 and -9 activities were quantified in adhesive-treated dentin powder by means of an activity assay and gelatin zymography. MMP-2 and MMP-9 activities were found after treatment with all of the simplified etch-and-rinse and self-etch adhesives; however, the activation was adhesive-dependent. It is concluded that all two-step etch-and-rinse and the one-step self-etch adhesives tested can activate endogenous MMP-2 and MMP-9 in human dentin. These results support the role of endogenous MMPs in the degradation of hybrid layers created by these adhesives. PMID:23128110

  9. Beam Simulation Studies of Plasma-Surface Interactions in Fluorocarbon Etching of Silicon and Silicon Dioxide

    NASA Astrophysics Data System (ADS)

    Gray, David C.

    1992-01-01

    A molecular beam apparatus has been constructed which allows the synthesis of dominant species fluxes to a wafer surface during fluorocarbon plasma etching. These species include atomic F as the primary etchant, CF _2 as a potential polymer forming precursor, and Ar^{+} or CF _{rm x}^{+} type ions. Ionic and neutral fluxes employed are within an order of magnitude of those typical of fluorocarbon plasmas and are well characterized through the use of in -situ probes. Etching yields and product distributions have been measured through the use of in-situ laser interferometry and line-of-sight mass spectrometry. XPS studies of etched surfaces were performed to assess surface chemical bonding states and average surface stoichiometry. A useful design guide was developed which allows optimal design of straight -tube molecular beam dosers in the collisionally-opaque regime. Ion-enhanced surface reaction kinetics have been studied as a function of the independently variable fluxes of free radicals and ions, as well as ion energy and substrate temperature. We have investigated the role of Ar ^{+} ions in enhancing the chemistries of F and CF_2 separately, and in combination on undoped silicon and silicon dioxide surfaces. We have employed both reactive and inert ions in the energy range most relevant to plasma etching processes, 20-500 eV, through the use of Kaufman and ECR type ion sources. The effect of increasing ion energy on the etching of fluorine saturated silicon and silicon dioxide surfaces was quantified through extensions of available low energy physical sputtering theory. Simple "site"-occupation models were developed for the quantification of the ion-enhanced fluorine etching kinetics in these systems. These models are suitable for use in topography evolution simulators (e.g. SAMPLE) for the predictive modeling of profile evolution in non-depositing fluorine-based plasmas such as NF_3 and SF_6. (Copies available exclusively from MIT Libraries, Rm. 14

  10. PCB Fault Detection Using Image Processing

    NASA Astrophysics Data System (ADS)

    Nayak, Jithendra P. R.; Anitha, K.; Parameshachari, B. D., Dr.; Banu, Reshma, Dr.; Rashmi, P.

    2017-08-01

    The importance of the Printed Circuit Board inspection process has been magnified by requirements of the modern manufacturing environment where delivery of 100% defect free PCBs is the expectation. To meet such expectations, identifying various defects and their types becomes the first step. In this PCB inspection system the inspection algorithm mainly focuses on the defect detection using the natural images. Many practical issues like tilt of the images, bad light conditions, height at which images are taken etc. are to be considered to ensure good quality of the image which can then be used for defect detection. Printed circuit board (PCB) fabrication is a multidisciplinary process, and etching is the most critical part in the PCB manufacturing process. The main objective of Etching process is to remove the exposed unwanted copper other than the required circuit pattern. In order to minimize scrap caused by the wrongly etched PCB panel, inspection has to be done in early stage. However, all of the inspections are done after the etching process where any defective PCB found is no longer useful and is simply thrown away. Since etching process costs 0% of the entire PCB fabrication, it is uneconomical to simply discard the defective PCBs. In this paper a method to identify the defects in natural PCB images and associated practical issues are addressed using Software tools and some of the major types of single layer PCB defects are Pattern Cut, Pin hole, Pattern Short, Nick etc., Therefore the defects should be identified before the etching process so that the PCB would be reprocessed. In the present approach expected to improve the efficiency of the system in detecting the defects even in low quality images

  11. Effect of universal adhesive etching modes on bond strength to dual-polymerizing composite resins.

    PubMed

    Michaud, Pierre-Luc; Brown, Matthew

    2018-04-01

    Information is lacking as to the effect on bond strength of the etching modes of universal adhesives when they are used to bond dual-polymerizing composite resins to dentin. The purpose of this in vitro study was to investigate the bonding of dual-polymerizing foundation composite resins to dentin when universal bonding agents are used in self-etch or etch-and-rinse modes. Sixty caries-free, extracted third molar teeth were sectioned transversely in the apical third of the crown and allocated to 12 groups (n=5). Three different bonding agents (Scotchbond Universal, OptiBond XTR, All-Bond Universal) were used to bond 2 different dual-polymerizing composite resins (CompCore AF or CoreFlo DC) to dentin, using 2 different etching approaches (etch-and-rinse or self-etch). The specimens were sectioned into sticks (1×1×8 mm) with a precision saw. The bond strength of the specimens was tested under microtensile force at a crosshead speed of 0.5 mm/min. The data were analyzed using a 3-way ANOVA, a Games-Howell post hoc comparisons model, and Student t tests with Bonferroni corrections (α=.05). In the overall model, the composite resin used had no effect on bond strength (P=.830). The etching protocol by itself also did not have a significant effect (P=.059), although a trend was present. The bonding agent, however, did have an effect (P<.001) on bond strength. Also, a significant interaction effect was found for the bonding agent and etching protocol on bond strength (P<.001). The etching protocol influenced the bond strength when Scotchbond Universal (P<.008) and All-Bond Universal (P<.004) were used but not when OptiBond XTR was used (P=1.00). A self-etch protocol provided significantly higher bond strength when Scotchbond Universal was used, whereas with All-Bond Universal, an etch-and-rinse protocol, provided higher bond strength. When universal bonding agents were used to secure dual-polymerizing composite resins to dentin, no single etching protocol is better

  12. A method to accelerate creation of plasma etch recipes using physics and Bayesian statistics

    NASA Astrophysics Data System (ADS)

    Chopra, Meghali J.; Verma, Rahul; Lane, Austin; Willson, C. G.; Bonnecaze, Roger T.

    2017-03-01

    Next generation semiconductor technologies like high density memory storage require precise 2D and 3D nanopatterns. Plasma etching processes are essential to achieving the nanoscale precision required for these structures. Current plasma process development methods rely primarily on iterative trial and error or factorial design of experiment (DOE) to define the plasma process space. Here we evaluate the efficacy of the software tool Recipe Optimization for Deposition and Etching (RODEo) against standard industry methods at determining the process parameters of a high density O2 plasma system with three case studies. In the first case study, we demonstrate that RODEo is able to predict etch rates more accurately than a regression model based on a full factorial design while using 40% fewer experiments. In the second case study, we demonstrate that RODEo performs significantly better than a full factorial DOE at identifying optimal process conditions to maximize anisotropy. In the third case study we experimentally show how RODEo maximizes etch rates while using half the experiments of a full factorial DOE method. With enhanced process predictions and more accurate maps of the process space, RODEo reduces the number of experiments required to develop and optimize plasma processes.

  13. Charge-free method of forming nanostructures on a substrate

    DOEpatents

    Hoffbauer; Mark , Akhadov; Elshan

    2010-07-20

    A charge-free method of forming a nanostructure at low temperatures on a substrate. A substrate that is reactive with one of atomic oxygen and nitrogen is provided. A flux of neutral atoms of least one of oxygen and nitrogen is generated within a laser-sustained-discharge plasma source and a collimated beam of energetic neutral atoms and molecules is directed from the plasma source onto a surface of the substrate to form the nanostructure. The energetic neutral atoms and molecules in the beam have an average kinetic energy in a range from about 1 eV to about 5 eV.

  14. Kinetic-limited etching of magnesium doping nitrogen polar GaN in potassium hydroxide solution

    NASA Astrophysics Data System (ADS)

    Jiang, Junyan; Zhang, Yuantao; Chi, Chen; Yang, Fan; Li, Pengchong; Zhao, Degang; Zhang, Baolin; Du, Guotong

    2016-01-01

    KOH based wet etchings were performed on both undoped and Mg-doped N-polar GaN films grown by metal-organic chemical vapor deposition. It is found that the etching rate for Mg-doped N-polar GaN gets slow obviously compared with undoped N-polar GaN. X-ray photoelectron spectroscopy analysis proved that Mg oxide formed on N-polar GaN surface is insoluble in KOH solution so that kinetic-limited etching occurs as the etching process goes on. The etching process model of Mg-doped N-polar GaN in KOH solution is tentatively purposed using a simplified ideal atomic configuration. Raman spectroscopy analysis reveals that Mg doping can induce tensile strain in N-polar GaN films. Meanwhile, p-type N-polar GaN film with a hole concentration of 2.4 ÿ 1017 cm⿿3 was obtained by optimizing bis-cyclopentadienyl magnesium flow rates.

  15. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +}more » or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.« less

  16. TrackEtching - A Java based code for etched track profile calculations in SSNTDs

    NASA Astrophysics Data System (ADS)

    Muraleedhara Varier, K.; Sankar, V.; Gangadathan, M. P.

    2017-09-01

    A java code incorporating a user friendly GUI has been developed to calculate the parameters of chemically etched track profiles of ion-irradiated solid state nuclear track detectors. Huygen's construction of wavefronts based on secondary wavelets has been used to numerically calculate the etched track profile as a function of the etching time. Provision for normal incidence and oblique incidence on the detector surface has been incorporated. Results in typical cases are presented and compared with experimental data. Different expressions for the variation of track etch rate as a function of the ion energy have been utilized. The best set of values of the parameters in the expressions can be obtained by comparing with available experimental data. Critical angle for track development can also be calculated using the present code.

  17. Charge-Induced Force Noise on Free-Falling Test Masses: Results from LISA Pathfinder

    NASA Astrophysics Data System (ADS)

    Armano, M.; Audley, H.; Auger, G.; Baird, J. T.; Binetruy, P.; Born, M.; Bortoluzzi, D.; Brandt, N.; Bursi, A.; Caleno, M.; Cavalleri, A.; Cesarini, A.; Cruise, M.; Danzmann, K.; de Deus Silva, M.; Diepholz, I.; Dolesi, R.; Dunbar, N.; Ferraioli, L.; Ferroni, V.; Fitzsimons, E. D.; Flatscher, R.; Freschi, M.; Gallegos, J.; García Marirrodriga, C.; Gerndt, R.; Gesa, L.; Gibert, F.; Giardini, D.; Giusteri, R.; Grimani, C.; Grzymisch, J.; Harrison, I.; Heinzel, G.; Hewitson, M.; Hollington, D.; Hueller, M.; Huesler, J.; Inchauspé, H.; Jennrich, O.; Jetzer, P.; Johlander, B.; Karnesis, N.; Kaune, B.; Killow, C. J.; Korsakova, N.; Lloro, I.; Liu, L.; López-Zaragoza, J. P.; Maarschalkerweerd, R.; Madden, S.; Mance, D.; Martín, V.; Martin-Polo, L.; Martino, J.; Martin-Porqueras, F.; Mateos, I.; McNamara, P. W.; Mendes, J.; Mendes, L.; Moroni, A.; Nofrarias, M.; Paczkowski, S.; Perreur-Lloyd, M.; Petiteau, A.; Pivato, P.; Plagnol, E.; Prat, P.; Ragnit, U.; Ramos-Castro, J.; Reiche, J.; Romera Perez, J. A.; Robertson, D. I.; Rozemeijer, H.; Rivas, F.; Russano, G.; Sarra, P.; Schleicher, A.; Slutsky, J.; Sopuerta, C.; Sumner, T. J.; Texier, D.; Thorpe, J. I.; Trenkel, C.; Vetrugno, D.; Vitale, S.; Wanner, G.; Ward, H.; Wass, P. J.; Wealthy, D.; Weber, W. J.; Wittchen, A.; Zanoni, C.; Ziegler, T.; Zweifel, P.; LISA Pathfinder Collaboration

    2017-04-01

    We report on electrostatic measurements made on board the European Space Agency mission LISA Pathfinder. Detailed measurements of the charge-induced electrostatic forces exerted on free-falling test masses (TMs) inside the capacitive gravitational reference sensor are the first made in a relevant environment for a space-based gravitational wave detector. Employing a combination of charge control and electric-field compensation, we show that the level of charge-induced acceleration noise on a single TM can be maintained at a level close to 1.0 fm s-2 Hz-1 /2 across the 0.1-100 mHz frequency band that is crucial to an observatory such as the Laser Interferometer Space Antenna (LISA). Using dedicated measurements that detect these effects in the differential acceleration between the two test masses, we resolve the stochastic nature of the TM charge buildup due to interplanetary cosmic rays and the TM charge-to-force coupling through stray electric fields in the sensor. All our measurements are in good agreement with predictions based on a relatively simple electrostatic model of the LISA Pathfinder instrument.

  18. Adhesive capability of total-etch, self-etch, and self-adhesive systems for fiber post cementation

    NASA Astrophysics Data System (ADS)

    Theodor, Y.; Koesmaningati, H.; Gita, F.

    2017-08-01

    The aim of this study was to analyze whether self-etch and self-adhesive systems are comparable to the total-etch system for fiber post cementation. This experimental laboratory study, which was approved by an ethics committee, was performed using 27 mandibular premolar teeth randomly divided into three groups. Fiber post cementation was done using three different adhesive systems. Specimens were prepared with a thickness of 5 mm, which was measured from the cervical to medial areas of the root, and stored for 24 h in saline solution at room temperature. A push-out test was performed using a universal testing machine (Shimidzu AG-5000E) with a crosshead speed of 0.5 mm/min. The results of one way ANOVA bivariate testing showed that the total-etch and self-etch systems have comparable adhesion capability (p<0.05) and that the self-adhesive system has the lowest adhesion capability (p>0.05). With easier application, the self-etch system has a comparable adhesion capability to the total-etch system.

  19. Free energy gap laws for the pulse-induced and stationary fluorescence quenching by reversible charge transfer in polar solutions.

    PubMed

    Khokhlova, Svetlana S; Burshtein, Anatoly I

    2011-01-21

    The Stern-Volmer constants for either pulse-induced or stationary fluorescence being quenched by a contact charge transfer are calculated and their free energy dependencies (the free energy gap laws) are specified. The reversibility of charge transfer is taken into account as well as spin conversion in radical ion pairs, followed by their recombination in either singlet or triplet neutral products. The natural decay of triplets as well as their impurity quenching by ionization are accounted for when estimating the fluorescence quantum yield and its free energy dependence.

  20. Enhanced photoelectrochemical properties of copper-assisted catalyzed etching black silicon by electrodepositing cobalt

    NASA Astrophysics Data System (ADS)

    Cai, Weidong; Xiong, Haiying; Su, Xiaodong; Zhou, Hao; Shen, Mingrong; Fang, Liang

    2017-11-01

    Black silicon (Si) photoelectrodes are promising for improving the performance of photoelectrochemical (PEC) water splitting. Here, we report the fabrication of p-black Si and n+p-black Si photocathodes via a controllable copper-assisted catalyzed etching method. The etching process affects only the topmost less than 200 nm of Si and is independent of the surface doping. The synergistic effects of the excellent light harvesting of the black Si and the improved charge transfer properties of the p-n junction boost the production and utilization of photogenerated carriers. The mean reflectance of the pristine Si samples is about 10% from 400 to 950 nm, while that of the black Si samples is reduced as low as 5%. In addition, the PEC properties of the n+p-black Si photocathode can be further enhanced by depositing a cobalt (Co) layer. Compared with the p-Si sample, the onset potential of the Co/n+p-black Si photocathode is positively shifted by 560 mV to 0.33 V vs. reversible hydrogen electrode and the saturation photocurrent density is increased from 22.7 to 32.6 mA/cm2. The design of the Co/n+p-black Si photocathode offers an efficient strategy for preparing PEC solar energy conversion devices.

  1. Comparison of enamel bond fatigue durability between universal adhesives and two-step self-etch adhesives: Effect of phosphoric acid pre-etching.

    PubMed

    Suda, Shunichi; Tsujimoto, Akimasa; Barkmeier, Wayne W; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2018-03-30

    The effect of phosphoric acid pre-etching on enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives was investigated. Four universal adhesives and three two-step self-etch adhesives were used. The initial shear bond strengths and shear fatigue strengths to enamel with and without phosphoric acid pre-etching using the adhesives were determined. SEM observations were also conducted. Phosphoric acid pre-etching of enamel was found to increase the bond fatigue durability of universal adhesives, but its effect on two-step self-etch adhesives was material-dependent. In addition, some universal adhesives with phosphoric acid pre-etching showed similar bond fatigue durability to the two-step self-etch adhesives, although the bond fatigue durability of universal adhesives in self-etch mode was lower than that of the two-step self-etch adhesives. Phosphoric acid pre-etching enhances enamel bond fatigue durability of universal adhesives, but the effect of phosphoric acid pre-etching on the bond fatigue durability of two-step self-etch adhesives was material-dependent.

  2. Effect of etching on bonding of a self-etch adhesive to dentine affected by amelogenesis imperfecta.

    PubMed

    Epasinghe, Don Jeevanie; Yiu, Cynthia Kar Yung

    2018-02-01

    Dentine affected by amelogenesis imperfecta (AI) is histologically altered due to loss of hypoplastic enamel and becomes hypermineralized. In the present study, we examined the effect of additional acid etching on microtensile bond strength of a self-etch adhesive to AI-affected dentine. Flat coronal dentine obtained from extracted AI-affected and non-carious permanent molars were allocated to two groups: (a) Clearfil SE Bond (control); and (b) Clearfil SE Bond and additional etching with 34% phosphoric acid for 15 seconds. The bonded teeth were sectioned into .8-mm 2 beams for microtensile bond strength testing, and stressed to failure under tension. The bond strength data were analyzed using two-way analysis of variance (dentine type and etching step) and Student-Newman-Keuls multiple comparison test (P<.05). Representative fractured beams from each group were examined under scanning electron microscopy. Both factors, dentine substrate (P<.001) and etching step (P<.05), and their interactions (P<.001), were statistically significant. Additional etching had an adverse effect on the bond strength of Clearfil SE Bond to normal dentine (P<.005), and no significant improvement was found for AI-affected dentine (P=.479). Additional acid etching does not improve the bond strength of a self-etch adhesive to AI-affected dentine. © 2017 John Wiley & Sons Australia, Ltd.

  3. Etching process for improving the strength of a laser-machined silicon-based ceramic article

    DOEpatents

    Copley, Stephen M.; Tao, Hongyi; Todd-Copley, Judith A.

    1991-01-01

    A process for improving the strength of laser-machined articles formed of a silicon-based ceramic material such as silicon nitride, in which the laser-machined surface is immersed in an etching solution of hydrofluoric acid and nitric acid for a duration sufficient to remove substantially all of a silicon film residue on the surface but insufficient to allow the solution to unduly attack the grain boundaries of the underlying silicon nitride substrate. This effectively removes the silicon film as a source of cracks that otherwise could propagate downwardly into the silicon nitride substrate and significantly reduce its strength.

  4. Etching process for improving the strength of a laser-machined silicon-based ceramic article

    DOEpatents

    Copley, S.M.; Tao, H.; Todd-Copley, J.A.

    1991-06-11

    A process is disclosed for improving the strength of laser-machined articles formed of a silicon-based ceramic material such as silicon nitride, in which the laser-machined surface is immersed in an etching solution of hydrofluoric acid and nitric acid for a duration sufficient to remove substantially all of a silicon film residue on the surface but insufficient to allow the solution to unduly attack the grain boundaries of the underlying silicon nitride substrate. This effectively removes the silicon film as a source of cracks that otherwise could propagate downwardly into the silicon nitride substrate and significantly reduce its strength. 1 figure.

  5. Scanning electron microscopy evaluation of the effect of etching agents on human enamel surface.

    PubMed

    Zanet, Caio G; Arana-Chavez, Victor E; Fava, Marcelo

    2006-01-01

    Acid etching promotes microporosities on enamel surface, which provide a better bonding surface to adhesive materials. The purpose of this study was to comparatively analyze the microstructure of enamel surface after etching with 37% phosphoric acid or with two self-etching primers, Non-rinse conditioner (NRC) and Clearfil SE Bond (CSEB) using scanning electron microscopy. Thirty sound premolars were divided into 3 groups with ten teeth each: Group 1: the buccal surface was etched with 37% phosphoric acid for 15 seconds; Group 2: the buccal surface was etched with NRC for 20 seconds; Group 3: the buccal surface was etched with CSEB for 20 seconds. Teeth from Group 1 were rinsed with water; teeth from all groups were air-dried for 15 seconds. After that, all specimens were processed for scanning electron microscopy and analyzed in a Jeol 6100 SEM. The results showed deeper etching when the enamel surface was etched with 37% phosphoric acid, followed by NRC and CSEB. It is concluded that 37% phosphoric acid is still the best agent for a most effective enamel etching.

  6. A new concept for spatially divided Deep Reactive Ion Etching with ALD-based passivation

    NASA Astrophysics Data System (ADS)

    Roozeboom, F.; Kniknie, B.; Lankhorst, A. M.; Winands, G.; Knaapen, R.; Smets, M.; Poodt, P.; Dingemans, G.; Keuning, W.; Kessels, W. M. M.

    2012-12-01

    Conventional Deep Reactive Ion Etching (DRIE) is a plasma etch process with alternating half-cycles of 1) Si-etching with SF6 to form gaseous SiFx etch products, and 2) passivation with C4F8 that polymerizes as a protecting fluorocarbon deposit on the sidewalls and bottom of the etched features. In this work we report on a novel alternative and disruptive technology concept of Spatially-divided Deep Reactive Ion Etching, S-DRIE, where the process is converted from the time-divided into the spatially divided regime. The spatial division can be accomplished by inert gas bearing 'curtains' of heights down to ~20 μm. These curtains confine the reactive gases to individual (often linear) injection slots constructed in a gas injector head. By horizontally moving the substrate back and forth under the head one can realize the alternate exposures to the overall cycle. A second improvement in the spatially divided approach is the replacement of the CVD-based C4F8 passivation steps by ALD-based oxide (e.g. SiO2) deposition cycles. The method can have industrial potential in cost-effective creation of advanced 3D interconnects (TSVs), MEMS manufacturing and advanced patterning, e.g., in nanoscale transistor line edge roughness using Atomic Layer Etching.

  7. BiVO4/WO3/SnO2 Double-Heterojunction Photoanode with Enhanced Charge Separation and Visible-Transparency for Bias-Free Solar Water-Splitting with a Perovskite Solar Cell.

    PubMed

    Baek, Ji Hyun; Kim, Byeong Jo; Han, Gill Sang; Hwang, Sung Won; Kim, Dong Rip; Cho, In Sun; Jung, Hyun Suk

    2017-01-18

    Coupling dissimilar oxides in heterostructures allows the engineering of interfacial, optical, charge separation/transport and transfer properties of photoanodes for photoelectrochemical (PEC) water splitting. Here, we demonstrate a double-heterojunction concept based on a BiVO 4 /WO 3 /SnO 2 triple-layer planar heterojunction (TPH) photoanode, which shows simultaneous improvements in the charge transport (∼93% at 1.23 V vs RHE) and transmittance at longer wavelengths (>500 nm). The TPH photoanode was prepared by a facile solution method: a porous SnO 2 film was first deposited on a fluorine-doped tin oxide (FTO)/glass substrate followed by WO 3 deposition, leading to the formation of a double layer of dense WO 3 and a WO 3 /SnO 2 mixture at the bottom. Subsequently, a BiVO 4 nanoparticle film was deposited by spin coating. Importantly, the WO 3 /(WO 3 +SnO 2 ) composite bottom layer forms a disordered heterojunction, enabling intimate contact, lower interfacial resistance, and efficient charge transport/transfer. In addition, the top BiVO 4 /WO 3 heterojunction layer improves light absorption and charge separation. The resultant TPH photoanode shows greatly improved internal quantum efficiency (∼80%) and PEC water oxidation performance (∼3.1 mA/cm 2 at 1.23 V vs RHE) compared to the previously reported BiVO 4 /WO 3 photoanodes. The PEC performance was further improved by a reactive-ion etching treatment and CoO x electrocatalyst deposition. Finally, we demonstrated a bias-free and stable solar water-splitting by constructing a tandem PEC device with a perovskite solar cell (STH ∼3.5%).

  8. Principles and applications of laser-induced liquid-phase jet-chemical etching

    NASA Astrophysics Data System (ADS)

    Stephen, Andreas; Metev, Simeon; Vollertsen, Frank

    2003-11-01

    In this treatment method laser radiation, which is guided from a coaxially expanding liquid jet-stream, locally initiates a thermochemical etching reaction on a metal surface, which leads to selective material removal at high resolution and quality of the treated surface as well as low thermal influence on the workpiece. Electrochemical investigations were performed under focused laser irradiation using a cw-Nd:YAG laser with a maximum power of 15 W and a simultaneous impact of the liquid jet-stream consisting of phosphoric acid with a maximum flow rate of 20 m/s. The time resolved measurements of the electrical potential difference against an electrochemical reference electrode were correlated with the specific processing parameters and corresponding etch rates to identify processing conditions for temporally stable and enhanced chemical etching reactions. Applications of laser-induced liquid-phase jet-chemical etching in the field of sensor technology, micromechanics and micrmoulding technology are presented. This includes the microstructuring of thin film systems, cutting of foils of shape memory alloys or the generation of structures with defined shape in bulk material.

  9. The chemistry screening for ultra low-k dielectrics plasma etching

    NASA Astrophysics Data System (ADS)

    Zotovich, A.; Krishtab, M.; Lazzarino, F.; Baklanov, M. R.

    2014-12-01

    Nowadays, some of the important problems in microelectronics technological node scaling down are related to interconnect delay, dynamic power consumption and crosstalk. This compels introduction and integration of new materials with low dielectric permittivity (low-k materials) as insulator in interconnects. One of such materials under consideration for sub 10 nm technology node is a spin-coated organosilicate glass layer with ordered porosity (37-40%) and a k-value of 2.2 (OSG 2.2). High porosity leads to significant challenges during the integration and one of them is a material degradation during the plasma etching. The low-k samples have been etched in a CCP double frequency plasma chamber from TEL. Standard recipes developed for microporous materials with k<2.5 and based on mixture of C4F8 and CF4 with N2, O2 and Ar were found significantly damaging for high-porous ULK materials. The standard etch recipe was compared with oxygen free etch chemistries based on mixture CF4 with CH2F2 and Ar assuming that the presence of oxygen in the first recipe will have significant negative impact in high porous ULK materials. The film damage has been analyzed using FTIR spectroscopy and the k-value has been extracted by capacitance CV-measurements. There was indirectly shown that vacuum ultraviolet photons cause the main damage of low-k, whereas radicals and ions are not so harmful. Trench structures have been etched in low-k film and cross-SEM analysis with and without HF dipping has been performed to reveal patterning capability and visualize the sidewall damage and. The bottom roughness was analyzed by AFM.

  10. In vivo effect of a self-etching primer on dentin.

    PubMed

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  11. Nanoparticle-based etching of silicon surfaces

    DOEpatents

    Branz, Howard [Boulder, CO; Duda, Anna [Denver, CO; Ginley, David S [Evergreen, CO; Yost, Vernon [Littleton, CO; Meier, Daniel [Atlanta, GA; Ward, James S [Golden, CO

    2011-12-13

    A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.

  12. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stehle, Yijing Y.; Sang, Xiahan; Unocic, Raymond R.

    Here, chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes inmore » hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.« less

  13. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations.

    PubMed

    Stehle, Yijing Y; Sang, Xiahan; Unocic, Raymond R; Voylov, Dmitry; Jackson, Roderick K; Smirnov, Sergei; Vlassiouk, Ivan

    2017-12-13

    Chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes in hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.

  14. Anisotropic Etching of Hexagonal Boron Nitride and Graphene: Question of Edge Terminations

    DOE PAGES

    Stehle, Yijing Y.; Sang, Xiahan; Unocic, Raymond R.; ...

    2017-11-14

    Here, chemical vapor deposition (CVD) has been established as the most effective way to grow large area two-dimensional materials. Direct study of the etching process can reveal subtleties of this competing with the growth reaction and thus provide the necessary details of the overall growth mechanism. Here we investigate hydrogen-induced etching of hBN and graphene and compare the results with the classical kinetic Wulff construction model. Formation of the anisotropically etched holes in the center of hBN and graphene single crystals was observed along with the changes in the crystals' circumference. We show that the edges of triangular holes inmore » hBN crystals formed at regular etching conditions are parallel to B-terminated zigzags, opposite to the N-terminated zigzag edges of hBN triangular crystals. The morphology of the etched hBN holes is affected by a disbalance of the B/N ratio upon etching and can be shifted toward the anticipated from the Wulff model N-terminated zigzag by etching in a nitrogen buffer gas instead of a typical argon. For graphene, etched hexagonal holes are terminated by zigzag, while the crystal circumference is gradually changing from a pure zigzag to a slanted angle resulting in dodecagons.« less

  15. Etching method for photoresists or polymers

    NASA Technical Reports Server (NTRS)

    Lerner, Narcinda R. (Inventor); Wydeven, Theodore J., Jr. (Inventor)

    1991-01-01

    A method for etching or removing polymers, photoresists, and organic contaminants from a substrate is disclosed. The method includes creating a more reactive gas species by producing a plasma discharge in a reactive gas such as oxygen and contacting the resulting gas species with a sacrificial solid organic material such as polyethylene or polyvinyl fluoride, reproducing a highly reactive gas species, which in turn etches the starting polymer, organic contaminant, or photoresist. The sample to be etched is located away from the plasma glow discharge region so as to avoid damaging the substrate by exposure to high energy particles and electric fields encountered in that region. Greatly increased etching rates are obtained. This method is highly effective for etching polymers such as polyimides and photoresists that are otherwise difficult or slow to etch downstream from an electric discharge in a reactive gas.

  16. Adiabatic tapered optical fiber fabrication in two step etching

    NASA Astrophysics Data System (ADS)

    Chenari, Z.; Latifi, H.; Ghamari, S.; Hashemi, R. S.; Doroodmand, F.

    2016-01-01

    A two-step etching method using HF acid and Buffered HF is proposed to fabricate adiabatic biconical optical fiber tapers. Due to the fact that the etching rate in second step is almost 3 times slower than the previous droplet etching method, terminating the fabrication process is controllable enough to achieve a desirable fiber diameter. By monitoring transmitted spectrum, final diameter and adiabaticity of tapers are deduced. Tapers with losses about 0.3 dB in air and 4.2 dB in water are produced. The biconical fiber taper fabricated using this method is used to excite whispering gallery modes (WGMs) on a microsphere surface in an aquatic environment. So that they are suitable to be used in applications like WGM biosensors.

  17. Vertically Free-Standing Ordered Pb(Zr0.52Ti0.48)O3 Nanocup Arrays by Template-Assisted Ion Beam Etching

    NASA Astrophysics Data System (ADS)

    Zhang, Xiaoyan; Tang, Dan; Huang, Kangrong; Hu, Die; Zhang, Fengyuan; Gao, Xingsen; Lu, Xubing; Zhou, Guofu; Zhang, Zhang; Liu, Junming

    2016-04-01

    In this report, vertically free-standing lead zirconate titanate Pb(Zr0.52Ti0.48)O3 (PZT) nanocup arrays with good ordering and high density (1.3 × 1010 cm-2) were demonstrated. By a template-assisted ion beam etching (IBE) strategy, the PZT formed in the pore-through anodic aluminum oxide (AAO) membrane on the Pt/Si substrate was with a cup-like nanostructure. The mean diameter and height of the PZT nanocups (NCs) was about 80 and 100 nm, respectively, and the wall thickness of NCs was about 20 nm with a hole depth of about 80 nm. Uppermost, the nanocup structure with low aspect ratio realized vertically free-standing arrays when losing the mechanical support from templates, avoiding the collapse or bundling when compared to the typical nanotube arrays. X-ray diffraction (XRD) and Raman spectrum revealed that the as-prepared PZT NCs were in a perovskite phase. By the vertical piezoresponse force microscopy (VPFM) measurements, the vertically free-standing ordered ferroelectric PZT NCs showed well-defined ring-like piezoresponse phase and hysteresis loops, which indicated that the high-density PZT nanocup arrays could have potential applications in ultra-high non-volatile ferroelectric memories (NV-FRAM) or other nanoelectronic devices.

  18. Vertically Free-Standing Ordered Pb(Zr0.52Ti0.48)O3 Nanocup Arrays by Template-Assisted Ion Beam Etching.

    PubMed

    Zhang, Xiaoyan; Tang, Dan; Huang, Kangrong; Hu, Die; Zhang, Fengyuan; Gao, Xingsen; Lu, Xubing; Zhou, Guofu; Zhang, Zhang; Liu, Junming

    2016-12-01

    In this report, vertically free-standing lead zirconate titanate Pb(Zr0.52Ti0.48)O3 (PZT) nanocup arrays with good ordering and high density (1.3 × 10(10) cm(-2)) were demonstrated. By a template-assisted ion beam etching (IBE) strategy, the PZT formed in the pore-through anodic aluminum oxide (AAO) membrane on the Pt/Si substrate was with a cup-like nanostructure. The mean diameter and height of the PZT nanocups (NCs) was about 80 and 100 nm, respectively, and the wall thickness of NCs was about 20 nm with a hole depth of about 80 nm. Uppermost, the nanocup structure with low aspect ratio realized vertically free-standing arrays when losing the mechanical support from templates, avoiding the collapse or bundling when compared to the typical nanotube arrays. X-ray diffraction (XRD) and Raman spectrum revealed that the as-prepared PZT NCs were in a perovskite phase. By the vertical piezoresponse force microscopy (VPFM) measurements, the vertically free-standing ordered ferroelectric PZT NCs showed well-defined ring-like piezoresponse phase and hysteresis loops, which indicated that the high-density PZT nanocup arrays could have potential applications in ultra-high non-volatile ferroelectric memories (NV-FRAM) or other nanoelectronic devices.

  19. RIE-based Pattern Transfer Using Nanoparticle Arrays as Etch Masks

    NASA Astrophysics Data System (ADS)

    Hogg, Chip; Majetich, Sara A.; Bain, James A.

    2009-03-01

    Nanomasking is used to transfer the pattern of a self-assembled array of nanoparticles into an underlying thin film, for potential use as bit-patterned media. We have used this process to investigate the limits of pattern transfer, as a function of gap size in the pattern. Reactive Ion Etching (RIE) is our chosen process, since the gaseous reaction products and high chemical selectivity are ideal features for etching very small gaps. Interstitial surfactant is removed with an O2 plasma, allowing the etchants to penetrate between the particles. Their pattern is transferred into an intermediate SiO2 mask using a CH4-based RIE. This patterned SiO2 layer is finally used as a mask for the MeOH-based RIE which patterns the magnetic film. We present cross-sectional TEM characterization of the etch profiles, as well as magnetic characterization of the film before and after patterning.

  20. Solution-processed photodetectors from colloidal silicon nano/micro particle composite.

    PubMed

    Tu, Chang-Ching; Tang, Liang; Huang, Jiangdong; Voutsas, Apostolos; Lin, Lih Y

    2010-10-11

    We demonstrate solution-processed photodetectors composed of heavy-metal-free Si nano/micro particle composite. The colloidal Si particles are synthesized by electrochemical etching of Si wafers, followed by ultra-sonication to pulverize the porous surface. With alkyl ligand surface passivation through hydrosilylation reaction, the particles can form a stable colloidal suspension which exhibits bright photoluminescence under ultraviolet excitation and a broadband extinction spectrum due to enhanced scattering from the micro-size particles. The efficiency of the thin film photodetectors has been substantially improved by preventing oxidation of the particles during the etching process.

  1. Back-channel-etch amorphous indium-gallium-zinc oxide thin-film transistors: The impact of source/drain metal etch and final passivation

    NASA Astrophysics Data System (ADS)

    Nag, Manoj; Bhoolokam, Ajay; Steudel, Soeren; Chasin, Adrian; Myny, Kris; Maas, Joris; Groeseneken, Guido; Heremans, Paul

    2014-11-01

    We report on the impact of source/drain (S/D) metal (molybdenum) etch and the final passivation (SiO2) layer on the bias-stress stability of back-channel-etch (BCE) configuration based amorphous indium-gallium-zinc oxide (a-IGZO) thin-film transistors (TFTs). It is observed that the BCE configurations TFTs suffer poor bias-stability in comparison to etch-stop-layer (ESL) TFTs. By analysis with transmission electron microscopy (TEM) and energy dispersive spectroscopy (EDS), as well as by a comparative analysis of contacts formed by other metals, we infer that this poor bias-stability for BCE transistors having Mo S/D contacts is associated with contamination of the back channel interface, which occurs by Mo-containing deposits on the back channel during the final plasma process of the physical vapor deposited SiO2 passivation.

  2. Isolating GaSb membranes grown metamorphically on GaAs substrates using highly selective substrate removal etch processes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lavrova, Olga; Balakrishnan, Ganesh

    2017-02-24

    The etch rates of NH 4OH:H 2O 2 and C 6H 8O 7:H 2O 2 for GaAs and GaSb have been investigated to develop a selective etch for GaAs substrates and to isolate GaSb epilayers grown on GaAs. The NH 4OH:H 2O 2 solution has a greater etch rate differential for the GaSb/GaAs material system than C 6H 8O 7:H 2O 2 solution. The selectivity of NH 4OH:H 2O 2 for GaAs/GaSb under optimized etch conditions has been observed to be as high as 11471 ± 1691 whereas that of C 6H 8O 7:H 2O 2 has been measured upmore » to 143 ± 2. The etch contrast has been verified by isolating 2 μm thick GaSb epi-layers that were grown on GaAs substrates. GaSb membranes were tested and characterized with high-resolution X-Ray diffraction (HR-XRD) and atomic force microscopy (AFM).« less

  3. Pyramidal pits created by single highly charged ions in BaF{sub 2} single crystals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    El-Said, A. S.; Physics Department, Faculty of Science, Mansoura University, 35516 Mansoura; Heller, R.

    2010-07-15

    In various insulators, the impact of individual slow highly charged ions (eV-keV) creates surface nanostructures, whose size depends on the deposited potential energy. Here we report on the damage created on a cleaved BaF{sub 2} (111) surface by irradiation with 4.5xq keV highly charged xenon ions from a room-temperature electron-beam ion trap. Up to charge states q=36, no surface topographic changes on the BaF{sub 2} surface are observed by scanning force microscopy. The hidden stored damage, however, can be made visible using the technique of selective chemical etching. Each individual ion impact develops into a pyramidal etch pits, as canmore » be concluded from a comparison of the areal density of observed etch pits with the applied ion fluence (typically 10{sup 8} ions/cm{sup 2}). The dimensional analysis of the measured pits reveals the significance of the deposited potential energy in the creation of lattice distortions/defects in BaF{sub 2}.« less

  4. Charge Splitting In Situ Recorder (CSIR) for Real-Time Examination of Plasma Charging Effect in FinFET BEOL Processes

    NASA Astrophysics Data System (ADS)

    Tsai, Yi-Pei; Hsieh, Ting-Huan; Lin, Chrong Jung; King, Ya-Chin

    2017-09-01

    A novel device for monitoring plasma-induced damage in the back-end-of-line (BEOL) process with charge splitting capability is first-time proposed and demonstrated. This novel charge splitting in situ recorder (CSIR) can independently trace the amount and polarity of plasma charging effects during the manufacturing process of advanced fin field-effect transistor (FinFET) circuits. Not only does it reveal the real-time and in situ plasma charging levels on the antennas, but it also separates positive and negative charging effect and provides two independent readings. As CMOS technologies push for finer metal lines in the future, the new charge separation scheme provides a powerful tool for BEOL process optimization and further device reliability improvements.

  5. Total etch technique and cavity isolation.

    PubMed

    Fusayama, T

    1992-01-01

    In the total etch technique for chemically adhesive composite restorations, the phosphoric acid penetrates only 10 microns or less into the vital dentin with the dentinal tubules being filled with the odontoblast processes. The acid is completely removed by subsequent air-water jet spray washing. The tubule apertures are perfectly sealed by the protective bonding agent layer with the resin tags adhering to the tubule walls and the resin-impregnated dentin surface. Isolation of the cavity from moisture contamination is required for only less than a few seconds after drying the etched cavity until the bonding agent coating and after this coating until the composite resin placement. Such a short time for isolation is quite easy even without a rubber dam when a trained assistant is cooperating.

  6. Effect of additional etching and ethanol-wet bonding on the dentin bond strength of one-step self-etch adhesives

    PubMed Central

    Ahn, Joonghee; Jung, Kyoung-Hwa; Son, Sung-Ae; Hur, Bock; Kwon, Yong-Hoon

    2015-01-01

    Objectives This study examined the effects of additional acid etching on the dentin bond strength of one-step self-etch adhesives with different compositions and pH. The effect of ethanol wetting on etched dentin bond strength of self-etch adhesives was also evaluated. Materials and Methods Forty-two human permanent molars were classified into 21 groups according to the adhesive types (Clearfil SE Bond [SE, control]; G-aenial Bond [GB]; Xeno V [XV]; Beauti Bond [BB]; Adper Easy Bond [AE]; Single Bond Universal [SU]; All Bond Universal [AU]), and the dentin conditioning methods. Composite resins were placed on the dentin surfaces, and the teeth were sectioned. The microtensile bond strength was measured, and the failure mode of the fractured specimens was examined. The data were analyzed statistically using two-way ANOVA and Duncan's post hoc test. Results In GB, XV and SE (pH ≤ 2), the bond strength was decreased significantly when the dentin was etched (p < 0.05). In BB, AE and SU (pH 2.4 - 2.7), additional etching did not affect the bond strength (p > 0.05). In AU (pH = 3.2), additional etching increased the bond strength significantly (p < 0.05). When adhesives were applied to the acid etched dentin with ethanol-wet bonding, the bond strength was significantly higher than that of the no ethanol-wet bonding groups, and the incidence of cohesive failure was increased. Conclusions The effect of additional acid etching on the dentin bond strength was influenced by the pH of one-step self-etch adhesives. Ethanol wetting on etched dentin could create a stronger bonding performance of one-step self-etch adhesives for acid etched dentin. PMID:25671215

  7. Method for dry etching of transition metals

    DOEpatents

    Ashby, Carol I. H.; Baca, Albert G.; Esherick, Peter; Parmeter, John E.; Rieger, Dennis J.; Shul, Randy J.

    1998-01-01

    A method for dry etching of transition metals. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorous-containing .pi.-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/.pi.-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the .pi.-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the .pi.-acceptor ligand for forming the volatile transition metal/.pi.-acceptor ligand complex.

  8. Influence of warm air-drying on enamel bond strength and surface free-energy of self-etch adhesives.

    PubMed

    Shiratsuchi, Koji; Tsujimoto, Akimasa; Takamizawa, Toshiki; Furuichi, Tetsuya; Tsubota, Keishi; Kurokawa, Hiroyasu; Miyazaki, Masashi

    2013-08-01

    We examined the effect of warm air-drying on the enamel bond strengths and the surface free-energy of three single-step self-etch adhesives. Bovine mandibular incisors were mounted in self-curing resin and then wet ground with #600 silicon carbide (SiC) paper. The adhesives were applied according to the instructions of the respective manufacturers and then dried in a stream of normal (23°C) or warm (37°C) air for 5, 10, and 20 s. After visible-light irradiation of the adhesives, resin composites were condensed into a mold and polymerized. Ten samples per test group were stored in distilled water at 37°C for 24 h and then the bond strengths were measured. The surface free-energies were determined by measuring the contact angles of three test liquids placed on the cured adhesives. The enamel bond strengths varied according to the air-drying time and ranged from 15.8 to 19.1 MPa. The trends for the bond strengths were different among the materials. The value of the γS⁺ component increased slightly when drying was performed with a stream of warm air, whereas that of the γS⁻ component decreased significantly. These data suggest that warm air-drying is essential to obtain adequate enamel bond strengths, although increasing the drying time did not significantly influence the bond strength. © 2013 Eur J Oral Sci.

  9. Restoration of obliterated engraved marks on steel surfaces by chemical etching reagent.

    PubMed

    Song, Qingfang

    2015-05-01

    Chemical etching technique is widely used for restoration of obliterated engraved marks on steel surface in the field of public security. The consumed thickness of steel surface during restoration process is considered as a major criterion for evaluating the efficiency of the chemical etching reagent. The thinner the consumed thickness, the higher the restoration efficiency. According to chemical principles, maintaining the continuous oxidative capabilities of etching reagents and increasing the kinetic rate difference of the reaction between the engraved and non-engraved area with the chemical etching reagent can effectively reduce the consumed steel thickness. The study employed steel surface from the engine case of motorcycle and the car frame of automobile. The chemical etching reagents are composed of nitric acid as the oxidizer, hydrofluoric acid as the coordination agent and mixed with glacial acetic acid or acetone as the solvents. Based on the performance evaluation of three different etching reagents, the one composed of HNO3, HF and acetone gave the best result. Copyright © 2015 Elsevier Ireland Ltd. All rights reserved.

  10. Charge-Induced Force Noise on Free-Falling Test Masses: Results from LISA Pathfinder.

    PubMed

    Armano, M; Audley, H; Auger, G; Baird, J T; Binetruy, P; Born, M; Bortoluzzi, D; Brandt, N; Bursi, A; Caleno, M; Cavalleri, A; Cesarini, A; Cruise, M; Danzmann, K; de Deus Silva, M; Diepholz, I; Dolesi, R; Dunbar, N; Ferraioli, L; Ferroni, V; Fitzsimons, E D; Flatscher, R; Freschi, M; Gallegos, J; García Marirrodriga, C; Gerndt, R; Gesa, L; Gibert, F; Giardini, D; Giusteri, R; Grimani, C; Grzymisch, J; Harrison, I; Heinzel, G; Hewitson, M; Hollington, D; Hueller, M; Huesler, J; Inchauspé, H; Jennrich, O; Jetzer, P; Johlander, B; Karnesis, N; Kaune, B; Killow, C J; Korsakova, N; Lloro, I; Liu, L; López-Zaragoza, J P; Maarschalkerweerd, R; Madden, S; Mance, D; Martín, V; Martin-Polo, L; Martino, J; Martin-Porqueras, F; Mateos, I; McNamara, P W; Mendes, J; Mendes, L; Moroni, A; Nofrarias, M; Paczkowski, S; Perreur-Lloyd, M; Petiteau, A; Pivato, P; Plagnol, E; Prat, P; Ragnit, U; Ramos-Castro, J; Reiche, J; Romera Perez, J A; Robertson, D I; Rozemeijer, H; Rivas, F; Russano, G; Sarra, P; Schleicher, A; Slutsky, J; Sopuerta, C; Sumner, T J; Texier, D; Thorpe, J I; Trenkel, C; Vetrugno, D; Vitale, S; Wanner, G; Ward, H; Wass, P J; Wealthy, D; Weber, W J; Wittchen, A; Zanoni, C; Ziegler, T; Zweifel, P

    2017-04-28

    We report on electrostatic measurements made on board the European Space Agency mission LISA Pathfinder. Detailed measurements of the charge-induced electrostatic forces exerted on free-falling test masses (TMs) inside the capacitive gravitational reference sensor are the first made in a relevant environment for a space-based gravitational wave detector. Employing a combination of charge control and electric-field compensation, we show that the level of charge-induced acceleration noise on a single TM can be maintained at a level close to 1.0  fm s^{-2} Hz^{-1/2} across the 0.1-100 mHz frequency band that is crucial to an observatory such as the Laser Interferometer Space Antenna (LISA). Using dedicated measurements that detect these effects in the differential acceleration between the two test masses, we resolve the stochastic nature of the TM charge buildup due to interplanetary cosmic rays and the TM charge-to-force coupling through stray electric fields in the sensor. All our measurements are in good agreement with predictions based on a relatively simple electrostatic model of the LISA Pathfinder instrument.

  11. Microleakage of self-etching sealant on noncontaminated and saliva-contaminated enamel.

    PubMed

    2011-01-01

    The purpose of this study was to compare the microleakage of a self-etching sealant with a traditional phosphoric acid-etched sealant under noncontaminated and saliva-contaminated conditions. Fifty-two sound extracted human molars were randomly divided into 4 groups (N=13). Teeth in Groups 1 and 2 were cleaned with pumice, etched with phosphoric acid, rinsed, coated with a drying agent, placed with sealants (UltraSeal XT Plus), and light cured. Teeth in Groups 3 and 4 were cleaned with a proprietary flour pumice and rinsed prior to being sealed with a self-etching sealant (Enamel Loc). Teeth in Groups 2 and 4 were contaminated with saliva and thoroughly air-dried prior to the sealant placement. All teeth were subjected to a thermocycling process, stained with silver nitrate, and sectioned, and images of the sealant on the occlusal surface were recorded. Microleakage distance was measured in millimeters and subjected to a 2-way analysis of variance. Significantly larger microleakage distances were found for the self-etching sealant vs the traditional sealant (P<.001). Saliva contamination did not significantly affect the microleakage distance (P<.17). Under the conditions used in this in vitro study, the self-etching sealant, regardless of contamination condition, had extensive microleakage distances vs. little microleakage in the traditional phosphoric acid-etched sealant.

  12. Longevity of Self-etch Dentin Bonding Adhesives Compared to Etch-and-rinse Dentin Bonding Adhesives: A Systematic Review.

    PubMed

    Masarwa, Nader; Mohamed, Ahmed; Abou-Rabii, Iyad; Abu Zaghlan, Rawan; Steier, Liviu

    2016-06-01

    A systematic review and meta-analysis were performed to compare longevity of Self-Etch Dentin Bonding Adhesives to Etch-and-Rinse Dentin Bonding Adhesives. The following databases were searched for PubMed, MEDLINE, Web of Science, CINAHL, the Cochrane Library complemented by a manual search of the Journal of Adhesive Dentistry. The MESH keywords used were: "etch and rinse," "total etch," "self-etch," "dentin bonding agent," "bond durability," and "bond degradation." Included were in-vitro experimental studies performed on human dental tissues of sound tooth structure origin. The examined Self-Etch Bonds were of two subtypes; Two Steps and One Step Self-Etch Bonds, while Etch-and-Rinse Bonds were of two subtypes; Two Steps and Three Steps. The included studies measured micro tensile bond strength (μTBs) to evaluate bond strength and possible longevity of both types of dental adhesives at different times. The selected studies depended on water storage as the aging technique. Statistical analysis was performed for outcome measurements compared at 24 h, 3 months, 6 months and 12 months of water storage. After 24 hours (p-value = 0.051), 3 months (p-value = 0.756), 6 months (p-value=0.267), 12 months (p-value=0.785) of water storage self-etch adhesives showed lower μTBs when compared to the etch-and-rinse adhesives, but the comparisons were statistically insignificant. In this study, longevity of Dentin Bonds was related to the measured μTBs. Although Etch-and-Rinse bonds showed higher values at all times, the meta-analysis found no difference in longevity of the two types of bonds at the examined aging times. Copyright © 2016 Elsevier Inc. All rights reserved.

  13. Effects of dry etching processes on exciton and polariton characteristics in ZnTe

    NASA Astrophysics Data System (ADS)

    Sun, J. H.; Xie, W. B.; Shen, W. Z.; Ogawa, H.; Guo, Q. X.

    2003-12-01

    We have employed temperature-dependent reflection spectra to study the effects of reactive ion etching (RIE) on the exciton and polariton characteristics in ZnTe crystals exposed to CH4/H2 gases under different rf plasma powers. Classic exciton-polariton theory has been used to calculate the reflection spectra. By comparing with an as-grown ZnTe crystal and the temperature-dependent behavior, we are able to identify the excitons and RIE-induced polariton structures in these dry etched ZnTe crystals. An increase of the rf plasma power will lead to an increase of defect density in the surface damage layers, resulting in a decrease of the photon energies of the observed exciton and polariton structures.

  14. Antimicrobial nanocapsules: from new solvent-free process to in vitro efficiency

    PubMed Central

    Steelandt, Julie; Salmon, Damien; Gilbert, Elodie; Almouazen, Eyad; Renaud, François NR; Roussel, Laurène; Haftek, Marek; Pirot, Fabrice

    2014-01-01

    Skin and mucosal infections constitute recurrent pathologies resulting from either inappropriate antiseptic procedures or a lack of efficacy of antimicrobial products. In this field, nanomaterials offer interesting antimicrobial properties (eg, long-lasting activity; intracellular and tissular penetration) as compared to conventional products. The aim of this work was to produce, by a new solvent-free process, a stable and easily freeze-dryable chlorhexidine-loaded polymeric nanocapsule (CHX-NC) suspension, and then to assess the antimicrobial properties of nanomaterials. The relevance of the process and the physicochemical properties of the CHX-NCs were examined by the assessment of encapsulation efficiency, stability of the nanomaterial suspension after 1 month of storage, and by analysis of granulometry and surface electric charge of nanocapsules. In vitro antimicrobial activities of the CHX-NCs and chlorhexidine digluconate solution were compared by measuring the inhibition diameters of two bacterial strains (Escherichia coli and Staphylococcus aureus) and one fungal strain (Candida albicans) cultured onto appropriate media. Based on the findings of this study, we report a new solvent-free process for the production of nanomaterials exhibiting antimicrobial activity, suitable stability, and easily incorporable as a new ingredient in various pharmaceutical products. PMID:25278751

  15. Comparison of enamel bond fatigue durability of universal adhesives and two-step self-etch adhesives in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Hosoya, Yumiko; Nojiri, Kie; Nagura, Yuko; Takamizawa, Toshiki; Latta, Mark A; Miyazaki, Masashi

    2017-10-01

    To comparatively evaluate universal adhesives and two-step self-etch adhesives for enamel bond fatigue durability in self-etch mode. Three universal adhesives (Clearfil Universal Bond; G-Premio Bond; Scotchbond Universal Adhesive) and three two-step self-etch adhesives (Clearfil SE Bond; Clearfil SE Bond 2; OptiBond XTR) were used. The initial shear bond strength and shear fatigue strength of the adhesive to enamel in self-etch mode were determined. The initial shear bond strengths of the universal adhesives to enamel in self-etch mode was significantly lower than those of two-step self-etch adhesives and initial shear bond strengths were not influenced by type of adhesive in each adhesive category. The shear fatigue strengths of universal adhesives to enamel in self-etch mode were significantly lower than that of Clearfil SE Bond and Clearfil SE Bond 2, but similar to that OptiBond XTR. Unlike two-step self-etch adhesives, the initial shear bond strength and shear fatigue strength of universal adhesives to enamel in self-etch mode was not influenced by the type of adhesive. This laboratory study showed that the enamel bond fatigue durability of universal adhesives was lower than Clearfil SE Bond and Clearfil SE Bond 2, similar to Optibond XTR, and was not influenced by type of adhesive, unlike two-step self-etch adhesives.

  16. Deeply-etched micromirror with vertical slit and metallic coating enabling transmission-type optical MEMS filters

    NASA Astrophysics Data System (ADS)

    Othman, Muhammad A.; Sabry, Yasser M.; Sadek, Mohamed; Nassar, Ismail M.; Khalil, Diaa A.

    2016-03-01

    In this work we report a novel optical MEMS deeply-etched mirror with metallic coating and vertical slot, where the later allows reflection and transmission by the micromirror. The micromirror as well as fiber grooves are fabricated using deep reactive ion etching technology, where the optical axis is in-plane and the components are self-aligned. The etching depth is 150 μm chosen to improve the micromirror optical throughput. The vertical optical structure is Al metal coated using the shadow mask technique. A fiber-coupled Fabry-Pérot filter is successfully realized using the fabricated structure. Experimental measurements were obtained based on a dielectric-coated optical fiber inserted into a fiber groove facing the slotted micromirror. A versatile performance in terms of the free spectral range and 3-dB bandwidth is achieved.

  17. Laser-etch patterning of metal oxide coated carbon nanotube 3D architectures.

    PubMed

    Aksu, Cemile; Ingram, Wade; Bradford, Philip D; Jur, Jesse S

    2018-08-17

    This paper describes a way to fabricate novel hybrid low density nanostructures containing both carbon nanotubes (CNTs) and ceramic nanotubes. Using atomic layer deposition, a thin film of aluminum oxide was conformally deposited on aligned multiwall CNT foams in which the CNTs make porous, three-dimensional interconnected networks. A CO 2 laser was used to etch pure alumina nanotube structures by burning out the underlying CNT substrate in discrete locations via the printed laser pattern. Structural and morphological transitions during the calcination process of aluminum oxide coated CNTs were investigated through in situ transmission electron microscopy and high-resolution scanning electron microscopy. Laser parameters were optimized to etch the CNT away (i.e. etching speed, power and focal length) while minimizing damage to the alumina nanotubes due to overheating. This study opens a new route for fabricating very low density three dimensionally patterned materials with areas of dissimilar materials and properties. To demonstrate the attributes of these structures, the etched areas were used toward anisotropic microfluidic liquid flow. The demonstration used the full thickness of the material to make complex pathways for the liquid flow in the structure. Through tuning of processing conditions, the alumina nanotube (etched) regions became hydrophilic while the bulk material remained hydrophobic and electrically conductive.

  18. Method for dry etching of transition metals

    DOEpatents

    Ashby, C.I.H.; Baca, A.G.; Esherick, P.; Parmeter, J.E.; Rieger, D.J.; Shul, R.J.

    1998-09-29

    A method for dry etching of transition metals is disclosed. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorus-containing {pi}-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/{pi}-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the {pi}-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the {pi}-acceptor ligand for forming the volatile transition metal/{pi}-acceptor ligand complex.

  19. Difference in anisotropic etching characteristics of alkaline and copper based acid solutions for single-crystalline Si.

    PubMed

    Chen, Wei; Liu, Yaoping; Yang, Lixia; Wu, Juntao; Chen, Quansheng; Zhao, Yan; Wang, Yan; Du, Xiaolong

    2018-02-21

    The so called inverted pyramid arrays, outperforming conventional upright pyramid textures, have been successfully achieved by one-step Cu assisted chemical etching (CACE) for light reflection minimization in silicon solar cells. Due to the lower reduction potential of Cu 2+ /Cu and different electronic properties of different Si planes, the etching of Si substrate shows orientation-dependent. Different from the upright pyramid obtained by alkaline solutions, the formation of inverted pyramid results from the coexistence of anisotropic etching and localized etching process. The obtained structure is bounded by Si {111} planes which have the lowest etching rate, no matter what orientation of Si substrate is. The Si etching rate and (100)/(111) etching ratio are quantitatively analyzed. The different behaviors of anisotropic etching of Si by alkaline and Cu based acid etchant have been systematically investigated.

  20. FAST TRACK COMMUNICATION: Inhomogeneous charge redistribution in Xe clusters exposed to an intense extreme ultraviolet free electron laser

    NASA Astrophysics Data System (ADS)

    Iwayama, H.; Sugishima, A.; Nagaya, K.; Yao, M.; Fukuzawa, H.; Motomura, K.; Liu, X.-J.; Yamada, A.; Wang, C.; Ueda, K.; Saito, N.; Nagasono, M.; Tono, K.; Yabashi, M.; Ishikawa, T.; Ohashi, H.; Kimura, H.; Togashi, T.

    2010-08-01

    The emission of highly charged ions from Xe clusters exposed to intense extreme ultraviolet laser pulses (λ ~ 52 nm) from the free electron laser in Japan was investigated using ion momentum spectroscopy. With increasing average cluster size, we observed multiply charged ions Xez + up to z = 3. From kinetic energy distributions, we found that multiply charged ions were generated near the cluster surface. Our results suggest that charges are inhomogeneously redistributed in the cluster to lower the total energy stored in the clusters.

  1. Emulsifier-free emulsion polymerization produces highly charged, monodisperse particles for near infrared photonic crystals.

    PubMed

    Reese, Chad E; Asher, Sanford A

    2002-04-01

    We have developed emulsifier-free, emulsion polymerization recipes for the synthesis of highly charged, monodisperse latex particles of diameters between 500 and 1100 nm. These latexes consist of poly[styrene-(co-2-hydroxyethyl methacrylate)] spherical particles whose surfaces are functionalized with sulfate and carboxylic acid groups. These highly charged, monodisperse particles readily self-assemble into robust, three-dimensionally ordered crystalline colloidal array photonic crystals that Bragg diffract light in the near infrared spectral region. By altering the particle number density, the diffraction wavelength can be tuned from approximately 1000 to approximately 4000 nm.

  2. Anisotropic Hydrogen Etching of Chemical Vapor Deposited Graphene

    NASA Astrophysics Data System (ADS)

    Zhang, Yi; Li, Zhen; Zhang, Luyao; Kim, Pyojae; Zhou, Chongwu

    2012-02-01

    In terms of the preparation of graphene, chemical vapor deposition (CVD) has raised its popularity as a scalable and cost effective approach for graphene synthesis. While the formation of graphene on copper foil has been intensively studied, the reverse reaction of graphene reacts with hydrogen has not been systematically studied. In this talk we will present a simple, clean, and highly anisotropic hydrogen etching method for CVD graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 ^oC, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent and the etching of graphene at 800 oC is most efficient and anisotropic. 80% of the angles of graphene edges after etching are 120^o, indicating the etching is highly anisotropic. No increase of D band along the etched edges indicates that the crystallographic orientation of etching is zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO2 under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size, and may enable the etching of graphene into nanoribbons for electronic applications.

  3. Etching of Silicon in HBr Plasmas for High Aspect Ratio Features

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Meyyappan, M.; Mathad, G. S.; Ranade, R.

    2002-01-01

    Etching in semiconductor processing typically involves using halides because of the relatively fast rates. Bromine containing plasmas can generate high aspect ratio trenches, desirable for DRAM and MEMS applications, with relatively straight sidewalk We present scanning electron microscope images for silicon-etched trenches in a HBr plasma. Using a feature profile simulation, we show that the removal yield parameter, or number of neutrals removed per incident ion due to all processes (sputtering, spontaneous desorption, etc.), dictates the profile shape. We find that the profile becomes pinched off when the removal yield is a constant, with a maximum aspect ratio (AR) of about 5 to 1 (depth to height). When the removal yield decreases with increasing ion angle, the etch rate increases at the comers and the trench bottom broadens. The profiles have ARs of over 9:1 for yields that vary with ion angle. To match the experimentally observed etched time of 250 s for an AR of 9:1 with a trench width of 0.135 microns, we find that the neutral flux must be 3.336 x 10(exp 17)sq cm/s.

  4. Surface engineering on CeO2 nanorods by chemical redox etching and their enhanced catalytic activity for CO oxidation

    NASA Astrophysics Data System (ADS)

    Gao, Wei; Zhang, Zhiyun; Li, Jing; Ma, Yuanyuan; Qu, Yongquan

    2015-07-01

    Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications.Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications. Electronic supplementary information (ESI) available: Diameter distributions of as-prepared and etched samples, optical images, specific catalytic data of CO oxidation and comparison of CO oxidation. See DOI: 10.1039/c5nr01846c

  5. Partition coefficients of methylated DNA bases obtained from free energy calculations with molecular electron density derived atomic charges.

    PubMed

    Lara, A; Riquelme, M; Vöhringer-Martinez, E

    2018-05-11

    Partition coefficients serve in various areas as pharmacology and environmental sciences to predict the hydrophobicity of different substances. Recently, they have also been used to address the accuracy of force fields for various organic compounds and specifically the methylated DNA bases. In this study, atomic charges were derived by different partitioning methods (Hirshfeld and Minimal Basis Iterative Stockholder) directly from the electron density obtained by electronic structure calculations in a vacuum, with an implicit solvation model or with explicit solvation taking the dynamics of the solute and the solvent into account. To test the ability of these charges to describe electrostatic interactions in force fields for condensed phases, the original atomic charges of the AMBER99 force field were replaced with the new atomic charges and combined with different solvent models to obtain the hydration and chloroform solvation free energies by molecular dynamics simulations. Chloroform-water partition coefficients derived from the obtained free energies were compared to experimental and previously reported values obtained with the GAFF or the AMBER-99 force field. The results show that good agreement with experimental data is obtained when the polarization of the electron density by the solvent has been taken into account, and when the energy needed to polarize the electron density of the solute has been considered in the transfer free energy. These results were further confirmed by hydration free energies of polar and aromatic amino acid side chain analogs. Comparison of the two partitioning methods, Hirshfeld-I and Minimal Basis Iterative Stockholder (MBIS), revealed some deficiencies in the Hirshfeld-I method related to the unstable isolated anionic nitrogen pro-atom used in the method. Hydration free energies and partitioning coefficients obtained with atomic charges from the MBIS partitioning method accounting for polarization by the implicit solvation model

  6. Prediction of silicon oxynitride plasma etching using a generalized regression neural network

    NASA Astrophysics Data System (ADS)

    Kim, Byungwhan; Lee, Byung Teak

    2005-08-01

    A prediction model of silicon oxynitride (SiON) etching was constructed using a neural network. Model prediction performance was improved by means of genetic algorithm. The etching was conducted in a C2F6 inductively coupled plasma. A 24 full factorial experiment was employed to systematically characterize parameter effects on SiON etching. The process parameters include radio frequency source power, bias power, pressure, and C2F6 flow rate. To test the appropriateness of the trained model, additional 16 experiments were conducted. For comparison, four types of statistical regression models were built. Compared to the best regression model, the optimized neural network model demonstrated an improvement of about 52%. The optimized model was used to infer etch mechanisms as a function of parameters. The pressure effect was noticeably large only as relatively large ion bombardment was maintained in the process chamber. Ion-bombardment-activated polymer deposition played the most significant role in interpreting the complex effect of bias power or C2F6 flow rate. Moreover, [CF2] was expected to be the predominant precursor to polymer deposition.

  7. Understanding and controlling the step bunching instability in aqueous silicon etching

    NASA Astrophysics Data System (ADS)

    Bao, Hailing

    Chemical etching of silicon has been widely used for more than half a century in the semiconductor industry. It not only forms the basis for current wafer cleaning processes, it also serves as a powerful tool to create a variety of surface morphologies for different applications. Its potential for controlling surface morphology at the atomic scale over micron-size regions is especially appealing. In spite of its wide usage, the chemistry of silicon etching is poorly understood. Many seemingly simple but fundamental questions have not been answered. As a result, the development of new etchants and new etching protocols are based on expensive and tedious trial-and-error experiments. A better understanding of the etching mechanism would direct the rational formulation of new etchants that produce controlled etch morphologies. Particularly, micron-scale step bunches spontaneously develop on the vicinal Si(111) surface etched in KOH or other anisotropic aqueous etchants. The ability to control the size, orientation, density and regularity of these surface features would greatly improve the performance of microelectromechanical devices. This study is directed towards understanding the chemistry and step bunching instability in aqueous anisotropic etching of silicon through a combination of experimental techniques and theoretical simulations. To reveal the cause of step-bunching instability, kinetic Monte Carlo simulations were constructed based on an atomistic model of the silicon lattice and a modified kinematic wave theory. The simulations showed that inhomogeneity was the origin of step-bunching, which was confirmed through STM studies of etch morphologies created under controlled flow conditions. To quantify the size of the inhomogeneities in different etchants and to clarify their effects, a five-parallel-trench pattern was fabricated. This pattern used a nitride mask to protect most regions of the wafer; five evenly spaced etch windows were opened to the Si(110

  8. Light-emitting silicon nanowires obtained by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Irrera, Alessia; Josè Lo Faro, Maria; D'Andrea, Cristiano; Alessio Leonardi, Antonio; Artoni, Pietro; Fazio, Barbara; Picca, Rosaria Anna; Cioffi, Nicola; Trusso, Sebastiano; Franzò, Giorgia; Musumeci, Paolo; Priolo, Francesco; Iacona, Fabio

    2017-04-01

    This review reports on a new process for the synthesis of Si nanowires (NWs), based on the wet etching of Si substrates assisted by a thin metal film. The approach exploits the thickness-dependent morphology of the metal layers to define uncovered nanometric Si regions, which behave as precursor sites for the formation of very dense (up to 1 × 1012 NW cm-2) arrays of long (up to several μm) and ultrathin (diameter of 5-9 nm) NWs. Intense photoluminescence (PL) peaks, characterized by maxima in the 640-750 nm range and by an external quantum efficiency of 0.5%, are observed when the Si NWs are excited at room temperature. The spectra show a blueshift if the size of the NW is decreased, in agreement with the occurrence of quantum confinement effects. The same etching process can be used to obtain ultrathin Si/Ge NWs from a Si/Ge multi-quantum well. The Si/Ge NWs exhibit—in addition to the Si-related PL peak—a signal at about 1240 nm due to Ge nanostructures. The huge surface area of the Si NW arrays can be exploited for sensing and analytical applications. The dependence of the PL intensity on the chemical composition of the surface indeed suggests interesting perspectives for the detection of gaseous molecules. Moreover, Si NWs decorated with Ag nanoparticles can be effectively employed in the interference-free laser desorption-ionization mass spectrometry of low-molecular-weight analytes. A device based on conductive Si NWs, showing intense and stable electroluminescence at an excitation voltage as low as 2 V, is also presented. The unique features of the proposed synthesis (the process is cheap, fast, maskless and compatible with Si technology) and the unusual optical properties of the material open the route towards new and unexpected perspectives for semiconductor NWs in photonics.

  9. Etching of semiconductor cubic crystals: Determination of the dissolution slowness surfaces

    NASA Astrophysics Data System (ADS)

    Tellier, C. R.

    1990-03-01

    Equations of the representative surface of dissolution slowness for cubic crystals are determined in the framework of a tensorial approach of the orientation-dependent etching process. The independent dissolution constants are deduced from symmetry considerations. Using previous data on the chemical etching of germanium and gallium arsenide crystals, some possible polar diagrams of the dissolution slowness are proposed. A numerical and graphical simulation method is used to obtain the derived dissolution shapes. The influence of extrema in the dissolution slowness on the successive dissolution shapes is also examined. A graphical construction of limiting shapes of etched crystals appears possible using the tensorial representation of the dissolution slowness.

  10. Comparative Evaluation of the Etching Pattern of Er,Cr:YSGG & Acid Etching on Extracted Human Teeth-An ESEM Analysis

    PubMed Central

    Mazumdar, Dibyendu; Ranjan, Shashi; Krishna, Naveen Kumar; Kole, Ravindra; Singh, Priyankar; Lakiang, Deirimika; Jayam, Chiranjeevi

    2016-01-01

    Introduction Etching of enamel and dentin surfaces increases the surface area of the substrate for better bonding of the tooth colored restorative materials. Acid etching is the most commonly used method. Recently, hard tissue lasers have been used for this purpose. Aim The aim of the present study was to evaluate and compare the etching pattern of Er,Cr:YSGG and conventional etching on extracted human enamel and dentin specimens. Materials and Methods Total 40 extracted non-diseased teeth were selected, 20 anterior and 20 posterior teeth each for enamel and dentin specimens respectively. The sectioned samples were polished by 400 grit Silicon Carbide (SiC) paper to a thickness of 1.0 ± 0.5 mm. The enamel and dentin specimens were grouped as: GrE1 & GrD1 as control specimens, GrE2 & GrD2 were acid etched and GrE3 & GrD3 were lased. Acid etching was done using Conditioner 36 (37 % phosphoric acid) according to manufacturer instructions. Laser etching was done using Er,Cr:YSGG (Erbium, Chromium : Ytrium Scandium Gallium Garnet) at power settings of 3W, air 70% and water 20%. After surface treatment with assigned agents the specimens were analyzed under ESEM (Environmental Scanning Electron Microscope) at X1000 and X5000 magnification. Results Chi Square and Student “t” statistical analysis was used to compare smear layer removal and etching patterns between GrE2-GrE3. GrD2 and GrD3 were compared for smear layer removal and diameter of dentinal tubule opening using the same statistical analysis. Chi-square test for removal of smear layer in any of the treated surfaces i.e., GrE2-E3 and GrD2-D3 did not differ significantly (p>0.05). While GrE2 showed predominantly type I etching pattern (Chi-square=2.78, 0.05

    0.10) and GrE3 showed type III etching (Chi-square=4.50, p<0.05). The tubule diameters were measured using GSA (Gesellschaft fur Softwareentwicklung und Analytik, Germany) image analyzer and the ‘t’ value of student ‘t’ test was 18.10 which was a

  11. [Evaluation of shear bond strengths of self-etching and total-etching dental adhesives to enamel and dentin].

    PubMed

    Yu, Ling; Liu, Jing-Ming; Wang, Xiao-Yan; Gao, Xue-Jun

    2009-03-01

    To evaluate the shear bond strengths of four dental adhesives in vitro. The facial surfaces of 20 human maxillary incisors were prepared to expose fresh enamel and randomly divided into four groups, in each group 5 teeth were bonded with one adhesives: group A (Clearfil Protect Bond, self-etching two steps), group B (Adper( Prompt, self-etching one step), group C (SwissTEC SL Bond, total-etching two steps), group D (Single Bond, total-etching two steps). Shear bond strengths were determined using an universal testing machine after being stored in distilled water for 24 h at 37 degrees C. The bond strengths to enamel and dentin were (25.33 +/- 2.84) and (26.07 +/- 5.56) MPa in group A, (17.08 +/- 5.13) and (17.93 +/- 4.70) MPa in group B, (33.14 +/- 6.05) and (41.92 +/- 6.25) MPa in group C, (22.51 +/- 6.25) and (21.45 +/- 7.34) MPa in group D. Group C showed the highest and group B the lowest shear bond strength to enamel and dentin among the four groups. The two-step self-etching adhesive showed comparable shear bond strength to some of the total-etching adhesives and higher shear bond strength than one-step self-etching adhesive.

  12. Method of plasma etching GA-based compound semiconductors

    DOEpatents

    Qiu, Weibin; Goddard, Lynford L.

    2013-01-01

    A method of plasma etching Ga-based compound semiconductors includes providing a process chamber and a source electrode adjacent thereto. The chamber contains a Ga-based compound semiconductor sample in contact with a platen which is electrically connected to a first power supply, and the source electrode is electrically connected to a second power supply. SiCl.sub.4 and Ar gases are flowed into the chamber. RF power is supplied to the platen at a first power level, and RF power is supplied to the source electrode. A plasma is generated. Then, RF power is supplied to the platen at a second power level lower than the first power level and no greater than about 30 W. Regions of a surface of the sample adjacent to one or more masked portions of the surface are etched at a rate of no more than about 25 nm/min to create a substantially smooth etched surface.

  13. Personnel neutron dosimetry using electrochemically etched CR-39 foils

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hankins, D.E.; Homann, S.; Westermark, J.

    1986-09-17

    A personnel neutron dosimetry system has been developed based on the electrochemical etching of CR-39 plastic at elevated temperatures. The doses obtained using this dosimeter system are more accurate than those obtained using other dosimetry systems, especially when varied neutron spectra are encountered. This Cr-39 dosimetry system does not have the severe energy dependence that exists with albedo neutron dosimeters or the fading and reading problems encountered with NTA film. The dosimetry system employs an electrochemical etch procedure that be used to process large numbers of Cr-39 dosimeters. The etch procedure is suitable for operations where the number of personnelmore » requires that many CR-39 dosimeters be processed. Experience shows that one full-time technician can etch and evaluate 2000 foils per month. The energy response to neutrons is fairly flat from about 80 keV to 3.5 MeV, but drops by about a factor of three in the 13 to 16 MeV range. The sensitivity of the dosimetry system is about 7 tracks/cm/sup 2//mrem, with a background equivalent to about 8 mrem for new CR-39 foils. The limit of sensitivity is approximately 10 mrem. The dosimeter has a significant variation in directional dependence, dropping to about 20% at 90/sup 0/. This dosimeter has been used for personnel neutron dosimetry at the Lawrence Livermore National Laboratory for more tha 18 months. 6 refs., 23 figs., 2 tabs.« less

  14. Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching: effects of SiO2 chamber wall coating

    NASA Astrophysics Data System (ADS)

    Tinck, S.; Boullart, W.; Bogaerts, A.

    2011-08-01

    In this paper, simulations are performed to gain a better insight into the properties of a Cl2/Ar plasma, with and without O2, during plasma etching of Si. Both plasma and surface properties are calculated in a self-consistent manner. Special attention is paid to the behavior of etch products coming from the wafer or the walls, and how the chamber walls can affect the plasma and the resulting etch process. Two modeling cases are considered. In the first case, the reactor walls are defined as clean (Al2O3), whereas in the second case a SiO2 coating is introduced on the reactor walls before the etching process, so that oxygen will be sputtered from the walls and introduced into the plasma. For this reason, a detailed reaction set is presented for a Cl2/O2/Ar plasma containing etched species, as well as an extensive reaction set for surface processes, including physical and chemical sputtering, chemical etching and deposition processes. Density and flux profiles of various species are presented for a better understanding of the bulk plasma during the etching process. Detailed information is also given on the composition of the surfaces at various locations of the reactor, on the etch products in the plasma and on the surface loss probabilities of the plasma species at the walls, with different compositions. It is found that in the clean chamber, walls are mostly chlorinated (Al2Cl3), with a thin layer of etch products residing on the wall. In the coated chamber, an oxy-chloride layer is grown on the walls for a few nanometers during the etching process. The Cl atom wall loss probability is found to decrease significantly in the coated chamber, hence increasing the etch rate. SiCl2, SiCl4 and SiCl3 are found to be the main etch products in the plasma, with the fraction of SiCl2 being always slightly higher. The simulation results compare well with experimental data available from the literature.

  15. Dislocation substructure of mantle-derived olivine as revealed by selective chemical etching and transmission electron microscopy

    USGS Publications Warehouse

    Kirby, S.H.; Wegner, M.W.

    1978-01-01

    Cleaved and mechanically polished surfaces of olivine from peridotite xenoliths from San Carlos, Arizona, were chemically etched using the techniques of Wegner and Christie (1974). Dislocation etch pits are produced on all surface orientations and they tend to be preferentially aligned along the traces of subgrain boundaries, which are approximately parallel to (100), (010), and (001). Shallow channels were also produced on (010) surfaces and represent dislocations near the surface that are etched out along their lengths. The dislocation etch channel loops are often concentric, and emanate from (100) subgrain boundaries, which suggests that dislocation sources are in the boundaries. Data on subgrain misorientation and dislocation line orientation and arguments based on subgrain boundary energy minimization are used to characterize the dislocation structures of the subgrain boundaries. (010) subgrain boundaries are of the twist type, composed of networks of [100] and [001] screw dislocations. Both (100) and (001) subgrain boundaries are tilt walls composed of arrays of edge dislocation with Burgers vectors b=[100] and [001], respectively. The inferred slip systems are {001} ???100???, {100} ???001???, and {010} ???100??? in order of diminishing importance. Exploratory transmission electron microscopy is in accord with these identifications. The flow stresses associated with the development of the subgrain structure are estimated from the densities of free dislocations and from the subgrain dimensions. Inferred stresses range from 35 to 75 bars using the free dislocation densities and 20 to 100 bars using the subgrain sizes. ?? 1978 Springer-Verlag.

  16. Evaluation of effect of laser etching on shear bond strength between maxillofacial silicone and acrylic resin subjected to accelerated aging process.

    PubMed

    Rhea, Antonette; Ahila, S C; Kumar, B Muthu

    2017-01-01

    Maxillofacial prosthesis are supported by implants, require a retentive matrix to retain the suprastructure. The retentive matrix is made up of acrylic resin to which the silicone prostheses are anchored by micro-mechanical bond. The delamination of silicone away from the retentive matrix is a persisting problem in implant-supported maxillofacial prosthesis. This study aimed to evaluate the effect of laser etching on the shear bond strength (BS) between acrylic resin and maxillofacial silicone, after 24 h of fabrication and after 200 h of accelerated aging. The samples were prepared according to ISO/TR 11405:1994 in maxillofacial silicone and polymethyl methacrylate resin. The untreated samples were Group A (control), Group B (silicon carbide [SiC] paper abrasion 80 grit size), and Group C (erbium-doped yttrium aluminum garnet laser etching). Then, the samples were coated with primer and bonded to maxillofacial silicone. The samples were subjected to shear BS test in an universal testing machine after 24 h of fabrication and after 200 h of accelerated aging. The results were statistically analyzed using one-way ANOVA and Tukey's HSD post hoc test. The shear BS test after 24 h of fabrication showed better BS in SiC paper abrasion. The shear BS test after 200 h of accelerated aging showed better BS in laser etching compared to SiC abrasion. Laser etching produced better shear BS compared to conventional SiC paper abrasion after 200 h of accelerated aging process.

  17. Unveiling the mechanisms of dressed-photon-phonon etching based on hierarchical surface roughness measure

    NASA Astrophysics Data System (ADS)

    Naruse, Makoto; Yatsui, Takashi; Nomura, Wataru; Kawazoe, Tadashi; Aida, Masaki; Ohtsu, Motoichi

    2013-02-01

    Dressed-photon-phonon (DPP) etching is a disruptive technology in planarizing material surfaces because it completely eliminates mechanical contact processes. However, adequate metrics for evaluating the surface roughness and the underlying physical mechanisms are still not well understood. Here, we propose a two-dimensional hierarchical surface roughness measure, inspired by the Allan variance, that represents the effectiveness of DPP etching while conserving the original two-dimensional surface topology. Also, we build a simple physical model of DPP etching that agrees well with the experimental observations, which clearly shows the involvement of the intrinsic hierarchical properties of dressed photons, or optical near-fields, in the surface processing.

  18. An In Vitro Evaluation of Leakage of Two Etch and Rinse and Two Self-Etch Adhesives after Thermocycling

    PubMed Central

    Geerts, Sabine; Bolette, Amandine; Seidel, Laurence; Guéders, Audrey

    2012-01-01

    Our experiment evaluated the microleakage in resin composite restorations bonded to dental tissues with different adhesive systems. 40 class V cavities were prepared on the facial and lingual surfaces of each tooth with coronal margins in enamel and apical margins in cementum (root dentin). The teeth were restored with Z100 resin composite bonded with different adhesive systems: Scotchbond Multipurpose (SBMP), a 3-step Etch and Rinse adhesive, Adper Scotchbond 1 XT (SB1), a 2-step Etch and Rinse adhesive, AdheSE One (ADSE-1), a 1-step Self-Etch adhesive, and AdheSE (ADSE), a 2-step Self-Etch adhesive. Teeth were thermocycled and immersed in 50% silver nitrate solution. When both interfaces were considered, SBMP has exhibited significantly less microleakage than other adhesive systems (resp., for SB1, ADSE-1 and ADSE, P = 0.0007, P < 0.0001 and P < 0.0001). When enamel and dentin interfaces were evaluated separately, (1) for the Self-Etch adhesives, microleakage was found greater at enamel than at dentin interfaces (for ADSE, P = 0.024 and for ADSE-1, P < 0.0001); (2) for the Etch and Rinse adhesive systems, there was no significant difference between enamel and dentin interfaces; (3) SBMP was found significantly better than other adhesives both at enamel and dentin interfaces. In our experiment Etch and Rinse adhesives remain better than Self-Etch adhesives at enamel interface. In addition, there was no statistical difference between 1-step (ADSE-1) and 2-step (ADSE) Self-Etch adhesives. PMID:22675358

  19. Method Of Charging Maintenance-Free Nickel Metal Hydride Storage Cells

    DOEpatents

    Berlureau, Thierry; Liska, Jean-Louis

    1999-11-16

    A method of charging an industrial maintenance-free Ni-MH storage cell, the method comprising in combination a first stage at a constant current I.sub.1 lying in the range I.sub.c /10 to I.sub.c /2, and a second stage at a constant current I.sub.2 lying in the range I.sub.c /50 to I.sub.c /10, the changeover from the first stage to the second stage taking place when the time derivative of the temperature reaches a threshold value which varies as a function of the temperature at the time of the changeover.

  20. Mechanisms of Hydrocarbon Based Polymer Etch

    NASA Astrophysics Data System (ADS)

    Lane, Barton; Ventzek, Peter; Matsukuma, Masaaki; Suzuki, Ayuta; Koshiishi, Akira

    2015-09-01

    Dry etch of hydrocarbon based polymers is important for semiconductor device manufacturing. The etch mechanisms for oxygen rich plasma etch of hydrocarbon based polymers has been studied but the mechanism for lean chemistries has received little attention. We report on an experimental and analytic study of the mechanism for etching of a hydrocarbon based polymer using an Ar/O2 chemistry in a single frequency 13.56 MHz test bed. The experimental study employs an analysis of transients from sequential oxidation and Ar sputtering steps using OES and surface analytics to constrain conceptual models for the etch mechanism. The conceptual model is consistent with observations from MD studies and surface analysis performed by Vegh et al. and Oehrlein et al. and other similar studies. Parameters of the model are fit using published data and the experimentally observed time scales.

  1. Effect of a functional monomer (MDP) on the enamel bond durability of single-step self-etch adhesives.

    PubMed

    Tsuchiya, Kenji; Takamizawa, Toshiki; Barkmeier, Wayne W; Tsubota, Keishi; Tsujimoto, Akimasa; Berry, Thomas P; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2016-02-01

    The present study aimed to determine the effect of the functional monomer, 10-methacryloxydecyl dihydrogen phosphate (MDP), on the enamel bond durability of single-step self-etch adhesives through integrating fatigue testing and long-term water storage. An MDP-containing self-etch adhesive, Clearfil Bond SE ONE (SE), and an experimental adhesive, MDP-free (MF), which comprised the same ingredients as SE apart from MDP, were used. Shear bond strength (SBS) and shear fatigue strength (SFS) were measured with or without phosphoric acid pre-etching. The specimens were stored in distilled water for 24 h, 6 months, or 1 yr. Although similar SBS and SFS values were obtained for SE with pre-etching and for MF after 24 h of storage in distilled water, SE with pre-etching showed higher SBS and SFS values than MF after storage in water for 6 months or 1 yr. Regardless of the pre-etching procedure, SE showed higher SBS and SFS values after 6 months of storage in distilled water than after 24 h or 1 yr. To conclude, MDP might play an important role in enhancing not only bond strength but also bond durability with respect to repeated subcritical loading after long-term water storage. © 2015 Eur J Oral Sci.

  2. Semiconductor etching by hyperthermal neutral beams

    NASA Technical Reports Server (NTRS)

    Minton, Timothy K. (Inventor); Giapis, Konstantinos P. (Inventor)

    1999-01-01

    An at-least dual chamber apparatus and method in which high flux beams of fast moving neutral reactive species are created, collimated and used to etch semiconductor or metal materials from the surface of a workpiece. Beams including halogen atoms are preferably used to achieve anisotropic etching with good selectivity at satisfactory etch rates. Surface damage and undercutting are minimized.

  3. Atomic-layer soft plasma etching of MoS2

    PubMed Central

    Xiao, Shaoqing; Xiao, Peng; Zhang, Xuecheng; Yan, Dawei; Gu, Xiaofeng; Qin, Fang; Ni, Zhenhua; Han, Zhao Jun; Ostrikov, Kostya (Ken)

    2016-01-01

    Transition from multi-layer to monolayer and sub-monolayer thickness leads to the many exotic properties and distinctive applications of two-dimensional (2D) MoS2. This transition requires atomic-layer-precision thinning of bulk MoS2 without damaging the remaining layers, which presently remains elusive. Here we report a soft, selective and high-throughput atomic-layer-precision etching of MoS2 in SF6 + N2 plasmas with low-energy (<0.4 eV) electrons and minimized ion-bombardment-related damage. Equal numbers of MoS2 layers are removed uniformly across domains with vastly different initial thickness, without affecting the underlying SiO2 substrate and the remaining MoS2 layers. The etching rates can be tuned to achieve complete MoS2 removal and any desired number of MoS2 layers including monolayer. Layer-dependent vibrational and photoluminescence spectra of the etched MoS2 are also demonstrated. This soft plasma etching technique is versatile, scalable, compatible with the semiconductor manufacturing processes, and may be applicable for a broader range of 2D materials and intended device applications. PMID:26813335

  4. Relevance of LiPF6 as Etching Agent of LiMnPO4 Colloidal Nanocrystals for High Rate Performing Li-ion Battery Cathodes.

    PubMed

    Chen, Lin; Dilena, Enrico; Paolella, Andrea; Bertoni, Giovanni; Ansaldo, Alberto; Colombo, Massimo; Marras, Sergio; Scrosati, Bruno; Manna, Liberato; Monaco, Simone

    2016-02-17

    LiMnPO4 is an attractive cathode material for the next-generation high power Li-ion batteries, due to its high theoretical specific capacity (170 mA h g(-1)) and working voltage (4.1 V vs Li(+)/Li). However, two main drawbacks prevent the practical use of LiMnPO4: its low electronic conductivity and the limited lithium diffusion rate, which are responsible for the poor rate capability of the cathode. The electronic resistance is usually lowered by coating the particles with carbon, while the use of nanosize particles can alleviate the issues associated with poor ionic conductivity. It is therefore of primary importance to develop a synthetic route to LiMnPO4 nanocrystals (NCs) with controlled size and coated with a highly conductive carbon layer. We report here an effective surface etching process (using LiPF6) on colloidally synthesized LiMnPO4 NCs that makes the NCs dispersible in the aqueous glucose solution used as carbon source for the carbon coating step. Also, it is likely that the improved exposure of the NC surface to glucose facilitates the formation of a conductive carbon layer that is in intimate contact with the inorganic core, resulting in a high electronic conductivity of the electrode, as observed by us. The carbon coated etched LiMnPO4-based electrode exhibited a specific capacity of 118 mA h g(-1) at 1C, with a stable cycling performance and a capacity retention of 92% after 120 cycles at different C-rates. The delivered capacities were higher than those of electrodes based on not etched carbon coated NCs, which never exceeded 30 mA h g(-1). The rate capability here reported for the carbon coated etched LiMnPO4 nanocrystals represents an important result, taking into account that in the electrode formulation 80% wt is made of the active material and the adopted charge protocol is based on reasonable fast charge times.

  5. Graphene nanoribbon field-effect transistors fabricated by etchant-free transfer from Au(788)

    NASA Astrophysics Data System (ADS)

    Ohtomo, Manabu; Sekine, Yoshiaki; Hibino, Hiroki; Yamamoto, Hideki

    2018-01-01

    We report etching-free and iodine-free transfer of highly aligned array of armchair-edge graphene nanoribbons (ACGNRs) and their field-effect transistor (FET) characteristics. They were prepared by on-surface polymerization on Au(788) templates. The ACGNRs were mechanically delaminated and transferred onto insulating substrates with the aid of a nano-porous support layer composed of hydrogen silsesquioxane (HSQ). The key process in the mechanical delamination is the intercalation of octanethiol self-assembled monolayers (SAMs), which penetrate the HSQ layer and intercalate between the ACGNRs and Au(788). After the transfer, the octanethiol SAMs were removed with Piranha solution, enabling the reuse of the Au single crystals. The FETs fabricated with the transferred ACGNR array showed ambipolar behavior when the channel length was as long as 60 nm. Quasi-one-dimensional conductivity was observed, which implies a good alignment of GNRs after the transfer. In contrast, short-channel ACGNR FETs (channel length ˜20 nm) suffer from a geometry-dependent short-channel effect. This effect is more severe in the FETs with ACGNRs parallel to the channel, which is an ideal geometry, than in ones perpendicular to the channel. Since the ID-VD curve is well fitted by the power-law model, the short-channel effect likely stems from the space-charge limited current effect, while the wide charge-transfer region in the GNR channel can be another possible cause for the short-channel effect. These results provide us with important insights into the designing short-channel GNR-FETs with improved performance.

  6. Triangle pore arrays fabricated on Si (111) substrate by sphere lithography combined with metal-assisted chemical etching and anisotropic chemical etching

    NASA Astrophysics Data System (ADS)

    Asoh, Hidetaka; Fujihara, Kosuke; Ono, Sachiko

    2012-07-01

    The morphological change of silicon macropore arrays formed by metal-assisted chemical etching using shape-controlled Au thin film arrays was investigated during anisotropic chemical etching in tetramethylammonium hydroxide (TMAH) aqueous solution. After the deposition of Au as the etching catalyst on (111) silicon through a honeycomb mask prepared by sphere lithography, the specimens were etched in a mixed solution of HF and H2O2 at room temperature, resulting in the formation of ordered macropores in silicon along the [111] direction, which is not achievable by conventional chemical etching without a catalyst. In the anisotropic etching in TMAH, the macropores changed from being circular to being hexagonal and finally to being triangular, owing to the difference in etching rate between the crystal planes.

  7. 29 CFR 1641.6 - Processing of charges filed with EEOC.

    Code of Federal Regulations, 2013 CFR

    2013-07-01

    ... 29 Labor 4 2013-07-01 2013-07-01 false Processing of charges filed with EEOC. 1641.6 Section 1641.6 Labor Regulations Relating to Labor (Continued) EQUAL EMPLOYMENT OPPORTUNITY COMMISSION PROCEDURES... HOLDING GOVERNMENT CONTRACTS OR SUBCONTRACTS § 1641.6 Processing of charges filed with EEOC. (a) ADA cause...

  8. 29 CFR 1641.6 - Processing of charges filed with EEOC.

    Code of Federal Regulations, 2012 CFR

    2012-07-01

    ... 29 Labor 4 2012-07-01 2012-07-01 false Processing of charges filed with EEOC. 1641.6 Section 1641.6 Labor Regulations Relating to Labor (Continued) EQUAL EMPLOYMENT OPPORTUNITY COMMISSION PROCEDURES... HOLDING GOVERNMENT CONTRACTS OR SUBCONTRACTS § 1641.6 Processing of charges filed with EEOC. (a) ADA cause...

  9. 29 CFR 1641.6 - Processing of charges filed with EEOC.

    Code of Federal Regulations, 2010 CFR

    2010-07-01

    ... 29 Labor 4 2010-07-01 2010-07-01 false Processing of charges filed with EEOC. 1641.6 Section 1641.6 Labor Regulations Relating to Labor (Continued) EQUAL EMPLOYMENT OPPORTUNITY COMMISSION PROCEDURES... HOLDING GOVERNMENT CONTRACTS OR SUBCONTRACTS § 1641.6 Processing of charges filed with EEOC. (a) ADA cause...

  10. 29 CFR 1641.6 - Processing of charges filed with EEOC.

    Code of Federal Regulations, 2011 CFR

    2011-07-01

    ... 29 Labor 4 2011-07-01 2011-07-01 false Processing of charges filed with EEOC. 1641.6 Section 1641.6 Labor Regulations Relating to Labor (Continued) EQUAL EMPLOYMENT OPPORTUNITY COMMISSION PROCEDURES... HOLDING GOVERNMENT CONTRACTS OR SUBCONTRACTS § 1641.6 Processing of charges filed with EEOC. (a) ADA cause...

  11. 29 CFR 1641.6 - Processing of charges filed with EEOC.

    Code of Federal Regulations, 2014 CFR

    2014-07-01

    ... 29 Labor 4 2014-07-01 2014-07-01 false Processing of charges filed with EEOC. 1641.6 Section 1641.6 Labor Regulations Relating to Labor (Continued) EQUAL EMPLOYMENT OPPORTUNITY COMMISSION PROCEDURES... HOLDING GOVERNMENT CONTRACTS OR SUBCONTRACTS § 1641.6 Processing of charges filed with EEOC. (a) ADA cause...

  12. Inductively Coupled Plasma-Induced Electrical Damage on HgCdTe Etched Surface at Cryogenic Temperatures

    NASA Astrophysics Data System (ADS)

    Liu, L. F.; Chen, Y. Y.; Ye, Z. H.; Hu, X. N.; Ding, R. J.; He, L.

    2018-03-01

    Plasma etching is a powerful technique for transferring high-resolution lithographic patterns into HgCdTe material with low etch-induced damage, and it is important for fabricating small-pixel-size HgCdTe infrared focal plane array (IRFPA) detectors. P- to n-type conversion is known to occur during plasma etching of vacancy-doped HgCdTe; however, it is usually unwanted and its removal requires extra steps. Etching at cryogenic temperatures can reduce the etch-induced type conversion depth in HgCdTe via the electrical damage mechanism. Laser beam-induced current (LBIC) is a nondestructive photoelectric characterization technique which can provide information regarding the vertical and lateral electrical field distribution, such as defects and p-n junctions. In this work, inductively coupled plasma (ICP) etching of HgCdTe was implemented at cryogenic temperatures. For an Ar/CH4 (30:1 in SCCM) plasma with ICP input power of 1000 W and RF-coupled DC bias of ˜ 25 V, a HgCdTe sample was dry-etched at 123 K for 5 min using ICP. The sample was then processed to remove a thin layer of the plasma-etched region while maintaining a ladder-like damaged layer by continuously controlling the wet chemical etching time. Combining the ladder etching method and LBIC measurement, the ICP etching-induced electrical damage depth was measured and estimated to be about 20 nm. The results indicate that ICP etching at cryogenic temperatures can significantly suppress plasma etching-induced electrical damage, which is beneficial for defining HgCdTe mesa arrays.

  13. Investigation of Nitride Morphology After Self-Aligned Contact Etch

    NASA Technical Reports Server (NTRS)

    Hwang, Helen H.; Keil, J.; Helmer, B. A.; Chien, T.; Gopaladasu, P.; Kim, J.; Shon, J.; Biegel, Bryan (Technical Monitor)

    2001-01-01

    Self-Aligned Contact (SAC) etch has emerged as a key enabling technology for the fabrication of very large-scale memory devices. However, this is also a very challenging technology to implement from an etch viewpoint. The issues that arise range from poor oxide etch selectivity to nitride to problems with post etch nitride surface morphology. Unfortunately, the mechanisms that drive nitride loss and surface behavior remain poorly understood. Using a simple langmuir site balance model, SAC nitride etch simulations have been performed and compared to actual etched results. This approach permits the study of various etch mechanisms that may play a role in determining nitride loss and surface morphology. Particle trajectories and fluxes are computed using Monte-Carlo techniques and initial data obtained from double Langmuir probe measurements. Etched surface advancement is implemented using a shock tracking algorithm. Sticking coefficients and etch yields are adjusted to obtain the best agreement between actual etched results and simulated profiles.

  14. Characterization and charge distribution of the asparagine-linked oligosaccharides on secreted mouse thyrotropin and free alpha-subunits

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gesundheit, N.; Gyves, P.W.; DeCherney, G.S.

    1989-06-01

    Mouse hemipituitaries in vitro secrete TSH, composed of an alpha-beta heterodimer, as well as excess (free) alpha-subunits. By dual metabolic labeling with (35S)sulfate and (3H)mannose, we have characterized oligosaccharides from secreted TSH alpha, TSH beta, and free alpha-subunits released from the apoprotein by enzymatic deglycosylation. Oligosaccharides from each subunit displayed a distinct anion exchange HPLC profile due to a specific pattern of sialylation and sulfation. Six species were obtained from TSH alpha (with two glycosylation sites), including neutral oligosaccharides as well as those with one or two negative charges. For TSH beta (with one glycosylation site) at least eight oligosaccharidemore » species were noted, representing nearly every permutation of sialylation and sulfation; approximately 30% contained three or more negative charges. Analysis of (3H)mannose-labeled oligosaccharides on Concanavalin-A-agarose showed 85% binding for those from TSH alpha, 70% for free alpha, and 50% for those from TSH beta. These data demonstrate that oligosaccharides from secreted TSH beta were more sialylated and sulfated, consistent with a more complex branching pattern, than those from TSH alpha. Oligosaccharides from free alpha-subunit were more sialylated than those from TSH alpha, and the net negative charge was intermediate between those of TSH alpha and TSH beta. Although great microheterogeneity is present even at the single glycosylation site on the beta-subunit of secreted TSH, a pattern of sialylation and sulfation could be discerned.« less

  15. Near-infrared diode laser hydrogen fluoride monitor for dielectric etch

    NASA Astrophysics Data System (ADS)

    Xu, Ning; Pirkle, David R.; Jeffries, Jay B.; McMillin, Brian; Hanson, Ronald K.

    2004-11-01

    A hydrogen fluoride (HF) monitor, using a tunable diode laser, is designed and used to detect the etch endpoints for dielectric film etching in a commercial plasma reactor. The reactor plasma contains HF, a reaction product of feedstock gas CF4 and the hydrogen-containing films (photoresist, SiOCH) on the substrate. A near-infrared diode laser is used to scan the P(3) transition in the first overtone of HF near 1.31 μm to monitor changes in the level of HF concentration in the plasma. Using 200 ms averaging and a signal modulation technique, we estimate a minimum detectable HF absorbance of 6×10-5 in the etch plasma, corresponding to an HF partial pressure of 0.03 mTorr. The sensor could indicate, in situ, the SiOCH over tetraethoxysilane oxide (TEOS) trench endpoint, which was not readily discerned by optical emission. These measurements demonstrate the feasibility of a real-time diode laser-based sensor for etch endpoint monitoring and a potential for process control.

  16. Development of Annealing-Free, Solution-Processable Inverted Organic Solar Cells with N-Doped Graphene Electrodes using Zinc Oxide Nanoparticles.

    PubMed

    Jung, Seungon; Lee, Junghyun; Seo, Jihyung; Kim, Ungsoo; Choi, Yunseong; Park, Hyesung

    2018-02-14

    An annealing-free process is considered as a technological advancement for the development of flexible (or wearable) organic electronic devices, which can prevent the distortion of substrates and damage to the active components of the device and simplify the overall fabrication process to increase the industrial applications. Owing to its outstanding electrical, optical, and mechanical properties, graphene is seen as a promising material that could act as a transparent conductive electrode for flexible optoelectronic devices. Owing to their high transparency and electron mobility, zinc oxide nanoparticles (ZnO-NP) are attractive and promising for their application as charge transporting materials for low-temperature processes in organic solar cells (OSCs), particularly because most charge transporting materials require annealing treatments at elevated temperatures. In this study, graphene/annealing-free ZnO-NP hybrid materials were developed for inverted OSC by successfully integrating ZnO-NP on the hydrophobic surface of graphene, thus aiming to enhance the applicability of graphene as a transparent electrode in flexible OSC systems. Chemical, optical, electrical, and morphological analyses of ZnO-NPs showed that the annealing-free process generates similar results to those provided by the conventional annealing process. The approach was effectively applied to graphene-based inverted OSCs with notable power conversion efficiencies of 8.16% and 7.41% on the solid and flexible substrates, respectively, which promises the great feasibility of graphene for emerging optoelectronic device applications.

  17. Development of a high-yield via-last through silicon via process using notchless silicon etching and wet cleaning of the first metal layer

    NASA Astrophysics Data System (ADS)

    Watanabe, Naoya; Kikuchi, Hidekazu; Yanagisawa, Azusa; Shimamoto, Haruo; Kikuchi, Katsuya; Aoyagi, Masahiro; Nakamura, Akio

    2017-07-01

    A high-yield via-last through silicon via (TSV) process has been developed using notchless Si etching and wet cleaning of the first metal layer. In this process, the notching was suppressed by optimizing the deep Si etching conditions and wet cleaning was performed using an organic alkaline solution to remove reaction products generated by the etchback step on the first metal layer. By this process, a number of small TSVs (TSV diameter: 6 µm TSV depth: 22 µm number of TSVs: 20,000/chip) could be formed uniformly on an 8-in. wafer. The electrical characteristics of small TSVs formed by this via-last TSV process were investigated. The TSV resistance determined by four-terminal measurements was approximately 24 mΩ. The leakage current between the TSV and the Si substrate was 2.5 pA at 5 V. The TSV capacitance determined using an inductance-capacitance-resistance (LCR) meter was 54 fF, while the TSV yield determined from TSV chain measurements was high (83%) over an 8-in. wafer.

  18. High rate dry etching of (BiSb)2Te3 film by CH4/H2-based plasma

    NASA Astrophysics Data System (ADS)

    Song, Junqiang; Shi, Xun; Chen, Lidong

    2014-10-01

    Etching characteristics of p-type (BiSb)2Te3 films were studied with CH4/H2/Ar gas mixture using an inductively coupled plasma (ICP)-reactive ion etching (RIE) system. The effects of gas mixing ratio, working pressure and gas flow rate on the etch rate and the surface morphology were investigated. The vertical etched profile with the etch rate of 600 nm/min was achieved at the optimized processing parameters. X-ray photoelectron spectroscopy (XPS) analysis revealed the non-uniform etching of (BiSb)2Te3 films due to disparate volatility of the etching products. Micro-masking effects caused by polymer deposition and Bi-rich residues resulted in roughly etched surfaces. Smooth surfaces can be obtained by optimizing the CH4/H2/Ar mixing ratio.

  19. Bend measurement using an etched fiber incorporating a fiber Bragg grating.

    PubMed

    Rauf, Abdul; Zhao, Jianlin; Jiang, Biqiang; Jiang, Yajun; Jiang, Wei

    2013-01-15

    A fiber Bragg grating (FBG) based bend measurement method using an etched fiber is proposed that utilizes the coupling of the core mode to the cladding and radiation modes at the bending region. An etching region of 99 µm diameter that serves as bend sensing head is achieved at 10 mm upstream the FBG through processing in 40% hydrofluoric acid, while the FBG acts as a narrowband reflector to enhance the sensitivity. The power variation curves are obtained for a wide range of bend angles, but the performance is limited due to the presence of the loss peaks. The sensing response is improved by immersing the etching region in a refractive index matching gel. The results are analyzed by using curve fitting formulas and are in good agreement. A large dynamic range of -27° to +27° and sensitivity of 0.43 dBm/deg is achieved, which can be enhanced by reducing the etched diameter.

  20. Overview of atomic layer etching in the semiconductor industry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kanarik, Keren J., E-mail: keren.kanarik@lamresearch.com; Lill, Thorsten; Hudson, Eric A.

    2015-03-15

    Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article providesmore » defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.« less

  1. Photoelectrochemical etching of epitaxial InGaN thin films: Self-limited kinetics and nanostructuring

    DOE PAGES

    Xiao, Xiaoyin; Fischer, Arthur J.; Coltrin, Michael E.; ...

    2014-10-22

    We report here the characteristics of photoelectrochemical (PEC) etching of epitaxial InGaN semiconductor thin films using narrowband lasers with linewidth less than ~1 nm. In the initial stages of PEC etching, when the thin film is flat, characteristic voltammogram shapes are observed. At low photo-excitation rates, voltammograms are S-shaped, indicating the onset of a voltage-independent rate-limiting process associated with electron-hole-pair creation and/or annihilation. At high photo-excitation rates, voltammograms are superlinear in shape, indicating, for the voltage ranges studied here, a voltage-dependent rate-limiting process associated with surface electrochemical oxidation. As PEC etching proceeds, the thin film becomes rough at the nanoscale,more » and ultimately evolves into an ensemble of nanoparticles. As a result, this change in InGaN film volume and morphology leads to a characteristic dependence of PEC etch rate on time: an incubation time, followed by a rise, then a peak, then a slow decay.« less

  2. Anisotropic etching of amorphous perfluoropolymer films in oxygen-based inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ono, Takao; Akagi, Takanori; Center for NanoBio Integration, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656

    2009-01-01

    An amorphous perfluoropolymer, 'Cytop' (Asahi Glass Co., Ltd.), is a preferable material for the fabrication of micro total analysis system devices because of its superior optical transparency over a wide wavelength range and low refractive index of 1.34, which is almost the same as that of water, as well as excellent chemical stability. To establish the precise microfabrication technology for this unique resin, the dry etching of the amorphous perfluoropolymer in Ar/O{sub 2} low-pressure inductively coupled plasma has been studied. A relatively high etch rate of approximately 6.3 {mu}m/min at maximum and highly anisotropic etched features was attained. Plasma measurementsmore » by a single Langmuir probe technique and actinometry revealed that etching is dominated by ion-assisted surface desorption above a 10%O{sub 2} mixing ratio, whereas the supply of active oxygen species is the rate-limiting process below 10%. Moreover, angled x-ray photoelectron spectroscopy measurements of an etched trench pattern revealed that a high anisotropy is attributed to the formation of a carbon-rich sidewall protection layer.« less

  3. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    PubMed

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  4. Comparison of RESP and IPolQ-Mod Partial Charges for Solvation Free Energy Calculations of Various Solute/Solvent Pairs.

    PubMed

    Mecklenfeld, Andreas; Raabe, Gabriele

    2017-12-12

    The calculation of solvation free energies ΔG solv by molecular simulations is of great interest as they are linked to other physical properties such as relative solubility, partition coefficient, and activity coefficient. However, shortcomings in molecular models can lead to ΔG solv deviations from experimental data. Various studies have demonstrated the impact of partial charges on free energy results. Consequently, calculation methods for partial charges aimed at more accurate ΔG solv predictions are the subject of various studies in the literature. Here we compare two methods to derive partial charges for the general AMBER force field (GAFF), i.e. the default RESP as well as the physically motivated IPolQ-Mod method that implicitly accounts for polarization costs. We study 29 solutes which include characteristic functional groups of drug-like molecules, and 12 diverse solvents were examined. In total, we consider 107 solute/solvent pairs including two water models TIP3P and TIP4P/2005. Comparison with experimental results yields better agreement for TIP3P, regardless of the partial charge scheme. The overall performance of GAFF/RESP and GAFF/IPolQ-Mod is similar, though specific shortcomings in the description of ΔG solv for both RESP and IPolQ-Mod can be identified. However, the high correlation between free energies obtained with GAFF/RESP and GAFF/IPolQ-Mod demonstrates the compatibility between the modified charges and remaining GAFF parameters.

  5. Pulsed Laser-Assisted Focused Electron-Beam-Induced Etching of Titanium with XeF 2 : Enhanced Reaction Rate and Precursor Transport

    DOE PAGES

    Noh, J. H.; Fowlkes, J. D.; Timilsina, R.; ...

    2015-01-28

    We introduce a laser-assisted focused electron-beam-induced etching (LA-FEBIE) process which is a versatile, direct write nanofabrication method that allows nanoscale patterning and editing; we do this in order to enhance the etch rate of electron-beam-induced etching. The results demonstrate that the titanium electron stimulated etch rate via the XeF2 precursor can be enhanced up to a factor of 6 times with an intermittent pulsed laser assist. Moreover, the evolution of the etching process is correlated to in situ stage current measurements and scanning electron micrographs as a function of time. Finally, the increased etch rate is attributed to photothermally enhancedmore » Ti–F reaction and TiF4 desorption and in some regimes enhanced XeF2 surface diffusion to the reaction zone.« less

  6. Describing long-range charge-separation processes with subsystem density-functional theory

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Solovyeva, Alisa; Neugebauer, Johannes, E-mail: j.neugebauer@uni-muenster.de; Pavanello, Michele, E-mail: m.pavanello@rutgers.edu

    2014-04-28

    Long-range charge-transfer processes in extended systems are difficult to describe with quantum chemical methods. In particular, cost-effective (non-hybrid) approximations within time-dependent density functional theory (DFT) are not applicable unless special precautions are taken. Here, we show that the efficient subsystem DFT can be employed as a constrained DFT variant to describe the energetics of long-range charge-separation processes. A formal analysis of the energy components in subsystem DFT for such excitation energies is presented, which demonstrates that both the distance dependence and the long-range limit are correctly described. In addition, electronic couplings for these processes as needed for rate constants inmore » Marcus theory can be obtained from this method. It is shown that the electronic structure of charge-separated states constructed by a positively charged subsystem interacting with a negatively charged one is difficult to converge — charge leaking from the negative subsystem to the positive one can occur. This problem is related to the delocalization error in DFT and can be overcome with asymptotically correct exchange–correlation (XC) potentials or XC potentials including a sufficiently large amount of exact exchange. We also outline an approximate way to obtain charge-transfer couplings between locally excited and charge-separated states.« less

  7. Selective deposition for ''chamber clean-free'' processes using tailored voltage waveform plasmas

    NASA Astrophysics Data System (ADS)

    Wang, Junkang; v. Johnson, Erik

    2016-09-01

    Tailored Voltage Waveforms (TVWs) have been proven capable of creating plasma asymmetries in otherwise symmetric CCP reactors. Particularly, sawtooth TVWs (described as having strong slope-asymmetry due to different voltage rise/fall slope) can lead to different sheath dynamics, thus generating strongly asymmetric ionization near each electrode. To date, research concerning the slope-asymmetry has only focused on single-gas plasmas. Herein, we present a study looking at SiF4/H2/Ar mixtures to investigate silicon thin film deposition. The resulting surface process depends strongly on multiple precursors, and the deposition requires a specific balance between surface arrival rates of SiFx and H. For a certain gas flow ratio, we can obtain a deposition rate of 0.82Å/s on one electrode and an etching rate of 1.2Å/s on the other. Moreover, the deposition/etching balance can be controlled by H2 flow and waveform amplitude. This is uniquely possible due to the mixed-gas nature of the process and localized ionization generated by sawtooth TVWs. This encourages the prospect that one could choose process conditions to achieve a variety of desired depositions on one electrode, while leaving the other pristine.

  8. Distinguishing shocked from tectonically deformed quartz by the use of the SEM and chemical etching

    USGS Publications Warehouse

    Gratz, A.J.; Fisler, D.K.; Bohor, B.F.

    1996-01-01

    Multiple sets of crystallographically-oriented planar deformation features (PDFs) are generated by high-strain-rate shock waves at pressures of > 12 GPa in naturally shocked quartz samples. On surfaces, PDFs appear as narrow (50-500 nm) lamellae filled with amorphosed quartz (diaplectic glass) which can be etched with hydrofluoric acid or with hydrothermal alkaline solutions. In contrast, slow-strain-rate tectonic deformation pressure produces wider, semi-linear and widely spaced arrays of dislocation loops that are not glass filled. Etching samples with HF before examination in a scanning electron microscope (SEM) allows for unambiguous visual distinction between glass-filled PDFs and glass-free tectonic deformation arrays in quartz. This etching also reveals the internal 'pillaring' often characteristic of shock-induced PDFs. This technique is useful for easily distinguishing between shock and tectonic deformation in quartz, but does not replace optical techniques for characterizing the shock features.

  9. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  10. High-uniformity centimeter-wide Si etching method for MEMS devices with large opening elements

    NASA Astrophysics Data System (ADS)

    Okamoto, Yuki; Tohyama, Yukiya; Inagaki, Shunsuke; Takiguchi, Mikio; Ono, Tomoki; Lebrasseur, Eric; Mita, Yoshio

    2018-04-01

    We propose a compensated mesh pattern filling method to achieve highly uniform wafer depth etching (over hundreds of microns) with a large-area opening (over centimeter). The mesh opening diameter is gradually changed between the center and the edge of a large etching area. Using such a design, the etching depth distribution depending on sidewall distance (known as the local loading effect) inversely compensates for the over-centimeter-scale etching depth distribution, known as the global or within-die(chip)-scale loading effect. Only a single DRIE with test structure patterns provides a micro-electromechanical systems (MEMS) designer with the etched depth dependence on the mesh opening size as well as on the distance from the chip edge, and the designer only has to set the opening size so as to obtain a uniform etching depth over the entire chip. This method is useful when process optimization cannot be performed, such as in the cases of using standard conditions for a foundry service and of short turn-around-time prototyping. To demonstrate, a large MEMS mirror that needed over 1 cm2 of backside etching was successfully fabricated using as-is-provided DRIE conditions.

  11. Are hot charge transfer states the primary cause of efficient free-charge generation in polymer:fullerene organic photovoltaic devices? A kinetic Monte Carlo study.

    PubMed

    Jones, Matthew L; Dyer, Reesha; Clarke, Nigel; Groves, Chris

    2014-10-14

    Kinetic Monte Carlo simulations are used to examine the effect of high-energy, 'hot' delocalised charge transfer (HCT) states for donor:acceptor and mixed:aggregate blends, the latter relating to polymer:fullerene photovoltaic devices. Increased fullerene aggregation is shown to enhance charge generation and short-circuit device current - largely due to the increased production of HCT states at the aggregate interface. However, the instances where HCT states are predicted to give internal quantum efficiencies in the region of 50% do not correspond to HCT delocalisation or electron mobility measured in experiments. These data therefore suggest that HCT states are not the primary cause of high quantum efficiencies in some polymer:fullerene OPVs. Instead it is argued that HCT states are responsible for the fast charge generation seen in spectroscopy, but that regional variation in energy levels are the cause of long-term, efficient free-charge generation.

  12. Selective etching of silicon carbide films

    DOEpatents

    Gao, Di; Howe, Roger T.; Maboudian, Roya

    2006-12-19

    A method of etching silicon carbide using a nonmetallic mask layer. The method includes providing a silicon carbide substrate; forming a non-metallic mask layer by applying a layer of material on the substrate; patterning the mask layer to expose underlying areas of the substrate; and etching the underlying areas of the substrate with a plasma at a first rate, while etching the mask layer at a rate lower than the first rate.

  13. Affine-response model of molecular solvation of ions: Accurate predictions of asymmetric charging free energies.

    PubMed

    Bardhan, Jaydeep P; Jungwirth, Pavel; Makowski, Lee

    2012-09-28

    Two mechanisms have been proposed to drive asymmetric solvent response to a solute charge: a static potential contribution similar to the liquid-vapor potential, and a steric contribution associated with a water molecule's structure and charge distribution. In this work, we use free-energy perturbation molecular-dynamics calculations in explicit water to show that these mechanisms act in complementary regimes; the large static potential (∼44 kJ/mol/e) dominates asymmetric response for deeply buried charges, and the steric contribution dominates for charges near the solute-solvent interface. Therefore, both mechanisms must be included in order to fully account for asymmetric solvation in general. Our calculations suggest that the steric contribution leads to a remarkable deviation from the popular "linear response" model in which the reaction potential changes linearly as a function of charge. In fact, the potential varies in a piecewise-linear fashion, i.e., with different proportionality constants depending on the sign of the charge. This discrepancy is significant even when the charge is completely buried, and holds for solutes larger than single atoms. Together, these mechanisms suggest that implicit-solvent models can be improved using a combination of affine response (an offset due to the static potential) and piecewise-linear response (due to the steric contribution).

  14. Affine-response model of molecular solvation of ions: Accurate predictions of asymmetric charging free energies

    PubMed Central

    Bardhan, Jaydeep P.; Jungwirth, Pavel; Makowski, Lee

    2012-01-01

    Two mechanisms have been proposed to drive asymmetric solvent response to a solute charge: a static potential contribution similar to the liquid-vapor potential, and a steric contribution associated with a water molecule's structure and charge distribution. In this work, we use free-energy perturbation molecular-dynamics calculations in explicit water to show that these mechanisms act in complementary regimes; the large static potential (∼44 kJ/mol/e) dominates asymmetric response for deeply buried charges, and the steric contribution dominates for charges near the solute-solvent interface. Therefore, both mechanisms must be included in order to fully account for asymmetric solvation in general. Our calculations suggest that the steric contribution leads to a remarkable deviation from the popular “linear response” model in which the reaction potential changes linearly as a function of charge. In fact, the potential varies in a piecewise-linear fashion, i.e., with different proportionality constants depending on the sign of the charge. This discrepancy is significant even when the charge is completely buried, and holds for solutes larger than single atoms. Together, these mechanisms suggest that implicit-solvent models can be improved using a combination of affine response (an offset due to the static potential) and piecewise-linear response (due to the steric contribution). PMID:23020318

  15. Prediction of plasma-induced damage distribution during silicon nitride etching using advanced three-dimensional voxel model

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuboi, Nobuyuki, E-mail: Nobuyuki.Kuboi@jp.sony.com; Tatsumi, Tetsuya; Kinoshita, Takashi

    2015-11-15

    The authors modeled SiN film etching with hydrofluorocarbon (CH{sub x}F{sub y}/Ar/O{sub 2}) plasma considering physical (ion bombardment) and chemical reactions in detail, including the reactivity of radicals (C, F, O, N, and H), the area ratio of Si dangling bonds, the outflux of N and H, the dependence of the H/N ratio on the polymer layer, and generation of by-products (HCN, C{sub 2}N{sub 2}, NH, HF, OH, and CH, in addition to CO, CF{sub 2}, SiF{sub 2}, and SiF{sub 4}) as ion assistance process parameters for the first time. The model was consistent with the measured C-F polymer layer thickness,more » etch rate, and selectivity dependence on process variation for SiN, SiO{sub 2}, and Si film etching. To analyze the three-dimensional (3D) damage distribution affected by the etched profile, the authors developed an advanced 3D voxel model that can predict the time-evolution of the etched profile and damage distribution. The model includes some new concepts for gas transportation in the pattern using a fluid model and the property of voxels called “smart voxels,” which contain details of the history of the etching situation. Using this 3D model, the authors demonstrated metal–oxide–semiconductor field-effect transistor SiN side-wall etching that consisted of the main-etch step with CF{sub 4}/Ar/O{sub 2} plasma and an over-etch step with CH{sub 3}F/Ar/O{sub 2} plasma under the assumption of a realistic process and pattern size. A large amount of Si damage induced by irradiated hydrogen occurred in the source/drain region, a Si recess depth of 5 nm was generated, and the dislocated Si was distributed in a 10 nm deeper region than the Si recess, which was consistent with experimental data for a capacitively coupled plasma. An especially large amount of Si damage was also found at the bottom edge region of the metal–oxide–semiconductor field-effect transistors. Furthermore, our simulation results for bulk fin-type field-effect transistor side

  16. 3-Dimensional Modeling of Capacitively and Inductively Coupled Plasma Etching Systems

    NASA Astrophysics Data System (ADS)

    Rauf, Shahid

    2008-10-01

    Low temperature plasmas are widely used for thin film etching during micro and nano-electronic device fabrication. Fluid and hybrid plasma models were developed 15-20 years ago to understand the fundamentals of these plasmas and plasma etching. These models have significantly evolved since then, and are now a major tool used for new plasma hardware design and problem resolution. Plasma etching is a complex physical phenomenon, where inter-coupled plasma, electromagnetic, fluid dynamics, and thermal effects all have a major influence. The next frontier in the evolution of fluid-based plasma models is where these models are able to self-consistently treat the inter-coupling of plasma physics with fluid dynamics, electromagnetics, heat transfer and magnetostatics. We describe one such model in this paper and illustrate its use in solving engineering problems of interest for next generation plasma etcher design. Our 3-dimensional plasma model includes the full set of Maxwell equations, transport equations for all charged and neutral species in the plasma, the Navier-Stokes equation for fluid flow, and Kirchhoff's equations for the lumped external circuit. This model also includes Monte Carlo based kinetic models for secondary electrons and stochastic heating, and can take account of plasma chemistry. This modeling formalism allows us to self-consistently treat the dynamics in commercial inductively and capacitively coupled plasma etching reactors with realistic plasma chemistries, magnetic fields, and reactor geometries. We are also able to investigate the influence of the distributed electromagnetic circuit at very high frequencies (VHF) on the plasma dynamics. The model is used to assess the impact of azimuthal asymmetries in plasma reactor design (e.g., off-center pump, 3D magnetic field, slit valve, flow restrictor) on plasma characteristics at frequencies from 2 -- 180 MHz. With Jason Kenney, Ankur Agarwal, Ajit Balakrishna, Kallol Bera, and Ken Collins.

  17. Comparison between universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode.

    PubMed

    Tsujimoto, Akimasa; Barkmeier, Wayne W; Takamizawa, Toshiki; Watanabe, Hidehiko; Johnson, William W; Latta, Mark A; Miyazaki, Masashi

    2017-06-01

    This aim of this study was to compare universal adhesives and two-step self-etch adhesives in terms of dentin bond fatigue durability in self-etch mode. Three universal adhesives - Clearfil Universal, G-Premio Bond, and Scotchbond Universal Adhesive - and three-two-step self-etch adhesives - Clearfil SE Bond, Clearfil SE Bond 2, and OptiBond XTR - were used. The initial shear bond strength and shear fatigue strength of resin composite bonded to adhesive on dentin in self-etch mode were determined. Scanning electron microscopy observations of fracture surfaces after bond strength tests were also made. The initial shear bond strength of universal adhesives was material dependent, unlike that of two-step self-etch adhesives. The shear fatigue strength of Scotchbond Universal Adhesive was not significantly different from that of two-step self-etch adhesives, unlike the other universal adhesives. The shear fatigue strength of universal adhesives differed depending on the type of adhesive, unlike those of two-step self-etch adhesives. The results of this study encourage the continued use of two-step self-etch adhesive over some universal adhesives but suggest that changes to the composition of universal adhesives may lead to a dentin bond fatigue durability similar to that of two-step self-etch adhesives. © 2017 Eur J Oral Sci.

  18. Wet etching mechanism and crystallization of indium-tin oxide layer for application in light-emitting diodes

    NASA Astrophysics Data System (ADS)

    Su, Shui-Hsiang; Kong, Hsieng-Jen; Tseng, Chun-Lung; Chen, Guan-Yu

    2018-01-01

    In the article, we describe the etching mechanism of indium-tin oxide (ITO) film, which was wet-etched using a solution of hydrochloric acid (HCl) and ferric chloride (FeCl3). The etching mechanism is analyzed at various etching durations of ITO films by scanning electron microscopy (SEM), high-resolution transmission electron microscopy (HR-TEM), and selective area diffraction (SAD) analysis. In comparison with the crystalline phase of SnO2, the In2O3 phase can be more easily transformed to In3+ and can form an inverted conical structure during the etching process. By adjusting the etching duration, the residual ITO is completely removed to show a designed pattern. This is attributed to the negative Gibbs energy of In2O3 transformed to In3+. The result also corresponds to the finding of energy-dispersive X-ray spectroscopy (EDS) analysis that the Sn/In ratio increases with increasing etching duration.

  19. Reduced Noise UV Enhancement of Etch Rates for Nuclear Tracks in CR-39

    NASA Astrophysics Data System (ADS)

    Sheets, Rebecca; Clarkson, David; Ume, Rubab; Regan, Sean; Sangster, Craig; Padalino, Stephen; McLean, James

    2016-10-01

    The use of CR-39 plastic as a Solid State Nuclear Track Detector is an effective technique for obtaining data in high-energy particle experiments including inertial confinement fusion. To reveal particle tracks after irradiation, CR-39 is chemically etched in NaOH at 80°C for 6 hours, producing micron-scale signal pits at the nuclear track sites. Using CR-39 irradiated with 5.4 MeV alpha particles and 1.0 MeV protons, we show that exposing the CR-39 to high intensity UV light before etching, with wavelengths between 240 nm and 350 nm, speeds the etch process. Elevated temperatures during UV exposure amplifies this effect, with etch rates up to 50% greater than unprocessed conditions. CR-39 pieces exposed to UV light and heat can also exhibit heightened levels of etch-induced noise (surface features not caused by nuclear particles). By illuminating the CR-39 from the side opposite to the tracks, a similar level of etch enhancement was obtained with little to no noise. The effective wavelength range is reduced, due to strong attenuation of shorter wavelengths. Funded in part by a LLE contract through the DOE.

  20. Two-year clinical trial of a universal adhesive in total-etch and self-etch mode in non-carious cervical lesions☆

    PubMed Central

    Lawson, Nathaniel C.; Robles, Augusto; Fu, Chin-Chuan; Lin, Chee Paul; Sawlani, Kanchan; Burgess, John O.

    2016-01-01

    Objectives To compare the clinical performance of Scotchbond™ Universal Adhesive used in self- and total-etch modes and two-bottle Scotchbond™ Multi-purpose Adhesive in total-etch mode for Class 5 non-carious cervical lesions (NCCLs). Methods 37 adults were recruited with 3 or 6 NCCLs (>1.5 mm deep). Teeth were isolated, and a short cervical bevel was prepared. Teeth were restored randomly with Scotchbond Universal total-etch, Scotchbond Universal self-etch or Scotchbond Multi-purpose followed with a composite resin. Restorations were evaluated at baseline, 6, 12 and 24 months for marginal adaptation, marginal discoloration, secondary caries, and sensitivity to cold using modified USPHS Criteria. Patients and evaluators were blinded. Logistic and linear regression models using a generalized estimating equation were applied to evaluate the effects of time and adhesive material on clinical assessment outcomes over the 24 month follow-up period. Kaplan–Meier method was used to compare the retention between adhesive materials. Results Clinical performance of all adhesive materials deteriorated over time for marginal adaptation, and discoloration (p <0.0001). Both Scotchbond Universal self-etch and Scotchbond Multi-purpose materials were more than three times as likely to contribute to less satisfying performance in marginal discoloration over time than Scotchbond Universal total-etch. The retention rates up to 24 months were 87.6%, 94.9% and 100% for Scotchbond Multi-purpose and Scotchbond Universal self-etch and total-etch, respectively. Conclusions Scotchbond Universal in self- and total- etch modes performed similar to or better than Scotchbond Multipurpose, respectively. Clinical significance 24 month evaluation of a universal adhesive indicates acceptable clinical performance, particularly in a total-etch mode. PMID:26231300

  1. Time-resolved electric force microscopy of charge trapping in polycrystalline pentacene.

    PubMed

    Jaquith, Michael; Muller, Erik M; Marohn, John A

    2007-07-12

    Here we introduce time-resolved electric force microscopy measurements to directly and locally probe the kinetics of charge trap formation in a polycrystalline pentacene thin-film transistor. We find that the trapping rate depends strongly on the initial concentration of free holes and that trapped charge is highly localized. The observed dependence of trapping rate on the hole chemical potential suggests that the trapping process should not be viewed as a filling of midgap energy levels, but instead as a process in which the very creation of trapped states requires the presence of free holes.

  2. Bonding characteristics of self-etching adhesives to intact versus prepared enamel.

    PubMed

    Perdigão, Jorge; Geraldeli, Saulo

    2003-01-01

    This study tested the null hypothesis that the preparation of the enamel surface would not affect the enamel microtensile bond strengths of self-etching adhesive materials. Ten bovine incisors were trimmed with a diamond saw to obtain a squared enamel surface with an area of 8 x 8 mm. The specimens were randomly assigned to five adhesives: (1) ABF (Kuraray), an experimental two-bottle self-etching adhesive; (2) Clearfil SE Bond (Kuraray), a two-bottle self-etching adhesive; (3) One-Up Bond F (Tokuyama), an all-in-one adhesive; (4) Prompt L-Pop (3M ESPE), an all-in-one adhesive; and (5) Single Bond (3M ESPE), a two-bottle total-etch adhesive used as positive control. For each specimen, one half was roughened with a diamond bur for 5 seconds under water spray, whereas the other half was left unprepared. The adhesives were applied as per manufacturers' directions. A universal hybrid composite resin (Filtek Z250, 3M ESPE) was inserted in three layers of 1.5 mm each and light-cured. Specimens were sectioned in X and Y directions to obtain bonded sticks with a cross-sectional area of 0.8 +/- 0.2 mm2. Sticks were tested in tension in an Instron at a cross-speed of 1 mm per minute. Statistical analysis was carried out with two-way analysis of variance and Duncan's test at p < .05. Ten extra specimens were processed for observation under a field-emission scanning electron microscope. Single Bond, the total-etch adhesive, resulted in statistically higher microtensile bond strength than any of the other adhesives regardless of the enamel preparation (unprepared = 31.5 MPa; prepared = 34.9 MPa, not statistically different at p < .05). All the self-etching adhesives resulted in higher microtensile bond strength when enamel was roughened than when enamel was left unprepared. However, for ABF and for Clearfil SE Bond this difference was not statistically significant at p > .05. When applied to ground enamel, mean bond strengths of Prompt L-Pop were not statistically different

  3. Stress Induced Charge-Ordering Process in LiMn 2O 4

    DOE PAGES

    Chen, Yan; Yu, Dunji; An, Ke

    2016-07-25

    In this letter we report the stress-induced Mn charge-ordering process in the LiMn 2O 4 spinel, evidenced by the lattice strain evolutions due to the Jahn–Teller effects. In situ neutron diffraction reveals the initial stage of this process at low stress, indicating the eg electron localization at the preferential Mn sites during the early phase transition as an underlying charge-ordering mechanism in the charge-frustrated LiMn 2O 4. The initial stage of this transition exhibits as a progressive lattice and charge evolution, without showing a first-order behavior.

  4. Low-k SiOCH Film Etching Process and Its Diagnostics Employing Ar/C5F10O/N2 Plasma

    NASA Astrophysics Data System (ADS)

    Nagai, Mikio; Hayashi, Takayuki; Hori, Masaru; Okamoto, Hidekazu

    2006-09-01

    We proposed an environmental harmonic etching gas of C5F10O (CF3CF2CF2OCFCF2), and demonstrated the etching of low-k SiOCH films employing a dual-frequency capacitively coupled etching system. Dissociative ionization cross sections for the electron impact ionizations of C5F10O and c-C4F8 gases have been measured by quadrupole mass spectroscopy (QMS). The dissociative ionization cross section of CF3+ from C5F10O gas was much higher than those of other ionic species, and 10 times higher than that of CF3+ from C4F8 gas. CF3+ is effective for increasing the etching rate of SiO2. As a result, the etching rate of SiOCH films using Ar/C5F10O/N2 plasma was about 1000 nm/min, which is much higher than that using Ar/C4F8/N2 plasma. The behaviours of fluorocarbon radicals in Ar/C5F10O/N2 plasma, which were measured by infrared diode laser absorption spectroscopy, were similar to those in Ar/C4F8/N2 plasma. The densities of CF and CF3 radicals were markedly decreased with increasing N2 flow rate. Etching rate was controlled by N2 flow rate. A vertical profile of SiOCH with a high etching rate and less microloading was realized using Ar/C5F10O/N2 plasma chemistry.

  5. A comparison of orthodontic bracket shear bond strength on enamel deproteinized by 5.25% sodium hypochlorite using total etch and self-etch primer

    NASA Astrophysics Data System (ADS)

    Ongkowidjaja, F.; Soegiharto, B. M.; Purbiati, M.

    2017-08-01

    The shear bond strength (SBS) can be increased by removing protein pellicles from the enamel surface by deproteinization using 5.25% sodium hypochlorite (NaOCl). The SBS of a self-etch primer is lower than that of a total etch primer; nonetheless, it prevents white spot lesions. This study aimed to assess the SBS of the Anyetch (AE) total etch primer and FL-Bond II Shofu (FL) self-etch primer after enamel deproteinization using 5.25% NaOCl. Forty eight human maxillary first premolars were extracted, cleaned, and divided into four groups. In group A, brackets were bonded to the enamel without deproteinization before etching (A1: 10 teeth using total etch primer (AE); A2: 10 teeth using self-etch primer (FL)). In group B, brackets were bonded to the enamel after deproteinization with 5.25% NaOCl before etching (B1: 10 teeth using total etch primer (AE); B2: 10 teeth using self-etch primer (FL)). Brackets were bonded using Transbond XT, stored in artificial saliva for 24 h at 37°C, mounted on acrylic cylinders, and debonded using a Shimadzu AG-5000 universal testing machine. There were no significant differences in SBS between the total etch (AE) groups (p > 0.05) and between the self-etch (FL) groups (p > 0.05). There were significant differences in SBS between groups A and B. The mean SBS for groups A1, A2, B1, and B2 was 12.91±3.99, 4.46±2.47, 13.06±3.66, and 3.62±2.36 MPa, respectively. Deproteinization using NaOCl did not affect the SBS of the total etch primer (AE) group; it reduced the SBS of the self-etch primer (FL) group, but not with a statistically significant difference.

  6. Effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics.

    PubMed

    Hooshmand, Tabassom; Parvizi, Shaghayegh; Keshvad, Alireza

    2008-07-01

    The purpose of this study was to assess the effect of surface acid etching on the biaxial flexural strength of two hot-pressed glass ceramics reinforced by leucite or lithium disilicate crystals. Forty glass ceramic disks (14-mm diameter, 2-mm thick) consisting of 20 leucite-based ceramic disks (IPS Empress) and 20 lithia disilicate-based ceramic (IPS Empress 2) were produced by hot-pressing technique. All specimens were polished and then cleaned ultrasonically in distilled water. Ten specimens of each ceramic group were then etched with 9% hydrofluoric (HF) acid gel for 2 minutes and cleaned ultrasonically again. The biaxial flexural strength was measured by the piston-on-three-ball test in a universal testing machine. Data based on ten specimens in each group were analyzed by two-way ANOVA (alpha= 0.05). Microstructure of ceramic surfaces before and after acid etching was also examined by a scanning electron microscope. The mean biaxial flexural strength values for each group tested were (in MPa): nonetched IPS Empress = 118.6 +/- 25.5; etched IPS Empress = 102.9 +/- 15.4; nonetched IPS Empress 2 = 283.0 +/- 48.5; and etched IPS Empress 2 = 250.6 +/- 34.6. The results showed that the etching process reduced the biaxial flexural strengths significantly for both ceramic types (p= 0.025). No significant interaction between the ceramic type and etching process was found (p= 0.407). From the results, it was concluded that surface HF acid etching could have a weakening effect on hot-pressed leucite or lithia disilicate-based glass ceramic systems.

  7. Ultimate intra-wafer critical dimension uniformity control by using lithography and etch tool corrections

    NASA Astrophysics Data System (ADS)

    Kubis, Michael; Wise, Rich; Reijnen, Liesbeth; Viatkina, Katja; Jaenen, Patrick; Luca, Melisa; Mernier, Guillaume; Chahine, Charlotte; Hellin, David; Kam, Benjamin; Sobieski, Daniel; Vertommen, Johan; Mulkens, Jan; Dusa, Mircea; Dixit, Girish; Shamma, Nader; Leray, Philippe

    2016-03-01

    With shrinking design rules, the overall patterning requirements are getting aggressively tighter. For the 7-nm node and below, allowable CD uniformity variations are entering the Angstrom region (ref [1]). Optimizing inter- and intra-field CD uniformity of the final pattern requires a holistic tuning of all process steps. In previous work, CD control with either litho cluster or etch tool corrections has been discussed. Today, we present a holistic CD control approach, combining the correction capability of the etch tool with the correction capability of the exposure tool. The study is done on 10-nm logic node wafers, processed with a test vehicle stack patterning sequence. We include wafer-to-wafer and lot-to-lot variation and apply optical scatterometry to characterize the fingerprints. Making use of all available correction capabilities (lithography and etch), we investigated single application of exposure tool corrections and of etch tool corrections as well as combinations of both to reach the lowest CD uniformity. Results of the final pattern uniformity based on single and combined corrections are shown. We conclude on the application of this holistic lithography and etch optimization to 7nm High-Volume manufacturing, paving the way to ultimate within-wafer CD uniformity control.

  8. Removal and deposition efficiencies of the long-lived 222Rn daughters during etching of germanium surfaces

    NASA Astrophysics Data System (ADS)

    Zuzel, G.; Wójcik, M.; Majorovits, B.; Lampert, M. O.; Wendling, P.

    2012-06-01

    Removal and deposition efficiencies of the long-lived 222Rn daughters during etching from and onto surfaces of standard and high purity germanium were investigated. The standard etching procedure of Canberra-France used during production of high purity n-type germanium diodes was applied to germanium discs, which have been exposed earlier to a strong radon source for deposition of its progenies. An uncontaminated sample was etched in a solution containing 210Pb, 210Bi and 210Po. All isotopes were measured before and after etching with appropriate detectors. In contrast to copper and stainless steel, they were removed from germanium very efficiently. However, the reverse process was also observed. Considerable amounts of radioactive lead, bismuth and polonium isotopes present initially in the artificially polluted etchant were transferred to the clean high purity surface during processing of the sample.

  9. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    NASA Astrophysics Data System (ADS)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  10. High T(sub c) Superconducting Bolometer on Chemically Etched 7 Micrometer Thick Sapphire

    NASA Technical Reports Server (NTRS)

    Lakew, B.; Brasunas, J. C.; Pique, A.; Fettig, R.; Mott, B.; Babu, S.; Cushman, G. M.

    1997-01-01

    A transition-edge IR detector, using a YBa2Cu3O(7-x) (YBCO) thin film deposited on a chemically etched, 7 micrometer thick sapphire substrate has been built. To our knowledge it is the first such high T(sub c) superconducting (HTS) bolometer on chemically thinned sapphire. The peak optical detectivity obtained is l.2 x 10(exp 10) cmHz(sup 1/2)/W near 4Hz. Result shows that it is possible to obtain high detectivity with thin films on etched sapphire with no processing after the deposition of the YBCO film. We discuss the etching process and its potential for micro-machining sapphire and fabricating 2-dimensional detector arrays with suspended sapphire membranes. A 30 micrometer thick layer of gold black provided IR absorption. Comparison is made with the current state of the art on silicon substrates.

  11. Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials.

    PubMed

    Chen, Hao; Zhang, Qi; Chou, Stephen Y

    2015-02-27

    Sapphire nanopatterning is the key solution to GaN light emitting diode (LED) light extraction. One challenge is to etch deep nanostructures with a vertical sidewall in sapphire. Here, we report a study of the effects of two masking materials (SiO2 and Cr) and different etching recipes (the reaction gas ratio, the reaction pressure and the inductive power) in a chlorine-based (BCl3 and Cl2) inductively coupled plasma (ICP) etching of deep nanopillars in sapphire, and the etching process optimization. The masking materials were patterned by nanoimprinting. We have achieved high aspect ratio sapphire nanopillar arrays with a much steeper sidewall than the previous etching methods. We discover that the SiO2 mask has much slower erosion rate than the Cr mask under the same etching condition, leading to the deep cylinder-shaped nanopillars (122 nm diameter, 200 nm pitch, 170 nm high, flat top, and a vertical sidewall of 80° angle), rather than the pyramid-shaped shallow pillars (200 nm based diameter, 52 nm height, and 42° sidewall) resulted by using Cr mask. The processes developed are scalable to large volume LED manufacturing.

  12. Improving Resonance Characteristics of Gas Sensors by Chemical Etching of Quartz Plates

    NASA Astrophysics Data System (ADS)

    Raicheva, Z.; Georgieva, V.; Grechnikov, A.; Gadjanova, V.; Angelov, Ts; Vergov, L.; Lazarov, Y.

    2012-12-01

    The paper presents the results of the influence of the etching process of AT-cut quartz plates on the resonance parameters and the QCM sensors. Quartz wafers (100 μm thick, with a diameter of 8 mm), divided into five groups, have been etched in [NH4]2 F2: H2O = 1:1 solution at temperatures in the range from 70°C to 90°C. The influence of etching temperature on the surface morphology of quartz wafers has been estimated by Atomic Force Microscopy (AFM). A correlation between the etching temperature and the dynamic characteristics is obtained. The optimal etching conditions for removing the surface damages caused by the mechanical treatment of the quartz wafers and for obtaining a clean surface were determined. The typical parameters of fabricated resonators on the quartz plates etched in the temperature range from 70°C to 90°C are as follows: Frequency, Fs 16 MHz ± 100 kHz Motional resistance, Rs less 10 Ω Motional inductance, Lq higher than 3 mH Motional capacitance, Cq less 30 fF Static capacitance, Co around 5 pF Quality factor, Q from 46 000 to 70 000 Sorption properties of QCM - MoO3 are evaluated at NH3 concentrations in the interval from 100 ppm to 500 ppm.

  13. ZERODUR: bending strength data for etched surfaces

    NASA Astrophysics Data System (ADS)

    Hartmann, Peter; Leys, Antoine; Carré, Antoine; Kerz, Franca; Westerhoff, Thomas

    2014-07-01

    In a continuous effort since 2007 a considerable amount of new data and information has been gathered on the bending strength of the extremely low thermal expansion glass ceramic ZERODUR®. By fitting a three parameter Weibull distribution to the data it could be shown that for homogenously ground surfaces minimum breakage stresses exist lying much higher than the previously applied design limits. In order to achieve even higher allowable stress values diamond grain ground surfaces have been acid etched, a procedure widely accepted as strength increasing measure. If surfaces are etched taking off layers with thickness which are comparable to the maximum micro crack depth of the preceding grinding process they also show statistical distributions compatible with a three parameter Weibull distribution. SCHOTT has performed additional measurement series with etch solutions with variable composition testing the applicability of this distribution and the possibility to achieve further increase of the minimum breakage stress. For long term loading applications strength change with time and environmental media are important. The parameter needed for prediction calculations which is combining these influences is the stress corrosion constant. Results from the past differ significantly from each other. On the basis of new investigations better information will be provided for choosing the best value for the given application conditions.

  14. Method of sputter etching a surface

    DOEpatents

    Henager, Jr., Charles H.

    1984-01-01

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion.

  15. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico

    distance. In this dissertation it is presented the first nitride blue edge emitting LD with a photoelectrochemical etched current aperture (CA-LD) into the device active region. Photoelectrochemical etching (PECE) has emerged as a powerful wet etching technique for III-nitride compounds. Beyond the advantages of wet etching technique, PECE offers bandgap selectivity, which is particularly desirable because it allows more freedom in designing new and advanced devices with higher performances. In the first part of this thesis a review of PECE is presented, and it is shown how it can be used to achieve a selective and controllable deep undercut of the active region of LEDs and LDs, in particular the selective PECE of MQW active region of (10-10) m-plane and (20-2-1) plane structures is reported. In the second part of this thesis, the fabrication flow process of the CA-LD is described. The performance of these devices is compared with that of shallow etched ridge LDs with a nominally identical epitaxial structure and active region width and it is experimentally shown that the CA-LD design has superior performance. CW operation of a (20-2-1) CA-LD with a 1.5 microm wide active region is demonstrated. Finally, in the third and last part of this thesis, the CA-LD performance is discussed in more details, in particular, an analysis of optical scattering losses caused by the rough edges of the remnant PEC etched active region is presented.

  16. Method for providing an arbitrary three-dimensional microstructure in silicon using an anisotropic deep etch

    DOEpatents

    Morales, Alfredo M.; Gonzales, Marcela

    2004-06-15

    The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.

  17. Etching Selectivity of Cr, Fe and Ni Masks on Si & SiO2 Wafers

    NASA Astrophysics Data System (ADS)

    Garcia, Jorge; Lowndes, Douglas H.

    2000-10-01

    During this Summer 2000 I joined the Semiconductors and Thin Films group led by Dr. Douglas H. Lowndes at Oak Ridge National Laboratory’s Solid State Division. Our objective was to evaluate the selectivity that Trifluoromethane (CHF3), and Sulfur Hexafluoride (SF6) plasmas have for Si, SiO2 wafers and the Ni, Cr, and Fe masks; being this etching selectivity the ratio of the etching rates of the plasmas for each of the materials. We made use of Silicon and Silicon Dioxide-coated wafers that have Fe, Cr or Ni masks. In the semiconductor field, metal layers are often used as masks to protect layers underneath during processing steps; when these wafers are taken to the dry etching process, both the wafer and the mask layers’ thickness are reduced.

  18. Silicon Carbide Etching Using Chlorine Trifluoride Gas

    NASA Astrophysics Data System (ADS)

    Habuka, Hitoshi; Oda, Satoko; Fukai, Yasushi; Fukae, Katsuya; Takeuchi, Takashi; Aihara, Masahiko

    2005-03-01

    The etch rate, chemical reactions and etched surface of β-silicon carbide are studied in detail using chlorine trifluoride gas. The etch rate is greater than 10 μm min-1 at 723 K with a flow rate of 0.1 \\ell min-1 at atmospheric pressure in a horizontal reactor. The maximum etch rate at a substrate temperature of 773 K is 40 μm min-1 with a flow rate of 0.25 \\ell min-1. The step-like pattern that initially exists on the β-silicon carbide surface tends to be smoothed; the root-mean-square surface roughness decreases from its initial value of 5 μm to 1 μm within 15 min; this minimum value is maintained for more than 15 min. Therefore, chlorine trifluoride gas is considered to have a large etch rate for β-silicon carbide associated with making a rough surface smooth.

  19. Deep reactive ion etching of 4H-SiC via cyclic SF6/O2 segments

    NASA Astrophysics Data System (ADS)

    Luna, Lunet E.; Tadjer, Marko J.; Anderson, Travis J.; Imhoff, Eugene A.; Hobart, Karl D.; Kub, Fritz J.

    2017-10-01

    Cycles of inductively coupled SF6/O2 plasma with low (9%) and high (90%) oxygen content etch segments are used to produce up to 46.6 µm-deep trenches with 5.5 µm-wide openings in single-crystalline 4H-SiC substrates. The low oxygen content segment serves to etch deep in SiC whereas the high oxygen content segment serves to etch SiC at a slower rate, targeting carbon-rich residues on the surface as the combination of carbon-rich and fluorinated residues impact sidewall profile. The cycles work in concert to etch past 30 µm at an etch rate of ~0.26 µm min-1 near room temperature, while maintaining close to vertical sidewalls, high aspect ratio, and high mask selectivity. In addition, power ramps during the low oxygen content segment is used to produce a 1:1 ratio of mask opening to trench bottom width. The effect of process parameters such as cycle time and backside substrate cooling on etch depth and micromasking of the electroplated nickel etch mask are investigated.

  20. Directional Etching of Silicon by Silver Nanostructures

    NASA Astrophysics Data System (ADS)

    Sharma, Pradeep; Wang, Yuh-Lin

    2011-02-01

    We report directional etching of nanostructures (nanochannels and nanotrenches) into the Si(100) substrates in aqueous HF and H2O2 solution by lithographically defined Ag patterns (nanoparticles, nanorods, and nanorings). The Effect of Ag/Si interface oxide on the directional etching has been studied by etching Ag/SiOx/Si samples of known interface oxide thickness. Based on high resolution transmission electron microscopy (HRTEM) imaging and TEM-energy dispersive X-ray (EDX) spectra of the Ag/Si interfaces, we propose that maintenance of the sub-nanometer oxide at the Ag/Si interfaces and Ag-Si interaction are the key factors which regulate the directional etching of Si.

  1. Spontaneous Charge Separation and Sublimation Processes are Ubiquitous in Nature and in Ionization Processes in Mass Spectrometry

    NASA Astrophysics Data System (ADS)

    Trimpin, Sarah; Lu, I.-Chung; Rauschenbach, Stephan; Hoang, Khoa; Wang, Beixi; Chubatyi, Nicholas D.; Zhang, Wen-Jing; Inutan, Ellen D.; Pophristic, Milan; Sidorenko, Alexander; McEwen, Charles N.

    2018-02-01

    Ionization processes have been discovered by which small and large as well as volatile and nonvolatile compounds are converted to gas-phase ions when associated with a matrix and exposed to sub-atmospheric pressure. Here, we discuss experiments further defining these simple and unexpected processes. Charge separation is found to be a common process for small molecule chemicals, solids and liquids, passed through an inlet tube from a higher to a lower pressure region, with and without heat applied. This charge separation process produces positively- and negatively-charged particles with widely different efficiencies depending on the compound and its physical state. Circumstantial evidence is presented suggesting that in the new ionization process, charged particles carry analyte into the gas phase, and desolvation of these particles produce the bare ions similar to electrospray ionization, except that solid particles appear likely to be involved. This mechanistic proposition is in agreement with previous theoretical work related to ion emission from ice.

  2. Method of sputter etching a surface

    DOEpatents

    Henager, C.H. Jr.

    1984-02-14

    The surface of a target is textured by co-sputter etching the target surface with a seed material adjacent thereto, while the target surface is maintained at a pre-selected temperature. By pre-selecting the temperature of the surface while sputter etching, it is possible to predetermine the reflectance properties of the etched surface. The surface may be textured to absorb sunlight efficiently and have minimal emittance in the infrared region so as to be well-suited for use as a solar absorber for photothermal energy conversion. 4 figs.

  3. Dry etching method for compound semiconductors

    DOEpatents

    Shul, Randy J.; Constantine, Christopher

    1997-01-01

    A dry etching method. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators.

  4. Dry etching method for compound semiconductors

    DOEpatents

    Shul, R.J.; Constantine, C.

    1997-04-29

    A dry etching method is disclosed. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators. 1 fig.

  5. Electroless epitaxial etching for semiconductor applications

    DOEpatents

    McCarthy, Anthony M.

    2002-01-01

    A method for fabricating thin-film single-crystal silicon on insulator substrates using electroless etching for achieving efficient etch stopping on epitaxial silicon substrates. Microelectric circuits and devices are prepared on epitaxial silicon wafers in a standard fabrication facility. The wafers are bonded to a holding substrate. The silicon bulk is removed using electroless etching leaving the circuit contained within the epitaxial layer remaining on the holding substrate. A photolithographic operation is then performed to define streets and wire bond pad areas for electrical access to the circuit.

  6. Shaped Charge Liner Materials: Resources, Processes, Properties, Costs, and Applications

    DTIC Science & Technology

    1991-02-01

    SUBTITLE 5. FUNDING NUMBERS Shaped Charge Liner Materials: Resources, Processes, Properties, Costs, and Applications 2 6. AUTHOC Steven M. Buc 7...summaries of the mineral availability, Cq prmarymetal refinement processeb, material costs in raw form and as finished shaped charge liners , relevant... liner materials. 94-11479 gI 14, SUBJECT TERMS iSt NUMBER OF PAGIS 13chrg wrhad :xplosively formed penetrators material R. PRCE COEV" processing

  7. Prediction of potency of protease inhibitors using free energy simulations with polarizable quantum mechanics-based ligand charges and a hybrid water model.

    PubMed

    Das, Debananda; Koh, Yasuhiro; Tojo, Yasushi; Ghosh, Arun K; Mitsuya, Hiroaki

    2009-12-01

    Reliable and robust prediction of the binding affinity for drug molecules continues to be a daunting challenge. We simulated the binding interactions and free energy of binding of nine protease inhibitors (PIs) with wild-type and various mutant proteases by performing GBSA simulations in which each PI's partial charge was determined by quantum mechanics (QM) and the partial charge accounts for the polarization induced by the protease environment. We employed a hybrid solvation model that retains selected explicit water molecules in the protein with surface-generalized Born (SGB) implicit solvent. We examined the correlation of the free energy with the antiviral potency of PIs with regard to amino acid substitutions in protease. The GBSA free energy thus simulated showed strong correlations (r > 0.75) with antiviral IC(50) values of PIs when amino acid substitutions were present in the protease active site. We also simulated the binding free energy of PIs with P2-bis-tetrahydrofuranylurethane (bis-THF) or related cores, utilizing a bis-THF-containing protease crystal structure as a template. The free energy showed a strong correlation (r = 0.93) with experimentally determined anti-HIV-1 potency. The present data suggest that the presence of selected explicit water in protein and protein polarization-induced quantum charges for the inhibitor, compared to lack of explicit water and a static force-field-based charge model, can serve as an improved lead optimization tool and warrants further exploration.

  8. CR-39 track etching and blow-up method

    DOEpatents

    Hankins, Dale E.

    1987-01-01

    This invention is a method of etching tracks in CR-39 foil to obtain uniformly sized tracks. The invention comprises a step of electrochemically etching the foil at a low frequency and a "blow-up" step of electrochemically etching the foil at a high frequency.

  9. Influence of ageing on self-etch adhesives: one-step vs. two-step systems.

    PubMed

    Marchesi, Giulio; Frassetto, Andrea; Visintini, Erika; Diolosà, Marina; Turco, Gianluca; Salgarello, Stefano; Di Lenarda, Roberto; Cadenaro, Milena; Breschi, Lorenzo

    2013-02-01

    The aim of this study was to evaluate microtensile bond strength (μTBS) to dentine, interfacial nanoleakage expression, and stability after ageing, of two-step vs. one-step self-etch adhesives. Human molars were cut to expose middle/deep dentine, assigned to groups (n = 15), and treated with the following bonding systems: (i) Optibond XTR (a two-step self-etch adhesive; Kerr), (ii) Clearfil SE Bond (a two-step self-etch adhesive; Kuraray), (iii) Adper Easy Bond (a one-step self-etch adhesive; 3M ESPE), and (iv) Bond Force (a one-step self-etch adhesive; Tokuyama). Specimens were processed for μTBS testing after 24 h, 6 months, or 1 yr of storage in artificial saliva at 37°C. Nanoleakage expression was examined in similarly processed additional specimens. At baseline the μTBS results ranked in the following order: Adper Easy Bond = Optibond XTR ≥Clearfil SE = Bond Force, and interfacial nanoleakage analysis showed Clearfil SE Bond = Adper Easy Bond = Optibond XTR> Bond Force. After 1 yr of storage, Optibond XTR, Clearfil SE Bond, and Adper Easy Bond showed higher μTBS and lower interfacial nanoleakage expression compared with Bond Force. In conclusion, immediate bond strength, nanoleakage expression, and stability over time were not related to the number of steps of the bonding systems, but to their chemical formulations. © 2012 Eur J Oral Sci.

  10. Formation of nanostructured silicon surfaces by stain etching

    PubMed Central

    2014-01-01

    In this work, we report the fabrication of ordered silicon structures by chemical etching of silicon in vanadium oxide (V2O5)/hydrofluoric acid (HF) solution. The effects of the different etching parameters including the solution concentration, temperature, and the presence of metal catalyst film deposition (Pd) on the morphologies and reflective properties of the etched Si surfaces were studied. Scanning electron microscopy (SEM) was carried out to explore the morphologies of the etched surfaces with and without the presence of catalyst. In this case, the attack on the surfaces with a palladium deposit begins by creating uniform circular pores on silicon in which we distinguish the formation of pyramidal structures of silicon. Fourier transform infrared spectroscopy (FTIR) demonstrates that the surfaces are H-terminated. A UV-Vis-NIR spectrophotometer was used to study the reflectance of the structures obtained. A reflectance of 2.21% from the etched Si surfaces in the wavelength range of 400 to 1,000 nm was obtained after 120 min of etching while it is of 4.33% from the Pd/Si surfaces etched for 15 min. PMID:25435830

  11. Vapor-Liquid-Solid Etch of Semiconductor Surface Channels by Running Gold Nanodroplets.

    PubMed

    Nikoobakht, Babak; Herzing, Andrew; Muramoto, Shin; Tersoff, Jerry

    2015-12-09

    We show that Au nanoparticles spontaneously move across the (001) surface of InP, InAs, and GaP when heated in the presence of water vapor. As they move, the particles etch crystallographically aligned grooves into the surface. We show that this process is a negative analogue of the vapor-liquid-solid (VLS) growth of semiconductor nanowires: the semiconductor dissolves into the catalyst and reacts with water vapor at the catalyst surface to create volatile oxides, depleting the dissolved cations and anions and thus sustaining the dissolution process. This VLS etching process provides a new tool for directed assembly of structures with sublithographic dimensions, as small as a few nanometers in diameter. Au particles above 100 nm in size do not exhibit this process but remain stationary, with oxide accumulating around the particles.

  12. The development of a method of producing etch resistant wax patterns on solar cells

    NASA Technical Reports Server (NTRS)

    Pastirik, E.

    1980-01-01

    A potentially attractive technique for wax masking of solar cells prior to etching processes was studied. This technique made use of a reuseable wax composition which was applied to the solar cell in patterned form by means of a letterpress printing method. After standard wet etching was performed, wax removal by means of hot water was investigated. Application of the letterpress wax printing process to silicon was met with a number of difficulties. The most serious shortcoming of the process was its inability to produce consistently well-defined printed patterns on the hard silicon cell surface.

  13. Nanowall formation by maskless wet-etching on a femtosecond laser irradiated silicon surface

    NASA Astrophysics Data System (ADS)

    Lee, Siwoo; Jo, Kukhyun; Keum, Hee-sung; Chae, Sangmin; Kim, Yonghyeon; Choi, Jiyeon; Lee, Hyun Hwi; Kim, Hyo Jung

    2018-04-01

    We found that micro-cells surrounded by nanowalls can be formed by a maskless wet-etching process on Si (100) surfaces possessing Laser Induced Periodic Surface Structure (LIPSS) by femtosecond laser irradiation. The LIPSS process could produce periodic one-dimensional micron scale ripples on a Si surface, which could be developed into micro-cells by a subsequent etching process. The solution etching conditions strongly affected both the micro-cell and nanowall shapes such as the height and the thickness of nanowalls. The tetramethylammonium hydroxide solution created thin nanowalls and the resulting micro-cells with a well-flattened bottom while the KOH solution formed thick walls and incomplete micro-cells. The bottoms of micro-cells surrounded by the nanowalls were considerably flat with a 3.10 nm surface roughness. A pentacene layer was deposited on the micro-cells of a Si surface to evaluate the film properties by grazing incidence wide angle x-ray scattering measurements. The pentacene film on the micro-cell Si surface showed a strong film phase, which was comparable to the film phase grown on the atomically flat Si surface.

  14. Free form hemispherical shaped charge

    DOEpatents

    Haselman, L.C. Jr.

    1996-06-04

    A hemispherical shaped charge has been modified such that one side of the hemisphere is spherical and the other is aspherical allowing a wall thickness variation in the liner. A further modification is to use an elongated hemispherical shape. The liner has a thick wall at its pole and a thin wall at the equator with a continually decreasing wall thickness from the pole to the equator. The ratio of the wall thickness from the pole to the equator varies depending on liner material and HE shape. Hemispherical shaped charges have previously been limited to spherical shapes with no variations in wall thicknesses. By redesign of the basic liner thicknesses, the jet properties of coherence, stability, and mass distribution have been significantly improved. 8 figs.

  15. Free form hemispherical shaped charge

    DOEpatents

    Haselman, Jr., Leonard C.

    1996-01-01

    A hemispherical shaped charge has been modified such that one side of the hemisphere is spherical and the other is aspherical allowing a wall thickness variation in the liner. A further modification is to use an elongated hemispherical shape. The liner has a thick wall at its pole and a thin wall at the equator with a continually decreasing wall thickness from the pole to the equator. The ratio of the wall thickness from the pole to the equator varies depending on liner material and HE shape. Hemispherical shaped charges have previously been limited to spherical shapes with no variations in wall thicknesses. By redesign of the basic liner thicknesses, the jet properties of coherence, stability, and mass distribution have been significantly improved.

  16. Current Flow and Pair Creation at Low Altitude in Rotation-Powered Pulsars' Force-Free Magnetospheres: Space Charge Limited Flow

    NASA Technical Reports Server (NTRS)

    Timokhin, A. N.; Arons, J.

    2013-01-01

    We report the results of an investigation of particle acceleration and electron-positron plasma generation at low altitude in the polar magnetic flux tubes of rotation-powered pulsars, when the stellar surface is free to emit whatever charges and currents are demanded by the force-free magnetosphere. We apply a new 1D hybrid plasma simulation code to the dynamical problem, using Particle-in-Cell methods for the dynamics of the charged particles, including a determination of the collective electrostatic fluctuations in the plasma, combined with a Monte Carlo treatment of the high-energy gamma-rays that mediate the formation of the electron-positron pairs.We assume the electric current flowing through the pair creation zone is fixed by the much higher inductance magnetosphere, and adopt the results of force-free magnetosphere models to provide the currents which must be carried by the accelerator. The models are spatially one dimensional, and designed to explore the physics, although of practical relevance to young, high-voltage pulsars. We observe novel behaviour (a) When the current density j is less than the Goldreich-Julian value (0 < j/j(sub GJ) < 1), space charge limited acceleration of the current carrying beam is mild, with the full Goldreich-Julian charge density comprising the charge densities of the beam and a cloud of electrically trapped particles with the same sign of charge as the beam. The voltage drops are of the order of mc(sup 2)/e, and pair creation is absent. (b) When the current density exceeds the Goldreich-Julian value (j/j(sub GJ) > 1), the system develops high voltage drops (TV or greater), causing emission of curvature gamma-rays and intense bursts of pair creation. The bursts exhibit limit cycle behaviour, with characteristic time-scales somewhat longer than the relativistic fly-by time over distances comparable to the polar cap diameter (microseconds). (c) In return current regions, where j/j(sub GJ) < 0, the system develops similar

  17. Atomic-scale etching of hexagonal boron nitride for device integration based on two-dimensional materials.

    PubMed

    Park, Hamin; Shin, Gwang Hyuk; Lee, Khang June; Choi, Sung-Yool

    2018-05-29

    Hexagonal boron nitride (h-BN) is considered an ideal template for electronics based on two-dimensional (2D) materials, owing to its unique properties as a dielectric film. Most studies involving h-BN and its application to electronics have focused on its synthesis using techniques such as chemical vapor deposition, the electrical analysis of its surface state, and the evaluation of its performance. Meanwhile, processing techniques including etching methods have not been widely studied despite their necessity for device fabrication processes. In this study, we propose the atomic-scale etching of h-BN for integration into devices based on 2D materials, using Ar plasma at room temperature. A controllable etching rate, less than 1 nm min-1, was achieved and the low reactivity of the Ar plasma enabled the atomic-scale etching of h-BN down to a monolayer in this top-down approach. Based on the h-BN etching technique for achieving electrical contact with the underlying molybdenum disulfide (MoS2) layer of an h-BN/MoS2 heterostructure, a top-gate MoS2 field-effect transistor (FET) with h-BN gate dielectric was fabricated and characterized by high electrical performance based on the on/off current ratio and carrier mobility.

  18. Effect of temporary cements on the microtensile bond strength of self-etching and self-adhesive resin cement.

    PubMed

    Carvalho, Edilausson Moreno; Carvalho, Ceci Nunes; Loguercio, Alessandro Dourado; Lima, Darlon Martins; Bauer, José

    2014-11-01

    The aim of this study was to evaluate the microtensile bond strength (µTBS) of self-etching and self-adhesive resin cement systems to dentin affected by the presence of remnants of either eugenol-containing or eugenol-free temporary cements. Thirty extracted teeth were obtained and a flat dentin surface was exposed on each tooth. Acrylic blocks were fabricated and cemented either with one of two temporary cements, one zinc oxide eugenol (ZOE) and one eugenol free (ZOE-free), or without cement (control). After cementation, specimens were stored in water at 37°C for 1 week. The restorations and remnants of temporary cements were removed and dentin surfaces were cleaned with pumice. Resin composite blocks were cemented to the bonded dentin surfaces with one of two resin cements, either self-etching (Panavia F 2.0) or self-adhesive (RelyX U-100). After 24 h, the specimens were sectioned to obtain beams for submission to µTBS. The fracture mode was evaluated under a stereoscopic loupe and a scanning electron microscope (SEM). Data from µTBS were submitted to two-way repeated-measure ANOVA and the Tukey test (alpha = 0.05). The cross-product interaction was statistically significant (p < 0.0003). The presence of temporary cements reduced the bond strength to Panavia self-etching resin cements only (p < 0.05). Fracture occurred predominantly at the dentin-adhesive interface. The presence of eugenol-containing temporary cements did not interfere in the bond strength to dentin of self-adhesive resin cements.

  19. Interplay of wavelength, fluence and spot-size in free-electron laser ablation of cornea.

    PubMed

    Hutson, M Shane; Ivanov, Borislav; Jayasinghe, Aroshan; Adunas, Gilma; Xiao, Yaowu; Guo, Mingsheng; Kozub, John

    2009-06-08

    Infrared free-electron lasers ablate tissue with high efficiency and low collateral damage when tuned to the 6-microm range. This wavelength-dependence has been hypothesized to arise from a multi-step process following differential absorption by tissue water and proteins. Here, we test this hypothesis at wavelengths for which cornea has matching overall absorption, but drastically different differential absorption. We measure etch depth, collateral damage and plume images and find that the hypothesis is not confirmed. We do find larger etch depths for larger spot sizes--an effect that can lead to an apparent wavelength dependence. Plume imaging at several wavelengths and spot sizes suggests that this effect is due to increased post-pulse ablation at larger spots.

  20. Etched beam splitters in InP/InGaAsP.

    PubMed

    Norberg, Erik J; Parker, John S; Nicholes, Steven C; Kim, Byungchae; Krishnamachari, Uppiliappan; Coldren, Larry A

    2011-01-17

    An etched beam splitter (EBS) photonic coupler based on frustrated total internal reflection (FTIR) is designed, fabricated and characterized in the InP/InGaAsP material system. The EBS offers an ultra compact footprint (8x11 μm) and a complete range of bar/cross coupling ratio designs. A novel pre-etching process is developed to achieve sufficient depth of the etched coupling gaps. Fabricated EBS couplers demonstrate insertion loss between 1 and 2.6 dB with transmission (cross-coupling) ≤ 10%. The results show excellent agreement with 3D finite-difference time-domain (FDTD) modeling. The coupling of EBS has weak wavelength dependence in the C-band, making it suitable for wavelength division multiplexing (WDM) or other wide bandwidth applications. Finally, the EBS is integrated with active semiconductor optical amplifier (SOA) and phase-modulator components; using a flattened ring resonator structure, a channelizing filter tunable in both amplitude and center frequency is demonstrated, as well as an EBS coupled ring laser.

  1. Spin-on metal oxide materials with high etch selectivity and wet strippability

    NASA Astrophysics Data System (ADS)

    Yao, Huirong; Mullen, Salem; Wolfer, Elizabeth; McKenzie, Douglas; Rahman, Dalil; Cho, JoonYeon; Padmanaban, Munirathna; Petermann, Claire; Hong, SungEun; Her, YoungJun

    2016-03-01

    Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.

  2. Characteristics of n-GaN after ICP etching

    NASA Astrophysics Data System (ADS)

    Han, Yanjun; Xue, Song; Guo, Wenping; Hao, Zhi-Biao; Sun, Changzheng; Luo, Yi

    2002-09-01

    In this work, a systematic study on the plasma-induced damage on n-type GaN by inductively coupled plasma (ICP) etching is presented. After n-contact metal formation and annealing, electrical property is evaluated by the I-V characteristics. Room temperature photoluminescence (PL) measurement of etched GaN surfaces is performed to investigate the etching damage on the optical properties of n-type GaN. Investigation of the effect of additive gas RF chuck power on these characteristics has also been carried out. The better etching conditions have been obtained based on these results.

  3. Solvent composition of one-step self-etch adhesives and dentine wettability.

    PubMed

    Grégoire, Geneviève; Dabsie, Firas; Dieng-Sarr, Farimata; Akon, Bernadette; Sharrock, Patrick

    2011-01-01

    Our aim was to determine the wettability of dentine by four commercial self-etch adhesives and evaluate their spreading rate on the dentine surface. Any correlation with chemical composition was sought, particularly with the amount of solvent or HEMA present in the adhesive. The adhesives used were AdheSE One, Optibond All.In.One, Adper Easy Bond and XenoV. Chemical compositions were determined by proton nuclear magnetic resonance (NMR) spectroscopy of the adhesives dissolved in dimethylsulfoxide. Apparent contact angles for sessile drops of adhesives were measured on dentine slices as a function of time for up to 180s. The water contact angles were determined for fully polymerised adhesives. All adhesives were water-based with total solvent contents ranging from 27% to 73% for HEMA-free adhesives, and averaging 45% for HEMA containing adhesives. The contents in hydrophobic groups decreased as water contents increased. No differences were found in the adhesive contact angles after 180s even though the spreading rates were different for the products tested. Water contact angles differed significantly but were not correlated with HEMA or solvent presence. Manufacturers use different approaches to stabilise acid co-monomer ingredients in self-etch adhesives. Co-solvents, HEMA, or acrylamides without co-solvents are used to simultaneously etch and infiltrate dentine. A large proportion of water is necessary for decalcification action. Copyright © 2010 Elsevier Ltd. All rights reserved.

  4. Enamel resistance to demineralization following Er:YAG laser etching for bonding orthodontic brackets

    PubMed Central

    Ahrari, Farzaneh; Poosti, Maryam; Motahari, Pourya

    2012-01-01

    Background: Several studies have shown that laser-etching of enamel for bonding orthodontic brackets could be an appropriate alternative for acid conditioning, since a potential advantage of laser could or might be caries prevention. This study compared enamel resistance to demineralization following etching with acid phosphoric or Er:YAG laser for bonding orthodontic brackets. Materials and Methods: Fifty sound human premolars were divided into two equal groups. In the first group, enamel was etched with 37% phosphoric acid for 15 seconds. In the second group, Er:YAG laser (wavelength, 2 940 nm; 300 mJ/pulse, 10 pulses per second, 10 seconds) was used for tooth conditioning. The teeth were subjected to 4-day PH-cycling process to induce caries-like lesions. The teeth were then sectioned and the surface area of the lesion was calculated in each microphotographs and expressed in pixel. The total surface of each specimen was 196 608 pixels. Results: Mean lesion areas were 7 171 and 7532 pixels for Laser-etched and Acid-etched groups, respectively. The two sample t-test showed that there was no significant difference in lesion area between the two groups (P = 0.914). Conclusion: Although Er:YAG laser seems promising for etching enamel before bonding orthodontic brackets, it does not reduce enamel demineralization when exposed to acid challenge. PMID:23162591

  5. Reactive ion etching of indium-tin oxide films by CCl4-based Inductivity Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Juneja, Sucheta; Poletayev, Sergey D.; Fomchenkov, Sergey; Khonina, Svetlana N.; Skidanov, Roman V.; Kazanskiy, Nikolay L.

    2016-08-01

    Indium tin oxide (ITO) films have been a subject of extensive studies in fabrication of micro-electronic devices for opto-electronic applications ranging from anti-reflection coatings to transparent contacts in photovoltaic devices. In this paper, a new and effective way of reactive ion etching of a conducting indium-tin oxide (ITO) film with Carbon tetrachloride (CCl4) has been investigated. CCl4 plasma containing an addition of gases mixture of dissociated argon and oxygen were used. Oxygen is added to increase the etchant percentage whereas argon was used for stabilization of plasma. The etching characteristics obtained with these gaseous mixtures were explained based on plasma etch chemistry and etching regime of ITO films. An etch rate as high as ∼20 nm/min can be achieved with a controlled process parameter such as power density, total flow rate, composition of reactive gases gas and pressure. Our Investigation represents some of the extensive work in this area.

  6. Using Graphene Liquid Cell Transmission Electron Microscopy to Study in Situ Nanocrystal Etching.

    PubMed

    Hauwiller, Matthew R; Ondry, Justin C; Alivisatos, A Paul

    2018-05-17

    Graphene liquid cell electron microscopy provides the ability to observe nanoscale chemical transformations and dynamics as the reactions are occurring in liquid environments. This manuscript describes the process for making graphene liquid cells through the example of graphene liquid cell transmission electron microscopy (TEM) experiments of gold nanocrystal etching. The protocol for making graphene liquid cells involves coating gold, holey-carbon TEM grids with chemical vapor deposition graphene and then using those graphene-coated grids to encapsulate liquid between two graphene surfaces. These pockets of liquid, with the nanomaterial of interest, are imaged in the electron microscope to see the dynamics of the nanoscale process, in this case the oxidative etching of gold nanorods. By controlling the electron beam dose rate, which modulates the etching species in the liquid cell, the underlying mechanisms of how atoms are removed from nanocrystals to form different facets and shapes can be better understood. Graphene liquid cell TEM has the advantages of high spatial resolution, compatibility with traditional TEM holders, and low start-up costs for research groups. Current limitations include delicate sample preparation, lack of flow capability, and reliance on electron beam-generated radiolysis products to induce reactions. With further development and control, graphene liquid cell may become a ubiquitous technique in nanomaterials and biology, and is already being used to study mechanisms governing growth, etching, and self-assembly processes of nanomaterials in liquid on the single particle level.

  7. Characterizing Fluorocarbon Assisted Atomic Layer Etching of Si Using Cyclic Ar/C 4F 8 and Ar/CHF 3 Plasma

    DOE PAGES

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; ...

    2016-09-08

    With the increasing interest in establishing directional etching methods capable of atomic scale resolution for fabricating highly scaled electronic devices, the need for development and characterization of atomic layer etching (ALE) processes, or generally etch processes with atomic layer precision, is growing. In this work, a flux-controlled cyclic plasma process is used for etching of SiO 2 and Si at the Angstrom-level. This is based on steady-state Ar plasma, with periodic, precise injection of a fluorocarbon (FC) precursor (C 4F 8 and CHF 3), and synchronized, plasma-based Ar+ ion bombardment [D. Metzler et al., J Vac Sci Technol A 32,more » 020603 (2014), and D. Metzler et al., J Vac Sci Technol A 34, 01B101 (2016)]. For low energy Ar+ ion bombardment conditions, physical sputter rates are minimized, whereas material can be etched when FC reactants are present at the surface. This cyclic approach offers a large parameter space for process optimization. Etch depth per cycle, removal rates, and self-limitation of removal, along with material dependence of these aspects, were examined as a function of FC surface coverage, ion energy, and etch step length using in situ real time ellipsometry. The deposited FC thickness per cycle is found to have a strong impact on etch depth per cycle of SiO 2 and Si, but is limited with regard to control over material etching selectivity. Ion energy over the 20 to 30 eV range strongly impacts material selectivity. The choice of precursor can have a significant impact on the surface chemistry and chemically enhanced etching. CHF 3 has a lower FC deposition yield for both SiO 2 and Si, and also exhibits a strong substrate dependence of FC deposition yield, in contrast to C4F 8. The thickness of deposited FC layers using CHF 3 is found to be greater for Si than for SiO 2. X-ray photoelectron spectroscopy was used to study surface chemistry. When thicker FC films of 11 Å are employed, strong changes of FC film chemistry during a

  8. Characterizing fluorocarbon assisted atomic layer etching of Si using cyclic Ar/C4F8 and Ar/CHF3 plasma

    NASA Astrophysics Data System (ADS)

    Metzler, Dominik; Li, Chen; Engelmann, Sebastian; Bruce, Robert L.; Joseph, Eric A.; Oehrlein, Gottlieb S.

    2017-02-01

    With the increasing interest in establishing directional etching methods capable of atomic scale resolution for fabricating highly scaled electronic devices, the need for development and characterization of atomic layer etching processes, or generally etch processes with atomic layer precision, is growing. In this work, a flux-controlled cyclic plasma process is used for etching of SiO2 and Si at the Angstrom-level. This is based on steady-state Ar plasma, with periodic, precise injection of a fluorocarbon (FC) precursor (C4F8 and CHF3) and synchronized, plasma-based Ar+ ion bombardment [D. Metzler et al., J. Vac. Sci. Technol., A 32, 020603 (2014) and D. Metzler et al., J. Vac. Sci. Technol., A 34, 01B101 (2016)]. For low energy Ar+ ion bombardment conditions, physical sputter rates are minimized, whereas material can be etched when FC reactants are present at the surface. This cyclic approach offers a large parameter space for process optimization. Etch depth per cycle, removal rates, and self-limitation of removal, along with material dependence of these aspects, were examined as a function of FC surface coverage, ion energy, and etch step length using in situ real time ellipsometry. The deposited FC thickness per cycle is found to have a strong impact on etch depth per cycle of SiO2 and Si but is limited with regard to control over material etching selectivity. Ion energy over the 20-30 eV range strongly impacts material selectivity. The choice of precursor can have a significant impact on the surface chemistry and chemically enhanced etching. CHF3 has a lower FC deposition yield for both SiO2 and Si and also exhibits a strong substrate dependence of FC deposition yield, in contrast to C4F8. The thickness of deposited FC layers using CHF3 is found to be greater for Si than for SiO2. X-ray photoelectron spectroscopy was used to study surface chemistry. When thicker FC films of 11 Å are employed, strong changes of FC film chemistry during a cycle are seen

  9. Dopant Selective Reactive Ion Etching of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert (Inventor)

    2016-01-01

    A method for selectively etching a substrate is provided. In one embodiment, an epilayer is grown on top of the substrate. A resistive element may be defined and etched into the epilayer. On the other side of the substrate, the substrate is selectively etched up to the resistive element, leaving a suspended resistive element.

  10. Anisotropic selective etching between SiGe and Si

    NASA Astrophysics Data System (ADS)

    Ishii, Yohei; Scott-McCabe, Ritchie; Yu, Alex; Okuma, Kazumasa; Maeda, Kenji; Sebastian, Joseph; Manos, Jim

    2018-06-01

    In Si/SiGe dual-channel FinFETs, it is necessary to simultaneously control the etched amounts of SiGe and Si. However, the SiGe etch rate is higher than the Si etch rate in not only halogen plasmas but also physical sputtering. In this study, we found that hydrogen plasma selectively etches Si over SiGe. The result shows that the selectivity of Si over SiGe can be up to 38 with increasing Ge concentration in SiGe. Attenuated total reflectance Fourier transform infrared spectroscopy (ATR-FTIR) results indicate that hydrogen selectively bonds with Si rather than with Ge in SiGe. During the etching, hydrogen-induced Si surface segregation is also observed. It is also observed that the difference in etched amount between SiGe and Si can be controlled from positive to negative values even in Si/SiGe dual-channel fin patterning while maintaining the vertical profiles. Furthermore, no plasma-induced lattice damage was observed by transmission electron microscopy for both Si and SiGe fin sidewalls.

  11. Simulation of the evolution of fused silica's surface defect during wet chemical etching

    NASA Astrophysics Data System (ADS)

    Liu, Taixiang; Yang, Ke; Li, Heyang; Yan, Lianghong; Yuan, Xiaodong; Yan, Hongwei

    2017-08-01

    Large high-power-laser facility is the basis for achieving inertial confinement fusion, one of whose missions is to make fusion energy usable in the near future. In the facility, fused silica optics plays an irreplaceable role to conduct extremely high-intensity laser to fusion capsule. But the surface defect of fused silica is a major obstacle limiting the output power of the large laser facility and likely resulting in the failure of ignition. To mitigate, or event to remove the surface defect, wet chemical etching has been developed as a practical way. However, how the surface defect evolves during wet chemical etching is still not clearly known so far. To address this problem, in this work, the three-dimensional model of surface defect is built and finite difference time domain (FDTD) method is developed to simulate the evolution of surface defect during etching. From the simulation, it is found that the surface defect will get smooth and result in the improvement of surface quality of fused silica after etching. Comparatively, surface defects (e.g. micro-crack, scratch, series of pinholes, etc.) of a typical fused silica at different etching time are experimentally measured. It can be seen that the simulation result agrees well with the result of experiment, indicating the FDTD method is valid for investigating the evolution of surface defect during etching. With the finding of FDTD simulation, one can optimize the treatment process of fused silica in practical etching or even to make the initial characterization of surface defect traceable.

  12. Junction-Free Electrospun Ag Fiber Electrodes for Flexible Organic Light-Emitting Diodes.

    PubMed

    Choi, Junhee; Shim, Yong Sub; Park, Cheol Hwee; Hwang, Ha; Kwack, Jin Ho; Lee, Dong Jun; Park, Young Wook; Ju, Byeong-Kwon

    2018-02-01

    Fabrication of junction-free Ag fiber electrodes for flexible organic light-emitting diodes (OLEDs) is demonstrated. The junction-free Ag fiber electrodes are fabricated by electrospun polymer fibers used as an etch mask and wet etching of Ag thin film. This process facilitates surface roughness control, which is important in transparent electrodes based on metal wires to prevent electrical instability of the OLEDs. The transmittance and resistance of Ag fiber electrodes can be independently adjusted by controlling spinning time and Ag deposition thickness. The Ag fiber electrode shows a transmittance of 91.8% (at 550 nm) at a sheet resistance of 22.3 Ω □ -1 , leading to the highest OLED efficiency. In addition, Ag fiber electrodes exhibit excellent mechanical durability, as shown by measuring the change in resistance under repeatable mechanical bending and various bending radii. The OLEDs with Ag fiber electrodes on a flexible substrate are successfully fabricated, and the OLEDs show an enhancement of EQE (≈19%) compared to commercial indium tin oxide electrodes. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  13. Plasma/Neutral-Beam Etching Apparatus

    NASA Technical Reports Server (NTRS)

    Langer, William; Cohen, Samuel; Cuthbertson, John; Manos, Dennis; Motley, Robert

    1989-01-01

    Energies of neutral particles controllable. Apparatus developed to produce intense beams of reactant atoms for simulating low-Earth-orbit oxygen erosion, for studying beam-gas collisions, and for etching semiconductor substrates. Neutral beam formed by neutralization and reflection of accelerated plasma on metal plate. Plasma ejected from coaxial plasma gun toward neutralizing plate, where turned into beam of atoms or molecules and aimed at substrate to be etched.

  14. The tailored inner space of TiO2 electrodes via a 30 second wet etching process: high efficiency solid-state perovskite solar cells.

    PubMed

    Kwon, Jeong; Kim, Sung June; Park, Jong Hyoek

    2015-06-28

    We fabricated a perovskite solar cell with enhanced device efficiency based on the tailored inner space of the TiO2 electrode by utilizing a very short chemical etching process. It was found that the mesoporous TiO2 photoanode treated with a HF solution exhibited remarkably enhanced power conversion efficiencies under simulated AM 1.5G one sun illumination. The controlled inner space and morphology of the etched TiO2 electrode provide an optimized space for perovskite sensitizers and infiltration of a hole transport layer without sacrificing its original electron transport ability, which resulted in higher JSC, FF and VOC values. This simple platform provides new opportunities for tailoring the microstructure of the TiO2 electrode and has great potential in various optoelectronic devices utilizing metal oxide nanostructures.

  15. Combined technique of elastic magnetorheological finishing and HF etching for high-efficiency improving of the laser-induced damage threshold of fused silica optics.

    PubMed

    Shi, Feng; Tian, Ye; Peng, Xiaoqiang; Dai, Yifan

    2014-02-01

    The inadequate laser-induced damage threshold (LIDT) of optical elements limits the future development of high-power laser systems. With the aim of raising the LIDT, the elastic passivating treatment mechanism and parameter optimization of a combined magnetorheological finishing (MRF) and HF etching process are investigated. The relationships among the width/depth ratio of defects and parameters of the passivating treatment process (MRF and HF etching), relative intensity (RI), and LIDT of fused silica (FS) optics are revealed through a set of simulations and experiments. For high-efficiency improvement of LIDT, in an elastic passivating treatment process, scratches or other defects need not be wiped off entirely, but only passivated or enlarged to an acceptable profile. This combined process can be applied in polishing high-power-laser-irradiated components with high efficiency, low damage, and high LIDT. A 100  mm×100  mm×10  mm FS optic window is treated, and the width/depth ratio rises from 3 to 11, RI decreases from 4 to 1.2, and LIDT is improved from 7.8 to 17.8  J/cm2 after 385 min of MRF elastic polishing and 60 min of HF etching. Comparing this defect-carrying sample to the defect-free one, the MRF polishing time is shortened, obviously, from 1100 to 385 min, and the LIDT is merely decreased from 19.4 to 17.8  J/cm2. Due to the optimized technique, the fabricating time was shortened by a factor of 2.6, while the LIDT decreased merely 8.2%.

  16. Depth of Etch Comparison Between Self-limiting and Traditional Etchant Systems

    DTIC Science & Technology

    2016-06-18

    two different etchants (Ultradent’s Opal Etch 35%, a self-limiting phosphoric acid, or 34% Tooth Conditioning Gel by Dentsply) at varied time... Opal versus Dentsply and there was also a significant difference between etch time. There is no significant difference between the interaction of...etch material and etch time. Conclusion: The depth of etch of Opal etchant was consistently less than Dentsply etchant but continued to etch and

  17. Performance of a new one-step multi-mode adhesive on etched vs non-etched enamel on bond strength and interfacial morphology.

    PubMed

    de Goes, Mario Fernando; Shinohara, Mirela Sanae; Freitas, Marcela Santiago

    2014-06-01

    To compare microtensile bond strength (μTBS) and interfacial morphology of a new one-step multimode adhesive with a two-step self-etching adhesive and two etch-and-rinse adhesives systems on enamel. Thirty human third molars were sectioned to obtain two enamel fragments. For μTBS, 48 enamel surfaces were ground using 600-grit SiC paper and randomly assigned into 6 groups (n = 8): nonetched Scotchbond Universal [SBU]; etched SBU [SBU-et]; non-etched Clearfil SE Bond [CSE]; etched CSE [CSE-et]; Scotchbond Multi-PURPOSE [SBMP]; Excite [EX]. The etched specimens were conditioned with 37% phosphoric acid for 30 s, each adhesive system was applied according to manufacturers' instructions, and composite resin blocks (Filtek Supreme Plus, 3M ESPE) were incrementally built up. Specimens were sectioned into beams with a cross-sectional area of 0.8-mm2 and tested under tension (1 mm/min). The data were analyzed with oneway ANOVA and Fisher's PLSD (α = 0.05). For interface analysis, two samples from each group were embedded in epoxy resin, polished, and then observed using scanning electron microscopy (SEM). The μTBS values (in MPa) and the standard deviations were: SBU = 27.4 (8.5); SBU-et = 33.6 (9.3); CSE = 28.5 (8.3); CSE-et = 34.2 (9.0); SBMP = 30.4 (11.0); EX = 23.3 (8.2). CSE-et and SBU-et presented the highest bond strength values, followed by SBMP, CSE, and SBU which did not differ significantly from each other. EX showed the statistically significantly lowest bond strength values. SEM images of interfaces from etched samples showed long adhesive-resin tags penetrating into demineralized enamel. Preliminary etching of enamel significantly increased bond strength for the new one-step multimode adhesive SBU and two-step self-etching adhesive CSE.

  18. Cytotoxicity of Etch-and-Rinse, Self-Etch, and Universal Dental Adhesive Systems in Fibroblast Cell Line 3T3

    PubMed Central

    Bernardo, Cintia Fernanda de Freitas; de Souza, Francielly Fernanda de Freitas A.; Michél, Milton Domingos; Ribeiro, Camila Nunes de Morais; Germano, Sandro; Maluf, Daniela Florencio

    2017-01-01

    The aim of this study was to evaluate in fibroblast cultures the direct cytotoxic effects of etch-and-rinse, self-etch, and universal adhesive systems. The sterile glass cover slips (n = 3) were then immersed in culture medium to obtain the eluates for the experimental groups: (1) Adper™ Single Bond 2; (2) Ambar; (3) Adper™ Scotchbond™ Multi-Purpose; (4) Scotchbond™ Universal; (5) Ambar Universal; and (6) OptiBond All-In-One. As a negative control, sterile glass cover slips were immersed in culture medium only. After 24 h, the eluate obtained was applied on fibroblast culture. Cell viability and cell morphology were evaluated by MTT assay and SEM, respectively. Data were analyzed by Kruskal–Wallis and Mann–Whitney tests (α = 0.05). All adhesive systems except universal reduced cell viability in 3T3 cells to between 26.04% and 56.57%, and Scotchbond Universal and Ambar Universal reduced cell viability to 2.13% and 3.57%, respectively, when compared to the negative control. Cytoplasmic membrane shrinkage and cell-free areas with residual membrane fragments from dead cells were observed. In conclusion, improvements in universal adhesive system formulations and their mechanisms of action are not accompanied by increased toxicity compared with those in other systems, warranting commitment to the use of these dentin-pulp complexes. PMID:29109829

  19. Label-free detection of DNA using a light-addressable potentiometric sensor modified with a positively charged polyelectrolyte layer

    NASA Astrophysics Data System (ADS)

    Wu, Chunsheng; Bronder, Thomas; Poghossian, Arshak; Werner, Carl Frederik; Schöning, Michael J.

    2015-03-01

    A multi-spot (16 spots) light-addressable potentiometric sensor (MLAPS) consisting of an Al-p-Si-SiO2 structure modified with a weak polyelectrolyte layer of PAH (poly(allylamine hydrochloride)) was applied for the label-free electrical detection of DNA (deoxyribonucleic acid) immobilization and hybridization by the intrinsic molecular charge for the first time. To achieve a preferentially flat orientation of DNA strands and thus, to reduce the distance between the DNA charge and MLAPS surface, the negatively charged probe single-stranded DNAs (ssDNA) were electrostatically adsorbed onto the positively charged PAH layer using a simple layer-by-layer (LbL) technique. In this way, more DNA charge can be positioned within the Debye length, yielding a higher sensor signal. The surface potential changes in each spot induced due to the surface modification steps (PAH adsorption, probe ssDNA immobilization, hybridization with complementary target DNA (cDNA), non-specific adsorption of mismatched ssDNA) were determined from the shifts of photocurrent-voltage curves along the voltage axis. A high sensor signal of 83 mV was registered after immobilization of probe ssDNA onto the PAH layer. The hybridization signal increases from 5 mV to 32 mV with increasing the concentration of cDNA from 0.1 nM to 5 μM. In contrast, a small signal of 5 mV was recorded in the case of non-specific adsorption of fully mismatched ssDNA (5 μM). The obtained results demonstrate the potential of the MLAPS in combination with the simple and rapid LbL immobilization technique as a promising platform for the future development of multi-spot light-addressable label-free DNA chips with direct electrical readout.A multi-spot (16 spots) light-addressable potentiometric sensor (MLAPS) consisting of an Al-p-Si-SiO2 structure modified with a weak polyelectrolyte layer of PAH (poly(allylamine hydrochloride)) was applied for the label-free electrical detection of DNA (deoxyribonucleic acid) immobilization

  20. Effects of etching time on enamel bond strengths.

    PubMed

    Triolo, P T; Swift, E J; Mudgil, A; Levine, A

    1993-12-01

    This study evaluated the effects of etching time on bond strengths of composite to enamel. Proximal surfaces of extracted molars were etched with either a conventional etchant (35% phosphoric acid) or one of two dentin/enamel conditioners, 10% maleic acid (Scotchbond Multi-Purpose Etchant), or a solution of oxalic acid, aluminum nitrate, and glycine (Gluma 1 & 2 Conditioner). Each agent was applied for 15, 30, or 60 seconds. Specimens etched with 35% phosphoric acid had the highest mean bond strengths at each etching time. At the manufacturer's recommended application times, the other two agents gave significantly lower shear bond strengths than phosphoric acid.

  1. Recovery of Multilayer-Coated Zerodur and ULE Optics for Extreme-Ultraviolet Lithography by Recoating, Reactive-Ion Etching, and Wet-Chemical Processes.

    PubMed

    Mirkarimi, P B; Baker, S L; Montcalm, C; Folta, J A

    2001-01-01

    Extreme-ultraviolet lithography requires expensive multilayer-coated Zerodur or ULE optics with extremely tight figure and finish specifications. Therefore it is desirable to develop methods to recover these optics if they are coated with a nonoptimum multilayer films or in the event that the coating deteriorates over time owing to long-term exposure to radiation, corrosion, or surface contamination. We evaluate recoating, reactive-ion etching, and wet-chemical techniques for the recovery of Mo/Si and Mo/Be multilayer films upon Zerodur and ULE test optics. The recoating technique was successfully employed in the recovery of Mo/Si-coated optics but has the drawback of limited applicability. A chlorine-based reactive-ion etch process was successfully used to recover Mo/Si-coated optics, and a particularly large process window was observed when ULE optics were employed; this is an advantageous for large, curved optics. Dilute HCl wet-chemical techniques were developed and successfully demonstrated for the recovery of Mo/Be-coated optics as well as for Mo/Si-coated optics when Mo/Be release layers were employed; however, there are questions about the extendability of the HCl process to large optics and multiple coat and strip cycles. The technique of using carbon barrier layers to protect the optic during removal of Mo/Si in HF:HNO(3) also showed promise.

  2. Influence of water storage on fatigue strength of self-etch adhesives.

    PubMed

    Takamizawa, Toshiki; Barkmeier, Wayne W; Tsujimoto, Akimasa; Scheidel, Donal D; Watanabe, Hidehiko; Erickson, Robert L; Latta, Mark A; Miyazaki, Masashi

    2015-12-01

    The purpose of this study was to determine enamel and dentin bond durability after long-term water storage using self-etch adhesives. Two single step self-etch adhesives (SU, Scotchbond Universal and GB, G-ӕnial Bond) and a two-step self-etch adhesive (OX, OptiBond XTR) were used. The shear bond strength (SBS) and shear fatigue strength (FS) of the enamel and dentin were obtained with and without phosphoric acid pre-etching prior to application of the adhesives. The specimens were stored in distilled water at 37 °C for 24 h, 6 months, and one year. A staircase method was used to determine the FS using a frequency of 10 Hz for 50,000 cycles or until failure occurred. The SBS and FS of enamel bonds were significantly higher with pre-etching, when compared to no pre-etching for the same water storage period. The FS of dentin bonds with pre-etching tended to decrease relative to no pre-etching at the same storage period. For the one year storage period, SU and GB with pre-etching showed significantly lower FS values than the groups without pre-etching. The influence of water storage on FS of the self-etch adhesives was dependent on the adhesive material, storage period and phosphoric acid pre-etching of the bonding site. Phosphoric acid pre-etching of enamel improves the effectiveness of self-etch adhesive systems. Inadvertent contact of phosphoric acid on dentin appears to reduce the ability of self-etch adhesives to effectively bond resin composite materials. Copyright © 2015 Elsevier Ltd. All rights reserved.

  3. Fabrication of low reflective nanopore-type black Si layer using one-step Ni-assisted chemical etching for Si solar cell application

    NASA Astrophysics Data System (ADS)

    Takaloo, AshkanVakilipour; Kolahdouz, Mohammadreza; Poursafar, Jafar; Es, Firat; Turan, Rasit; Ki-Joo, Seung

    2018-03-01

    Nanotextured Si fabricated through metal-assisted chemical etching (MACE) technique exhibits a promising potential for producing antireflective layer for photovoltaic (PV) application. In this study, a novel single-step nickel (Ni) assisted etching technique was applied to produce an antireflective, nonporous Si (black Si) in an aqueous solution containing hydrofluoric acid (HF), hydrogen peroxide (H2O2) and NiSO4 at 40 °C. Field emission scanning electron microscope was used to characterize different morphologies of the textured Si. Optical reflection measurements of samples were carried out to compare the reflectivity of different morphologies. Results indicated that vertical as well as horizontal pores with nanosized diameters were bored in the Si wafer after 1 h treatment in the etching solution containing different molar ratios of H2O2 to HF. Increasing H2O2 concentration in electrochemical etching solution had a considerable influence on the morphology due to higher injection of positive charges from Ni atoms onto the Si surface. Optimized concentration of H2O2 led to formation of an antireflective layer with 2.1% reflectance of incident light.

  4. Study of charged pion photoproduction on deuteron

    NASA Astrophysics Data System (ADS)

    Han, Yun-Cheng; Backford, B.; Chiga, N.; Fujii, T.; Fujibayashi, T.; Gogami, T.; Futatsukawa, K.; Hashimoto, O.; Hirose, K.; Hosomi, K.; Iguchi, A.; Ishikawa, T.; Kanda, H.; Kaneta, M.; Kawama, D.; Kawasaki, T.; Kimura, C.; Kiyokawa, S.; Koike, T.; Ma, Y.; Maeda, K.; Maruyama, N.; Matsumura, A.; Miyagi, Y.; Miwa, K.; Nakamura, S. N.; Okuyama, A.; Otani, T.; Sato, M.; Shichijo, A.; Shirotori, K.; Shimizu, H.; Suzuki, K.; Tamura, H.; Taniya, N.; Terada, N.; Yamamoto, T.; Yamamoto, T.; Yokota, K.; Tamae, T.; Wang, Tie-Shan; Yamazaki, H.

    2010-03-01

    Photoproduction of charged pion on deuteron, emphasis on channels γd→π-pp and γd→π+π-np, were measured with the second generation of Neutral Kaon Spectrometer. The photon beam was provided from the tagged photon facility at the Laboratory of Nuclear Science, Tohoku University. The energy range of photon is 0.8-1.1 GeV. The aim is to investigate the pion photoproduction process on the nucleus in the second and third resonance regions. The quasi-free process inside deuteron and also non-quasi-free contributions were derived individually.

  5. Study on the performance of 2.6 μm In0.83Ga0.17As detector with different etch gases

    NASA Astrophysics Data System (ADS)

    Li, Ping; Tang, Hengjing; Li, Tao; Li, Xue; Shao, Xiumei; Ma, Yingjie; Gong, Haimei

    2017-09-01

    In order to obtain a low-damage recipe in the ICP processing, ICP-induced damage using Cl2/CH4 etch gases in extended wavelength In0.83Ga0.17As detector materials was studied in this paper. The effect of ICP etching on In0.83Ga0.17As samples was characterized qualitatively by the photoluminescence (PL) technology. The etch damage of In0.83Ga0.17As samples was characterized quantitatively by the Transmission Line Model (TLM), current voltage (IV) measurement, signal and noise testing and the Fourier Transform Infrared Spectroscopy (FTIR) technologies. The results showed that the Cl2/CH4 etching processing could lead better detector performance than that Cl2/N2, such as a larger square resistance, a lower dark current, a lower noise voltage and a higher peak detectivity. The lower PL signal intensity and lower dark current could be attributed to the hydrogen decomposed by the CH4 etch gases in the plasma etching process. These hydrogen particles generated non-radiative recombination centers in inner materials to weaken the PL intensity and passivated dangling bond at the surface to reduce the dark current. The larger square resistance resulted from the lower etch damage. The lower dark current meant that the detectors have less dangling bonds and leakage channels.

  6. Chemical Etching of Zinc Oxide for Thin-Film Silicon Solar Cells

    PubMed Central

    Hüpkes, Jürgen; Owen, Jorj I; Pust, Sascha E; Bunte, Eerke

    2012-01-01

    Abstract Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided. PMID:22162035

  7. On the Control of the Fixed Charge Densities in Al2O3-Based Silicon Surface Passivation Schemes.

    PubMed

    Simon, Daniel K; Jordan, Paul M; Mikolajick, Thomas; Dirnstorfer, Ingo

    2015-12-30

    A controlled field-effect passivation by a well-defined density of fixed charges is crucial for modern solar cell surface passivation schemes. Al2O3 nanolayers grown by atomic layer deposition contain negative fixed charges. Electrical measurements on slant-etched layers reveal that these charges are located within a 1 nm distance to the interface with the Si substrate. When inserting additional interface layers, the fixed charge density can be continuously adjusted from 3.5 × 10(12) cm(-2) (negative polarity) to 0.0 and up to 4.0 × 10(12) cm(-2) (positive polarity). A HfO2 interface layer of one or more monolayers reduces the negative fixed charges in Al2O3 to zero. The role of HfO2 is described as an inert spacer controlling the distance between Al2O3 and the Si substrate. It is suggested that this spacer alters the nonstoichiometric initial Al2O3 growth regime, which is responsible for the charge formation. On the basis of this charge-free HfO2/Al2O3 stack, negative or positive fixed charges can be formed by introducing additional thin Al2O3 or SiO2 layers between the Si substrate and this HfO2/Al2O3 capping layer. All stacks provide very good passivation of the silicon surface. The measured effective carrier lifetimes are between 1 and 30 ms. This charge control in Al2O3 nanolayers allows the construction of zero-fixed-charge passivation layers as well as layers with tailored fixed charge densities for future solar cell concepts and other field-effect based devices.

  8. Calculating the binding free energies of charged species based on explicit-solvent simulations employing lattice-sum methods: An accurate correction scheme for electrostatic finite-size effects

    PubMed Central

    Rocklin, Gabriel J.; Mobley, David L.; Dill, Ken A.; Hünenberger, Philippe H.

    2013-01-01

    The calculation of a protein-ligand binding free energy based on molecular dynamics (MD) simulations generally relies on a thermodynamic cycle in which the ligand is alchemically inserted into the system, both in the solvated protein and free in solution. The corresponding ligand-insertion free energies are typically calculated in nanoscale computational boxes simulated under periodic boundary conditions and considering electrostatic interactions defined by a periodic lattice-sum. This is distinct from the ideal bulk situation of a system of macroscopic size simulated under non-periodic boundary conditions with Coulombic electrostatic interactions. This discrepancy results in finite-size effects, which affect primarily the charging component of the insertion free energy, are dependent on the box size, and can be large when the ligand bears a net charge, especially if the protein is charged as well. This article investigates finite-size effects on calculated charging free energies using as a test case the binding of the ligand 2-amino-5-methylthiazole (net charge +1 e) to a mutant form of yeast cytochrome c peroxidase in water. Considering different charge isoforms of the protein (net charges −5, 0, +3, or +9 e), either in the absence or the presence of neutralizing counter-ions, and sizes of the cubic computational box (edges ranging from 7.42 to 11.02 nm), the potentially large magnitude of finite-size effects on the raw charging free energies (up to 17.1 kJ mol−1) is demonstrated. Two correction schemes are then proposed to eliminate these effects, a numerical and an analytical one. Both schemes are based on a continuum-electrostatics analysis and require performing Poisson-Boltzmann (PB) calculations on the protein-ligand system. While the numerical scheme requires PB calculations under both non-periodic and periodic boundary conditions, the latter at the box size considered in the MD simulations, the analytical scheme only requires three non-periodic PB

  9. Calculating the binding free energies of charged species based on explicit-solvent simulations employing lattice-sum methods: an accurate correction scheme for electrostatic finite-size effects.

    PubMed

    Rocklin, Gabriel J; Mobley, David L; Dill, Ken A; Hünenberger, Philippe H

    2013-11-14

    The calculation of a protein-ligand binding free energy based on molecular dynamics (MD) simulations generally relies on a thermodynamic cycle in which the ligand is alchemically inserted into the system, both in the solvated protein and free in solution. The corresponding ligand-insertion free energies are typically calculated in nanoscale computational boxes simulated under periodic boundary conditions and considering electrostatic interactions defined by a periodic lattice-sum. This is distinct from the ideal bulk situation of a system of macroscopic size simulated under non-periodic boundary conditions with Coulombic electrostatic interactions. This discrepancy results in finite-size effects, which affect primarily the charging component of the insertion free energy, are dependent on the box size, and can be large when the ligand bears a net charge, especially if the protein is charged as well. This article investigates finite-size effects on calculated charging free energies using as a test case the binding of the ligand 2-amino-5-methylthiazole (net charge +1 e) to a mutant form of yeast cytochrome c peroxidase in water. Considering different charge isoforms of the protein (net charges -5, 0, +3, or +9 e), either in the absence or the presence of neutralizing counter-ions, and sizes of the cubic computational box (edges ranging from 7.42 to 11.02 nm), the potentially large magnitude of finite-size effects on the raw charging free energies (up to 17.1 kJ mol(-1)) is demonstrated. Two correction schemes are then proposed to eliminate these effects, a numerical and an analytical one. Both schemes are based on a continuum-electrostatics analysis and require performing Poisson-Boltzmann (PB) calculations on the protein-ligand system. While the numerical scheme requires PB calculations under both non-periodic and periodic boundary conditions, the latter at the box size considered in the MD simulations, the analytical scheme only requires three non-periodic PB

  10. Calculating the binding free energies of charged species based on explicit-solvent simulations employing lattice-sum methods: An accurate correction scheme for electrostatic finite-size effects

    NASA Astrophysics Data System (ADS)

    Rocklin, Gabriel J.; Mobley, David L.; Dill, Ken A.; Hünenberger, Philippe H.

    2013-11-01

    The calculation of a protein-ligand binding free energy based on molecular dynamics (MD) simulations generally relies on a thermodynamic cycle in which the ligand is alchemically inserted into the system, both in the solvated protein and free in solution. The corresponding ligand-insertion free energies are typically calculated in nanoscale computational boxes simulated under periodic boundary conditions and considering electrostatic interactions defined by a periodic lattice-sum. This is distinct from the ideal bulk situation of a system of macroscopic size simulated under non-periodic boundary conditions with Coulombic electrostatic interactions. This discrepancy results in finite-size effects, which affect primarily the charging component of the insertion free energy, are dependent on the box size, and can be large when the ligand bears a net charge, especially if the protein is charged as well. This article investigates finite-size effects on calculated charging free energies using as a test case the binding of the ligand 2-amino-5-methylthiazole (net charge +1 e) to a mutant form of yeast cytochrome c peroxidase in water. Considering different charge isoforms of the protein (net charges -5, 0, +3, or +9 e), either in the absence or the presence of neutralizing counter-ions, and sizes of the cubic computational box (edges ranging from 7.42 to 11.02 nm), the potentially large magnitude of finite-size effects on the raw charging free energies (up to 17.1 kJ mol-1) is demonstrated. Two correction schemes are then proposed to eliminate these effects, a numerical and an analytical one. Both schemes are based on a continuum-electrostatics analysis and require performing Poisson-Boltzmann (PB) calculations on the protein-ligand system. While the numerical scheme requires PB calculations under both non-periodic and periodic boundary conditions, the latter at the box size considered in the MD simulations, the analytical scheme only requires three non-periodic PB

  11. Figuring of plano-elliptical neutron focusing mirror by local wet etching.

    PubMed

    Yamamura, Kazuya; Nagano, Mikinori; Takai, Hiroyuki; Zettsu, Nobuyuki; Yamazaki, Dai; Maruyama, Ryuji; Soyama, Kazuhiko; Shimada, Shoichi

    2009-04-13

    Local wet etching technique was proposed to fabricate high-performance aspherical mirrors. In this process, only the limited area facing to the small nozzle is removed by etching on objective surface. The desired objective shape is deterministically fabricated by performing the numerically controlled scanning of the nozzle head. Using the technique, a plano-elliptical mirror to focus the neutron beam was successfully fabricated with the figure accuracy of less than 0.5 microm and the focusing gain of 6. The strong and thin focused neutron beam is expected to be a useful tool for the analyses of various material properties.

  12. Three-year clinical effectiveness of four total-etch dentinal adhesive systems in cervical lesions.

    PubMed

    Van Meerbeek, B; Peumans, M; Gladys, S; Braem, M; Lambrechts, P; Vanherle, G

    1996-11-01

    A 3-year follow-up clinical trial of two experimental Bayer total-etch adhesive systems and two commercial total-etch systems. Clearfil Liner Bond System and Scotchbond Multi-Purpose, was conducted to evaluate their clinical effectiveness in Class V cervical lesions. Four hundred twenty abrasion-erosion lesions were restored randomly using the four adhesive systems. There were two experimental cavity designs, in which the adjacent enamel margins either were or were not beveled and acid etched. Clearfil Liner Bond System and Scotchbond Multi-Purpose demonstrated high retention rates in both types of cavity design at 3 years. The two experimental Bayer systems scored much lower retention rates in both cavity designs at 3 years. None of the systems guaranteed margins free of microleakage for a long time. At 3 years, superficial, localized marginal discolorations were observed, the least for Clearfil Liner Bond System, followed by Scotchbond Multi-Purpose and the two experimental systems. Small marginal defects were recorded at the cervical dentin and the incisal enamel margin. Retention of Clearfil Liner Bond and Scotchbond Multi-Purpose appears to be clearly improved over earlier systems, but marginal sealing remains problematic. The two Bayer systems were found to be clinically unreliable.

  13. High precision AlGaAsSb ridge-waveguide etching by in situ reflectance monitored ICP-RIE

    NASA Astrophysics Data System (ADS)

    Tran, N. T.; Breivik, Magnus; Patra, S. K.; Fimland, Bjørn-Ove

    2014-05-01

    GaSb-based semiconductor diode lasers are promising candidates for light sources working in the mid-infrared wavelength region of 2-5 μm. Using edge emitting lasers with ridge-waveguide structure, light emission with good beam quality can be achieved. Fabrication of the ridge waveguide requires precise etch stop control for optimal laser performance. Simulation results are presented that show the effect of increased confinement in the waveguide when the etch depth is well-defined. In situ reflectance monitoring with a 675 nm-wavelength laser was used to determine the etch stop with high accuracy. Based on the simulations of laser reflectance from a proposed sample, the etching process can be controlled to provide an endpoint depth precision within +/- 10 nm.

  14. Novel Approach to Evaluation of Charging on Semiconductor Surface by Noncontact, Electrode-Free Capacitance/Voltage Measurement

    NASA Astrophysics Data System (ADS)

    Hirae, Sadao; Kohno, Motohiro; Okada, Hiroshi; Matsubara, Hideaki; Nakatani, Ikuyoshi; Kusuda, Tatsufumi; Sakai, Takamasa

    1994-04-01

    This paper describes a novel approach to the quantitative characterization of semiconductor surface charging caused by plasma exposures and ion implantations. The problems in conventional evaluation of charging are also discussed. Following the discussions above, the necessity of unified criteria is suggested for efficient development of systems or processes without charging damage. Hence, the charging saturation voltage between a top oxide surface and substrate, V s, and the charging density per unit area per second, ρ0, should be taken as criteria of charging behavior, which effectively represent the charging characteristics of both processes. The unified criteria can be obtained from the exposure time dependence of a net charging density on the thick field oxide. In order to determine V s and ρ0, the analysis using the C-V curve measured in a noncontact method with the metal-air-insulator-semiconductor (MAIS) technique is employed. The total space-charge density in oxide and its centroid can be determined at the same time by analyzing the flat-band voltage (V fb) of the MAIS capacitor as a function of the air gap. The net charge density can be obtained by analyzing the difference between the total space-charge density in oxide before and after charging. Finally, it is shown that charge damage of the large area metal-oxide-semiconductor (MOS) capacitor can be estimated from both V s and ρ0 which are obtained from results for a thick field oxide implanted with As+ and exposed to oxygen plasma.

  15. Article processing charges, funding, and open access publishing at Journal of Experimental & Clinical Assisted Reproduction.

    PubMed

    Sills, Eric Scott; Vincent, Tina Thibault; Palermo, Gianpiero D

    2005-01-13

    Journal of Experimental & Clinical Assisted Reproduction is an Open Access, online, electronic journal published by BioMed Central with full contents available to the scientific and medical community free of charge to all readers. Authors maintain the copyright to their own work, a policy facilitating dissemination of data to the widest possible audience without requiring permission from the publisher. This Open Access publishing model is subsidized by authors (or their institutions/funding agencies) in the form of a single pound330 article processing charge (APC), due at the time of manuscript acceptance for publication. Payment of the APC is not a condition for formal peer review and does not apply to articles rejected after review. Additionally, this fee is waived for authors whose institutions are BioMed Central members or where genuine financial hardship exists. Considering ordinary publication fees related to page charges and reprints, the APC at Journal of Experimental & Clinical Assisted Reproduction is comparable to costs associated with publishing in some traditional print journals, and is less expensive than many. Implementation of the APC within this Open Access framework is envisioned as a modern research-friendly policy that supports networking among investigators, brings new research into reach rapidly, and empowers authors with greater control over their own scholarly publications.

  16. On charged particle tracks in cellulose nitrate and Lexan

    NASA Technical Reports Server (NTRS)

    Benton, E. V.; Henke, R. P.

    1972-01-01

    Investigations were performed aimed at developing plastic nuclear track detectors into quantitative tools for recording and measuring multicharged, heavy particles. Accurate track etch rate measurements as a function of LET were performed for cellulose nitrate and Lexan plastic detectors. This was done using a variety of incident charged particle types and energies. The effect of aging of latent tracks in Lexan in different gaseous atmospheres was investigated. Range distributions of high energy N-14 particle bevatron beams in nuclear emulsion were measured. Investigation of charge resolution and Bragg peak measurements were carried out using plastic nuclear track detectors.

  17. Electronegativity-dependent tin etching from thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that,more » due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.« less

  18. Etching of enamel for direct bonding with a thulium fiber laser

    NASA Astrophysics Data System (ADS)

    Kabaş Sarp, Ayşe S.; Gülsoy, Murat

    2011-03-01

    Background: Laser etching of enamel for direct bonding can decrease the risk of surface enamel loss and demineralization which are the adverse effects of acid etching technique. However, in excess of +5.5°C can cause irreversible pulpal responses. In this study, a 1940- nm Thulium Fiber Laser in CW mode was used for laser etching. Aim: Determination of the suitable Laser parameters of enamel surface etching for direct bonding of ceramic brackets and keeping that intrapulpal temperature changes below the threshold value. Material and Method: Polycrystalline ceramic orthodontic brackets were bonded on bovine teeth by using 2 different kinds of etching techniques: Acid and Laser Etching. In addition to these 3 etched groups, there was also a group which was bonded without etching. Brackets were debonded with a material testing machine. Breaking time and the load at the breaking point were measured. Intrapulpal temperature changes were recorded by a K-type Thermocouple. For all laser groups, intrapulpal temperature rise was below the threshold value of 5.5°C. Results and Conclusion: Acid-etched group ( 11.73 MPa) significantly required more debonding force than 3- second- irradiated ( 5.03 MPa) and non-etched groups ( 3.4 MPa) but the results of acid etched group and 4- second- irradiated group (7.5 MPa) showed no significant difference. Moreover, 4- second irradiated group was over the minimum acceptable value for clinical use. Also, 3- second lasing caused a significant reduction in time according to acid-etch group. As a result, 1940- nm laser irradiation is a promising method for laser etching.

  19. Lateral electrochemical etching of III-nitride materials for microfabrication

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Han, Jung

    Conductivity-selective lateral etching of III-nitride materials is described. Methods and structures for making vertical cavity surface emitting lasers with distributed Bragg reflectors via electrochemical etching are described. Layer-selective, lateral electrochemical etching of multi-layer stacks is employed to form semiconductor/air DBR structures adjacent active multiple quantum well regions of the lasers. The electrochemical etching techniques are suitable for high-volume production of lasers and other III-nitride devices, such as lasers, HEMT transistors, power transistors, MEMs structures, and LEDs.

  20. Effect of different monomer-based composites and acid etching pre-treatment of enamel on the microleakage using self-etch adhesives systems.

    PubMed

    Catelan, Anderson; Giorgi, Maria Cecília Caldas; Soares, Giulliana Panfiglio; Lima, Debora Alves Nunes Leite; Marchi, Giselle Maria; Aguiar, Flávio Henrique Baggio

    2014-11-01

    To evaluate quantitatively the marginal microleakage of restorations carried out with self-etching adhesives with or without prior phosphoric enamel acid etching of silorane or methacrylate resin-based composite restorations subjected to thermal cycling. Forty cavities were prepared at the proximal surface of bovine incisors and randomly divided according to the etching of the enamel and restorative system used. The groups were restored with methacrylate [Adper SE Plus adhesive (3M ESPE) + Filtek Z250 (3M ESPE)] or silorane [Filtek LS adhesive (3M ESPE) + Filtek LS composite (3M ESPE)] restorative systems, light-cured using a LED unit (Bluephase 16i, Vivadent). After restorative procedure and thermocycling (1000 cycles), the specimens were immersed in methylene blue for 2 h. The specimens were triturated and the powder was used for analysis in an absorbance spectrophotometer. Data were statistically analyzed by 2-way ANOVA (alpha = 0.05). No statistical difference between the restorative materials tested with or without previous acid etching of enamel in Class II marginal microleakage was observed (p > 0.05). The use of acid etching prior to self-etching adhesives did not interfere on the microleakage of methacrylate- or silorane-based restorations.