High-pressure phases of Weyl semimetals NbP, NbAs, TaP, and TaAs
NASA Astrophysics Data System (ADS)
Guo, ZhaoPeng; Lu, PengChao; Chen, Tong; Wu, JueFei; Sun, Jian; Xing, DingYu
2018-03-01
In this study, we used the crystal structure search method and first-principles calculations to systematically explore the highpressure phase diagrams of the TaAs family (NbP, NbAs, TaP, and TaAs). Our calculation results show that NbAs and TaAs have similar phase diagrams, the same structural phase transition sequence I41 md→ P6¯ m2→ P21/ c→ Pm3¯ m, and slightly different transition pressures. The phase transition sequence of NbP and TaP differs somewhat from that of NbAs and TaAs, in which new structures emerge, such as the Cmcm structure in NbP and the Pmmn structure in TaP. Interestingly, we found that in the electronic structure of the high-pressure phase P6¯ m2-NbAs, there are coexistingWeyl points and triple degenerate points, similar to those found in high-pressure P6¯ m2-TaAs.
Alhussein, Akram; Achache, Sofiane; Deturche, Regis; Sanchette, Frederic; Pulgarin, Cesar; Kiwi, John; Rtimi, Sami
2017-04-01
This article presents the evidence for the significant effect of copper accelerating the bacterial inactivation on Ti-Nb-Ta-Zr (TNTZ) sputtered films on glass up to a Cu content of 8.3 at.%. These films were deposited by dc magnetron co-sputtering of an alloy target Ti-23Nb-0.7Ta-2Zr (at.%) and a Cu target. The fastest bacterial inactivation of E. coli on this later TNTZ-Cu surface proceeded within ∼75min. The films deposited by magnetron sputtering are chemically homogenous. The film roughness evaluated by atomic force spectroscopy (AFM) on the TNTZ-Cu 8.3 at.% Cu sample presented an RMS-value of 20.1nm being the highest RMS of any Cu-sputtered TNTZ sample. The implication of the RMS value found for this sample leading to the fastest interfacial bacterial inactivation kinetics is also discussed. Values for the Young's modulus and hardness are reported for the TNTZ films in the presence of various Cu-contents. Evaluation of the bacterial inactivation kinetics of E. coli under low intensity actinic hospital light and in the dark was carried out. The stable repetitive bacterial inactivation was consistent with the extremely low Cu-ion release from the samples of 0.4 ppb. Evidence is presented by the bacterial inactivation dependence on the applied light intensity for the intervention of Cu as semiconductor CuO during the bacterial inactivation at the TNTZ-Cu interface. The mechanism of CuO-intervention under light is suggested based on the pH/and potential changes registered during bacterial disinfection. Copyright © 2017 Elsevier B.V. All rights reserved.
Fermi surface interconnectivity and topology in Weyl fermion semimetals TaAs, TaP, NbAs, and NbP
Lee, Chi-Cheng; Xu, Su-Yang; Huang, Shin-Ming; ...
2015-12-01
The family of binary compounds including TaAs, TaP, NbAs, and NbP was recently discovered as the first realization of Weyl semimetals. In order to develop a comprehensive description of the charge carriers in these Weyl semimetals, we performed detailed and systematic electronic band structure calculations which reveal the nature of Fermi surfaces and their complex interconnectivity in TaAs, TaP, NbAs, and NbP. In conclusion, our work reports a comparative and comprehensive study of Fermi surface topology and band structure details of all known members of the Weyl semimetal family and hence provides the fundamental knowledge for realizing the many predictedmore » exotic topological quantum physics of Weyl semimetals based on the TaAs class of materials.« less
Assessment of relative Ti, Ta, and Nb (TiTaN) enrichments in global ocean island basalts
NASA Astrophysics Data System (ADS)
Peters, B.; Day, J. M.
2013-12-01
The relative sensitivity of trace element concentrations to processes governing solid-melt and solid-fluid interactions has made them particularly useful for tracing the effects of partial melting, fractional crystallization, metasomatism and similar processes on the composition of a parental melt to a rock or mineral. Radiogenic and stable isotope compositions, in contrast, can provide information on the long-term history and provenance of magmas. Despite the distinct information derived from relative and absolute abundances of trace elements compared with isotopes, numerous studies of ocean island basalts (OIB) have attempted to use trace elements as diagnostic geochemical tracers to understand parental magma compositions. In particular, attempts have been made to correlate 'TiTaN' (Ti, Ta and Nb) anomalies to the He-Os isotopic compositions of OIB based on contributions from recycled eclogite, a theoretical high-TiTaN reservoir, and peridotite, a theoretical high-3He/4He reservoir (Jackson, et al., 2008 G-cubed). These authors have proposed that TiTaN anomalies can be used as independent indicators for recycled oceanic crust and lithospheric mantle in OIB sources, a distinction previously reserved for isotopic data. However, TiTaN anomalies appear uncorrelated to OIB mantle source composition for three reasons. First, a new geochemical compilation of global OIB shows a wide range of Ti (Ti/Ti* = 0.28 - 2.35), Ta (Ta/Ta* = 0.11 - 93.42) and Nb (Nb/Nb* = 0.13 - 17.79) anomalies that do not correlated with each other or noble gas systematics, indicating that: (i) TiTaN anomalies alone do not correspond to the primitive source traced by high-3He/4He or the solar neon component and (ii) Ti, Ta and Nb anomalies may each reflect distinct processes or origins, rather than tracing a single source or process together. Second, positive Ti anomalies can be generated by low-degree (1-10%), non-modal batch partial melting of garnet lherzolite at temperatures and pressures
High Nb, Ta, and Al creep- and oxidation-resistant austenitic stainless steel
Brady, Michael P [Oak Ridge, TN; Santella, Michael L [Knoxville, TN; Yamamoto, Yukinori [Oak Ridge, TN; Liu, Chain-tsuan [Oak Ridge, TN
2010-07-13
An austenitic stainless steel HTUPS alloy includes, in weight percent: 15 to 30 Ni; 10 to 15 Cr; 2 to 5 Al; 0.6 to 5 total of at least one of Nb and Ta; no more than 0.3 of combined Ti+V; up to 3 Mo; up to 3 Co; up to 1 W; up to 0.5 Cu; up to 4 Mn; up to 1 Si; 0.05 to 0.15 C; up to 0.15 B; up to 0.05 P; up to 1 total of at least one of Y, La, Ce, Hf, and Zr; less than 0.05 N; and base Fe, wherein the weight percent Fe is greater than the weight percent Ni wherein said alloy forms an external continuous scale comprising alumina, nanometer scale sized particles distributed throughout the microstructure, said particles comprising at least one composition selected from the group consisting of NbC and TaC, and a stable essentially single phase fcc austenitic matrix microstructure, said austenitic matrix being essentially delta-ferrite-free and essentially BCC-phase-free.
Tribological properties of self-lubricating Ta-Cu films
NASA Astrophysics Data System (ADS)
Qin, Wen; Fu, Licai; Zhu, Jiajun; Yang, Wulin; Li, Deyi; Zhou, Lingping
2018-03-01
In this paper, Ta and TaCu films were deposited by using magnetron sputtering, and the tribological properties of the films against Si3N4 balls were investigated under the loads of 2 N and 5 N. The average grain sizes of both films are below 25 nm. Ta and TaCu films have approximate hardness. While the wear rate of TaCu film is much smaller than that of Ta film. Post-wear testing XRD, Raman and XPS revealed the formation of tantalum oxide on the worn surface of both Ta and TaCu films. Tantalum oxidation is effectively lubricating to reduce friction coefficient. So the friction coefficient of both Ta and TaCu film is about 0.45 under different applied loads. Meanwhile, the addition of Cu could increase the toughness of the film, and avoid the generation of wear debris, resulting in a significant increase in wear resistance.
Bone response to a novel Ti-Ta-Nb-Zr alloy.
Stenlund, Patrik; Omar, Omar; Brohede, Ulrika; Norgren, Susanne; Norlindh, Birgitta; Johansson, Anna; Lausmaa, Jukka; Thomsen, Peter; Palmquist, Anders
2015-07-01
Commercially pure titanium (cp-Ti) is regarded as the state-of-the-art material for bone-anchored dental devices, whereas the mechanically stronger alloy (Ti-6Al-4V), made of titanium, aluminum (Al) and vanadium (V), is regarded as the material of choice for high-load applications. There is a call for the development of new alloys, not only to eliminate the potential toxic effect of Al and V but also to meet the challenges imposed on dental and maxillofacial reconstructive devices, for example. The present work evaluates a novel, dual-stage, acid-etched, Ti-Ta-Nb-Zr alloy implant, consisting of elements that create low toxicity, with the potential to promote osseointegration in vivo. The alloy implants (denoted Ti-Ta-Nb-Zr) were evaluated after 7 days and 28 days in a rat tibia model, with reference to commercially pure titanium grade 4 (denoted Ti). Analyses were performed with respect to removal torque, histomorphometry and gene expression. The Ti-Ta-Nb-Zr showed a significant increase in implant stability over time in contrast to the Ti. Further, the histological and gene expression analyses suggested faster healing around the Ti-Ta-Nb-Zr, as judged by the enhanced remodeling, and mineralization, of the early-formed woven bone and the multiple positive correlations between genes denoting inflammation, bone formation and remodeling. Based on the present experiments, it is concluded that the Ti-Ta-Nb-Zr alloy becomes osseointegrated to at least a similar degree to that of pure titanium implants. This alloy is therefore emerging as a novel implant material for clinical evaluation. Copyright © 2015 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.
Determination of Activities of Niobium in Cu-Nb Melts Containing Dilute Nb
NASA Astrophysics Data System (ADS)
Wang, Daya; Yan, Baijun; Sichen, Du
2015-04-01
The activity coefficients of niobium in Cu-Nb melts were measured by equilibrating solid NbO2 with liquid copper under controlled oxygen potentials in the temperature range of 1773 K to 1898 K (1500 °C to 1625 °C). Either CO-CO2 gas mixture or H2-CO2 gas mixture was employed to obtain the desired oxygen partial pressures. Cu-Nb system was found to follow Henry's law in the composition range studied. The temperature dependence of Henry's constant in the Cu-Nb melts could be expressed as follows: The partial molar excess Gibbs energy change of niobium in Cu-Nb melts can be expressed as follows:
Development of a new quaternary alloy Ti-25Ta-25Nb-3Sn for biomedical applications
NASA Astrophysics Data System (ADS)
Rangel Seixas, Mauricio; Bortolini, Celso, Jr.; Pereira, Adelvam, Jr.; Nakazato, Roberto Z.; Popat, Ketul C.; Rosifini Alves Claro, Ana Paula
2018-02-01
Metallic biomaterials have been used for biomedical applications, such as cardiovascular, orthopaedics and orthodontics, due to excellent properties. In this study, the mechanical properties and corrosion resistance of new quaternary alloy Ti25Ta25Nb3Sn were evaluated. Alloys were processing in arc melting furnace with argon atmosphere and cold worked by rotary swaging. Alloy microstructure, crystalline phases and mechanical properties such as Young’s modulus, yield strength and tensile strength were evaluated. Corrosion resistance was investigated in fluoride solution by electrochemical polarization and biocompatibility with human dermal fibroblasts were also evaluated. In our study, for quaternary alloy Ti25Ta25Nb3Sn the stabilization of beta phase was maintained. It was observed that the elastic modulus of Ti25Ta25Nb3Sn (65 GPa) was lower than CP Ti (105 GPa) and Ti6Al4V (110 GPa) and slightly higher than Ti25Ta25Nb (55 GPa) alloy. The addition of Sn suppressed the double yielding verified on ternary alloy Ti25Ta25Nb. Electrochemical studies showed that stable passive oxide film was formed on the Ti25Ta25Nb3Sn surface and an increase of HDF adhesion and proliferation on alloy surface, indicating that the alloy is non-cytotoxic may provide a favorable material for biomedical applications. Results obtained showed that Ti25Ta25Nb3Sn alloy is indicated for biomedical applications.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Thirumal, M.; Jawahar, I.N.; Surendiran, K.P.
2002-11-20
Oxides belonging to the families Ba{sub 3}ZnTa{sub 2-x}Nb{sub x}O{sub 9} and Ba{sub 3}MgTa{sub 2-x}Nb{sub x}O{sub 9} were synthesized by the solid state reaction route. Sintering temperatures of 1300 deg. C led to oxides with disordered (cubic) perovskite structure. However, on sintering at 1425 deg. C hexagonally ordered structures were obtained for Ba{sub 3}MgTa{sub 2-x}Nb{sub x}O{sub 9} over the entire range (0{<=}x{<=}1) of composition, while for Ba{sub 3}ZnTa{sub 2-x}Nb{sub x}O{sub 9} the ordered structure exists in a limited range (0{<=}x{<=}0.5). The dielectric constant is close to 30 for the Ba{sub 3}ZnTa{sub 2-x}Nb{sub x}O{sub 9} family of oxides while the Mg analoguesmore » have lower dielectric constant of {approx}18 in the range 50 Hz to 500 kHz. At microwave frequencies (5-7 GHz) dielectric constant increases with increase in niobium concentration (22-26) for Ba{sub 3}ZnTa{sub 2-x}Nb{sub x}O{sub 9}; for Ba{sub 3}MgTa{sub 2-x}Nb{sub x}O{sub 9} it varies between 12 and 14. The 'Zn' compounds have much higher quality factors and lower temperature coefficient of resonant frequency compared to the 'Mg' analogues.« less
Diffusion studies and critical current in superconducting Nb-Ti-Ta artificial pinning center wire
NASA Astrophysics Data System (ADS)
Bormio-Nunes, C.; Gomes, P. M. N.; Tirelli, M. A.; Ghivelder, L.
2005-08-01
The diffusion between Nb-20%Ta (wt %) and pure Ti is studied at temperatures of 973, 1023, and 1073K, for duration times among 25 and 121h in an artificial pinning center (APC) wire composed of a Ti core surrounded by a Nb-20%Ta layer. The produced diffusion layer is a ternary alloy with superconducting properties, such as critical field Bc2 and critical current density JC, which intrinsically depend on the layer composition. Measurements of layer morphology and composition were performed, and the results show a preferential diffusion of Nb and Ta into Ti. There is a slight diffusion of Ti into Nb through grain boundaries. The presence of Ta also slows down the diffusion of Nb in Ti if compared to the couple formed by pure Nb and Ti. Regarding the mechanical properties of the composite wire, the use of lower temperatures to form the ternary phase is desirable in order to avoid a larger portion of the diffusion layer rich in Ti that favorites α-Ti precipitations that are detrimental to the wire ductility. The best JC value was obtained for the sample heat treated at 973K. The improvement of the flux-line pinning was associated with a sharp change of the diffusion layer composition rather than pinning by normal layer interfaces, suggesting a new source of pinning in this kind of material. Nb-Ti-Ta ternary alloys have the potential to be used in superconducting magnets when fields above 12T are required.
Pressure-induced Lifshitz and structural transitions in NbAs and TaAs: experiments and theory
NASA Astrophysics Data System (ADS)
Nath Gupta, Satyendra; Singh, Anjali; Pal, Koushik; Muthu, D. V. S.; Shekhar, C.; Elghazali, Moaz A.; Naumov, Pavel G.; Medvedev, Sergey A.; Felser, C.; Waghmare, U. V.; Sood, A. K.
2018-05-01
High pressure Raman, resistivity and synchrotron x-ray diffraction studies on Weyl semimetals NbAs and TaAs have been carried out along with density functional theoretical (DFT) analysis to explain pressure induced structural and electronic topological phase transitions. The frequencies of first order Raman modes harden with increasing pressure, exhibiting a slope change at GPa for NbAs and GPa for TaAs. The resistivities of NbAs and TaAs exhibit a minimum at pressures close to these transition pressures and also a change in the bulk modulus is observed. Our first-principles calculations reveal that the transition is associated with an electronic Lifshitz transition at for NbAs while it is a structural phase transition from body centered tetragonal to hexagonal phase at for TaAs. Further, our DFT calculations show a structural phase transition at 24 GPa from body centered tetragonal phase to hexagonal phase.
Pressure-induced Lifshitz and structural transitions in NbAs and TaAs: experiments and theory.
Gupta, Satyendra Nath; Singh, Anjali; Pal, Koushik; Muthu, D V S; Shekhar, C; Elghazali, Moaz A; Naumov, Pavel G; Medvedev, Sergey A; Felser, C; Waghmare, U V; Sood, A K
2018-05-10
High pressure Raman, resistivity and synchrotron x-ray diffraction studies on Weyl semimetals NbAs and TaAs have been carried out along with density functional theoretical (DFT) analysis to explain pressure induced structural and electronic topological phase transitions. The frequencies of first order Raman modes harden with increasing pressure, exhibiting a slope change at [Formula: see text] GPa for NbAs and [Formula: see text] GPa for TaAs. The resistivities of NbAs and TaAs exhibit a minimum at pressures close to these transition pressures and also a change in the bulk modulus is observed. Our first-principles calculations reveal that the transition is associated with an electronic Lifshitz transition at [Formula: see text] for NbAs while it is a structural phase transition from body centered tetragonal to hexagonal phase at [Formula: see text] for TaAs. Further, our DFT calculations show a structural phase transition at 24 GPa from body centered tetragonal phase to hexagonal phase.
Electrical and thermal properties of Cu-Ta films prepared by magnetron sputtering
NASA Astrophysics Data System (ADS)
Qin, Wen; Fu, Licai; Zhu, Jiajun; Yang, Wulin; Sang, Jianquan; Li, Deyi; Zhou, Lingping
2018-06-01
The microstructure, electrical resistivity and thermal conductivity of the sputtering deposited Cu-Ta films were investigated as a function of Ta content. The results showed that the amorphous phase formed between 20 at.% and 60 at.% Ta, and out of this range α-Cu(Ta) and β-Ta(Cu) solid solutions formed. Because the lattice distortion and β-Ta structure could significantly increase the probability of electron scattering, the electrical resistivity of the Cu-Ta films shows a 'N' type change with the increase of Ta content, and the inflection point appears at 50 at.% Ta and 60 at.% Ta respectively. As the thermal conductance is also dominated by electrons in metals films, an opposite variation tendency is found in the thermal conductivity of the Cu-Ta films. According to our knowledge, this is the first time to measure the thermal conductivity of Cu-Ta thin films.
Microstructure and Elevated Temperature Properties of a Refractory TaNbHfZrTi Alloy
2012-01-24
composition of the TaNbHfZrTi alloy produced by vacuum arc melting Composition Ta Nb Hf Zr Ti at.% 19.68 18.93 20.46 21.23 19.7 wt. % 30.04 14.84 30.82 16.34...metallic materials with higher melting points, such as refractory molybdenum (Mo) and niobium ( Nb ) alloys, are examined as alternatives by academic and...creep resistance are the key properties of these alloys, since considerable alloy softening generally occurs at tempera- tures above *0.5 0.6 Tm
NASA Astrophysics Data System (ADS)
Miura-Fujiwara, Eri; Mizushima, Keisuke; Watanabe, Yoshimi; Kasuga, Toshihiro; Niinomi, Mitsuo
2014-11-01
In this study, the relationships among oxidation condition, color tone, and the cross-sectional microstructure of the oxide layer on commercially pure (CP) Ti and Ti-36Nb-2Ta-3Zr-0.3O were investigated. “White metals” are ideal metallic materials having a white color with sufficient strength and ductility like a metal. Such materials have long been sought for in dentistry. We have found that the specific biomedical Ti alloys, such as CP Ti, Ti-36Nb-2Ta-3Zr-0.3O, and Ti-29Nb-13Ta-4.6Zr, form a bright yellowish-white oxide layer after a particular oxidation heat treatment. The brightness L* and yellowness +b* of the oxide layer on CP Ti and Ti-36Nb-2Ta-3Zr-0.3O increased with heating time and temperature. Microstructural observations indicated that the oxide layer on Ti-29Nb-13Ta-4.6Zr and Ti-36Nb-2Ta-3Zr-0.3O was dense and firm, whereas a piecrust-like layer was formed on CP Ti. The results obtained in this study suggest that oxide layer coating on Ti-36Nb-2Ta-3Zr-0.3O is an excellent technique for dental applications.
NASA Astrophysics Data System (ADS)
Lee, Ku-Tak; Koh, Jung-Hyuk
2012-01-01
In this paper, we will introduce the microwave properties of Ag(Ta0.8Nb0.2)O3 thick film planar type interdigital capacitors fabricated on alumina substrates. The tailored paraelectric state of Ag(Ta,Nb)O3 allows the material to be regarded as a part of the family of microwave materials. As thick films formed in our experiment, Ag(Ta,Nb)O3 exhibited extremely low dielectric loss with relatively high dielectric permittivity. This low dielectric loss is a very important issue for microwave applications. Therefore, we investigated the microwave properties of Ag(Ta0.8Nb0.2)O3 thick film planar type interdigital capacitors. Ag(Ta0.8Nb0.2)O3 thick films were prepared by a screen-printing method on alumina substrates and were sintered at 1140 °C for 2 hrs. The XRD analysis results showed that the Ag(Ta0.8Nb0.2)O3 thick film has the perovskite structure. The frequency dependent dielectric permittivity showed that these Ag(Ta0.8Nb0.2)O3 thick film planar type interdigital capacitors have very weak frequency dispersions with low loss tangents in the microwave range.
Mechanical Properties of Cu-Cr-Nb Alloys
NASA Technical Reports Server (NTRS)
Ellis, David L.
1997-01-01
The chemical compositions of the alloys are listed. The alloying levels were near the values for stochiometric Cr2Nb. A slight excess of Cr was chosen for increased hydrogen embrittlement resistance. The microstructures of all Cu-Cr-Nb alloys were very similar. Two typical transmission electron microscope (TEM) micrographs are presented. The images show the presence of large mount of Cr2Nb precipitates in a nearly pure Cu matrix. The interactions between dislocations and precipitates are currently under investigations, but as the images demonstrates, the extremely fine (less then 15 nm) Cr2Nb are the primary strengtheners for the alloy.
Investigations into Ti-(Nb,Ta)-Fe alloys for biomedical applications.
Biesiekierski, Arne; Lin, Jixing; Li, Yuncang; Ping, Dehai; Yamabe-Mitarai, Yoko; Wen, Cuie
2016-03-01
In this study, a Ti-(Ta,Nb)-Fe system was investigated with aims toward the development of high strength, biocompatible titanium alloy suitable for the development of porous orthopedic biomaterials with minimal processing. Notable findings include yield strengths of 740, 1250 and 1360 MPa for the Ti-12Nb-5Fe, Ti-7Ta-5Fe and Ti-10Ta-4Fe alloys, respectively, with elastic moduli comparable to existing Ti-alloys, yielding admissible strains of 0.9 ± 0.3, 1.2 ± 0.2 and 1.13 ± 0.02% for the Ti-12Nb-5Fe, Ti-7Ta-5Fe and Ti-10Ta-4Fe alloys, respectively; more than twice that of human bone. Observed microstructure varied significantly depending on alloy; near pure β-phase was seen in Ti-12Nb-5Fe, β with some ω precipitation in Ti-10Ta-4Fe, and a duplex α+β structure was observed throughout the Ti-7Ta-5Fe. In addition to suitable mechanical parameters, all investigated alloys exhibited promising corrosion potentials on the order of -0.24 V SCE, equalling that seen for a C.P.-Ti control at -0.25V SCE, and substantially more noble than that seen for Ti-6Al-4V. Electrochemical corrosion rates of 0.5-3 μm/year were likewise seen to agree well with that measured for C.P.-Ti. Further, no statistically significant difference could be seen between any of the alloys relative to a C.P.-Ti control regards to cell proliferation, as investigated via MTS assay and confocal microscopy. As such, the combination of high admissible strain and low corrosion indicate all investigated alloys show significant promise as potential porous biomaterials while in the as-cast state, with the Ti-10Ta-4Fe alloy identified as the most promising composition investigated. The findings of this paper are of significance to the field of metallic biomaterials as they detail the development of alloys of satisfactory biocompatibility and electrochemical behaviour, that furthermore display exceptional mechanical properties. Notably, both extremely high compressive yield strengths and admissible strains
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yang, Guiqin; Gao, Xiaoze; Li, Jinfu
2015-01-07
Molecular dynamics simulations based on an angular-dependent potential were performed to examine the structural properties of chemically heterogeneous interfaces between amorphous Cu{sub 50}Ta{sub 50} and crystalline Ta. Several phenomena, namely, layering, crystallization, intermixing, and composition segregation, were observed in the Cu{sub 50}Ta{sub 50} region adjacent to the Ta layers. These interfacial behaviors are found to depend on the orientation of the underlying Ta substrate: Layering induced by Ta(110) extends the farthest into Cu{sub 50}Ta{sub 50}, crystallization in the Cu{sub 50}Ta{sub 50} region is most significant for interface against Ta(100), while inter-diffusion is most pronounced for Ta(111). It turns out thatmore » the induced layering behavior is dominated by the interlayer distances of the underlying Ta layers, while the degree of inter-diffusion is governed by the openness of the Ta crystalline layers. In addition, composition segregations are observed in all interface models, corresponding to the immiscible nature of the Cu-Ta system. Furthermore, Voronoi polyhedra 〈0,5,2,6〉 and 〈0,4,4,6〉 are found to be abundant in the vicinity of the interfaces for all models, whose presence is believed to facilitate the structural transition between amorphous and body centered cubic.« less
NASA Astrophysics Data System (ADS)
Manjula, M.; Sundareswari, M.; Viswanathan, E.
2018-04-01
The present study focuses upon the thermal and mechanical properties of Rh3ZrxA1-x (A= Nb,Ta) ternary alloys using ab initio density functional theory where Nb/Ta is substituted by Zr. These ternary alloys were investigated for the first time using elastic moduli, hardness, Debye temperature, Debye average velocity and Gruneisen parameter. Further the ductile/brittle analysis was made by using Cauchy pressure, degree of brittleness and Poisson's ratio. Systematic addition of Zr with Rh3Nb/Ta shows that Rh3Zr0.75Nb0.25, Rh3Zr0.875Nb0.125 and Rh3Zr0.875Ta0.125combinations are more ductile. Further the melting temperature of Rh3Zr0.75Nb0.25(2227 K), Rh3Zr0.875Nb0.125(2200 K) and Rh3Zr0.875Ta0.125 (2134 K) alloys are nearer to those of their parent binary alloys namely Rh3Nb (2636 K) and Rh3Ta (2562 K). Their corresponding density values (10.84 gm/cm3, 10.77 gm/cm3 and 11.09 gm/cm3) are found to be much less than those of their parent materials.
Senary refractory high-entropy alloy HfNbTaTiVZr
Gao, Michael C.; Zhang, B.; Yang, S.; ...
2015-09-03
Discovery of new single-phase high-entropy alloys (HEAs) is important to understand HEA formation mechanisms. The present study reports computational design and experimental validation of a senary HEA, HfNbTaTiVZr, in a body-centered cubic structure. The phase diagrams and thermodynamic properties of this senary system were modeled using the CALPHAD method. Its atomic structure and diffusion constants were studied using ab initio molecular dynamics simulations. Here, the microstructure of the as-cast HfNbTaTiVZr alloy was studied using X-ray diffraction and scanning electron microscopy, and the microsegregation in the as-cast state was found to qualitatively agree with the solidification predictions from CALPHAD. Supported bymore » both simulation and experimental results, the HEA formation rules are discussed.« less
Mechanical and Thermal Properties of Two Cu-Cr-Nb Alloys and NARloy-Z
NASA Technical Reports Server (NTRS)
Ellis, David L.; Michal, Gary M.
1996-01-01
A series of creep tests were conducted on Cu-8 Cr-4 Nb (Cu-8 at.% Cr-4 at.% Nb), Cu-4 Cr-2 Nb (Cu-4 at.% Cr-2 at% Nb), and NARloy-Z (Cu-3 wt.% Ag-0.5 wt.% Zr) samples to determine their creep properties. In addition, a limited number of low cycle fatigue and thermal conductivity tests were conducted. The Cu-Cr-Nb alloys showed a clear advantage in creep life and sustainable load over the currently used NARloy-Z. Increases in life at a given stress were between 100% and 250% greater for the Cu-Cr-Nb alloys depending on the stress and temperature. For a given life, the Cu-Cr-Nb alloys could support a stress between 60% and 160% greater than NARloy-Z. Low cycle fatigue lives of the Cu-8 Cr-4 Nb alloy were equivalent to NARloy-Z at room temperature. At elevated temperatures (538 C and 650 C), the fatigue lives were 50% to 200% longer than NARloy-Z samples tested at 538 C. The thermal conductivities of the Cu-Cr-Nb alloys remained high, but were lower than NARloy-Z and pure Cu. The Cu-Cr-Nb thermal conductivities were between 72% and 96% that of pure Cu with the Cu-4 Cr-2 Nb alloy having a significant advantage in thermal conductivity over Cu-8 Cr4 Nb. In comparison, stainless steels with equivalent strengths would have thermal conductivities less than 25% the thermal conductivity of pure Cu. The combined results indicate that the Cu-Cr-Nb alloys offer an attractive alternative to current high temperature Cu-based alloys such as NARloy-Z.
The General Isothermal Oxidation Behavior of Cu-8Cr-4Nb
NASA Technical Reports Server (NTRS)
Thomas-Ogbuji, L. U.; Gray, Hugh R. (Technical Monitor)
2002-01-01
Oxidation kinetics of Cu-8Cr-4Nb was investigated by TGA (thermogravimetric) exposures between 500 and 900-C (at 25-50 C intervals) and the oxide scale morphologies examined by microscopy and micro-analysis. Because Cu-8Cr-4Nb is comprised of fine Cr2Nb precipitates in a Cu matrix, the results were interpreted by comparison with the behavior of copper (OFHC) and 'NARloy-Z' (a rival candidate material for thrust cell liner applications in advanced rocket engines) under the same conditions. While NARloy-Z and Cu exhibited identical oxidation behavior, Cu-8Cr-4Nb differed markedly in several respects: below approx. 700 C its oxidation rates were significantly lower than those of Cu; At higher temperatures its oxidation rates fell into two categories: an initial rate exceeding that of Cu, and a terminal rate comparable to that of Cu. Differences in oxide morphologies paralleled the kinetic differences at higher temperature: While NARloy-Z and Cu produced a uniform oxide scale of Cu2O inner layer and CuO outer layer, the inner (Cu2O) layer on Cu-8Cr-4Nb was stratified, with a highly porous/spongy inner stratum (responsible for the fast initial kinetics) and a dense/blocky outer stratum (corresponding to the slow terminal kinetics). Single and spinel oxides of Nb and Cr were found at the interface between the oxide scale and Cu-8Cr-4Nb substrate and it appears that these oxides were responsible for its suppressed oxidation rates at the intermediate temperatures. No difference was found between Cu-8Cr-4Nb oxidation in air and in oxygen at 1.0 atm.
Growth of <111>-oriented Cu layer on thin TaWN films
NASA Astrophysics Data System (ADS)
Takeyama, Mayumi B.; Sato, Masaru
2017-07-01
In this study, we examine the growth of a <111>-oriented Cu layer on a thin TaWN ternary alloy barrier for good electromigration reliability. The strongly preferentially oriented Cu(111) layer is observed on a thin TaWN barrier even in the as-deposited Cu (100 nm)/TaWN (5 nm)/Si system. Also, this system tolerates annealing at 700 °C for 1 h without silicide reaction. It is revealed that the TaWN film is one of the excellent barriers with thermal stability and low resistivity. Simultaneously, the TaWN film is a candidate for a superior underlying material to achieve the Cu(111) preferential orientation.
NASA Astrophysics Data System (ADS)
Aichner, Bernd; Jausner, Florian; Zechner, Georg; Mühlgassner, Rita; Lang, Wolfgang; Klimov, Andrii; Puźniak, Roman; Słysz, Wojciech; Guziewicz, Marek; Kruszka, Renata; Wegrzecki, Maciej; Sobolewski, Roman
2017-05-01
Thermodynamic fluctuations of the superconducting order parameter in NbN/NiCu and NbTiN/NiCu superconductor/ferromagnet (S/F) thin bilayers patterned to microbridges are investigated. Plain NbN and NbTiN films served as reference materials for the analyses. The samples were grown using dc-magnetron sputtering on chemically cleaned sapphire single-crystal substrates. After rapid thermal annealing at high temperatures, the superconducting films were coated with NiCu overlays, using co-sputtering. The positive magnetoresistance of the superconducting single layers is very small in the normal state but with a sharp upturn close to the superconducting transition, a familiar signature of superconducting fluctuations. The fluctuation-enhanced conductivity (paraconductivity) of the NbN and NbTiN single layer films is slightly larger than the prediction of the parameter-free Aslamazov-Larkin theory for order-parameter fluctuations in two-dimensional superconductors. The addition of a ferromagnetic top layer, however, changes the magnetotransport properties significantly. The S/F bilayers show a negative magnetoresistance up to almost room temperature, while the signature of fluctuations is similar to that in the plain films, demonstrating the relevance of both ferromagnetic and superconducting effects in the S/F bilayers. The paraconductivity is reduced below theoretical predictions, in particular in the NbTiN/NiCu bilayers. Such suppression of the fluctuation amplitude in S/F bilayers could be favorable to reduce dark counts in superconducting photon detectors and lead the way to enhance their performance.
NASA Astrophysics Data System (ADS)
Ayareh, Zohreh; Moradi, Mehrdad; Mahmoodi, Saman
2018-06-01
In this paper, we report perpendicular magnetic anisotropy (PMA) in a (Ta/Cu/[Ni/Co]x/Ta) multilayers structure. These typical structures usually include a multilayer of ferromagnetic and transition metal thin films. Usually, magnetic anisotropy is characterized by magnetization loops determined by magnetometer or magneto-optical Kerr effect (MOKE). The interface between ferromagnetic and metallic layers plays an important role in magnetic anisotropy evolution from out-of-plane to in-plane in (Ta/Cu/[Ni/Co]/Ta) structure. Obtained results from MOKE and magnetometry of these samples show that they have different easy axes due to change in thickness of Cu as spacer layer and difference in number of repetition of [Ni/Co] stacks.
Preparation and visible-light photocatalytic properties of BiNbO₄ and BiTaO₄ by a citrate method
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhai, Hai-Fa, E-mail: haifazhai@126.com; Li, Ai-Dong, E-mail: adli@nju.edu.cn; Kong, Ji-Zhou
2013-06-01
Visible-light photcatalysts of BiNbO₄ and BiTaO₄ powders have been successfully synthesized by a citrate method. The formation of pure triclinic phase of BiNbO₄ and BiTaO₄ at low temperature of 700 °C can be attributed to the advantage of the citrate method. The photocatalytic activity and possible mechanism were investigated deeply. For BiNbO₄ particles, the mechanism of methyl violet (MV) degradation under visible light irradiation involves photocatalytic and photosensitization pathways and the catalyst specific surface area has dominant influence. While for BiTaO₄ particles, the dominant mechanism arises from photosensitization pathways and a trade off between high specific surface area and goodmore » crystallinity is achieved. BiNbO₄ powder calcined at 700 °C shows the best photocatalytic efficiency among these catalysts, which is ascribed to its large surface area and more positive conduction band level. The optimal catalyst loading, additive H₂O₂ concentration and pH value is around 1 g/L, 2 mmol/L and 8 mmol/L, respectively. - Graphical abstract: Photodegradation performance and adsorption ability of BiNbO₄ and BiTaO₄ powders, respectively. BNO700 with the best photocatalytic efficiency is ascribed to its large surface area and more positive conduction band level. Highlights: • Pure BiNbO₄ and BiTaO₄ powders were prepared by a citrate method. • Excellent performance of visible-light degradation of MV was observed. • Different MV degradation mechanism for BiNbO₄ and BiTaO₄ powders was proposed. • BNO700 has large surface area and more positive conduction band level.« less
NASA Astrophysics Data System (ADS)
Motallebzadeh, A.; Yagci, M. B.; Bedir, E.; Aksoy, C. B.; Canadinc, D.
2018-04-01
TiTaHfNbZr high-entropy alloy (HEA) thin films with thicknesses of about 750 and 1500 nm were deposited on NiTi substrates by RF magnetron sputtering using TiTaHfNbZr equimolar targets. The thorough experimental analysis on microstructure and mechanical properties of deposited films revealed that the TiTaHfNbZr films exhibited amorphous and cauliflower-like structure, where grain size and surface roughness increased concomitant with film thickness. More importantly, the current findings demonstrate that the TiTaHfNbZr HEA films with mechanical properties of the same order as those of the NiTi substrate constitute promising biomedical coatings effective in preventing Ni release.
NASA Astrophysics Data System (ADS)
Motallebzadeh, A.; Yagci, M. B.; Bedir, E.; Aksoy, C. B.; Canadinc, D.
2018-06-01
TiTaHfNbZr high-entropy alloy (HEA) thin films with thicknesses of about 750 and 1500 nm were deposited on NiTi substrates by RF magnetron sputtering using TiTaHfNbZr equimolar targets. The thorough experimental analysis on microstructure and mechanical properties of deposited films revealed that the TiTaHfNbZr films exhibited amorphous and cauliflower-like structure, where grain size and surface roughness increased concomitant with film thickness. More importantly, the current findings demonstrate that the TiTaHfNbZr HEA films with mechanical properties of the same order as those of the NiTi substrate constitute promising biomedical coatings effective in preventing Ni release.
NASA Astrophysics Data System (ADS)
Mahmood, Asif; Ramay, Shahid M.; Rafique, Hafiz Muhammad; Al-Zaghayer, Yousef; Khan, Salah Ud-Din
2014-05-01
In this paper, first-principles calculations of structural, electronic, optical and thermoelectric properties of AgMO3 (M = V, Nb and Ta) have been carried out using full potential linearized augmented plane wave plus local orbitals method (FP - LAPW + lo) and BoltzTraP code within the framework of density functional theory (DFT). The calculated structural parameters are found to agree well with the experimental data, while the electronic band structure indicates that AgNbO3 and AgTaO3 are semiconductors with indirect bandgaps of 1.60 eV and 1.64 eV, respectively, between the occupied O 2p and unoccupied d states of Nb and Ta. On the other hand, AgVO3 is found metallic due to the overlapping behavior of states across the Fermi level. Furthermore, optical properties, such as dielectric function, absorption coefficient, optical reflectivity, refractive index and extinction coefficient of AgNbO3 and AgTaO3, are calculated for incident photon energy up to 50 eV. Finally, we calculate thermo power for AgNbO3 and AgTaO3 at fixed doping 1019 cm-3. Electron doped thermo power of AgNbO3 shows significant increase over AgTaO3 with temperature.
Microstructure and Properties of a Refractory NbCrMo0.5Ta0.5ZrTi Alloy (Preprint)
2011-10-01
slightly enriched with Nb , Mo and Ta and depleted with Zr and Cr, and its lattice parameter after HIP was a = 324.76 ± 0.16 pm. The BCC2 phase was...FCC phase was highly enriched with Cr and it was identified as a Laves C15 phase, ( Zr ,Ta)(Cr,Mo, Nb )2, with the lattice parameter a = 733.38 ± 0.18 pm...with Nb , Mo and Ta and depleted with Zr and Cr, and its lattice parameter after HIP was a = 324.76 ± 0.16 pm. The BCC2 phase was enriched with Zr and Ti
Nazir, Safdar; Behtash, Maziar; Cheng, Jianli; Luo, Jian; Yang, Kesong
2016-01-28
The two-dimensional electron gas (2DEG) formed at the n-type (LaO)(+1)/(TiO2)(0) interface in the polar/nonpolar LaAlO3/SrTiO3 (LAO/STO) heterostructure (HS) has emerged as a prominent research area because of its great potential for nanoelectronic applications. Due to its practical implementation in devices, desired physical properties such as high charge carrier density and mobility are vital. In this respect, 4d and 5d transition metal doping near the interfacial region is expected to tailor electronic properties of the LAO/STO HS system effectively. Herein, we studied Nb and Ta-doping effects on the energetics, electronic structure, interfacial charge carrier density, magnetic moment, and the charge confinements of the 2DEG at the n-type (LaO)(+1)/(TiO2)(0) interface of LAO/STO HS using first-principles density functional theory calculations. We found that the substitutional doping of Nb(Ta) at Ti [Nb(Ta)@Ti] and Al [Nb(Ta)@Al] sites is energetically more favorable than that at La [Nb(Ta)@La] and Sr [Nb(Ta)@Sr] sites, and under appropriate thermodynamic conditions, the changes in the interfacial energy of HS systems upon Nb(Ta)@Ti and Nb(Ta)@Al doping are negative, implying that the formation of these structures is energetically favored. Our calculations also showed that Nb(Ta)@Ti and Nb(Ta)@Al doping significantly improve the interfacial charge carrier density with respect to that of the undoped system, which is because the Nb(Ta) dopant introduces excess free electrons into the system, and these free electrons reside mainly on the Nb(Ta) ions and interfacial Ti ions. Hence, along with the Ti 3d orbitals, the Nb 4d and Ta 5d orbitals also contribute to the interfacial metallic states; accordingly, the magnetic moments on the interfacial Ti ions increase significantly. As expected, the Nb@Al and Ta@Al doped LAO/STO HS systems show higher interfacial charge carrier density than the undoped and other doped systems. In contrast, Nb@Ti and Ta@Ti doped systems may
NASA Astrophysics Data System (ADS)
Marignac, C.; Cuney, M.
2012-04-01
In the Echassières district (northern French Massif Central), the 310 Ma Beauvoir granite (a P-rich peraluminous RMG) overprints a quartz-ferberite stockwork. The 900 m-deep GPF1 scientific hole shows that the stockwork is split into two parts by the gently dipping Beauvoir intrusion: the upper section (~ 100m thick) occurs in the La Bosse quarry, , and the lower section (≥ 60 m thick) below the granite floor. The root of the stockwork (hypothetic La Bosse granite) has not been reached. The stockwork comprises flat-lying quartz veins (≤ 0.6 m thick) concordant to the regional schistosity of surrounding micaschists, and steep N10-N50°E quartz veins (≤ 0.2 m thick). The two sets result from hydraulic fracturing, and consistently display crack seal features. A family of aplites and aplo-pegmatites dikes follow the same set of fractures, being either later (with partial dissolution of pre-existing quartz veins) or earlier, than the quartz veins. There is no alteration, nor associated mineral other than ferberite, at the La Bosse quarry, whereas micaceous selvages are observed in the lower section. Ferberite display a trend of ferberite enrichment with increasing depth (0.71 to 0.95 Fb mole%). In the La Bosse quarry, three ferberite habitus are present: acicular, lanceolate and prismatic. Acicular crystals are typically nicely zoned, with alternating Nb-rich (4.95±0.94 % Nb2O5) and Nb-poor (1.57±0.38 % Nb2O5) growth bands. Ta (up to 0.30 Ta2O5), Ti and Sn are also enriched in the Nb-rich bands. Nb and Ta incorporation into the ferberite is in the form of columbite, as either true solid solution or nanoinclusions. Lanceolate crystals have a similarly zoned acicular core and a Nb-poor rim (1.08±0.66 % Nb2O5). Prismatic crystals are unzoned and Nb-poor (0.67±0.20 % Nb2O5). In the lower part of the stockwork, the Nb contents are lower (2.17 % Nb2O5 in the Nb-rich bands, 1.36 % in the Nb-poor bands, 0.08 % in the unzoned cortex, 0.15 % in the unzoned prisms
NASA Astrophysics Data System (ADS)
Song, Myoung Youp; Kwak, Young Jun; Lee, Seong Ho; Park, Hye Ryoung
2015-01-01
In this work, TaF5 and NbF5 were chosen as additives to enhance the hydriding and dehydriding rates of Mg. Mg, Mg-10TaF5, and Mg-10NbF5 samples were prepared by reactive mechanical grinding. The hydriding and dehydriding properties of the samples were then examined. Mg-10TaF5 had the largest amount of hydrogen absorbed for 30 min and the highest initial dehydriding rate after incubation period, followed in order by Mg-10NbF5, and Mg. At 593 K under 12 bar H2 at the first cycle, Mg-10TaF5 absorbed 3.63 wt% H for 5 min and 4.53 wt% H for 30 min. At 593 K under 1.0 bar H2 at the first cycle, Mg-10TaF5 desorbed 0 wt% H for 2.5 min, 0.59 wt% H for 5 min, 3.42 wt% H for 30 min, and 4.24 wt% H for 60 min. The reactive mechanical grinding of Mg with TaF5 or NbF5 is believed to have facilitated the nucleation and to have decreased the diffusion distances of hydrogen atoms. These two effects are believed to have increased the hydriding and dehydriding rates of Mg. The MgF2 and Ta2H formed in Mg-10TaF5, and the MgF2, NbH2, and NbF3 formed in Mg-10NbF5 are considered to have enhanced both of these effects.
Creep Testing of High-Temperature Cu-8 Cr-4 Nb Alloy Completed
NASA Technical Reports Server (NTRS)
1995-01-01
A Cu-8 at.% Cr-4 at.% Nb (Cu-8 Cr-4 Nb) alloy is under development for high-temperature, high heatflux applications, such as actively cooled, hypersonic vehicle heat exchangers and rocket engine combustion chambers. Cu-8 Cr-4 Nb offers a superior combination of strength and conductivity. It has also shown exceptional low-cycle fatigue properties. Following preliminary testing to determine the best processing route, a more detailed testing program was initiated to determine the creep lives and creep rates of Cu-8 Cr-4 Nb alloy specimens produced by extrusion. Testing was conducted at the NASA Lewis Research Center with constant-load vacuum creep units. Considering expected operating temperatures and mission lives, we developed a test matrix to accurately determine the creep properties of Cu-8 Cr-4 Nb between 500 and 800 C. Six bars of Cu-8 Cr-4 Nb were extruded. From these bars, 54 creep samples were machined and tested. The figure on the left shows the steady-state, or second-stage, creep rates for the samples. Comparison data for NARloy-Z (Cu-3 wt % Ag-0.5 wt % Zr), the alloy currently used in combustion chamber liners, were not unavailable. Therefore the steady-state creep rates for Cu at similar temperatures are presented. As expected, in comparison to pure Cu, the creep rates for Cu-8 Cr-4 Nb are much lower. The lives of the samples are presented in the figure on the right. As shown, Cu-8 Cr-4 Nb at 800 C is comparable to NARloy-Z at 648 C. At equivalent temperatures, Cu-8 Cr-4 Nb enjoys a 20 to 50 percent advantage in stress for a given life and 1 to 3 orders of magnitude greater life at a given stress. The improved properties allow for design tradeoffs and improvements in new and existing heat exchangers such as the next generation of combustion chamber liners. Average creep rates for Cu-8 Cr-4 Nb and pure Cu are shown. Average creep lives for Cu-8 Cr- 4 Nb and NARloy-Z are also shown. Currently, two companies are interested in the commercial usage of the Cu
Direct Metal Deposition of Refractory High Entropy Alloy MoNbTaW
NASA Astrophysics Data System (ADS)
Dobbelstein, Henrik; Thiele, Magnus; Gurevich, Evgeny L.; George, Easo P.; Ostendorf, Andreas
Alloying of refractory high entropy alloys (HEAs) such as MoNbTaW is usually done by vacuum arc melting (VAM) or powder metallurgy (PM) due to the high melting points of the elements. Machining to produce the final shape of parts is often needed after the PM process. Casting processes, which are often used for aerospace components (turbine blades, vanes), are not possible. Direct metal deposition (DMD) is an additive manufacturing technique used for the refurbishment of superalloy components, but generating these components from the bottom up is also of current research interest. MoNbTaW possesses high yield strength at high temperatures and could be an alternative to state-of-the-art materials. In this study, DMD of an equimolar mixture of elemental powders was performed with a pulsed Nd:YAG laser. Single wall structures were built, deposition strategies developed and the microstructure of MoNbTaW was analyzed by back scattered electrons (BSE) and energy dispersive X-ray (EDX) spectroscopy in a scanning electron microscope. DMD enables the generation of composition gradients by using dynamic powder mixing instead of pre-alloyed powders. However, the simultaneous handling of several elemental or pre-alloyed powders brings new challenges to the deposition process. The influence of thermal properties, melting point and vapor pressure on the deposition process and chemical composition will be discussed.
Single crystal structure and SHG of defect pyrochlores CsB{sup V}MoO{sub 6} (B{sup V}=Nb,Ta)
DOE Office of Scientific and Technical Information (OSTI.GOV)
Fukina, D.G., E-mail: dianafuk@yandex.ru; Suleimanov, E.V.; Yavetskiy, R.P.
2016-09-15
The crystal structure and non-linear optical properties of CsNbMoO{sub 6} and CsTaMoO{sub 6} defect pyrochlores have been studied. The single crystals of these compounds grown by the flux method possess an octahedral faceting and reach up to 50 µm in size. The crystal structures of CsB{sup V}MoO{sub 6} (B{sup V}=Nb, Ta) were investigated by X-ray diffraction method. Both compounds crystallize in the cubic symmetry with noncentrosymmetric space group F-43m. The second harmonic generation of CsNbMoO{sub 6} and CsTaMoO{sub 6}was found to be 1.6×10{sup −2} and 8.5×10{sup −4} of lithium niobate, correspondingly. It has been determined that distortions of [MO{sub 6}]more » polyhedra (M=Nb, Ta, Mo) as well as polarizability and covalency of Nb–O and Ta–O bonds have a great effect on the second harmonic generation. - Highlights: • CsNbMoO{sub 6} and CsTaMoO{sub 6} homogeneous single crystals have been grown. • The crystal structure of CsTaMoO{sub 6} has been studied. • Nonlinear optical properties of CsNbMoO{sub 6} and CsTaMoO{sub 6} have been found. • The microscopic origin of the second harmonic generation (SHG) response have been identified.« less
Interface structure in Cu/Ta2O5/Pt resistance switch: a first-principles study.
Xiao, Bo; Watanabe, Satoshi
2015-01-14
The interface structures of a Cu/Ta2O5/Pt resistance switch under various oxidation conditions have been examined from first-principles. The O-rich Cu/Ta2O5 interface is found to be stable within a wide range of O chemical potentials. In this interface structure, a considerable number of interface Cu atoms tend to migrate to the amorphous Ta2O5 (a-Ta2O5) layer, which causes the formation of the Cu2O layer. The interface Cu atoms become more ionized with an increase in the interface O concentration and/or temperature. These ionized Cu(+) ions could function as one of the main sources for the formation of conduction filaments in the Cu/a-Ta2O5/Pt resistance switch. In contrast, the ionization of the interface Cu atoms is not observed in the Cu/crystal-Ta2O5 interface primarily due to the much lower Cu ionic conductivity in crystal-Ta2O5 than that in amorphous state. In addition, the Pt electrode could not be ionized, irrespective of the interface O concentration and temperature. The formation of interface O vacancies in Pt/Ta2O5 is always energetically more stable than that in Cu/Ta2O5, which may be partly responsible for the cone shape of conduction filament formed in the Cu/a-Ta2O5/Pt resistance switch, where the base of the cone lies on the Pt/Ta2O5 interface.
Prediction of A2 to B2 Phase Transition in the High Entropy Alloy Mo-Nb-Ta-W
NASA Astrophysics Data System (ADS)
Huhn, William; Widom, Michael
2014-03-01
In this talk we show that an effective Hamiltonian fit with first principles calculations predicts an order/disorder transition occurs in the high entropy alloy Mo-Nb-Ta-W. Using the Alloy Theoretic Automated Toolset, we find T=0K enthalpies of formation for all binaries containing Mo, Nb, Ta, and W, and in particular we find the stable structures for binaries at equiatomic concentrations are close in energy to the associated B2 structure, suggesting that at intermediate temperatures a B2 phase is stabilized in Mo-Nb-Ta-W. Our ``hybrid Monte Carlo/molecular dynamics'' results for the Mo-Nb-Ta-W system are analyzed to identify certain preferred chemical bonding types. A mean field free energy model incorporating nearest neighbor bonds will be presented, allowing us to predict the mechanism of the order/disorder transition. We find the temperature evolution of the system is driven by strong Mo-Ta bonding. Comparison of the free energy model and our MC/MD results suggest the existence of additional low-temperature phase transitions in the system likely ending with phase segregation into binary phases. We would like to thank DOD-DTRA for funding this research under contract number DTRA-11-1-0064.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dutta, Alo, E-mail: alo_dutta@yahoo.com; Saha, Sujoy; Kumari, Premlata
2015-09-15
The X-ray photoemission spectroscopic (XPS) study of the double perovskite oxides A{sub 2}LaMO{sub 6} [A=Ba, Ca; M=Nb, Ta] synthesized by the solid-state reaction technique has been carried out to investigate the nature of the chemical state of the constituent ions and the bonding between them. The Rietveld refinement of the X-ray diffraction patterns suggests the monoclinic crystal structure of all the materials at room temperature. The negative and positive chemical shifts of the core level XPS spectrum of O-1s and Nb-3d{sub 3/2}/Ta-4f{sub 5/2} respectively suggest the covalent bonding between Nb/Ta cations and O ion. The change of the bonding strengthmore » between the anion and the cations from one material to another has been analyzed. The vibrational property of the materials is investigated using the room temperature Raman spectra. A large covalency of Ta-based compound than Nb compound is confirmed from the relative shifting of the Raman modes of the materials. - Graphical abstract: Crystal structure of two perovskite oxides CLN and CLT is investigated. XPS study confirms the two different co-ordination environments of Ca and covalent bonding between B-site cations and O-ion. - Highlights: • Ordered perovskite structure obtained by Rietveld refinement of XRD patterns. • Study of nature of chemical bonding by X-ray photoemission spectroscopy. • Opposite chemical shift of d-states of Nb/Ta with respect to O. • Covalent bonding between d-states of Nb/Ta and O. • Relative Raman shifts of CLN and CLT substantiate the more covalent character of Ta than Nb.« less
Evaluating the cause(s) of Ti, Ta, and Nb (TITAN) enrichment in ocean island basalts using LA-ICP-MS
NASA Astrophysics Data System (ADS)
Lyakov, J.; Durkin, K.; Hirsch, L.; Peters, B.; Hattingh, R.; Day, J. M.
2017-12-01
Titanium, Ta, and Nb (TITAN) enrichments in some ocean island basalt (OIB) lavas have been attributed to mantle source, or to partial melting and fractional crystallization Iprocesses. TITAN anomalies in the mantle sources of OIB would imply these trace elements can be used to track mantle heterogeneity in a manner similar to some isotopic tracers (e.g., He, Os, W), whereas a petrogenetic process to account for TITAN anomalies would be more prosaic. To further evaluate this issue, we have performed laser ablation inductively coupled plasma mass spectrometry (LA-ICP-MS) of phenocryst phases and matrix on petrographically well-characterized polished-sections of OIB samples. These samples come from five ocean island archipelagos (Canary Islands, the Azores, Samoa, Tubuai'i, Réunion), and are used to assess the citing of Ti, Ta, Nb and associated trace-elements within bulk-rocks. We find poorly-defined but broadly positive correlations between olivine and clinopyroxene modal abundance and Ta/Ta*, Nb/Nb*, but no correlation with Ti/Ti* (where, for example, Ti/Ti* is the primitive mantle normalized ratio, written as: Ti/√[Sm × Tb]). Abundances of olivine and clinopyroxene with samples spanned a wide-range, from 0-70 modal %. We determined trace-element abundances by LA-ICP-MS in a sub-set of samples for major (olivine, clinopyroxene) and minor (e.g., magnetite) phenocryst phases, and for the typically vitrophyric to partly crystallized matrices of samples. Modal reconstruction relative to the bulk rock are broadly similar, although the Ta/Ta*, Nb/Nb* and, especially Ti/Ti* anomalies cannot always be reproduced, especially if Fe-Ti oxide phases were not analyzed due to their limited presence within polished sections. LA-ICP-MS analyses reveal that, while TITAN anomalies are dominantly preserved in the matrix and oxide phases, the role of fractional crystallization of olivine and clinopyroxene is a controlling factor in the magnitude of TITAN anomaly generated. Our
Zhu, Xiuliang; Lu, Chungui; Du, Lipu; Ye, Xingguo; Liu, Xin; Coules, Anne; Zhang, Zengyan
2017-06-01
The necrotrophic fungus Rhizoctonia cerealis is the major pathogen causing sharp eyespot disease in wheat (Triticum aestivum). Nucleotide-binding leucine-rich repeat (NB-LRR) proteins often mediate plant disease resistance to biotrophic pathogens. Little is known about the role of NB-LRR genes involved in wheat response to R. cerealis. In this study, a wheat NB-LRR gene, named TaRCR1, was identified in response to R. cerealis infection using Artificial Neural Network analysis based on comparative transcriptomics and its defence role was characterized. The transcriptional level of TaRCR1 was enhanced after R. cerealis inoculation and associated with the resistance level of wheat. TaRCR1 was located on wheat chromosome 3BS and encoded an NB-LRR protein that was consisting of a coiled-coil domain, an NB-ARC domain and 13 imperfect leucine-rich repeats. TaRCR1 was localized in both the cytoplasm and the nucleus. Silencing of TaRCR1 impaired wheat resistance to R. cerealis, whereas TaRCR1 overexpression significantly increased the resistance in transgenic wheat. TaRCR1 regulated certain reactive oxygen species (ROS)-scavenging and production, and defence-related genes, and peroxidase activity. Furthermore, H 2 O 2 pretreatment for 12-h elevated expression levels of TaRCR1 and the above defence-related genes, whereas treatment with a peroxidase inhibitor for 12 h reduced the resistance of TaRCR1-overexpressing transgenic plants and expression levels of these defence-related genes. Taken together, TaRCR1 positively contributes to defence response to R. cerealis through maintaining ROS homoeostasis and regulating the expression of defence-related genes. © 2016 The Authors. Plant Biotechnology Journal published by Society for Experimental Biology and The Association of Applied Biologists and John Wiley & Sons Ltd.
Structural Stability of Diffusion Barriers in Cu/Ru/MgO/Ta/Si
Hsieh, Shu-Huei; Chen, Wen Jauh; Chien, Chu-Mo
2015-01-01
Various structures of Cu (50 nm)/Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm)/Si were prepared by sputtering and electroplating techniques, in which the ultra-thin trilayer of Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm) is used as the diffusion barrier against the interdiffusion between Cu film and Si substrate. The various structures of Cu/Ru/MgO/Ta/Si were characterized by four-point probes for their sheet resistances, by X-ray diffractometers for their crystal structures, by scanning electron microscopes for their surface morphologies, and by transmission electron microscopes for their cross-section and high resolution views. The results showed that the ultra-thin tri-layer of Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm) is an effective diffusion barrier against the interdiffusion between Cu film and Si substrate. The MgO, and Ta layers as deposited are amorphous. The mechanism for the failure of the diffusion barrier is that the Ru layer first became discontinuous at a high temperature and the Ta layer sequentially become discontinuous at a higher temperature, the Cu atoms then diffuse through the MgO layer and to the substrate at the discontinuities, and the Cu3Si phases finally form. The maximum temperature at which the structures of Cu (50 nm)/Ru (2 nm)/MgO (0.5–3 nm)/Ta (2 nm)/Si are annealed and still have low sheet resistance is from 550 to 750 °C for the annealing time of 5 min and from 500 to 700 °C for the annealing time of 30 min. PMID:28347099
Synthesis and characterization of Ti-Ta-Nb-Mn foams.
Aguilar, C; Guerra, C; Lascano, S; Guzman, D; Rojas, P A; Thirumurugan, M; Bejar, L; Medina, A
2016-01-01
The unprecedented increase in human life expectancy have produced profound changes in the prevailing patterns of disease, like the observed increased in degenerative disc diseases, which cause degradation of the bones. Ti-Nb-Ta alloys are promising materials to replace the damaged bone due to their excellent mechanical and corrosion resistance properties. In general metallic foams are widely used for medical application due to their lower elastic moduli compare to bulk materials. In this work we studied the synthesis of 34Nb-29Ta-xMn (x: 2, 4 and 6 wt.% Mn) alloy foams (50% v/v) using ammonium hydrogen carbonate as a space holder. Alloys were produced through mechanical alloying in a planetary mill for 50h. Green compacts were obtained by applying 430 MPa pressure. To remove the space holder from the matrix the green compacts were heated to 180 °C for 1.5h and after sintered at 1300 °C for 3h. Foams were characterized by x-ray diffraction, scanning, transmission electron microscopy and optical microscopy. The elastic modulus of the foam was measured as ~30 GPa, and the values are almost equal to the values predicted using various theoretical models. Copyright © 2015 Elsevier B.V. All rights reserved.
Atomic layer deposition of (K,Na)(Nb,Ta)O{sub 3} thin films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sønsteby, Henrik Hovde, E-mail: henrik.sonsteby@kjemi.iuio.no; Nilsen, Ola; Fjellvåg, Helmer
2016-07-15
Thin films of complex alkali oxides are frequently investigated due to the large range of electric effects that are found in this class of materials. Their piezo- and ferroelectric properties also place them as sustainable lead free alternatives in optoelectronic devices. Fully gas-based routes for deposition of such compounds are required for integration into microelectronic devices that need conformal thin films with high control of thickness- and composition. The authors here present a route for deposition of materials in the (K,Na)(Nb,Ta)O{sub 3}-system, including the four end members NaNbO{sub 3}, KNbO{sub 3}, NaTaO{sub 3}, and KTaO{sub 3}, using atomic layer depositionmore » with emphasis on control of stoichiometry in such mixed quaternary and quinary compunds.« less
Pershina, V; Anton, J
2012-01-21
Fully relativistic, four-component density functional theory electronic structure calculations were performed for MBr(5), MOBr(3), MBr(6)(-), KMBr(6), and MBr(5)Cl(-) of group-5 elements Nb, Ta, and element 105, Db, with the aim to predict adsorption behaviour of the bromides in gas-phase chromatography experiments. It was shown that in the atmosphere of HBr/BBr(3), the pentabromides are rather stable, and their stability should increase in the row Nb < Db < Ta. Several mechanisms of adsorption were considered. In the case of adsorption by van der Waals forces, the sequence in volatility of the pentabromides should be Nb < Ta < Db, being in agreement with the sublimation enthalpies of the Nb and Ta pentabromides. In the case of adsorption by chemical forces (on a quartz surface modified with KBr∕KCl), formation of the MBr(5)L(-) (L = Cl, Br) complex should occur, so that the volatility should change in an opposite way, i.e., Nb > Ta > Db. This sequence is in agreement with the one observed in the "one-atom-at-a-time" chromatography experiments. Some other scenarios, such as surface oxide formation were also considered but found to be irrelevant. © 2012 American Institute of Physics
Materials Study of NbN and Ta x N Thin Films for SNS Josephson Junctions
Missert, Nancy; Brunke, Lyle; Henry, Michael D.; ...
2017-02-15
We investigated properties of NbN and Ta xN thin films grown at ambient temperatures on SiO 2/Si substrates by reactive-pulsed laser deposition and reactive magnetron sputtering (MS) as a function of N 2 gas flow. Both techniques produced films with smooth surfaces, where the surface roughness did not depend on the N 2 gas flow during growth. High crystalline quality, (111) oriented NbN films with T c up to 11 K were produced by both techniques for N contents near 50%. The low temperature transport properties of the Ta xN films depended upon both the N 2 partial pressure usedmore » during growth and the film thickness. Furthermore, the root mean square surface roughness of Ta xN films grown by MS increased as the film thickness decreased down to 10 nm.« less
Liu, Jue; Chang, Lin; Liu, Hairong; Li, Yongsheng; Yang, Hailin; Ruan, Jianming
2017-02-01
Microstructures, mechanical properties, apatite-forming ability and in vitro experiments were studied for Nb-25Ti-xTa (x=10, 15, 20, 25, 35at.%) alloys fabricated by powder metallurgy. It is confirmed that the alloys could achieve a relative density over 80%. Meanwhile, the increase in Ta content enhances the tensile strength, elastic modulus and hardness of the as-sintered alloys. When increasing the sintering temperatures, the microstructure became more homogeneous for β phase, resulting in a decrease in the modulus and strength. Moreover, the alloys showed a good biocompatibility due to the absence of cytotoxic elements, and were suitable for apatite formation and cell adhesion. In conclusion, Nb-25Ti-xTa alloys are potentially useful in biomedical applications with their mechanical and biological properties being evaluated in this work. Copyright © 2016 Elsevier B.V. All rights reserved.
Opherden, Lars; Sieger, Max; Pahlke, Patrick; Hühne, Ruben; Schultz, Ludwig; Meledin, Alexander; Van Tendeloo, Gustaaf; Nast, Rainer; Holzapfel, Bernhard; Bianchetti, Marco; MacManus-Driscoll, Judith L.; Hänisch, Jens
2016-01-01
The addition of mixed double perovskite Ba2Y(Nb/Ta)O6 (BYNTO) to YBa2Cu3O7−δ (YBCO) thin films leads to a large improvement of the in-field current carrying capability. For low deposition rates, BYNTO grows as well-oriented, densely distributed nanocolumns. We achieved a pinning force density of 25 GN/m3 at 77 K at a matching field of 2.3 T, which is among the highest values reported for YBCO. The anisotropy of the critical current density shows a complex behavior whereby additional maxima are developed at field dependent angles. This is caused by a matching effect of the magnetic fields c-axis component. The exponent N of the current-voltage characteristics (inversely proportional to the creep rate S) allows the depinning mechanism to be determined. It changes from a double-kink excitation below the matching field to pinning-potential-determined creep above it. PMID:26887291
NASA Astrophysics Data System (ADS)
Opherden, Lars; Sieger, Max; Pahlke, Patrick; Hühne, Ruben; Schultz, Ludwig; Meledin, Alexander; van Tendeloo, Gustaaf; Nast, Rainer; Holzapfel, Bernhard; Bianchetti, Marco; MacManus-Driscoll, Judith L.; Hänisch, Jens
2016-02-01
The addition of mixed double perovskite Ba2Y(Nb/Ta)O6 (BYNTO) to YBa2Cu3O7-δ (YBCO) thin films leads to a large improvement of the in-field current carrying capability. For low deposition rates, BYNTO grows as well-oriented, densely distributed nanocolumns. We achieved a pinning force density of 25 GN/m3 at 77 K at a matching field of 2.3 T, which is among the highest values reported for YBCO. The anisotropy of the critical current density shows a complex behavior whereby additional maxima are developed at field dependent angles. This is caused by a matching effect of the magnetic fields c-axis component. The exponent N of the current-voltage characteristics (inversely proportional to the creep rate S) allows the depinning mechanism to be determined. It changes from a double-kink excitation below the matching field to pinning-potential-determined creep above it.
Skin effect suppression for Cu/CoZrNb multilayered inductor
NASA Astrophysics Data System (ADS)
Sato, Noriyuki; Endo, Yasushi; Yamaguchi, Masahiro
2012-04-01
The Cu/Co85Zr3Nb12 multilayer is studied as a conductor of a spiral inductor to suppress the skin effect at the 5 GHz range (matches IEEE 802.11 a standard) using negative-permeability in CoZrNb films beyond the ferromagnetic resonance frequency. The skin effect suppression becomes remarkable when the thickness of Cu in each period of the multilayer, tCu, is less than the skin depth of Cu at the targeting frequency. For the 5 GHz operation, tCu ≤ 750 nm. The resistance of the Cu/CoZrNb multilayered spiral inductor decreases as much as 8.7%, while keeping the same inductance of 1.1 nH as that of a similar air core. Accordingly, Q = 16. Therefore, the proposed method can contribute to realize a high-Q spiral inductor. We also study the potentially applicable frequency of this method. Given a soft magnetic material with Ms = 105 emu/cc and Hk = 5 Oe, the method can be applied at 700 MHz, the lowermost carrier frequency band for the 4th generation cellular phone system.
Superconducting properties of Nb-Cu nano-composites and nano-alloys
DOE Office of Scientific and Technical Information (OSTI.GOV)
Parab, Pradnya, E-mail: pradnyaprb@gmail.com; Kumar, Sanjeev; Bhui, Prabhjyot
The evolution of the superconducting transition temperature (T{sub c}) in nano-composite and nano-alloys of Nb-Cu, grown by DC magnetron co-sputtering are investigated. Microstructure of these films depends less strongly on the ratio of Nb:Cu but more on the growth temperature. At higher growth temperature, phase separated granular films of Nb and Cu were formed which showed superconducting transition temperatures (T{sub c}) of ~ 7.2±0.5 K, irrespective of the composition. Our results show that this is primarily influenced by the microstructure of the films determined during growth which rules out the superconducting proximity effect expected in these systems. At room temperaturemore » growth, films with nano-scale alloying were obtained at the optimal compositional range of 45-70 atomic% (At%) of Nb. These were also superconducting with a T{sub c} of 3.2 K.« less
Corrosion behavior and oxide properties of Zr 1.1 wt%Nb 0.05 wt%Cu alloy
NASA Astrophysics Data System (ADS)
Park, Jeong-Yong; Choi, Byung-Kwon; Yoo, Seung Jo; Jeong, Yong Hwan
2006-12-01
The corrosion behavior and oxide properties of Zr-1.1 wt%Nb-0.05 wt%Cu (ZrNbCu) and Zircaloy-4 have been investigated. The corrosion rate of the ZrNbCu alloy was much lower than that of the Zirclaoy-4 in the 360 °C water and 360 °C PWR-simulating loop condition without a neutron flux and it was increased with an increase of the final annealing temperature from 470 °C to 570 °C. TEM observations revealed that the precipitates in the ZrNbCu were β-Nb and ZrNbFe-precipitate with β-Nb being more frequently observed and that the precipitates were more finely distributed in the ZrNbCu alloy. It was also observed that the oxides of the ZrNbCu and Zircaloy-4 consisted of two and seven layers, respectively, after 1000 days in the PWR-simulating loop condition and that the thickness of a fully-developed layer was higher in the ZrNbCu than in the Zircaloy-4. It was also found that the β-Nb in ZrNbCu was oxidized more slowly when compared to the Zr(Fe, Cr) 2 in Zirclaoy-4 when the precipitates in the oxide were observed by TEM. Cracks were observed in the vicinity of the oxidized Zr(Fe, Cr) 2, while no cracks were formed near β-Nb which had retained a metallic state. From the results obtained, it is suggested that the oxide formed on the ZrNbCu has a more protective nature against a corrosion when compared to that of the Zircaloy-4.
High-rate deposition of LiNb 1- xTa xO 3 films by thermal plasma spray CVD
NASA Astrophysics Data System (ADS)
Majima, T.; Yamamoto, H.; Kulinich, S. A.; Terashima, K.
2000-12-01
LiNb 1- xTa xO 3 films were prepared by a thermal plasma spray CVD method using liquid source materials. Preferentially (0 0 1)-oriented LiNb 1- xTa xO 3 films with satisfactory in-plane and out-of-plane alignment were fabricated on sapphire (0 0 1) substrates. The full-width at half-maximum (FWHM) of the (0 0 6) rocking curve could achieve 0.12°, which was comparable with those of LiNbO 3 and LiTaO 3 films prepared by other conventional vapor-phase deposition methods. The deposition rate was up to 0.07 μm/min, which was 5-40 times faster than those for most other conventional vapor-phase deposition methods. From inductively coupled plasma atomic emission spectroscopy analysis, x values of these films were estimated to be 0.36-0.49.
NASA Astrophysics Data System (ADS)
Reeves-McLaren, Nik; Ferrarelli, Matthew C.; Tung, Yuan-Wei; Sinclair, Derek C.; West, Anthony R.
2011-07-01
Subsolidus phase relations in the CuO x-TiO 2-Nb 2O 5 system were determined at 935 °C. The phase diagram contains one new phase, Cu 3.21Ti 1.16Nb 2.63O 12 (CTNO) and one rutile-structured solid solution series, Ti 1-3 xCu xNb 2 xO 2: 0< x<0.2335 (35). The crystal structure of CTNO is similar to that of CaCu 3Ti 4O 12 (CCTO) with square planar Cu 2+ but with A site vacancies and a disordered mixture of Cu +, Ti 4+ and Nb 5+ on the octahedral sites. It is a modest semiconductor with relative permittivity ˜63 and displays non-Arrhenius conductivity behavior that is essentially temperature-independent at the lowest temperatures.
NASA Astrophysics Data System (ADS)
Moreno, J. A.; Molina, J. F.; Bea, F.; Abu Anbar, M.; Montero, P.
2016-08-01
The relationships of Y/Nb, Th/Nb, La/Nb and Ce/Pb ratios in A-type felsic rocks from the Ediacaran Katerina Ring Complex, northernmost Arabian-Nubian Shield (ANS; S. Sinai, Egypt), are investigated in this work to understand their behavior during generation of highly evolved granitic magmas and to explore the nature of magma sources. Textural and compositional relationships of cognate Th-REE- and Nb-Ta-accessory minerals in Katerina felsic rocks show that chevkinite-group minerals (CGM), monazite, thorite, allanite and xenotime formed from residual liquids in quartz syenite porphyries, quartz monzonites and peralkaline granites, whereas in aluminous granites, allanite and monazite crystallized early, and thorite and columbite formed from residual liquids. Relationships of Y/Nb, Th/Nb, La/Nb and Ce/Pb ratios with Zr/Hf ratios in the aluminous granites and with Be abundances in the peralkaline granites suggest a decrease in La/Nb and Ce/Pb ratios in the former, and in Y/Nb and La/Nb ratios in the latter with crystallization progress. This contrasts with absence of systematic variations of Th/Nb and Ce/Pb ratios in the peralkaline compositions and of Y/Nb ratio in the aluminous ones. In this latter, Th/Nb ratio can present a significant decrease only in highly evolved compositions. An analysis of Y/Nb, Th/Nb, La/Nb and Ce/Pb relationships in worldwide OIB and subduction-related magmatic suites reveals that A-type felsic rocks with (Th/Nb)N < 1.3, (La/Nb)N < 1.3, and (Ce/Pb)N > 1 may have A1-type affinity, and those with (Th/Nb)N > 2, (La/Nb)N > 2, and (Ce/Pb)N < 1 tend to present A2-type affinity. The crystal fractionation of Th-LREE- and Nb-Ta-accessory minerals and mixing of components derived from the two granite groups may cause deviations from these compositional limits that can be evaluated using constraints imposed by Th/Nb-La/Nb, Ce/Pb-Th/Nb and Ce/Pb-La/Nb relationships in OIB and subduction-related magmatic suites. Three mantle sources might have been
NASA Technical Reports Server (NTRS)
Anderson, Kenneth Reed
2000-01-01
Ternary Cu-Cr-Nb alloys, particularly Cu-8 Cr-4 Nb (in at.%), have demonstrated good thermal stability as well as high strength and conductivity at elevated temperatures. The initial powder material has a bimodal size distribution of Cr2Nb precipitates. Primary Cr2Nb precipitates are approx. 1 micron, and secondary Cr2Nb particles are 30-200 nm. The particle coarsening was analyzed and found to follow LSW-type behavior, This study provides a detailed examination of the stability and strengthening effects of Cr2Nb particles. This investigation also revealed that the primary particles provide direct grain boundary pinning and indirect grain boundary strengthening but virtually no Orowan strengthening. The secondary particles found within grains do provide Orowan strengthening. For extruded material, grain bound-ary strengthening (Hall-Petch effect) accounts for two-thirds of the strength with Orowan effects contributing the remainder. The proven advantages of Cu-Cr-Nb were the motivation to improve these attributes via microstructural refinement. Mechanical milling (MM) of Cu- 4 Cr-2 Nb and Cu-8 Cr-2 Nb produced an increase in hot pressed Vickers hardness of 122% and 96%, respectively. The increase in hardness was more due to Cu grain-size refinement than to Cr,,Nb refinement. This study also demonstrated enhanced stability of MM Cu-4 Cr-2 Nb. Hot pressed 4 h milled Cu-4 Cr-2 Nb experienced only a 22% drop in hardness when annealed at 1273 K for 50 h versus a 30% drop for extruded Cu-8 Cr-4 Nb. The goal of improving the strength and stability of Cu-4 Cr-2 Nb to better than such properties for as- extruded Cu-8 Cr-4 Nb has been met. In addition, a figure-of-merit (FOM) coupling hardness and thermal conductivity was maximized for the case of 4 h milled Cu-4 Cr-2 Nb material. Overall, Cu-Cr-Nb alloys not only possess high strength, conductivity and thermal stability but also can be further developed to improve strength and stability.
NASA Astrophysics Data System (ADS)
Okazaki, Yoshimitsu; Nagata, Hiroyuki
2012-12-01
Metal release from implantable metals and the properties of oxide films formed on alloy surfaces were analyzed, focusing on the highly biocompatible Ti-15Zr-4Nb-4Ta alloy. The thickness and electrical resistance (Rp) of the oxide film on such an alloy were compared with those of other implantable metals. The quantity of metal released during a 1-week immersion test was considerably smaller for the Ti-15Zr-4Nb-4Ta than the Ti-6Al-4V alloy. The potential (E10) indicating a current density of 10 μA cm-2 estimated from the anodic polarization curve was significantly higher for the Ti-15Zr-4Nb-4Ta than the Ti-6Al-4V alloy and other metals. Moreover, the oxide film (4-7 nm thickness) formed on the Ti-15Zr-4Nb-4Ta surface is electrochemically robust. The oxide film mainly consisted of TiO2 with small amounts of ZrO2, Nb2O5 and Ta2O5 that made the film electrochemically stable. The Rp of Ti-15Zr-4Nb-4Ta was higher than that of Ti-6Al-4V, i.e. 0.9 Ω cm2 in 0.9% NaCl and 1.3 Ω cm2 in Eagle's medium. This Rp was approximately five-fold higher than that of stainless steel, which has a history of more than 40 years of clinical use in the human body. Ti-15Zr-4Nb-4Ta is a potential implant material for long-term clinical use. Moreover, E10 and Rp were found to be useful parameters for assessing biological safety.
NASA Astrophysics Data System (ADS)
Liu, Yang; Peng, Qian; Qiao, Yadong; Yang, Guang
2018-06-01
Nb and Ta co-doped anatase titanium dioxide (NTTO) nanocrystalline thin films were deposited on quartz and Si (100) substrates by RF magnetron sputtering. The influence of RF power on the growth, structure, morphology, and properties of the samples are discussed in detail. X-ray diffraction measurements show that the films are polycrystalline with anatase tetragonal structure, which is further confirmed by Raman spectroscopy analysis. Meanwhile, Raman spectroscopy results indicate that the peak width of E g(1) mode, which is directly correlated to the carrier density, changes obviously with RF power. It is found that the substitution of Nb5+ and Ta5+ at Ti site is significantly improved with the increase of RF power from 150 W to 210 W. For the sample deposited at 210 W, the optical transmittance is above 82% in the visible range and the electrical resistivity is as low as 1.3 × 10-3 Ω cm with carrier density of 1.1 × 1021 cm-3 and Hall mobility of 4.5 cm2 V-1 s-1. The optical and electrical properties of NTTO thin films can be compared to those of Nb or Ta doped anatase TiO2. However, co-doping with Nb and Ta gives a possible platform to complement the limitations of each individual dopant.
High temperature coarsening of Cr2Nb precipitates in Cu-8 Cr-4 Nb alloy
NASA Technical Reports Server (NTRS)
Anderson, Kenneth Reed
1996-01-01
A new high-temperature-strength, high-conductivity Cu-Cr-Nb alloy with a CrNb ratio of 2:1 was developed to achieve improved performance and durability. The Cu-8 Cr4 Nb alloy studied has demonstrated remarkable thermal and microstructural stability after long exposures at temperatures up to 0.98 T(sub m). This stability was mainly attributed to the slow coarsening kinetics of the Cr2Nb precipitates present in the alloy. At all temperatures, the microstructure consists of a bimodal and sometimes trimodal distribution of strengthening Cr2Nb precipitates, depending on precipitation condition, i.e. from liquid or solid solution, and cooling rates. These precipitates remain in the same size range, i.e. large precipitates of approximately I pm, and small precipitates less dm 300 nm, and effectively pin the grain boundaries thus retaining a fine grain size of 2.7 micro-m after 100 h at 1323 K. (A relatively small number of Cr-rich and Nb-rich particles were also present.) This grain boundary pinning and sluggish coarsening of Cr2Nb particles explain the retention of good mechanical properties after prolonged holding at very high temperatures, e.g., 75% of the original hardness after aging for 100 h at 1273 K. Application of LSW-based coarsening models indicated that the coarsening kinetics of the large precipitates are most likely governed by grain boundary diffsion and, to a lesser extent, volume diffusion mechanisms.
NASA Astrophysics Data System (ADS)
Bayot, Daisy; Degand, Matthieu; Devillers, Michel
2005-09-01
New water-soluble bimetallic peroxo complexes of niobium V and/or tantalum V with high-denticity polyaminocarboxylate ligands have been prepared, characterized from the spectroscopic point of view, and used as molecular precursors for Nb-Ta mixed oxides. Four new homobimetallic complexes, (gu) 3[Nb 2(O 2) 4(dtpaO 3)]·3H 2O 1, (gu) 3[Ta 2(O 2) 4(dtpaO 3)]·5H 2O 2, (gu) 3[Nb 2(O 2) 4(HtthaO 4)]·2H 2O 4 and (gu) 3[Ta 2(O 2) 4(HtthaO 4)]·3H 2O 5 and the corresponding heterometallic complexes, (gu) 3[NbTa(O 2) 4(dtpaO 3)]·2.5H 2O 3 and (gu) 3[NbTa(O 2) 4(HtthaO 4)]·2H 2O 6 have been obtained. In these compounds, the in situ oxidation of the nitrogen atoms of the PAC ligands into N-oxide groups has been evidenced by IR spectroscopy and mass spectrometry. The thermal treatment of the homonuclear complexes in air at 700 or 800 °C, depending on the Ta content, provided Nb 2O 5 or Ta 2O 5 while the heteronuclear compounds led to the solid solution TaNbO 5. BET and SEM measurements have been carried out and comparison of the morphology of the samples prepared from homo- and heterometallic precursors is discussed.
2012-01-01
Excellent resistive switching memory characteristics were demonstrated for an Al/Cu/Ti/TaOx/W structure with a Ti nanolayer at the Cu/TaOx interface under low voltage operation of ± 1.5 V and a range of current compliances (CCs) from 0.1 to 500 μA. Oxygen accumulation at the Ti nanolayer and formation of a defective high-κ TaOx film were confirmed by high-resolution transmission electron microscopy, energy dispersive X-ray spectroscopy, and X-ray photo-electron spectroscopy. The resistive switching memory characteristics of the Al/Cu/Ti/TaOx/W structure, such as HRS/LRS (approximately 104), stable switching cycle stability (>106) and multi-level operation, were improved compared with those of Al/Cu/TaOx/W devices. These results were attributed to the control of Cu migration/dissolution by the insertion of a Ti nanolayer at the Cu/TaOx interface. In contrast, CuOx formation at the Cu/TaOx interface was observed in an Al/Cu/TaOx/W structure, which hindered dissolution of the Cu filament and resulted in a small resistance ratio of approximately 10 at a CC of 500 μA. A high charge-trapping density of 6.9 × 1016 /cm2 was observed in the Al/Cu/Ti/TaOx/W structure from capacitance-voltage hysteresis characteristics, indicating the migration of Cu ions through defect sites. The switching mechanism was successfully explained for structures with and without the Ti nanolayer. By using a new approach, the nanoscale diameter of Cu filament decreased from 10.4 to 0.17 nm as the CC decreased from 500 to 0.1 μA, resulting in a large memory size of 7.6 T to 28 Pbit/sq in. Extrapolated 10-year data retention of the Ti nanolayer device was also obtained. The findings of this study will not only improve resistive switching memory performance but also aid future design of nanoscale nonvolatile memory. PMID:22734564
Elasto-plastic properties of Cu-Nb nanolaminate
NASA Astrophysics Data System (ADS)
Betekhtin, V. I.; Kolobov, Yu. R.; Kardashev, B. K.; Golosov, E. V.; Narykova, M. V.; Kadomtsev, A. G.; Klimenko, D. N.; Karpov, M. I.
2012-02-01
The Young's modulus, internal friction, and microplastic flow stress in Cu-Nb nanolaminate has been determined by an acoustic technique. The influence of high hydrostatic compression (1 GPa) on these elasto-plastic properties of the nanolaminate has been studied.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Reeves-McLaren, Nik, E-mail: n.reeves@sheffield.ac.uk; Ferrarelli, Matthew C.; Tung, Yuan-Wei
2011-07-15
Subsolidus phase relations in the CuO{sub x}-TiO{sub 2}-Nb{sub 2}O{sub 5} system were determined at 935 deg. C. The phase diagram contains one new phase, Cu{sub 3.21}Ti{sub 1.16}Nb{sub 2.63}O{sub 12} (CTNO) and one rutile-structured solid solution series, Ti{sub 1-3x}Cu{sub x}Nb{sub 2x}O{sub 2}: 0
2011-07-01
research seems to emphasize alloys based Approved for public release; distribution unlimited. 2 on the late transition metals such as Cr, Mn, Fe, Co, Ni ...of the Nb25Mo25Ta25W25 alloy , with larger grains at the regions experiencing slower solidification , i.e. near the surfaces not contacting with the...20-30 µm, indicating similar solidification conditions. 3.2.3 Fractography of samples deformed at room temperature The high entropy alloy
Microstructure and Mechanical Properties of Vacuum Plasma Sprayed Cu-8Cr-4Nb
NASA Technical Reports Server (NTRS)
Holmes, Richard; Ellis, David; McKechnie, Timothy; Hickman, Robert
1997-01-01
This paper compares the tensile properties of Cu-8Cr-4Nb material produced by VPS to material previously produced by extrusion. The microstructure of the VPS material is also presented. The combustion chamber liner of rocket motors represents an extreme materials application. The liner hot wall is exposed to a 2760 C (5000 F) flame while the cold side is exposed to cryogenic hydrogen liquid. Materials for use in the combustion chamber liner require a combination of high temperature strength, creep resistance, and low cycle fatigue resistance along with high thermal conductivity. The hot side is also subject to localized cycles between reducing and oxidizing environments that degrade the liner by a process called blanching. A new Cu-8 at.% Cr-4 at% Nb (Cu-8Cr-4Nb) alloy has been developed at NASA Lewis Research Center as a replacement for the currently used alloy, NARloy-z (Cu-3 wt.% Ag-0.5 wt.% Zr). The alloy is strengthened by a fine dispersion of Cr2Nb particles. The alloy has better mechanical properties than NARloy-Z while retaining most of the thermal conductivity of pure copper. The alloy has been successfully consolidated by extrusion and hot isostatic pressing (HIPing). However, vacuum plasma spraying (VPS) offers several advantages over prior consolidation methods. VPS can produce a near net shape piece with the profile of the liner. In addition, oxidation resistant and thermal barrier coatings can be incorporated as an integral part of the liner hot wall during the VPS deposition. The low oxygen VPS Cu-8Cr-4Nb exhibits a higher strength than Cu-8Cr-4Nb produced by extrusion at elevated temperatures and a comparable strength at room temperature. Moduli and ductility were not significantly different. However, the ability to produce parts to near-net shape and maintain the good elevated temperature tensile properties of the extruded Cu-8Cr-4Nb makes VPS an attractive processing method for fabricating rocket engine combustion liners.
Texture Evolution in a Ti-Ta-Nb Alloy Processed by Severe Plastic Deformation
NASA Astrophysics Data System (ADS)
Cojocaru, Vasile-Danut; Raducanu, Doina; Gloriant, Thierry; Cinca, Ion
2012-05-01
Titanium alloys are extensively used in a variety of applications because of their good mechanical properties, high biocompatibility, and corrosion resistance. Recently, β-type Ti alloys containing Ta and Nb have received much attention because they feature not only high specific strength but also biocorrosion resistance, no allergic problems, and biocompatibility. A Ti-25Ta-25Nb β-type titanium alloy was subjected to severe plastic deformation (SPD) processing by accumulative roll bonding and investigated with the aim to observe the texture developed during SPD processing. Texture data expressed by pole figures, inverse pole figures, and orientation distribution functions for the (110), (200), and (211) β-Ti peaks were obtained by XRD investigations. The results showed that it is possible to obtain high-intensity share texture modes ({001}<110>) and well-developed α and γ-fibers; the most important fiber is the α-fiber ({001} < {1bar{1}0} > to {114} < {1bar{1}0} > to {112} < {1bar{1}0} > ). High-intensity texture along certain crystallographic directions represents a way to obtain materials with high anisotropic properties.
NASA Astrophysics Data System (ADS)
Li, Ganglong; Wu, Houya; Luo, Honglong; Chen, Zhuo; Tay, Andrew A. O.; Zhu, Wenhui
2017-09-01
Three-dimensional (3D) integration technology using Cu interconnections has emerged as a promising solution to improve the performance of silicon microelectronic devices. However, Cu diffuses into SiO2 and requires a barrier layer such as Ta to ensure acceptable reliability. In this paper, the effects of temperature and strain normal to the interface on the inter-diffusion of Cu and Ta at annealing conditions are investigated using a molecular dynamics (MD) technique with embedded atomic method (EAM) potentials. Under thermal annealing conditions without strain, it is found that a Cu-rich diffusion region approximately 2 nm thick is formed at 1000 K after 10 ns of annealing. Ta is capable of diffusing into the interior of Cu but Cu hardly diffuses into the inner lattice of Ta. At the Cu side near the interface an amorphous structure is formed due to the process of diffusion. The diffusion activation energy of Cu and Ta are found to be 0.9769 and 0.586 eV, respectively. However, when a strain is applied, a large number of crystal defects are generated in the sample. As the strain is increased, extrinsic stacking faults (ESFs) and lots of Shockley partial dislocations appear. The density of the dislocations and the diffusion channels increase, promoting the diffusion of Cu atoms into the inner lattice of Ta. The thickness of the diffusion layer increases to 4 times the value when only a temperature load of 700 K is applied. The MD simulations demonstrated that Ta is very effective as a barrier layer under thermal loading only, and its effectiveness is impaired by tensile strain at the Cu/Ta interface. The simulations also clarified the mechanism that caused the impairment. The methodology and approach described in this paper can be followed further to study the effectiveness of barrier layers under various annealing and strain conditions, and to determine the minimum thickness of barrier layers required for a particular application.
The existence of superconductivity in Nb1.75Ta0.25PdS5
NASA Astrophysics Data System (ADS)
Venkateshwarlu, D.; Patidar, Manju Mishra; Singh, Durgesh; Amarendra, G.; Ganesan, V.
2018-05-01
Nb2PdS5 is a novel superconductor having promising potential for applications. Synthesis of this by solid state reaction, characterization using susceptibility and resistivity of Ta substituted Nb2PdS5 is reported in the present paper. Nb1.75Ta0.25PdS5 shows a diamagnetic transition at 6K while the onset of superconducting transition in resistivity is found at 6.73K; the slight difference is attributed to Aslamazov-Larkin paraconductivity fluctuations. This can be ascertained from the fact that the transition seen in resistivity is so broad that the zero resistance state is elusive due to weak inter grain coupling. We also report temperature dependence of resistivity under magnetic fields up to 16T that shows considerable broadening in fields that can be attributed to the field induced resistive state with underlying vortex motion. The results are explained based on models like thermally activated flux flow (TAFF) in the light of Kramer's relation. The normal state just above Tc has a negative temperature co-efficient of resistivity reminiscent of the Anderson localization scenario. The estimated critical field of 27T is more than two times higher than that of Pauli limit.
NASA Astrophysics Data System (ADS)
Škoda, Radek; Novák, Milan
2007-04-01
Aeschynite-group minerals (AGM) and euxenite-group minerals (EGM) occur in REL-REE euxenite-subtype pegmatites from the Třebíč Pluton, Czech Republic. They form strongly metamictized, light brown to black, equigranular to needle-like, subhedral to anhedral grains enclosed in blocky K-feldspar and less commonly in albite, and blocky quartz, and in the graphic unit (quartz and K-feldspar). Both AGM and EGM are homogeneous to slightly heterogeneous in BSE images. They are not commonly associated with the other primary Y,REE,Ti,Nb-bearing minerals, i.e. allanite-(Ce), monazite-(Ce), titanite, and ilmenite, which occur within the same textural-paragenetic unit. Aeschynite-(Y), aeschynite-(Ce), aeschynite-(Nd), nioboaeschynite-(Ce), tantalaeschynite-(Ce), vigezzite and polycrase-(Y) were identified using EMP and canonical discrimination analysis [Ercit, T.S., 2005a. Identification and alteration trends of granitic-pegmatite-hosted (Y,REE,U,Th)-(Nb,Ta,Ti) oxide minerals: a statistical approach. Can. Mineral. 43, 4 1291-1303.]. The exchange vector ACa B(Nb,Ta) A(Y,REE) - 1 BTi - 1 or its combination with the exchange vector ACa 2B(Nb,Ta) 3A(U,Th) - 1 A(Y,REE) - 1 BTi - 3 have been elucidated for the AGM. The exchange vector ACa A(U,Th) A(Y,REE) - 2 is predominant in the EGM. The AGM are enriched in HREE, whereas LREE are concentrated in the EGM. Weak to none-existent geochemical fractionations, as expressed by the U/(U + Th), Y/(Y + REE), Ta/(Ta + Nb) and (Nb + Ta)/(Ti + Nb + Ta) ratios, were noted for single grains from both the AGM and EGM, as well as in grains of polycrase-(Y) from four different textural-paragenetic units located in the Vladislav pegmatite. Simultaneous increase of U/(U + Th) and Y/(Y + REE) in the AGM during fractionation is typical. The Ta/(Ta + Nb) fractionation is usually weak and contradicts the Y/(Y + REE) and U/(U + Th) fractionation trends. This unusual behavior of Nb and Ta may be controlled by associated Ti-rich minerals (titanite
NASA Astrophysics Data System (ADS)
King, Nacole; Sullivan, Ian; Watkins-Curry, Pilanda; Chan, Julia Y.; Maggard, Paul A.
2016-04-01
A new low-temperature polymorph of the copper(I)-tantalate, α-Cu2Ta4O11, has been synthesized in a molten CuCl-flux reaction at 665 °C for 1 h and characterized by powder X-ray diffraction Rietveld refinements (space group Cc (#9), a=10.734(1) Å, b = 6.2506(3) Å, c=12.887(1) Å, β = 106.070(4)°). The α-Cu2Ta4O11 phase is a lower-symmetry monoclinic polymorph of the rhombohedral Cu2Ta4O11 structure (i.e., β-Cu2Ta4O11 space group R 3 ̅ c (#167), a = 6.2190(2) Å, c=37.107(1) Å), and related crystallographically by ahex=amono/√3, bhex=bmono, and chex=3cmonosinβmono. Its structure is similar to the rhombohedral β-Cu2Ta4O11 and is composed of single layers of highly-distorted and edge-shared TaO7 and TaO6 polyhedra alternating with layers of nearly linearly-coordinated Cu(I) cations and isolated TaO6 octahedra. Temperature dependent powder X-ray diffraction data show the α-Cu2Ta4O11 phase is relatively stable under vacuum at 223 K and 298 K, but reversibly transforms to β-Cu2Ta4O11 by at least 523 K and higher temperatures. The symmetry-lowering distortions from β-Cu2Ta4O11 to α-Cu2Ta4O11 arise from the out-of-center displacements of the Ta 5d0 cations in the TaO7 pentagonal bipyramids. The UV-vis diffuse reflectance spectrum of the monoclinic α-Cu2Ta4O11 shows an indirect bandgap transition of ∼2.6 eV, with the higher-energy direct transitions starting at ∼2.7 eV. Photoelectrochemical measurements on polycrystalline films of α-Cu2Ta4O11 show strong cathodic photocurrents of ∼1.5 mA/cm2 under AM 1.5 G solar irradiation.
NASA Astrophysics Data System (ADS)
Zhi, Ya'nan; Qu, Weijuan; Liu, De'an; Sun, Jianfeng; Yan, Aimin; Liu, Liren
2008-08-01
Laser-induced domain inversion is a promising technique for domain engineering in LiNbO3 and LiTaO3. The ultraviolet-infrared laser induced domain inversions in MgO-doped congruent LiNbO3 and near stoichiometric LiTaO3 crystals are investigated for the first time here. Within the wavelength range from 351 to 799 nm, the different reductions of nucleation field induced by the focused continuous laser irradiation are systematically investigated in the MgO-doped congruent LiNbO3 crystals. The investigation of ultrashort-pulse laser-induced domain inversion in MgO-doped congruent LiNbO3 is performed with 800 nm wavelength irradiation. The focused continuous ultraviolet laser-induced ferroelectric domain inversion in the near stoichiometric LiTaO3 is also investigated. The different physical explanations, based on space charge field and defect formation, are presented for the laser-induced domain inversion, and the solid experimental proofs are also presented. The results provide the solid experimental proofs and feasible schemes for the further investigation of laser-induced domain engineering in MgO-doped LiNbO3 and near stoichiometric LiTaO3 crystals. The important characteristics of domain inversion, including domain wall and internal field, in LiNbO3 crystals are also investigated by the digital holographic interferometry with an improved reconstruction method, and some creative experimental results and conclusions are achieved.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dunstan, Matthew T., E-mail: m.dunstan@chem.usyd.edu.au; Southon, Peter D.; Kepert, Cameron J.
Through the construction of the Ba{sub 4}Nb{sub 2-x}Ta{sub x}O{sub 9} phase diagram, it was discovered that the unique high-temperature {gamma} phase is a thermodynamic intermediate between the low-temperature {alpha} phase (Sr{sub 4}Ru{sub 2}O{sub 9}-type) and a 6H-perovskite. Refined site occupancies for the {gamma} phase across the Ba{sub 4}Nb{sub 2-x}Ta{sub x}O{sub 9} solid-solution indicate that Nb preferentially occupies the tetrahedral sites over the octahedral sites in the structure. When annealed in a CO{sub 2}-rich atmosphere, all of the phases studied absorb large amounts of CO{sub 2} at high temperatures between {approx}700 and 1300 K. In situ controlled-atmosphere diffraction studies show thatmore » this behaviour is linked to the formation of BaCO{sub 3} on the surface of the material, accompanied by a Ba{sub 5}(Nb,Ta){sub 4}O{sub 15} impurity phase. In situ diffraction in humid atmospheres also confirms that these materials hydrate below {approx}1273K, and that this plays a critical role in the various reconstructive phase transitions as well as giving rise to proton conduction. - Graphical abstract: Thermodynamic phase diagram of Ba{sub 4}Nb{sub 2-x}Ta{sub x}O{sub 9}. Highlights: > {gamma}-Ba{sub 4}Nb{sub 2}O{sub 9} phase is a structural intermediate between the {alpha} and 6H-perovskite phases. > Ba{sub 4}Nb{sub 2}O{sub 9} and Ba{sub 4}Ta{sub 2}O{sub 9} decompose at high temperatures in the presence of CO{sub 2}. > These materials all absorb between 5% and 6% of CO{sub 2} by mass between {approx}800 and 1200 K.« less
NbF5 and TaF5: Assignment of 19F NMR resonances and chemical bond analysis from GIPAW calculations
NASA Astrophysics Data System (ADS)
Biswal, Mamata; Body, Monique; Legein, Christophe; Sadoc, Aymeric; Boucher, Florent
2013-11-01
The 19F isotropic chemical shifts (δiso) of two isomorphic compounds, NbF5 and TaF5, which involve six nonequivalent fluorine sites, have been experimentally determined from the reconstruction of 1D 19F MAS NMR spectra. In parallel, the corresponding 19F chemical shielding tensors have been calculated using the GIPAW method for both experimental and DFT-optimized structures. Furthermore, the [M4F20] units of NbF5 and TaF5 being held together by van der Waals interactions, the relevance of Grimme corrections to the DFT optimization processes has been evaluated. However, the semi-empirical dispersion correction term introduced by such a method does not show any significant improvement. Nonetheless, a complete and convincing assignment of the 19F NMR lines of NbF5 and TaF5 is obtained, ensured by the linearity between experimental 19F δiso values and calculated 19F isotropic chemical shielding σiso values. The effects of the geometry optimizations have been carefully analyzed, confirming among other matters, the inaccuracy of the experimental structure of NbF5. The relationships between the fluorine chemical shifts, the nature of the fluorine atoms (bridging or terminal), the position of the terminal ones (opposite or perpendicular to the bridging ones), the fluorine charges, the ionicity and the length of the M-F bonds have been established. Additionally, for three of the 19F NMR lines of NbF5, distorted multiplets, arising from 1J-coupling and residual dipolar coupling between the 19F and 93Nb nuclei, were simulated yielding to values of 93Nb-19F 1J-coupling for the corresponding fluorine sites.
NASA Technical Reports Server (NTRS)
Pathare, Viren M.
1988-01-01
Powder processed NiAl + Ta alloys containing 1, 2, and 4.5 at percent tantalum and NiAl + Nb alloys containing 1 and 2 at percent niobium were developed for improved creep properties. In addition, a cast alloy with 5 at percent tantalum was also studied. Hot extrusion parameters for processing alloys with 1 and 2 at percent of tantalum or niobium were designed. The NiAl + 4.5 at percent Ta alloy could be vacuum hot pressed successfully, even though it could not be extruded. All the phases in the multiphase alloys were identified and the phase transformations studied. The Ni2AlTa in NiAl + 4.5 at percent Ta alloy transforms into a liquid phase above 1700 K. Solutionizing and annealing below this temperature gives rise to a uniform distribution of fine second phase precipitates. Compressive creep properties were evaluated at 1300 K using constant load and constant velocity tests. In the higher strain rate region single phase NiAl + 1 at percent Ta and NiAl + 1 at percent Nb alloys exhibit a stress exponent of 5 characteristic of climb controlled dislocation creep. In slower strain rate regime diffusional creep becomes important. The two phase alloys containing 2 to 5 at percent Ta and 2 at percent Nb show considerable improvement over binary NiAl and single phase alloys. Loose dislocation networks and tangles stabilized by the precipitates were found in the as crept microstructure. The cast alloy which has larger grains and a distribution of fine precipitates shows the maximum improvement over binary NiAl.
NASA Astrophysics Data System (ADS)
von Rohr, Fabian O.; Cava, Robert J.
2018-03-01
High-entropy alloys (HEAs) are a new class of materials constructed from multiple principal elements statistically arranged on simple crystallographic lattices. Due to the large amount of disorder present, they are excellent model systems for investigating the properties of materials intermediate between crystalline and amorphous states. Here we report the effects of systematic isoelectronic replacements, using Mo-Y, Mo-Sc, and Cr-Sc mixtures, for the valence electron count 4 and 5 elements in the body-centered cubic (BCC) Ta-Nb-Zr-Hf-Ti high-entropy alloy (HEA) superconductor. We find that the superconducting transition temperature Tc strongly depends on the elemental makeup of the alloy, and not exclusively its electron count. The replacement of niobium or tantalum by an isoelectronic mixture lowers the transition temperature by more than 60%, while the isoelectronic replacement of hafnium, zirconium, or titanium has a limited impact on Tc. We further explore the alloying of aluminium into the nearly optimal electron count [TaNb] 0.67(ZrHfTi) 0.33 HEA superconductor. The electron count dependence of the superconducting Tc for (HEA)Al x is found to be more crystallinelike than for the [TaNb] 1 -x(ZrHfTi) x HEA solid solution. For an aluminum content of x =0.4 the high-entropy stabilization of the simple BCC lattice breaks down. This material crystallizes in the tetragonal β -uranium structure type and superconductivity is not observed above 1.8 K.
NASA Astrophysics Data System (ADS)
Li, Jianqiang; Lu, Caijiang; Xu, Changbao; Zhong, Ming
2015-09-01
This paper develops a simple miniature magnetoelectric (ME) laminate FeCuNbSiB/PZT-stack made up of magnetostrictive Fe73.5Cu1Nb3Si13.5B9 (FeCuNbSiB) foils and piezoelectric Pb(Zr, Ti)O3 (PZT) multilayer stack vibrator. Resonant ME interactions of FeCuNbSiB/PZT-stack with different layers of FeCuNbSiB foil (L) are investigated in detail. The experimental results show that the ME voltage coefficient reaches maximum value of 141.5 (V/cm Oe) for FeCuNbSiB/PZT-stack with L = 6. The AC-magnetic sensitivities can reach 524.29 mV/Oe and 1.8 mV/Oe under resonance 91.6 kHz and off-resonance 1 kHz, respectively. The FeCuNbSiB/PZT-stack can distinguish small dc-magnetic field of ˜9 nT. The results indicate that the proposed ME composites are very promising for the cheap room-temperature magnetic field sensing technology.
Differences in chemical doping matter: Superconductivity in Ti 1-xTa xSe 2 but not in Ti 1-xNb xSe 2
Luo, Huixia; Zhu, Yimei; Xie, Weiwei; ...
2016-02-21
We report that 1T-TiSe 2, an archetypical layered transition metal dichalcogenide, becomes superconducting when Ta is substituted for Ti but not when Nb is substituted for Ti. This is unexpected because Nb and Ta should be chemically equivalent electron donors. Superconductivity emerges near x = 0.02 for Ti 1–xTa xSe 2, while, for Ti 1–xNb xSe 2, no superconducting transitions are observed above 0.4 K. The equivalent chemical nature of the dopants is confirmed by X-ray photoelectron spectroscopy. ARPES and Raman scattering studies show similarities and differences between the two systems, but the fundamental reasons why the Nb and Tamore » dopants yield such different behavior are unknown. We present a comparison of the electronic phase diagrams of many electron-doped 1T-TiSe 2 systems, showing that they behave quite differently, which may have broad implications in the search for new superconductors. Here, we propose that superconducting Ti 0.8Ta 0.2Se 2 will be suitable for devices and other studies based on exfoliated crystal flakes.« less
CuTaS 3 : Intermetal d–d Transitions Enable High Solar Absorption
DOE Office of Scientific and Technical Information (OSTI.GOV)
Heo, Jaeseok; Yu, Liping; Altschul, Emmeline
To realize the fundamental limits of photovoltaic device efficiency, solar absorbers must exhibit strong absorption and abrupt absorption onsets. Ideally, onsets to maximum absorption (a > 105 cm-1) occur over a few tenths of an electronvolt. First-principles calculations predict CuTaS3 represents a potentially new class of materials with such absorption characteristics. Narrow metallic d bands in both the initial and final states present high joint densities of states and, therefore, strong absorption. Specifically, a mixture of metal d (Cu1+, d10) and S p characterizes states near the valence band maximum, and metal d (Ta5+, d0) dominates near the conduction bandmore » minimum. Optical absorption measurements on thin films confirm the abrupt onset to strong absorption a > 105 cm-1 at Eg + 0.4 eV (Eg = 1.0 eV). Theoretical CuTaS3 solar cell efficiency is predicted to be 28% for a 300 nm film based on the metric of spectroscopic limited maximum efficiency, which exceeds that of CuInSe2. This sulfide may offer new opportunities to discover and develop a new class of mixed d-element solar absorbers.« less
NASA Astrophysics Data System (ADS)
Huang, Mian; Shoji, Mao; Shen, Yang; Nan, Ce-Wen; Munakata, Hirokazu; Kanamura, Kiyoshi
2014-09-01
Li7La3Zr2O12 (LLZ) solid electrolytes with Zr site partially substituted by Ta and Nb elements were prepared via the conventional solid-state reaction. All the compositions could lead to the cubic garnet-type structure after sintering at 1150 °C. The use of γ-Al2O3 as a sintering aid in the preparation of doped LLZ was studied. It was shown that Al could help to improve the micro-structure for Nb doping, but not necessary for Ta doping. The Ta and Nb doping enhanced the ionic conductivity at 25 °C to 4.09 × 10-4 S cm-1 and 4.50 × 10-4 S cm-1, respectively. A conductivity as high as 1.23 × 10-3 S cm-1 was obtained when measured at 50 °C in air for the Nb-doped LLZ. All-solid-state batteries with LLZTa and LLZNb solid electrolytes were assembled and tested. The cyclic voltammetry (CV) measurement indicated the successful working of the batteries.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tachikawa, K.; Tsuyuki, T.; Hayashi, Y.
Sn-Ta based alloy buttons of different compositions were prepared by the melt diffusion process among constituent metal powders, and then pressed into plates. Meanwhile Sn-Ti based alloy plates were sliced from the melt and cast ingot. Resulting Sn-based alloy plates were rolled into thin sheets. The Sn-based alloy sheet was laminated with a Nb sheet, and wound into a Jelly Roll (JR) composite. The composite was encased in a sheath, and fabricated into a thin wire followed by the heat treatment. The application of hydrostatic extrusion is useful at the initial stage of the fabrication. The JR wires using Sn-Tamore » and Sn-Ti based alloy sheets show a non-Cu J{sub c} of {approx}250 A/mm{sup 2} and {approx}150 A/mm{sup 2} at 20 T and 22 T, respectively, at 4.2 K. It has been found that the Nb impregnates into the Sn-based alloy layers during the reaction, and Nb{sub 3}Sn layers are synthesized by the mutual diffusion between the Nb sheet and the Sn-based alloy sheet without formation of voids. Sn-Ti based alloy sheets are attractive due to their easiness of mass production. Structure and high-field performance of JR processed Nb{sub 3}Sn wires prepared from Sn-based alloy sheets with different compositions are compared in this article.« less
Yao, Hongwei; Qiao, Jun -Wei; Gao, Michael; ...
2016-05-19
Guided by CALPHAD (Calculation of Phase Diagrams) modeling, the refractory medium-entropy alloy MoNbTaV was synthesized by vacuum arc melting under a high-purity argon atmosphere. A body-centered cubic solid solution phase was experimentally confirmed in the as-cast ingot using X-ray diffraction and scanning electron microscopy. The measured lattice parameter of the alloy (3.208 Å) obeys the rule of mixtures (ROM), but the Vickers microhardness (4.95 GPa) and the yield strength (1.5 GPa) are about 4.5 and 4.6 times those estimated from the ROM, respectively. Using a simple model on solid solution strengthening predicts a yield strength of approximately 1.5 GPa. Inmore » conclusion, thermodynamic analysis shows that the total entropy of the alloy is more than three times the configurational entropy at room temperature, and the entropy of mixing exhibits a small negative departure from ideal mixing.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Consiglio, S.; Dey, S.; Yu, K.
2016-01-01
Ultrathin TaN and Ta 1-xAl xN y films with x = 0.21 to 0.88 were deposited by atomic layer deposition (ALD) and evaluated for Cu diffusion barrier effectiveness compared to physical vapor deposition (PVD) grown TaN. Cu diffusion barrier effectiveness was investigated using in-situ ramp anneal synchrotron X-ray diffraction (XRD) on Cu/1.8 nm barrier/Si stacks. A Kissinger-like analysis was used to assess the kinetics of Cu 3Si formation and determine the effective activation energy (E a) for Cu silicidation. Compared to the stack with a PVD TaN barrier, the stacks with the ALD films exhibited a higher crystallization temperature (Tmore » c) for Cu silicidation. The Ea values of Cu 3Si formation for stacks with the ALD films were close to the reported value for grain boundary diffusion of Cu whereas the Ea of Cu 3Si formation for the stack with PVD TaN is closer to the reported value for lattice diffusion. For 3 nm films, grazing incidence in-plane XRD showed evidence of nanocrystallites in an amorphous matrix with broad peaks corresponding to high density cubic phase for the ALD grown films and lower density hexagonal phase for the PVD grown film further elucidating the difference in initial failure mechanisms due to differences in barrier crystallinity and associated phase.« less
NASA Astrophysics Data System (ADS)
Ding, Yi; Wang, Yanli; Ni, Jun; Shi, Lin; Shi, Siqi; Tang, Weihua
2011-05-01
Using first principles calculations, we investigate the structural, vibrational and electronic structures of the monolayer graphene-like transition-metal dichalcogenide (MX 2) sheets. We find the lattice parameters and stabilities of the MX 2 sheets are mainly determined by the chalcogen atoms, while the electronic properties depend on the metal atoms. The NbS 2 and TaS 2 sheets have comparable energetic stabilities to the synthesized MoS 2 and WS 2 ones. The molybdenum and tungsten dichalcogenide (MoX 2 and WX 2) sheets have similar lattice parameters, vibrational modes, and electronic structures. These analogies also exist between the niobium and tantalum dichalcogenide (NbX 2 and TaX 2) sheets. However, the NbX 2 and TaX 2 sheets are metals, while the MoX 2 and WX 2 ones are semiconductors with direct-band gaps. When the Nb and Ta atoms are doped into the MoS 2 and WS 2 sheets, a semiconductor-to-metal transition occurs. Comparing to the bulk compounds, these monolayer sheets have similar structural parameters and properties, but their vibrational and electronic properties are varied and have special characteristics. Our results suggest that the graphene-like MX 2 sheets have potential applications in nano-electronics and nano-devices.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Biswal, Mamata, E-mail: Mamata.Biswal-Susanta_Kumar_Nayak.Etu@univ-lemans.fr; Body, Monique, E-mail: monique.body@univ-lemans.fr; Legein, Christophe, E-mail: christophe.legein@univ-lemans.fr
2013-11-15
The {sup 19}F isotropic chemical shifts (δ{sub iso}) of two isomorphic compounds, NbF{sub 5} and TaF{sub 5}, which involve six nonequivalent fluorine sites, have been experimentally determined from the reconstruction of 1D {sup 19}F MAS NMR spectra. In parallel, the corresponding {sup 19}F chemical shielding tensors have been calculated using the GIPAW method for both experimental and DFT-optimized structures. Furthermore, the [M{sub 4}F{sub 20}] units of NbF{sub 5} and TaF{sub 5} being held together by van der Waals interactions, the relevance of Grimme corrections to the DFT optimization processes has been evaluated. However, the semi-empirical dispersion correction term introduced bymore » such a method does not show any significant improvement. Nonetheless, a complete and convincing assignment of the {sup 19}F NMR lines of NbF{sub 5} and TaF{sub 5} is obtained, ensured by the linearity between experimental {sup 19}F δ{sub iso} values and calculated {sup 19}F isotropic chemical shielding σ{sub iso} values. The effects of the geometry optimizations have been carefully analyzed, confirming among other matters, the inaccuracy of the experimental structure of NbF{sub 5}. The relationships between the fluorine chemical shifts, the nature of the fluorine atoms (bridging or terminal), the position of the terminal ones (opposite or perpendicular to the bridging ones), the fluorine charges, the ionicity and the length of the M–F bonds have been established. Additionally, for three of the {sup 19}F NMR lines of NbF{sub 5}, distorted multiplets, arising from {sup 1}J-coupling and residual dipolar coupling between the {sup 19}F and {sup 93}Nb nuclei, were simulated yielding to values of {sup 93}Nb–{sup 19}F {sup 1}J-coupling for the corresponding fluorine sites. - Graphical abstract: The complete assignment of the {sup 19}F NMR lines of NbF{sub 5} and TaF{sub 5} allow establishing relationships between the {sup 19}F δ{sub iso} values, the nature of the fluorine
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bayot, Daisy; Degand, Matthieu; Devillers, Michel
2005-09-15
New water-soluble bimetallic peroxo complexes of niobium{sup V} and/or tantalum{sup V} with high-denticity polyaminocarboxylate ligands have been prepared, characterized from the spectroscopic point of view, and used as molecular precursors for Nb-Ta mixed oxides. Four new homobimetallic complexes (gu){sub 3}[Nb{sub 2}(O{sub 2}){sub 4}(dtpaO{sub 3})].3H{sub 2}O 1 (gu){sub 3}[Ta{sub 2}(O{sub 2}){sub 4}(dtpaO{sub 3})].5H{sub 2}O 2 (gu){sub 3}[Nb{sub 2}(O{sub 2}){sub 4}(HtthaO{sub 4})].2H{sub 2}O 4 and (gu){sub 3}[Ta{sub 2}(O{sub 2}){sub 4}(HtthaO{sub 4})].3H{sub 2}O 5 and the corresponding heterometallic complexes (gu){sub 3}[NbTa(O{sub 2}){sub 4}(dtpaO{sub 3})].2.5H{sub 2}O 3 and (gu){sub 3}[NbTa(O{sub 2}){sub 4}(HtthaO{sub 4)}].2H{sub 2}O 6 have been obtained. In these compounds, the in situmore » oxidation of the nitrogen atoms of the PAC ligands into N-oxide groups has been evidenced by IR spectroscopy and mass spectrometry. The thermal treatment of the homonuclear complexes in air at 700 or 800 deg. C, depending on the Ta content, provided Nb{sub 2}O{sub 5} or Ta{sub 2}O{sub 5} while the heteronuclear compounds led to the solid solution TaNbO{sub 5}. BET and SEM measurements have been carried out and comparison of the morphology of the samples prepared from homo- and heterometallic precursors is discussed.« less
Liu, Xing; Wang, Xuefeng; Wang, Qiang; Andrews, Lester
2013-06-28
Reactions of laser-ablated V, Nb and Ta atoms with SO2 in excess argon during condensation gave new absorptions in the M=O stretching region, which were assigned to metal sulfide oxides SMO2 and anions SMO2(-) (M = V, Nb, Ta). The metal oxide complex OV(η(2)-SO) was also identified through the V=O and the characteristic side-on coordinated S-O stretching modes. The assignments of major vibrational modes were confirmed by appropriate S(18)O2 and (34)SO2 isotopic shifts, and density functional frequency calculations. DFT calculations were employed to study the behavior of reactions of Group V bare metal atoms with SO2, and a representative profile was derived which not only showed the preferred coordinating fashion of metal atoms but also tracked the path of S-O bond activation. The η(2)-O,O' bridge coordinated complexes are preferred with energy decreases of ca. 50 kcal mol(-1) for all three metals, which facilitate the activation of two S-O bonds in succession and finally direct the reaction to the most stable molecules SMO2 (M = V, Nb, Ta) along the potential energy surface (PES). Finally the SMO2 molecules capture electrons to give anions SMO2(-) with about 3.6 eV electron affinities based on DFT calculations.
Heald, Steve M; Tarantini, Chiara; Lee, Peter J; Brown, Michael D; Sung, ZuHawn; Ghosh, Arup K; Larbalestier, David C
2018-03-19
To meet critical current density, J c , targets for the Future Circular Collider (FCC), the planned replacement for the Large Hadron Collider (LHC), the high field performance of Nb 3 Sn must be improved, but champion J c values have remained static for the last 10 years. Making the A15 phase stoichiometric and enhancing the upper critical field H c2 by Ti or Ta dopants are the standard strategies for enhancing high field performance but detailed recent studies show that even the best modern wires have broad composition ranges. To assess whether further improvement might be possible, we employed Extended X-ray Absorption Fine Structure (EXAFS) to determine the lattice site location of dopants in modern high-performance Nb 3 Sn strands with J c values amongst the best so far achieved. Although Ti and Ta primarily occupy the Nb sites in the A15 structure, we also find significant Ta occupancy on the Sn site. These findings indicate that the best performing Ti-doped stand is strongly sub-stoichiometric in Sn and that antisite disorder likely explains its high average H c2 behavior. These new results suggest an important role for dopant and antisite disorder in minimizing superconducting property distributions and maximizing high field J c properties.
Heald, Steve M.; Tarantini, Chiara; Lee, Peter J.; ...
2018-03-19
To meet critical current density, Jc, targets for the Future Circular Collider (FCC), the planned replacement for the Large Hadron Collider (LHC), the high field performance of Nb 3Sn must be improved, but champion J c values have remained static for the last 10 years. Making the A15 phase stoichiometric and enhancing the upper critical field H c2 by Ti or Ta dopants are the standard strategies for enhancing high field performance but detailed recent studies show that even the best modern wires have broad composition ranges. To assess whether further improvement might be possible, we employed EXAFS to determinemore » the lattice site location of dopants in modern high-performance Nb 3Sn strands with J c values amongst the best so far achieved. Although Ti and Ta primarily occupy the Nb sites in the A15 structure, we also find significant Ta occupancy on the Sn site. These findings indicate that the best performing Ti-doped stand is strongly sub-stoichiometric in Sn and that antisite disorder likely explains its high average H c2 behavior. These new results suggest an important role for dopant and antisite disorder in minimizing superconducting property distributions and maximizing high field J c properties.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Heald, Steve M.; Tarantini, Chiara; Lee, Peter J.
To meet critical current density, Jc, targets for the Future Circular Collider (FCC), the planned replacement for the Large Hadron Collider (LHC), the high field performance of Nb 3Sn must be improved, but champion J c values have remained static for the last 10 years. Making the A15 phase stoichiometric and enhancing the upper critical field H c2 by Ti or Ta dopants are the standard strategies for enhancing high field performance but detailed recent studies show that even the best modern wires have broad composition ranges. To assess whether further improvement might be possible, we employed EXAFS to determinemore » the lattice site location of dopants in modern high-performance Nb 3Sn strands with J c values amongst the best so far achieved. Although Ti and Ta primarily occupy the Nb sites in the A15 structure, we also find significant Ta occupancy on the Sn site. These findings indicate that the best performing Ti-doped stand is strongly sub-stoichiometric in Sn and that antisite disorder likely explains its high average H c2 behavior. These new results suggest an important role for dopant and antisite disorder in minimizing superconducting property distributions and maximizing high field J c properties.« less
Deformation behavior of Nb nanowires in TiNiCu shape memory alloy matrix
Jiang, Daqiang; Liu, Yinong; Yu, Cun; ...
2015-08-18
An in-situ nanowire Nb/TiNiCu composite is fabricated based on the concept of strain under-matching between a phase transforming matrix and high strength nanomaterials. The deformation behavior of the Nb nanowire was investigated by means of in-situ synchrotron X-ray diffraction when the TiNiCu matrix underwent different deformation modes. The maximum lattice strain of the Nb nanowires was about 5% when the matrix deformed via martensitic transformation or 1% when deforming plastically by dislocation slip. As a result, the Nb nanowires showed a lattice strain of 3.5% when the matrix deformed in the mixed mode of plastic deformation and martensitic transformation, whichmore » means that the occurrence of plastic deformation does not impede load transfer from the matrix to the nanowires.« less
S-Shaped Suppression of the Superconducting Transition Temperature in Cu-Intercalated NbSe 2
Luo, Huixia; Strychalska-Nowak, Judyta; Li, Jun; ...
2017-03-21
2H-NbSe 2 is the prototype and most frequently studied of the well-known transition metal dichalcogenide (TMDC) superconductors. As 2H-NbSe 2 is widely acknowledged as a conventional superconductor, its transition temperature to the superconducting state (T c) is 7.3 K, a T c that is substantially higher than those seen for the majority of TMDCs, where Tc values between 2 and 4 K are the norm. We report the intercalation of Cu into 2H-NbSe 2 to make Cu xNbSe 2. As is typically found when chemically altering an optimal superconductor, T c decreases with an increase in x, but the waymore » that Tc is suppressed in this case is unusual: an S-shaped character is observed, with an inflection point near x = 0.03 and, at higher x values, a leveling off of the T c near 3 K, down to the usual value for a layered TMDC. Electronic characterization reveals corresponding S-like behavior for many of the parameters of the materials that influence T c. In order to illustrate its character, the superconducting phase diagram for Cu xNbSe 2 is contrasted with those of FexNbSe 2 and NbSe 2–xS x.« less
Saud, Safaa N; Hamzah, E; Bakhsheshi-Rad, H R; Abubakar, T
2017-01-01
The influence of Ta additions on the microstructure and properties of Cu-Al-Ni shape memory alloys was investigated in this paper. The addition of Ta significantly affects the green and porosity densities; the minimum percentage of porosity was observed with the modified prealloyed Cu-Al-Ni-2.0 wt.% Ta. The phase transformation temperatures were shifted towards the highest values after Ta was added. Based on the damping capacity results, the alloy of Cu-Al-Ni-3.0 wt.% Ta has very high internal friction with the maximum equivalent internal friction value twice as high as that of the prealloyed Cu-Al-Ni SMA. Moreover, the prealloyed Cu-Al-Ni SMAs with the addition of 2.0 wt.% Ta exhibited the highest shape recovery ratio in the first cycle (i.e., 100% recovery), and when the number of cycles is increased, this ratio tends to decrease. On the other hand, the modified alloys with 1.0 and 3.0 wt.% Ta implied a linear increment in the shape recovery ratio with increasing number of cycles. Polarization tests in NaCl solution showed that the corrosion resistance of Cu-Al-Ni-Ta SMA improved with escalating Ta concentration as shown by lower corrosion current densities, higher corrosion potential, and formation of stable passive film.
Study on ( n,t) Reactions of Zr, Nb and Ta Nuclei
NASA Astrophysics Data System (ADS)
Tel, E.; Yiğit, M.; Tanır, G.
2012-04-01
The world faces serious energy shortages in the near future. To meet the world energy demand, the nuclear fusion with safety, environmentally acceptability and economic is the best suited. Fusion is attractive as an energy source because of the virtually inexhaustible supply of fuel, the promise of minimal adverse environmental impact, and its inherent safety. Fusion will not produce CO2 or SO2 and thus will not contribute to global warming or acid rain. Furthermore, there are not radioactive nuclear waste problems in the fusion reactors. Although there have been significant research and development studies on the inertial and magnetic fusion reactor technology, there is still a long way to go to penetrate commercial fusion reactors to the energy market. Because, tritium self-sufficiency must be maintained for a commercial power plant. For self-sustaining (D-T) fusion driver tritium breeding ratio should be greater than 1.05. And also, the success of fusion power system is dependent on performance of the first wall, blanket or divertor systems. So, the performance of structural materials for fusion power systems, understanding nuclear properties systematic and working out of ( n,t) reaction cross sections are very important. Zirconium (Zr), Niobium (Nb) and Tantal (Ta) containing alloys are important structural materials for fusion reactors, accelerator-driven systems, and many other fields. In this study, ( n,t) reactions for some structural fusion materials such as 88,90,92,94,96Zr, 93,94,95Nb and 179,181Ta have been investigated. The calculated results are discussed andcompared with the experimental data taken from the literature.
A model for phase evolution and volume expansion in tube type Nb3Sn conductors
NASA Astrophysics Data System (ADS)
Xu, X.; Sumption, M. D.; Collings, E. W.
2013-12-01
In this work, an analytic model for phase formation and volume expansion during heat treatment in tube type Nb3Sn strands is presented. Tube type Nb3Sn conductors consist of Nb or Nb-Ta alloy tube with a simple Cu/Sn binary metal insert to form the basic subelement (filament). A number of these elements, each with an outer Cu jacket, are restacked to form a multifilamentary strand. The present tube type conductors, with 4.2 K, 12 T non-Cu critical current density (Jc) in the 2000-2500 A mm-2 range and effective subelement diameters (deff) in the 12-36 μm range, are of interest for a number of applications. During the reaction of typical tube type strands, the Sn-Cu becomes molten and reacts with the Nb tube first to form NbSn2, then Nb6Sn5. At later times in the reaction sequence, all of the NbSn2 and Nb6Sn5 is converted to Nb3Sn. Some of the Nb3Sn is formed by a Nb-Sn reaction and has a fine grain (FG) structure, while some is converted from Nb6Sn5, which results in a coarse grain (CG) region. The fractions of FG and CG A15 are important in determining the final conductor properties. In this work we develop an analytic model to predict the radial extents of the various phases, and in particular the final FG and CG fractions based on the starting Nb, Cu, and Sn amounts in the subelements. The model is then compared to experimental results and seen to give reasonable agreement. By virtue of this model we outline an approach to minimize the CG regions in tube type and PIT strands and maximize the final FG area fractions. Furthermore, the volume change during the various reaction stages was also studied. It is proposed that the Sn content in the Cu-Sn alloy has a crucial influence on the radial expansion.
Internal friction peaks observed in explosively deformed polycrystalline Mo, Nb, and Cu
NASA Technical Reports Server (NTRS)
Rieu, G. E.; Grimes, H. H.; Romain, J. P.; Defouquet, J.
1974-01-01
Explosive deformation (50 kbar range) induced, in Cu, Mo and Nb, internal friction peaks identical to those observed after large normal deformation. The variation of the peaks with pressure for Mo and Nb lead to an explanation of these processes in terms of double kink generation in screw and edge dislocations.
NASA Astrophysics Data System (ADS)
Zhang, Kezhao; Lei, Zhenglong; Chen, Yanbin; Liu, Ming; Liu, Yang
2015-10-01
Laser-TIG-hybrid-welding (TIG - tungsten inert gas) process was successfully applied to investigate the microstructure and tensile properties of Ti-22Al-27Nb/TA15 dissimilar joints. The HAZ of the arc zone in Ti-22Al-27Nb was characterized by three different regions: single B2, B2+α2 and B2+α2+O, while the single B2 phase region was absent in the HAZ of the laser zone. As for the HAZ in TA15 alloy, the microstructure mainly contained acicular α‧ martensites near the fusion line and partially remained the lamellar structure near the base metal. The fusion zone consisted of B2 phase due to the relatively high content of β phase stabilizing elements and fast cooling rate during the welding process. The tensile strength of the welds was higher than that of TA15 alloy because of the fully B2 microstructure in the fusion zone, and the fracture preferentially occurred on the base metal of TA15 alloy during the tensile tests at room temperature and 650 °C.
Glass Formation, Chemical Properties and Surface Analysis of Cu-Based Bulk Metallic Glasses
Qin, Chunling; Zhao, Weimin; Inoue, Akihisa
2011-01-01
This paper reviews the influence of alloying elements Mo, Nb, Ta and Ni on glass formation and corrosion resistance of Cu-based bulk metallic glasses (BMGs). In order to obtain basic knowledge for application to the industry, corrosion resistance of the Cu–Hf–Ti–(Mo, Nb, Ta, Ni) and Cu–Zr–Ag–Al–(Nb) bulk glassy alloy systems in various solutions are reported in this work. Moreover, X-ray photoelectron spectroscopy (XPS) analysis is performed to clarify the surface-related chemical characteristics of the alloy before and after immersion in the solutions; this has lead to a better understanding of the correlation between the surface composition and the corrosion resistance. PMID:21731441
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tachikawa, K.; Sasaki, H.; Yamaguchi, M.
Tightly consolidated Sn-Ta and Sn-B based alloys have been prepared by the reaction among constituent metal powders at 750-775 deg. C. Sn-Ta and Sn-B based alloys exhibit quite similar microstructures. A small amount of Ti addition seems to improve the bonding between Ta or B particles and Sn matrix. Nb{sub 3}Sn wires have been fabricated by the Jelly Roll (JR) and Multi-rod (MR) process using Sn based alloy sheet and rod, respectively. Thick Nb{sub 3}Sn layers with nearly stoichiometric A15 composition are synthesized through a new diffusion mechanism between Nb and Sn based alloy. B{sub c2}(4.2 K)'s of 26.9 Tmore » (mid) and 26.5 T (mid) have been obtained in the JR and MR processed wires, respectively, using Sn-Ta based alloy. These wires exhibit enough non-Cu J{sub c} to be used above 20 T and 4.2 K. T{sub c} of JR wires using Sn-B based sheet is 18.14 K (offset) which is slightly higher than that of wires using Sn-Ta based sheet.« less
NASA Astrophysics Data System (ADS)
Ertürk, Esra; Gürel, Tanju
2018-05-01
We present an ab initio study of structural, elastic and vibrational properties of transition-metal disilicides NbSi2 and TaSi2. The calculations have been carried out within the density-functional theory and linear-response formalism using norm-conserving pseudopotentials and a plane-wave basis. The calculated lattice parameters, bulk moduli, and elastic constants agree well with previous theoretical and experimental results. The calculated phonon frequencies at the Brillouin zone center are in good agreement with the reported Raman spectra and provide reference values for the future infrared and neutron phonon measurements. Phonon dispersion relations, mode Grüneisen parameters, and total and partial phonon density of states are also discussed. Mode Grüneisen parameters of NbSi2 and TaSi2 at Brillouin zone center show similar trends and all values are found to be positive. From phonon dispersion relations and phonon density of states, we have found a gap around 200 cm-1 for TaSi2, where the frequencies below this gap mainly belong to Ta vibrations and frequencies above the gap is mainly related with Si vibrations. In the case of NbSi2, there is no such gap and both Nb and Si atoms contribute to the phonon density of states in an energy range of 150-270 cm-1.
Investigation of static properties of medical alloys Ti-(20-30)Nb-(10-13)Ta-5Zr
NASA Astrophysics Data System (ADS)
Sergienko, K. V.; Sevost’yanov, M. A.; Konushkin, S. V.; Nasakina, E. O.; Baikin, A. S.; Shatova, L. A.; Kolmakov, A. G.
2018-04-01
In the work, static properties of TiNbTaZr titanium alloy were carried out. The search for a NiTi alloy replacement is necessary for medical products to eliminate the negative effects of nickel on the body. Conclusions are drawn about the adequacy of the mechanical properties of the test alloy for use in stent implants.
NASA Astrophysics Data System (ADS)
Müntener, Othmar; Ewing, Tanya; Baumgartner, Lukas P.; Manzini, Mélina; Roux, Thibaud; Pellaud, Pierre; Allemann, Luc
2018-05-01
The subduction system in southern Patagonia provides direct evidence for the variability of the position of an active continental arc with respect to the subducting plate through time, but the consequences on the arc magmatic record are less well studied. Here we present a geochemical and geochronological study on small plutons and dykes from the upper crust of the southern Patagonian Andes at 51°S, which formed as a result of the subduction of the Nazca and Antarctic plates beneath the South American continent. In situ U-Pb geochronology on zircons and bulk rock geochemical data of plutonic and dyke rocks are used to constrain the magmatic evolution of the retro-arc over the last 30 Ma. We demonstrate that these combined U-Pb and geochemical data for magmatic rocks track the temporal and spatial migration of the active arc, and associated retro-arc magmatism. Our dataset indicates that the rear-arc area is characterized by small volumes of alkaline basaltic magmas at 29-30 Ma that are characterized by low La/Nb and Th/Nb ratios with negligible arc signatures. Subsequent progressive eastward migration of the active arc culminated with the emplacement of calc-alkaline plutons and dikes 17-16 Ma with elevated La/Nb and Th/Nb ratios and typical subduction signatures constraining the easternmost position of the southern Patagonian batholith at that time. Geochemical data on the post-16 Ma igneous rocks including the Torres del Paine laccolith indicate an evolution to transitional K-rich calc-alkaline magmatism at 12.5 ± 0.2 Ma. We show that trace element ratios such as Nb/Ta and Dy/Yb systematically decrease with increasing SiO2, for both the 17-16 Ma calc-alkaline and the 12-13 Ma K-rich transitional magmatism. In contrast, Th/Nb and La/Nb monitor the changes in the source composition of these magmas. We suggest that the transition from the common calc-alkaline to K-rich transitional magmatism involves a change in the source component, while the trace element ratios
Vacuum Plasma Spray of CuCrNb Alloy for Advanced Liquid - Fuel Combustion Chambers
NASA Technical Reports Server (NTRS)
Zimmerman, Frank
2000-01-01
The copper-8 atomic percent chromium-4 atomic percent niobium (CuCrNb) alloy was developed by Glenn Research Center (formally Lewis Research Center) as an improved alloy for combustion chamber liners. In comparison to NARloy-Z, the baseline (as in Space Shuttle Main Engine) alloy for such liners, CuCrNb demonstrates mechanical and thermophysical properties equivalent to NARloy-Z, but at temperatures 100 C to 150 C (180 F to 270 F) higher. Anticipated materials related benefits include decreasing the thrust cell liner weight 5% to 20%, increasing the service life at least two fold over current combustion chamber design, and increasing the safety margins available to designers. By adding an oxidation and thermal barrier coating to the liner, the combustion chamber can operate at even higher temperatures. For all these benefits, however, this alloy cannot be formed using conventional casting and forging methods because of the levels of chromium and niobium, which exceed their solubility limit in copper. Until recently, the only forming process that maintains the required microstructure of CrNb intermetallics is powder metallurgy formation of a billet from powder stock, followed by extrusion. This severely limits its usefulness in structural applications, particularly the complex shapes required for combustion chamber liners. Vacuum plasma spray (VPS) has been demonstrated as a method to form structural articles including small combustion chambers from the CuCrNb alloy. In addition, an oxidation and thermal barrier layer can be formed integrally on the hot wall of the liner that improve performance and extend service life. This paper discusses the metallurgy and thermomechanical properties of VPS formed CuCrNb versus the baseline powder metallurgy process, and the manufacturing of small combustion chamber liners at Marshall Space Flight Center using the VPS process. The benefits to advanced propulsion initiatives of using VPS to fabricate combustion chamber liners
NASA Astrophysics Data System (ADS)
Shur, V. Ya.; Zelenovskiy, P. S.; Nebogatikov, M. S.; Alikin, D. O.; Sarmanova, M. F.; Ievlev, A. V.; Mingaliev, E. A.; Kuznetsov, D. K.
2011-09-01
Piezoelectric force microscopy (PFM) and Raman confocal microscopy have been used for studying the nanodomain structures in congruent LiNbO3 and LiTaO3 crystals. The high-resolution nanodomain images at the surface were observed via PFM. Raman confocal microscopy has been used for the visualization of the nanodomain structures in the bulk via layer-by-layer scanning at various depths. It has been shown experimentally that the nanodomain images obtained at different depths correspond to domain images at the polar surface obtained at different moments: the deeper the nanodomain, the earlier the moment. Such a correlation was applied for the reconstruction of the evolution of the domain structures with charged domain walls. The studied domain structures were obtained in highly non-equilibrium switching conditions realized in LiNbO3 and LiTaO3 via pulse laser irradiation and the electric field poling of LiNbO3, with the surface layer modified by ion implantation. The revealed main stages of the domain structure evolution allow the authors to demonstrate that all geometrically different nanodomain structures observed in LiNbO3 and LiTaO3 appeared as a result of discrete switching.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Gong, Dewei, E-mail: deweigong@hit.edu.cn; Liang, Yonggan; Ou, Wenjing
2016-03-15
Highlights: • An abnormal laser deflection phenomenon in KTN crystals is demonstrated. • The origin of the deflection phenomenon was discussed in detail. • By exploiting the deflection, we have designed an optical switch. • The g{sub 11}/g{sub 12} ratio (>10), wavelength range(491–1064 nm), and I–V characteristics (0–800 V) were studied. • The extinction ratio (0–1) and influence of the photorefractive effect were studied. - Abstract: By exploiting the Kerr effect and the gradient of the composition ratio m, Nb/(Ta + Nb) in mol%, in KTa{sub 1−x}Nb{sub x}O{sub 3} (KTN) crystals, we have designed an electric-field-controlled optical switch. The operatingmore » principle of the switch is described. During the switching process, the incident linearly polarized beam is orthogonally deflected as it propagates through the crystals. The g{sub 11}/g{sub 12} ratio (>10), wavelength range (491–1064 nm), I–V characteristics (0–800 V), extinction ratio (0–1), gradient of Curie temperature (21–22.9 °C), response time that may be in ns order, and influence of the photorefractive effect were studied. The results show that our design provides a new kind of optical switch with macro scale (mm order), adjustable extinction ratio (0–1), wide wavelength range (491–1064 nm).« less
Temperature evolution of the charge and spin transport in Cu/Nb interface
NASA Astrophysics Data System (ADS)
Ishitaki, Masayuki; Ohnishi, Kohei; Kimura, Takashi
2018-06-01
The transport properties for the charge and spin currents in a normal-metal/superconductor interface have been investigated by using a nano-pillar based lateral spin valve. Owing to the efficient reduction of the Joule heating, we were able to observe the temperature and bias-current dependences of the spin transport in the Cu/Nb bilayer system. From the temperature dependence of the spin signal, the superconducting gap of the Nb in contact with Cu was found to open gradually with decreasing the temperature. We also found that the inhomogeneous superconducting property produces the significant temperature and field dependences of the background signal in the nonlocal measurement around the transition temperature.
Paria Sena, Robert; Babaryk, Artem A; Khainakov, Sergiy; Garcia-Granda, Santiago; Slobodyanik, Nikolay S; Van Tendeloo, Gustaaf; Abakumov, Artem M; Hadermann, Joke
2016-01-21
The crystal structure of the K6.4Nb28.2Ta8.1O94 pseudo-tetragonal tungsten bronze-type oxide was determined using a combination of X-ray powder diffraction, neutron diffraction and transmission electron microscopy techniques, including electron diffraction, high angle annular dark field scanning transmission electron microscopy (HAADF-STEM), annular bright field STEM (ABF-STEM) and energy-dispersive X-ray compositional mapping (STEM-EDX). The compound crystallizes in the space group Pbam with unit cell parameters a = 37.468(9) Å, b = 12.493(3) Å, c = 3.95333(15) Å. The structure consists of corner sharing (Nb,Ta)O6 octahedra forming trigonal, tetragonal and pentagonal tunnels. All tetragonal tunnels are occupied by K(+) ions, while 1/3 of the pentagonal tunnels are preferentially occupied by Nb(5+)/Ta(5+) and 2/3 are occupied by K(+) in a regular pattern. A fractional substitution of K(+) in the pentagonal tunnels by Nb(5+)/Ta(5+) is suggested by the analysis of the HAADF-STEM images. In contrast to similar structures, such as K2Nb8O21, also parts of the trigonal tunnels are fractionally occupied by K(+) cations.
Synthesis and characterisation of the uranium pyrochlore betafite [(Ca,U)₂(Ti,Nb,Ta)₂O₇].
McMaster, Scott A; Ram, Rahul; Charalambous, Fiona; Pownceby, Mark I; Tardio, James; Bhargava, Suresh K
2014-09-15
Betafite of composition [(Ca,U)2(Ti,Nb,Ta)2O7] was prepared via a solid state synthesis route. The synthesis was shown to be sensitive to initial reactant ratios, the atmosphere used (oxidising, neutral, reducing) and time. The optimum conditions for the synthesis of betafite were found to be heating the reactants required at 1150°C for 48 h under an inert gas atmosphere. XRD characterisation revealed that the synthesised betafite contained minor impurities. EPMA analysis of a sectioned surface showed very small regions of Ca-free betafite on grain boundaries as well as minor rutile impurities. Some heterogeneity between the Nb:Ta ratio was observed by quantitative EPMA but was generally within the nomenclature requirements stated for betafite. SEM analysis revealed the synthesised betafite was comprised mostly of hexaoctohedral crystals of ∼ 3 μm in diameter. XPS analysis of the sample showed that the uranium in the synthesised betafite was predominately present in the U(5+) oxidation state. A minor amount of U(6+) was also detected which was possibly due to surface oxidation. Copyright © 2014 Elsevier B.V. All rights reserved.
Enhanced magnetoimpedance and field sensitivity in microstructure controlled FeSiCuNbB ribbons
NASA Astrophysics Data System (ADS)
Sahoo, Trilochan; Chandra Mishra, Amaresh; Srinivas, V.; Nath, T. K.; Srinivas, M.; Majumdar, B.
2011-10-01
Fe73.5Si13.5Cu1Nb3B9 and Fe77.2Si11.2Cu0.8Nb3.3B7.5 nanocomposite materials consisting of nanocrystalline phase in an amorphous matrix were obtained by heat-treatment of their precursor amorphous ribbons. The influence of structural modifications induced during the heat-treatment on soft magnetic properties and magnetoimpedance (MI) effect have been studied. The structural investigations on both these ribbons revealed the presence of two phases, fine grained Fe3Si phase and a residual amorphous phase on heat-treatment. The maximum MI ratio obtained in the present study is 95% at f = 4 MHz, for the optimized heat-treated Fe77.2Si11.2Cu0.8Nb3.3B7.5 ribbon. This is ascribed to the increase in magnetic permeability and decrease in coercive force and intrinsic resistivity. Moreover, a maximum magnetic field sensitivity (ξ) of 8.3%/Oe at f = 2.5 MHz is obtained, for the optimized nanocrystalline Fe73.5Si13.5Cu1Nb3B9 ribbon. This suggests that tailoring of the nanocrystalline microstructures induced by optimum heat-treatment conditions can result in obtaining excellent combinations of the magnetic permeability and resistivity. Our results indicate that these Fe-based nanocrystalline materials can be ideally used for low magnetic field and high frequency sensor applications.
NASA Astrophysics Data System (ADS)
Dubinskiy, S.; Brailovski, Vladimir; Prokoshkin, S.; Pushin, V.; Inaekyan, K.; Sheremetyev, V.; Petrzhik, M.; Filonov, M.
2013-09-01
In this work, the ternary Ti-19.7Nb-5.8Ta (at.%) alloy for biomedical applications was studied. The ingot was manufactured by vacuum arc melting with a consumable electrode and then subjected to hot forging. Specimens were cut from the ingot and processed by cold rolling with e = 0.37 of logarithmic thickness reduction and post-deformation annealing (PDA) between 400 and 750 °C (1 h). Selected samples were subjected to aging at 300 °C (10 min to 3 h). The influence of the thermomechanical processing on the alloy's structure, phase composition, and mechanical and functional properties was studied. It was shown that thermomechanical processing leads to the formation of a nanosubgrained structure (polygonized with subgrains below 100 nm) in the 500-600 °C PDA range, which transforms to a recrystallized structure of β-phase when PDA temperature increases. Simultaneously, the phase composition and the β → α″ transformation kinetics vary. It was found that after conventional cold rolling and PDA, Ti-Nb-Ta alloy manifests superelastic and shape memory behaviors. During aging at 300 °C (1 h), an important quantity of randomly scattered equiaxed ω-precipitates forms, which results in improved superelastic cyclic properties. On the other hand, aging at 300 °C (3 h) changes the ω-precipitates' particle morphology from equiaxed to elongated and leads to their coarsening, which negatively affects the superelastic and shape memory functional properties of Ti-Nb-Ta alloy.
NASA Astrophysics Data System (ADS)
Miura-Fujiwara, Eri; Suzuki, Yuu; Ito, Michiko; Yamada, Motoko; Matsutake, Sinpei; Takashima, Seigo; Sato, Hisashi; Watanabe, Yoshimi
2018-01-01
Ti and Ti alloys are widely used for biomedical applications such as artificial joints and dental devices because of their good mechanical properties and biochemical compatibility. However, dental devices made of Ti and Ti alloys do not have the same color as teeth, so they are inferior to ceramics and polymers in terms of aesthetic properties. In a previous study, Ti-29Nb-13Ta-4.6Zr was coated with a white Ti oxide layer by heat treatment to improve its aesthetic properties. Shot-peening is a severe plastic deformation process and can introduce a large shear strain on the peened surface. In this study, the effects of shot-peening and atmospheric-pressure plasma on Ti-29Nb-13Ta-4.6Zr were investigated to form a white layer on the surface for dental applications.
NASA Astrophysics Data System (ADS)
Zou, Zhihuan; Zeng, Fanhao; Wu, Haobo; Liu, Jian; Li, Yi; Gu, Yi; Yuan, Tiechui; Zhang, Fuqin
2017-05-01
In this paper, Ti-25Cu-15Ni (mass ratio) braze alloys were prepared by vacuum arc melting. Additionally, the TA0 pure titanium and TC4 titanium alloy were brazed with the Ti-25Cu-15Ni braze alloy at 960, 980, 1000, 1020, and 1040 °C. The effects of the braze temperature on the tensile strength of the TA0 and TC4 joints and their fracture mechanisms were studied. The maximum tensile strength of the TA0 joints of 219.9 ± 0.1 MPa was achieved at a brazing temperature of 980 °C, and the maximum tensile strength of the TC4 joints of 832.9 ± 0.1 MPa was achieved at the same brazing temperature. These results indicate that their ideal joint strength is comparable. According to the fractography results of the TA0 joints, a mixed fracture morphology is indicated. The TA0 fracture surface is dominated by cleavage fracture with a small contribution from ductile fracture. The TC4 joint fracture arises from cleavage.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sun, R. R.; Qin, X. Y.; Li, L. L.
2012-12-15
The Sr{sub 3}(Ti{sub 0.95}R{sub 0.05}){sub 2}O{sub 7} (R = Ta, Nb, W) polycrystalline compounds were fabricated, and their transport and thermoelectric properties were investigated. The results indicate that at T > 300 K electrical resistivity {rho} for all the doped compounds increases monotonically with temperature, and basically can be described by a relation {rho}{proportional_to}T{sup M} at T > {approx}650 K, with M = 1.39, 1.66, and 1.77 for R = Ta, Nb, and W, respectively, implying that at the high temperatures the acoustic phonon scattering dominates the scattering process. Although the resistivity {rho} of Sr{sub 3}(Ti{sub 0.95}Ta{sub 0.05}){sub 2}O{sub 7}more » exhibits a metallic-like behavior at the temperature as low as 5 K, a transition from metallic state (d{rho}/dT > 0) to semiconductor-like state (d{rho}/dT < 0) was observed at a critical low temperature {approx}41 K and {approx}79 K for R = Nb and W, respectively. At T < {approx}22 K, {approx}57 K, and {approx}80 K, a relation of {sigma}{proportional_to}T{sup 1/2} (here conductivity {sigma} = 1/{rho}) holds for the doped compounds with R = Nb, Ta, and W, respectively, suggesting that at the low temperatures the main transport mechanism is electron-electron interaction due to the presence of disorder induced by the dopants. The thermoelectric figure of merit (ZT) for Ta-doped compound increases more steeply with increasing temperature among the three compounds and reaches 0.066 at 1000 K.« less
NASA Technical Reports Server (NTRS)
Ellis, David L.; Michal, Gary M.
1989-01-01
A series of Cu-based alloys containing 2 to 10 a/o Cr and 1 to 5 a/o Nb were produced by chill block melt spinning (CBMS). The melt spun ribbons were consolidated and hot rolled to sheet to produce a supersaturated Cu-Cr-Nb solid solution from which the high melting point intermetallic compound Cr2Nb could be precipitated to strengthen the Cu matrix. The results show that the materials possess electrical conductivities in excess of 90 percent that of pure Cu at 200 C and above. The strengths of the Cu-Cr-Nb alloys were much greater than Cu, Cu-0.6 Cr, NARloy-A, and NARloy-Z in the as-melt spun condition. The strengths of the consolidated materials were less than Cu-Cr and Cu-Cr-Zr below 500 C and 600 C respectively, but were significantly better above these temperatures. The strengths of the consolidated materials were greater than NARloy-Z, at all temperatures. The GLIDCOP possessed similar strength levels up to 750 C when the strength of the Cu-Cr-Nb alloys begins to degrade. The long term stability of the Cu-Cr-Nb alloys was measured by the microhardness of aged samples and the growth of precipitates. The microhardness measurements indicate that the alloys overage rapidly, but do not suffer much loss in strength between 10 and 100 hours which confirms the results of the electrical resistivity measurements taken during the aging of the alloys at 500 C. The loss in strength from peak strength levels is significant, but the strength remains exceptionally good. Transmission electron microscopy (TEM) of the as-melt spun samples revealed that Cr2Nb precipitates formed in the liquid Cu during the chill block melt spinning, indicating a very strong driving force for the formation of the precipitates. The TEM of the aged and consolidated materials indicates that the precipitates coarsen considerably, but remain in the submicron range.
Fukuda, A; Takemoto, M; Saito, T; Fujibayashi, S; Neo, M; Yamaguchi, S; Kizuki, T; Matsushita, T; Niinomi, M; Kokubo, T; Nakamura, T
2011-03-01
Ti15Zr4Nb4Ta and Ti29Nb13Ta4.6Zr, which do not contain the potentially cytotoxic elements V and Al, represent a new generation of alloys with improved corrosion resistance, mechanical properties, and cytocompatibility. Recently it has become possible for the apatite forming ability of these alloys to be ascertained by treatment with alkali, CaCl2, heat, and water (ACaHW). In order to confirm the actual in vivo bioactivity of commercially pure titanium (cp-Ti) and these alloys after subjecting them to ACaHW treatment at different temperatures, the bone bonding strength of implants made from these materials was evaluated. The failure load between implant and bone was measured for treated and untreated plates at 4, 8, 16, and 26 weeks after implantation in rabbit tibia. The untreated implants showed almost no bonding, whereas all treated implants showed successful bonding by 4 weeks, and the failure load subsequently increased with time. This suggests that a simple and economical ACaHW treatment could successfully be used to impart bone bonding bioactivity to Ti metal and Ti-Zr-Nb-Ta alloys in vivo. In particular, implants heat treated at 700 °C exhibited significantly greater bone bonding strength, as well as augmented in vitro apatite formation, in comparison with those treated at 600 °C. Thus, with this improved bioactive treatment process these advantageous Ti-Zr-Nb-Ta alloys can serve as useful candidates for orthopedic devices. Copyright © 2010 Acta Materialia Inc. Published by Elsevier Ltd. All rights reserved.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tsunekawa, S.; Fukuda, T.; Kimiyama, T.
Crystal structure analyses by TOF neutron powder diffraction are performed for R TaO{sub 4} (R = rare-earth element) and the Ta-O interatomic distances are determined. The relationship between the covalency of A-O bonds (A = Nb and Ta), which show the most shortening upon phase transition, and the transition temperature is discussed for RAO{sub 4} and LiAO{sub 3}, and the parameters of Ta-O covalence are determined.
Magnetic properties of mixed sulfides MUS/sub 3/ (M = V, Cr, Nb, Ta)
DOE Office of Scientific and Technical Information (OSTI.GOV)
Nutsubidze, P.V.; Chechernikov, V.I.; Gracheva, N.V.
1985-08-01
The authors synthesized US/sub 2/ (Beta-US/sub 2/), VS, CrS, NbS, and TaS by the vacuum-thermal method in quartz ampules heated to 1170 degrees K. They homogenized and sintered these samples and remixed and sintered appropriate amounts to form MUS/sub 2/, which crystallizes in a rhombic structure. They studied the magnetic properties of MUS/sub 2/ on a vibration magnetometer in the range 75500 degrees K. The results of their analysis of the magnetic coefficients proved consistent with thermodynamic theory. They present their data in a series of four charts and two tables.
Monolithic Cu-Cr-Nb Alloys for High Temperature, High Heat Flux Applications
NASA Technical Reports Server (NTRS)
Ellis, David L.; Locci, Ivan E.; Michal, Gary M.; Humphrey, Derek M.
1999-01-01
Work during the prior four years of this grant has resulted in significant advances in the development of Cu-8 Cr4 Nb and related Cu-Cr-Nb alloys. The alloys are nearing commercial use in the Reusable Launch Vehicle (RLV) where they are candidate materials for the thrust cell liners of the aerospike engines being developed by Rocketdyne. During the fifth and final year of the grant, it is proposed to complete development of the design level database of mechanical and thermophysical properties and transfer it to NASA Glenn Research Center and Rocketdyne. The database development work will be divided into three main areas: Thermophysical Database Augmentation, Mechanical Testing and Metallography and Fractography. In addition to the database development, work will continue that is focussed on the production of alternatives to the powder metallurgy alloys currently used. Exploration of alternative alloys will be aimed at both the development of lower cost materials and higher performance materials. A key element of this effort will be the use of Thermo-Calc software to survey the solubility behavior of a wide range of alloying elements in a copper matrix. The ultimate goals would be to define suitable alloy compositions and processing routes to produce thin sheets of the material at either a lower cost, or, with improved mechanical and thermal properties compared to the current Cu-Cr-Nb powder metallurgy alloys.
Surface Modification of Ti-35Nb-10Ta-1.5Fe by the Double Acid-Etching Process
Amigó, Angélica
2018-01-01
Surface topography and composition influence the osteoblastic proliferation and osseointegration rates, which favor the biomechanical stability of bone anchoring and implants. In recent years, beta titanium alloys have been developed, and are composed of biocompatible elements, have low elastic modulus, high corrosion resistance, and mechanical properties to improve the long performance behavior of biomaterials. In the present research, the influence of the acid-etching process was studied in Ti6Al4V ELI and Ti35Nb10Ta1.5Fe. Samples were etched in a two-step acid treatment. Surface roughness parameters were quantified under a confocal microscope, topography was studied by scanning electron microscopy, and surface composition was analyzed with energy dispersive X-ray spectroscopy. The results revealed that the two-step acid treatment changes the topography of the β alloy, increases the surface area, and changes the chemical composition of the surface. Two differentiated regions were identified in the Ti35Nb10Ta1.5Fe alloy after the acid-etching process: The α + β region with higher values of mean roughness due to the lower chemical resistance of this region; and the β region with lower values of roughness parameters. PMID:29587427
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lee, Kyu-Ho, E-mail: kyuhos@korea.ac.kr; Department of Materials Science and Engineering, Korea University, Seoul 136-713; Suh, Jin-Yoo, E-mail: jinyoo@kist.re.kr
2013-09-15
The effect of Nb and Cu addition on the creep properties of a high Mn–N austenitic stainless steel was investigated at 600 and 650 °C. In the original high Mn–N steel, which was initially precipitate-free, the precipitation of M{sub 23}C{sub 6} (M = Cr, Fe) and Cr{sub 2}N took place mostly on grain boudaries during creep deformation. On the other hand, the minor addition of Nb resulted in high number density of Z-phases (CrNbN) and MX (M = Nb; X = C, N) carbonitrides inside grains by combining with a high content of N, while suppressing the formation of Cr{submore » 2}N. The addition of Cu gave rise to the independent precipitation of nanometer-sized metallic Cu particles. The combination of the different precipitate-forming mechanisms associated with Z-phase, MX and Cu-rich precipitates turned out to improve the creep-resistance significantly. The thermodynamics and kinetics of the precipitation were discussed using thermo-kinetic simulations. - Highlights: • The creep rupture life was improved by Nb and Cu addition. • The creep resistance of the steel A2 in this study was comparable to that of TP347HFG. • The size of Z-phase and MX carbonitride did not change significantly after creep test. • The nanometer sized Cu-rich precipitate was observed after creep. • The predicted size of precipitates by MatCalc agreed well with measured size.« less
NASA Astrophysics Data System (ADS)
Galster, F.; Chatterjee, R. N.; Stockli, D. F.
2017-12-01
Most geologic processes should not fractionate Nb from Ta but Earth's major silicate reservoirs have subchondritic Nb/Ta values. Nb/Ta of >10000 basalts and basaltic andesites from different tectonic settings (GEOROC) cluster around 16, indistinguishable from upper mantle values. In contrast, Nb/Ta in more evolved arc volcanics have progressively lower values, reaching continental crust estimates, and correlate negatively with SiO2 (see figure) and positively with TiO2 and MgO. This global trend suggests that differentiation processes in magmatic arcs could explain bulk crustal Nb/Ta estimates. Understanding processes that govern fractionation of Nb from Ta in arcs can provide key insights on continental crust formation and help identify Earth's `missing' Nb reservoir. Ti-rich phases (rutile, titanite and ilmenite) have DNb/DTa <1, and therefore, their fractionation from mafic to intermediate liquids cannot explain the observed trend. Instead, fractionation of biotite and amphibole could lower Nb/Ta values in the evolved liquid. Lack of correlation between Nb/Ta and K2O in global volcanic rocks implies that biotite plays a minor role in fractionating Nb from Ta during differentiation. Experimental petrology and evidence from exposed arc sections indicate that amphibole fractionation and delamination of island arc roots can explain the andesitic composition of bulk continental crust. Experimental studies have shown that amphibole Mg# correlate with DNb/DTa and amphibole could effectively fractionate Nb from Ta. Preliminary data from lower to middle crustal amphiboles from preserved arcs show sub- to super-chondritic Nb/Ta up to >60. This suggests that delamination of amphibole-rich cumulates can be a viable mechanism for the preferential removal of Nb from the continental crust. Future examination of Nb/Ta ratios in lower crustal amphiboles from various preserved arcs will provide improved constraints on the Nb-Ta paradox of the silicate Earth.
A new mineral species rossovskyite, (Fe3+,Ta)(Nb,Ti)O4: crystal chemistry and physical properties
NASA Astrophysics Data System (ADS)
Konovalenko, Sergey I.; Ananyev, Sergey A.; Chukanov, Nikita V.; Rastsvetaeva, Ramiza K.; Aksenov, Sergey M.; Baeva, Anna A.; Gainov, Ramil R.; Vagizov, Farit G.; Lopatin, Oleg N.; Nebera, Tatiana S.
2015-11-01
A new mineral rossovskyite named after L.N. Rossovsky was discovered in granite pegmatites of the Bulgut occurrence, Altai Mts., Western Mongolia. Associated minerals are microcline, muscovite, quartz, albite, garnet of the almandine-spessartine series, beryl, apatite, triplite, zircon, pyrite, yttrobetafite-(Y) and schorl. Rossovskyite forms flattened anhedral grains up to 6 × 6 × 2 cm. The color of the mineral is black, and the streak is black as well. The luster is semi-metallic, dull. Mohs hardness is 6. No cleavage or parting is observed. Rossovskyite is brittle, with uneven fracture. The density measured by the hydrostatic weighing method is 6.06 g/cm2, and the density calculated from the empirical formula is 6.302 g/cm3. Rossovskyite is biaxial, and the color in reflection is gray to dark gray. The IR spectrum contains strong band at 567 cm-1 (with shoulders at 500 and 600 cm-1) corresponding to cation-oxygen stretching vibrations and weak bands at 1093 and 1185 cm-1 assigned as overtones. The reflection spectrum in visible range is obtained. According to the Mössbauer spectrum, the ratio Fe2+:Fe3+ is 35.6:64.4. The chemical composition is as follows (electron microprobe, Fe apportioned between FeO and Fe2O3 based on Mössbauer data, wt%): MnO 1.68, FeO 5.92, Fe2O3 14.66, TiO2 7.69, Nb2O5 26.59, Ta2O5 37.51, WO3 5.61, total 99.66. The empirical formula calculated on four O atoms is: {{Mn}}_{0.06}^{2 + } {{Fe}}_{0.21}^{2 + } {{Fe}}_{0.47}^{3 + } Ti0.25Nb0.51Ta0.43W0.06O4. The crystal structure was determined using single-crystal X-ray diffraction data. The new mineral is monoclinic, space group P2/ c, a = 4.668(1), b = 5.659(1), c = 5.061(1) Å, β = 90.21(1)º; V = 133.70(4) Å3, Z = 2. Topologically, the structure of rossovskyite is analogous to that of wolframite-group minerals. The crystal-chemical formula of rossovskyite is [(Fe3+, Fe2+, Mn)0.57Ta0.32Nb0.11][Nb0.40Ti0.25Fe0.18Ta0.11W0.06]O4. The strongest lines of the powder X-ray diffraction pattern
NASA Astrophysics Data System (ADS)
Guryev, Valentin V.; Polikarpova, Maria V.; Lukyanov, Pavel A.; Khlebova, Natalya E.; Pantsyrny, Viktor I.
2018-03-01
A comprehensive study has been carried out in relation to the conductivity of heavily deformed Cu-16wt%Nb nanostructured wires at room and cryogenic temperatures. When the true strain exceeds 5, the growth rates of the resistivity qualitatively change the behavior at all temperatures. It is shown that such behavior is defined mostly by interface scattering. At 10 K the stepwise increasing of resistivity has been found, what is speculated as a feature of amorphous regions formation at the interface of Cu/Nb. Simultaneously the superconducting transition temperature (Tcs) falls down due to proximity effect. The deviation of experimental Tcs values from predicted by classical model is discussed.
Influence of sintering time on switching of the femtosecond nonlinear optical properties of CuNb2O6
NASA Astrophysics Data System (ADS)
Priyadarshani, N.; Sabari Girisun, T. C.; Venugopal Rao, S.
2017-04-01
Transition of mixed phases (monoclinic and orthorhombic) to pure orthorhombic phase was achieved during the synthesis process of CuNb2O6 by varying the sintering time. The suppression of monoclinic phase and dominant formation of orthorhombic CuNb2O6 was confirmed from the XRD and FTIR data analysis. FESEM studies demonstrated that due to increase in sintering time, coarsening process initiated the grain growth and trapping of pores leading to pore-free structures. The nonlinear optical (NLO) properties of mixed and pure copper niobate were studied by the Z-scan technique using near-infrared (800 nm, ∼150 fs, 80 MHz) laser excitation. Mixed phases exhibited saturable absorption and self-defocusing behaviour while pure orthorhombic demonstrated reverse saturable absorption and self-focusing process. The switching of nonlinearity along with increase in NLO coefficient of O-CuNb2O6 was attributed to the decreased metal-oxygen bond length and pore free structure. The increase in nonlinear absorption coefficient with input irradiance suggests the occurrence of effective 3 PA (2 PA followed by ESA) process. The magnitudes of nonlinear absorption coefficient (2.14 × 10-23m3/W2) and nonlinear refractive index (6.0 × 0-17 m2/W) of O-CuNb2O6 were found to be higher than well-known NLO materials. Orthorhombic CuNb2O6 exhibited optical limiting action with low limiting threshold of 38.26 μJ/cm2 and favouring NLO properties suggesting that the material to be an entrant candidate for safety devices against ultrashort pulsed lasers.
Synthesis and characterization of nanocrystalline Co-Fe-Nb-Ta-B alloy
NASA Astrophysics Data System (ADS)
Raanaei, Hossein; Fakhraee, Morteza
2017-09-01
In this research work, structural and magnetic evolution of Co57Fe13Nb8Ta4B18 alloy, during mechanical alloying process, have been investigated by using, X-ray diffraction, scanning electron microscopy, transmission electron microscopy, electron dispersive X-ray spectroscopy, differential thermal analysis and also vibrating sample magnetometer. It is observed that at 120 milling time, the crystallite size reaches to about 7.8 nm. Structural analyses show that, the solid solution of the initial powder mixture occurs at160 h milling time. The coercivity behavior demonstrates a rise, up to 70 h followed by decreasing tendency up to final stage of milling process. Thermal analysis of 160 h milling time sample reveals two endothermic peaks. The characterization of annealed milled sample for 160 h milling time at 427 °C shows crystallite size growth accompanied by increasing in saturation magnetization.
Field, Ella Suzanne; Bellum, John Curtis; Kletecka, Damon E.
2016-09-21
Broad bandwidth coatings allow angle of incidence flexibility and accommodate spectral shifts due to aging and water absorption. Higher refractive index materials in optical coatings, such as TiO 2, Nb 2O 5, and Ta 2O 5, can be used to achieve broader bandwidths compared to coatings that contain HfO 2 high index layers. We have identified the deposition settings that lead to the highest index, lowest absorption layers of TiO 2, Nb 2O 5, and Ta 2O 5, via e-beam evaporation using ion-assisted deposition. We paired these high index materials with SiO 2 as the low index material to createmore » broad bandwidth high reflection coatings centered at 1054 nm for 45 deg angle of incidence and P polarization. Furthermore, high reflection bandwidths as large as 231 nm were realized. Laser damage tests of these coatings using the ISO 11254 and NIF-MEL protocols are presented, which revealed that the Ta 2O 5/SiO 2 coating exhibits the highest resistance to laser damage, at the expense of lower bandwidth compared to the TiO 2/SiO 2 and Nb 2O 5/SiO 2 coatings.« less
Signatures of Fermi Arcs in the Quasiparticle Interferences of the Weyl Semimetals TaAs and NbP.
Chang, Guoqing; Xu, Su-Yang; Zheng, Hao; Lee, Chi-Cheng; Huang, Shin-Ming; Belopolski, Ilya; Sanchez, Daniel S; Bian, Guang; Alidoust, Nasser; Chang, Tay-Rong; Hsu, Chuang-Han; Jeng, Horng-Tay; Bansil, Arun; Lin, Hsin; Hasan, M Zahid
2016-02-12
The recent discovery of the first Weyl semimetal in TaAs provides the first observation of a Weyl fermion in nature. Such a topological semimetal features a novel type of anomalous surface state, the Fermi arc, which connects a pair of Weyl nodes through the boundary of the crystal. Here, we present theoretical calculations of the quasiparticle interference (QPI) patterns that arise from the surface states including the topological Fermi arcs in the Weyl semimetals TaAs and NbP. Most importantly, we discover that the QPI exhibits termination points that are fingerprints of the Weyl nodes in the interference pattern. Our results, for the first time, propose a universal interference signature of the topological Fermi arcs in TaAs, which is fundamental for scanning tunneling microscope (STM) measurements on this prototypical Weyl semimetal compound. More generally, our work provides critical guideline and methodology for STM studies on new Weyl semimetals. Further, the scattering channels revealed by our QPIs are broadly relevant to surface transport and device applications based on Weyl semimetals.
Bulk Fermi Surfaces of the Dirac Type-II Semimetallic Candidates M Al3 (Where M =V , Nb, and Ta)
NASA Astrophysics Data System (ADS)
Chen, K.-W.; Lian, X.; Lai, Y.; Aryal, N.; Chiu, Y.-C.; Lan, W.; Graf, D.; Manousakis, E.; Baumbach, R. E.; Balicas, L.
2018-05-01
We report a de Haas-van Alphen (dHvA) effect study on the Dirac type-II semimetallic candidates M Al3 (where, M =V , Nb and Ta). The angular dependence of their Fermi surface (FS) cross-sectional areas reveals a remarkably good agreement with our first-principles calculations. Therefore, dHvA supports the existence of tilted Dirac cones with Dirac type-II nodes located at 100, 230 and 250 meV above the Fermi level ɛF for VAl3 , NbAl3 and TaAl3 respectively, in agreement with the prediction of broken Lorentz invariance in these compounds. However, for all three compounds we find that the cyclotron orbits on their FSs, including an orbit nearly enclosing the Dirac type-II node, yield trivial Berry phases. We explain this via an analysis of the Berry phase where the position of this orbit, relative to the Dirac node, is adjusted within the error implied by the small disagreement between our calculations and the experiments. We suggest that a very small amount of doping could displace ɛF to produce topologically nontrivial orbits encircling their Dirac node(s).
Loparite, a rare-earth ore (Ce, Na, Sr, Ca)(Ti, Nb, Ta, Fe+3)O3
Hedrick, James B.; Sinha, Shyama P.; Kosynkin, Valery D.
1997-01-01
The mineral loparite (Ce, NA, Sr, Ca)(Ti, Nb, Ta, Fe+3)O3 is the principal ore of the light-group rare-earth elements (LREE) in Russia. The complex oxide has a perovskite (ABO3) structure with coupled substitutions, polymorphism, defect chemistry and a tendency to become metamict. The A site generally contains weakly bonded, easily exchanged cations of the LREE, Na and Ca. The B site generally contains smaller, highly charged cations of Ti, Nb or Fe+3. Mine production is from Russia's Kola Peninsula. Ore is beneficiated to produce a 95% loparite concentrate containing 30% rare-earth oxides. Loparite concentrate is refined by either a chlorination process or acid decomposition process to recover rare-earths, titanium, niobium and tantalum. Rare-earths are separated by solvent extraction and selective precipitation/dissolution. The concentrate is processed at plants in Russia, Estonia and Kazakstan.
Cu-Cr-Nb-Zr Alloy for Rocket Engines and Other High-Heat- Flux Applications
NASA Technical Reports Server (NTRS)
Ellis, David L.
2013-01-01
Rocket-engine main combustion chamber liners are used to contain the burning of fuel and oxidizer and provide a stream of high-velocity gas for propulsion. The liners in engines such as the Space Shuttle Main Engine are regeneratively cooled by flowing fuel, e.g., cryogenic hydrogen, through cooling channels in the back side of the liner. The heat gained by the liner from the flame and compression of the gas in the throat section is transferred to the fuel by the liner. As a result, the liner must either have a very high thermal conductivity or a very high operating temperature. In addition to the large heat flux (>10 MW/sq m), the liners experience a very large thermal gradient, typically more than 500 C over 1 mm. The gradient produces thermally induced stresses and strains that cause low cycle fatigue (LCF). Typically, a liner will experience a strain differential in excess of 1% between the cooling channel and the hot wall. Each time the engine is fired, the liner undergoes an LCF cycle. The number of cycles can be as few as one for an expendable booster engine, to as many as several thousand for a reusable launch vehicle or reaction control system. Finally, the liners undergo creep and a form of mechanical degradation called thermal ratcheting that results in the bowing out of the cooling channel into the combustion chamber, and eventual failure of the liner. GRCop-84, a Cu-Cr-Nb alloy, is generally recognized as the best liner material available at the time of this reporting. The alloy consists of 14% Cr2Nb precipitates in a pure copper matrix. Through experimental work, it has been established that the Zr will not participate in the formation of Laves phase precipitates with Cr and Nb, but will instead react with Cu to form the desired Cu-Zr compounds. It is believed that significant improvements in the mechanical properties of GRCop-84 will be realized by adding Zr. The innovation is a Cu-Cr-Nb-Zr alloy covering the composition range of 0.8 to 8.1 weight
NASA Astrophysics Data System (ADS)
Mahmoodan, Morteza; Gholamipour, Reza; Mirdamadi, Shamseddin; Nategh, Said
2017-05-01
In the present study, (Zr55Cu30Al10Ni5)100- x Nb( x=0,1,2,3) bulk metallic glass matrix/tungsten wire composites were fabricated by infiltration process. Structural studies were investigated by scanning electron microscopy and X-ray diffraction method. Also, mechanical behaviors of the materials were analyzed using quasi-static compressive tests. Results indicated that the best mechanical properties i.e., 2105 MPa compressive ultimate strength and 28 pct plastic strain before failure, were achieved in the composite sample with X = 2. It was also found that adding Nb to the matrix modified interface structure in W fiber/(Zr55Cu30Al10Ni5)98Nb2 since the stable diffusion band formation acts as a functionally graded layer. Finally, the observation of multiple shear bands formation in the matrix could confirm the excellent plastic deformation behavior of the composite.
NASA Astrophysics Data System (ADS)
He, MengKe; Zhang, Yi; Xia, Lei; Yu, Peng
2017-07-01
We studied thermal stability and its relationship to the glass-forming ability (GFA) of the Ni62Nb38- x Ta x ( x=5, 10, 15, 20, 25) bulk metallic glasses (BMG) from a kinetic point of view. By fitting the heating-rate dependence of glass transition temperature ( T g onset) and crystallization temperatures ( T x onset and T x peak) of the Ni62Nb38- x Ta x BMG using the Vogel-Fulcher-Tammann (VFT) equation, we obtained the ideal glass transition and crystallization temperatures ( T g 0 and T x 0) and the fragility parameter ( m), and also constructed continuous heating transition (CHT) diagrams for crystallization of the BMG. The CHT diagrams of the BMG indicate enhanced thermal stability by Ta addition; the T g 0 as well as the T x 0 also illustrates this improved stability limit. The compositional dependence of m, which agrees well with that of the reduced glass-transition temperature, indicates a strong correlation between liquid fragility and glass-forming ability in the present alloy system. These results provide new evidence for understanding thermal stability, liquid fragility, and GFA in BMG.
Correlation between the oxide impedance and corrosion behavior of Zr-Nb-Sn-Fe-Cu alloys
NASA Astrophysics Data System (ADS)
Park, Sang-Yoon; Lee, Myung-Ho; Jeong, Yong-Hwan; Jung, Youn-Ho
2004-12-01
The correlation between the oxide impedance and corrosion behavior of two series of Zr-Nb-Sn-Fe-Cu alloys was evaluated. Corrosion tests were performed in a 70 ppm LiOH aqueous solution at 360°C for 300 days. The results of the corrosion tests revealed that the corrosion behavior of the alloys depended on the Nb and Sn content. The impedance characteristics for the pre- and post-transition oxide layers formed on the surface of the alloys were investigated in sulfuric acid at room temperature. From the results, a pertinent equivalent circuit model was preferably established, explaining the properties of double oxide layers. The impedance of the oxide layers correlated with the corrosion behavior; better corrosion resistance always showed higher electric resistance for the inner layers. It is thus concluded that a pertinent equivalent circuit model would be useful for evaluating the long-term corrosion behavior of Zr-Nb-Sn-Fe-Cu alloys.
Research in LPE of Doped LiNbO3 and LiTaO3 Thin Films.
1981-06-01
garnet films grown on single crystal garnet substrates by the LPE technique for magnetic bubble applica- tions. The choice of substrate and film are...AD-Al07 686 ROCKWELL INTERNATIONAL THOUSAND OAKS CA ELECTRONICS -EUTC F/G 2RESEARCH IN LPE OF DOPED LINBO3 AND LITA03 THIN FILMS .(U JUN Al R R NEUR...Research in LPE of Doped LiNbO3 and LiTa03 Final Report Thin Films 04/01/77 through 03/31/81 6. PERFORMING ORG. REPORT NUM9ER ERC41004.11FR 7. AUTNOR
Superconducting wire manufactured
NASA Astrophysics Data System (ADS)
Fu, Yuexian; Sun, Yue; Xu, Shiming; Peng, Ying
1985-10-01
The MF Nb/Cu Extrusion Tube Method was used to manufacture 3 kg of stable practical MF Nb2Sn composite superconducting wire containing pure Cu(RRR approx. 200)/Ta. The draw state composite wire diameter was 0.56 mm, it contained 11,448 x 2.6 micron Nb core, and the twist distance was 1.5 cm. The composite wire cross-section was pure Cu/Ta/11,448 Nb core/Cu/ 91Sn-Cu; containing 22.8 v. % pure Cu, 13.3 v. % Ta; within the Ta layer to prevent Sn diffusion. The wire was sheathed in nonalkaline glass fiber as an insulating layer. A section of wire weighing 160 g was cut off and coiled it into a small solenoid. After reaction diffusion processing at 675 C/30 and curing by vacuum dipping in paraffin, it was measured in a Nb-Ti backfield of 7.2 T intensity, a current of 129 A was passed through the Nb3Sn solenoid and produced a strength of 2.5 T, the overall magnetic field intensity of the composite magnet reached 9.7 T. At this time, the wire full current density J sub c.w. = 5.2 x 10 to the 4th power A/sq cm; the effective current density J sub c (Nb + Sn - Cu) = 8.2 x 10 to the 4th power A/sq cm.
Selective photocatalytic reduction of CO2 to methanol in CuO-loaded NaTaO3 nanocubes in isopropanol
Xiang, Tianyu; Chen, Jingshuai; Wang, Yuwen; Yin, Xiaohong; Shao, Xiao
2016-01-01
Summary A series of NaTaO3 photocatalysts were prepared with Ta2O5 and NaOH via a hydrothermal method. CuO was loaded onto the surface of NaTaO3 as a cocatalyst by successive impregnation and calcination. The obtained photocatalysts were characterized by XRD, SEM, UV–vis, EDS and XPS and used to photocatalytically reduce CO2 in isopropanol. This worked to both absorb CO2 and as a sacrificial reagent to harvest CO2 and donate electrons. Methanol and acetone were generated as the reduction product of CO2 and the oxidation product of isopropanol, respectively. NaTaO3 nanocubes loaded with 2 wt % CuO and synthesized in 2 mol/L NaOH solution showed the best activity. The methanol and acetone yields were 137.48 μmol/(g·h) and 335.93 μmol/(g·h), respectively, after 6 h of irradiation. Such high activity could be attributed to the good crystallinity, morphology and proper amount of CuO loading, which functioned as reductive sites for selective formation of methanol. The reaction mechanism was also proposed and explained by band theory. PMID:27335766
Quantum linear magnetoresistance in NbTe2
NASA Astrophysics Data System (ADS)
Chen, Hongxiang; Li, Zhilin; Fan, Xiao; Guo, Liwei; Chen, Xiaolong
2018-07-01
NbTe2 is a quasi-2D layered semimetal with charge density wave ground state showing a distorted-1T structure at room temperature. Here we report the anisotropic magneto-transport properties of NbTe2. An anomalous linear magnetoresistance up to 30% at 3 K in 9 T was observed, which can be well explained by a quantum linear magnetoresistance model. Our results reveal that a large quasi-2D Fermi surface and small Fermi pockets with linearly dispersive bands coexist in NbTe2. The comparison with the isostructural TaTe2 provides more information about the band structure evolution with charge density wave transitions in NbTe2 and TaTe2.
Liu, Jue; Ruan, Jianming; Chang, Lin; Yang, Hailin; Ruan, Wei
2017-09-01
Porous Nb-Ti-Ta (at.%) alloys with the pore size of 100-600μm and the porosity of 50%-80% were fabricated by the combination of the sponge impregnation technique and sintering method. The results revealed that the pores were well connected with three-dimensional (3D) network structure, which showed morphological similarity to the anisotropic porous structure of human bones. The results also showed that the alloys could provide the compressive Young's modulus of 0.11±0.01GPa to 2.08±0.09GPa and the strength of 17.45±2.76MPa to 121.67±1.76MPa at different level of porosity, indicating that the mechanical properties of the alloys are similar to those of human bones. Pore structure on the compressive properties was also discussed on the basis of the deformation mode. The relationship between compressive properties and porosity was well consistent with the Gibson-Ashby model. The mechanical properties could be tailored to match different requirements of the human bones. Moreover, the alloys had good biocompatibility due to the porous structure with higher surface, which were suitable for apatite formation and cell adhesion. In conclusion, the porous Nb-Ti-Ta alloy is potentially useful in the hard tissue implants for the appropriate mechanical properties as well as the good biocompatible properties. Copyright © 2017. Published by Elsevier B.V.
NASA Astrophysics Data System (ADS)
Senkov, O. N.; Pilchak, A. L.; Semiatin, S. L.
2018-07-01
The microstructure and tensile properties of HfNbTaTiZr after cold working and annealing were investigated. Cold work was introduced by axial compression followed by rolling resulting in a total thickness reduction of 89 pct without any evidence of cracking. The cold-worked material retained a single-phase microstructure and had a room temperature tensile yield stress σ 0.2 = 1438 MPa, peak true stress σ p = 1495 MPa, and true fracture strain ɛ f = 5 pct. Annealing at 800 °C for up to 256 hours resulted in the precipitation of Nb and Ta rich particles with a BCC crystal structure inside a Hf-and-Zr-enriched BCC matrix. The second phase particles nucleated heterogeneously inside deformation bands and slip lines and coarsened during annealing. Analysis of the coarsening behavior suggested that kinetics were controlled by the diffusion of Nb and Ta. In the two-phase material, σ 0.2 and σ p decreased from 1159 to 1071 MPa and from 1174 to 1074 MPa, respectively, with an increase in particle diameter from 0.18 to 0.72 μm, while ɛ f remained between 5 and 8 pct. Full recrystallization and normal grain growth, with the activation energy of 238 kJ/mol and activation volume of 5.3 to 9.6 m3/mol, occurred during annealing above 1000 °C. After heat treatment at this temperature, the alloy was characterized by a single-phase BCC structure with σ 0.2 = 1110 to 1115 MPa, σ p = 1160 to 1195 MPa, and ɛ f = 12 to 19 pct with the maximum values attained after annealing for 1 hour.
NASA Astrophysics Data System (ADS)
Senkov, O. N.; Pilchak, A. L.; Semiatin, S. L.
2018-05-01
The microstructure and tensile properties of HfNbTaTiZr after cold working and annealing were investigated. Cold work was introduced by axial compression followed by rolling resulting in a total thickness reduction of 89 pct without any evidence of cracking. The cold-worked material retained a single-phase microstructure and had a room temperature tensile yield stress σ 0.2 = 1438 MPa, peak true stress σ p = 1495 MPa, and true fracture strain ɛ f = 5 pct. Annealing at 800 °C for up to 256 hours resulted in the precipitation of Nb and Ta rich particles with a BCC crystal structure inside a Hf-and-Zr-enriched BCC matrix. The second phase particles nucleated heterogeneously inside deformation bands and slip lines and coarsened during annealing. Analysis of the coarsening behavior suggested that kinetics were controlled by the diffusion of Nb and Ta. In the two-phase material, σ 0.2 and σ p decreased from 1159 to 1071 MPa and from 1174 to 1074 MPa, respectively, with an increase in particle diameter from 0.18 to 0.72 μm, while ɛ f remained between 5 and 8 pct. Full recrystallization and normal grain growth, with the activation energy of 238 kJ/mol and activation volume of 5.3 to 9.6 m3/mol, occurred during annealing above 1000 °C. After heat treatment at this temperature, the alloy was characterized by a single-phase BCC structure with σ 0.2 = 1110 to 1115 MPa, σ p = 1160 to 1195 MPa, and ɛ f = 12 to 19 pct with the maximum values attained after annealing for 1 hour.
Ion, Raluca; Gordin, Doina-Margareta; Mitran, Valentina; Osiceanu, Petre; Dinescu, Sorina; Gloriant, Thierry; Cimpean, Anisoara
2014-02-01
The materials used for internal fracture fixations and joint replacements are mainly made of metals which still face problems ranging from higher rigidity than that of natural bone to leaching cytotoxic metallic ions. Beta (β)-type titanium alloys with low elastic modulus made from non-toxic and non-allergenic elements are desirable to reduce stress shielding effect and enhance bone remodeling. In this work, a new β-type Ti-23Nb-0.7Ta-2Zr-0.5N alloy with a Young's modulus of approximately 50 GPa was designed and characterized. The behavior of MC3T3-E1 pre-osteoblasts on the new alloy, including adhesion, proliferation and differentiation, was evaluated by examining the cytoskeleton, focal adhesion formation, metabolic activity and extracellular matrix mineralization. Results indicated that the pre-osteoblast cells exhibited a similar degree of attachment and growth on Ti-23Nb-0.7Ta-2Zr-0.5N and Ti-6Al-4V. However, the novel alloy proved to be significantly more efficient in sustaining mineralized matrix deposition upon osteogenic induction of the cells than Ti-6Al-4V control. Further, the analysis of RAW 264.7 macrophages cytokine gene and protein expression indicated no significant inflammatory response. Collectively, these findings suggest that the Ti-23Nb-0.7Ta-2Zr-0.5N alloy, which has an increased mechanical biocompatibility with bone, allows a better osteogenic differentiation of osteoblast precursor cells than Ti-6Al-4V and holds great potential for future clinical prosthetic applications. Copyright © 2013 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Brunckova, Helena; Medvecky, Lubomir; Kovalcikova, Alexandra; Fides, Martin; Mudra, Erika; Durisin, Juraj; Skvarla, Jiri; Kanuchova, Maria
2017-04-01
Transparent Eu and Nd doped lanthanum niobate tantalate La1/3Nb0.8Ta0.2O3 (LNT) thin films (˜150 nm) were prepared by sol-gel/spin-coating process on Pt/SiO2/Si substrates and annealing at 1100 °C. The x-ray diffraction analysis of films confirmed formation of the perovskite La1/3NbO3 and La1/3TaO3 phases with traces of pyrochlore LaNbO4. Eu and Nd doped LNT films were smoother with roughness 17.1 and 25.4 nm in comparison with LNT (43.3 nm). In all films was observed heterogeneous microstructure with the perovskite spherical and pyrochlore needle-like particles. The mechanical properties of films were characterized for the first time by conventional and continuous stiffness (CSM) nanoindentation. The Eu and Nd doped LNT film modulus (E) and hardness (H) were higher than LNT (˜99.8 and 4.4 GPa) determined by conventional nanoindentation. It was measured the significant effect of substrate on properties of Eu or Nd films (H ˜ 5.9 or 4.9 GPa and E ˜ 107.3 or 104.1 GPa) by CSM nanoindentation.
Adsorption and diffusion of Au atoms on the (001) surface of Ti, Zr, Hf, V, Nb, Ta, and Mo carbides.
Florez, Elizabeth; Viñes, Francesc; Rodriguez, Jose A; Illas, Francesc
2009-06-28
The adsorption of atomic Au on the (001) surface of TiC, ZrC, HfC, VC, NbC, TaC, and delta-MoC and the mechanism of diffusion of this adatom through the surface have been studied in terms of a periodic density functional theory based approach. In all the cases, the Au adsorption energies are in the range of 1.90-2.35 eV. The moderately large adsorption energies allow the Au diffusion before desorption could take place. For TiC(001), ZrC(001), and HfC(001), atomic Au is adsorbed directly on top of C atoms and diffusion takes place along the diagonal of the squares formed by M-C-M-C atoms with the transition state located above the hollow sites. For the rest of transition metal carbides the situation is less simple with the appearance of more than one stable adsorption site, as for NbC and TaC, of a small energy barrier for diffusion around the most stable adsorption site and of a more complex diffusion pathway. The small energy barrier for diffusion around the most stable site will result in a highly mobile Au species which could be observed in scanning tunnel microscope experiments. After depositing Au on metal-carbide surfaces, there is a noticeable charge transfer from the substrate to the adsorbed Au atom. The electronic perturbations on Au increase when going from TiC to ZrC or TaC. Our results indicate that metal carbides should be better supports for the chemical activation of Au than metal oxides.
Hemispherical emissivity of V, Nb, Ta, Mo, and W from 300 to 1000 K
NASA Technical Reports Server (NTRS)
Cheng, S. X.; Hanssen, L. M.; Riffe, D. M.; Sievers, A. J.; Cebe, P.
1987-01-01
The hemispherical emissivities of five transition elements, V, Nb, Ta, Mo, and W, have been measured from 300 to 1000 K, complementing earlier higher-temperature results. These low-temperature data, which are similar, are fitted to a Drude model in which the room-temperature parameters have been obtained from optical measurements and the temperature dependence of the dc resistivity is used as input to calculate the temperature dependence of the emissivity. A frequency-dependent free-carrier relaxation rate is found to have a similar magnitude for all these elements. For temperatures larger than 1200 K the calculated emissivity is always greater than the measured value, indicating that the high-temperature interband features of transition elements are much weaker than those determined from room-temperature measurements.
Structure and Microhardness of Cu-Ta Joints Produced by Explosive Welding
Maliutina, Iu. N.; Mali, V. I.; Bataev, I. A.; Bataev, A. A.; Esikov, M. A.; Smirnov, A. I.; Skorokhod, K. A.
2013-01-01
The structure and microhardness of Cu-Ta joints produced by explosive welding were studied. It was found that, during explosive welding, an intermediate layer 20⋯40 μm thick with a finely dispersed heterophase structure, formed between the welded copper and tantalum plates. The structure of the layer was studied by scanning and transmission electron microscopy. Microvolumes with tantalum particles distributed in a copper matrix and microvolumes of copper particles in a tantalum matrix were detected. The tantalum particles in copper have a size of 5⋯500 nm, with a predominance of 5⋯50 nm particles. A mechanism for the formation of the finely dispersed heterophase structure in explosive welding is proposed. The microhardness of interlayers with the heterophase structure reaches 280 HV, which far exceeds the microhardness of copper (~130 HV) and tantalum (~160 HV). Many twins of deformation origin were found in the structure of the copper plate. The effect of heating temperature in the range from 100 to 900°C on the microhardness of copper, tantalum, and the Cu-Ta welded joint was studied. Upon heating to 900°C, the microhardness of the intermediate layer decreases from 280 to 150 HV. The reduction in the strength properties of the weld material is mainly due to structural transformations in copper. PMID:24453818
Cation deficient layered Ruddlesden-Popper-related oxysulfides La2LnMS2O5 (Ln=La, Y; M=Nb, Ta).
Cario, Laurent; Popa, Aurelian Florin; Lafond, Alain; Guillot-Deudon, Catherine; Kabbour, Houria; Meerschaut, A; Clarke, Simon J; Adamson, Paul
2007-11-12
The structures of the new oxysulfide Ruddlesden-Popper phases La2LnMS2O5 (Ln=La, Y; M=Nb, Ta) are reported together with an iodide-containing variant: La3-xNb1+xS2O5I2x (0
Tarantini, C.; Sung, Z. -H.; Lee, P. J.; ...
2016-01-25
Nb 3Sn wires are now very close to their final optimization but despite its classical nature, detailed understanding of the role of Ta and Ti doping in the A15 is not fully understood. Long thought to be essentially equivalent in their influence on H c2, they were interchangeably applied. Here we show that Ti produces significantly more homogeneous chemical and superconducting properties. Despite Ta-doped samples having a slightly higher T c onset in zero-field, they always have a wider Tc-distribution. In particular, whereas the Ta-doped A15 has a T c-distribution extending from 18 down to 5-6 K (the lowest expectedmore » Tc for the binary A15 phase), the Ti-doped samples have no A15 phase with T c below ~12 K. The much narrower T c distribution in the Ti-doped samples has a positive effect on their in-field T c-distribution too, leading to an extrapolated μ0H c2(0) 2 Tesla larger than the Ta-doped one. Ti-doping also appears to be very homogeneous even when the Sn content is reduced in order to inhibit breakdown of the diffusion barriers in very high Jc conductors. As a result, the enhanced homogeneity of the Ti-doped samples appears to result from its assistance of rapid diffusion of Sn into the filaments and by its incorporation into the A15 phase interchangeably with Sn on the Sn sites of the A15 phase.« less
Hsieh, C C; Lin, T H; Chang, H W; Chang, C W; Chang, W C; Yang, C C
2011-03-01
Effect of dopants on the soft magnetic properties and high frequency characteristics of FeCoBM thin films (M = Ti, Nb, Hf, and Ta) have been studied. For (Fe0.55Co0.45)(100-x)B(x) (x = 5-15) thin films, with the increase of B content, the resistivity was increased because B could decrease the crystallinity of the films. The (Fe0.55Co0.45)90B10 thin film showed the optimum properties, where 4piM(s) = 16.1 kG, H(ce) = 64.2 Oe, H(ch) = 13.5 Oe, H(k) = 310 Oe and p = 338 microomega-cm. To reduce the coercivity of the film, the elements M, including Ti, Nb, Hf, and Ta, were selected to substitute for B in the FeCoB films. It was found that (Fe0.55Co0.45)90B6Ti2Nb2 thin film after annealing at a temperature of 200 degrees C for 30 min showed the optimal properties, where 4piM(s) = 15.8 kG, H(ce) = 4.8 Oe, H(ch) = 3.6 Oe, H(k) = 224 Oe and p = 290 microomega-cm. The theoretically calculated ferromagnetic resonance frequency of the developed films can be higher than 5 GHz.
Quantum and superconducting fluctuations effects in disordered Nb 1- xTa x thin films above Tc
NASA Astrophysics Data System (ADS)
Giannouri, M.; Papastaikoudis, C.
1999-05-01
Disordered Nb 1- xTa x thin films are prepared with e-gun coevaporation. The influence of the β-phase of tantalum in the critical temperature Tc is observed as a function of the substrate temperature. The measurements of transverse magnetoresistance at various isothermals are interpreted in terms of weak-localization and superconducting fluctuations. From the fitting procedure, the phase breaking rate τφ-1 and the Larkin parameter βL are estimated as a function of temperature. Conclusions about the dominant inelastic scattering mechanisms at various temperature regions as well as for the dominant mechanism of superconducting fluctuations near the transition temperature are extracted.
NASA Astrophysics Data System (ADS)
Ramasamy, Parthiban; Stoica, Mihai; Taghvaei, A. H.; Prashanth, K. G.; Ravi Kumar, Eckert, Jürgen
2016-02-01
The crystallization kinetics of [(Fe0.5Co0.5)0.75B0.2Si0.05]96Nb4 and {[(Fe0.5Co0.5)0.75B0.2Si0.05]0.96Nb0.04}99.5Cu0.5 bulk metallic glasses were evaluated using differential scanning calorimetry under non-isothermal condition. The fully glassy rods with diameters up to 2 mm were obtained by copper mold injection casting. Both glasses show good thermal stability, but the addition of only 0.5% Cu completely changes the crystallization behavior. The average activation energy required for crystallization decreases from 645 kJ/mol to 425 kJ/mol after Cu addition. Upon heating, the Cu-free alloy forms only the metastable Fe23B6 phase. In contrast, two well-separated exothermic events are observed for the Cu-added bulk glassy samples. First, the (Fe,Co) phase nucleates and then (Fe,Co)2B and/or (Fe,Co)3B crystallize from the remaining glassy matrix. The Cu-added alloy exhibits a lower coercivity and a higher magnetic saturation than the base alloy, both in as-cast as well as in annealed condition. Besides, the Cu-added glassy sample with 2 mm diameter exhibits a maximum compressive fracture strength of 3913 MPa together with a plastic strain of 0.6%, which is highest plastic strain ever reported for 2 mm diameter ferromagnetic bulk metallic glass sample. Although Cu addition improves the magnetic and mechanical properties of the glass, it affects the glass-forming ability of the base alloy.
Ab-initio study of B{sub 2}-type technetium AB (A=Tc, B=Nb and Ta) intermetallic compounds
DOE Office of Scientific and Technical Information (OSTI.GOV)
Acharya, Nikita, E-mail: acharyaniks30@gmail.com; Fatima, Bushra; Sanyal, Sankar P.
2016-05-06
The structural, electronic and elastic properties of AB type (A = Tc, B = Nb and Ta) technetium intermetallic compounds are studied using full potential linearized plane wave (FP-LAPW) method within generalized gradient approximation (GGA). The calculated lattice parameters agree well with the experimental results. The elastic constants obey the stability criteria for cubic system. Ductility for these compounds has been analyzed using the Pugh’s rule and Cauchy’s pressure and found that all the compounds are ductile in nature. Bonding nature is discussed in terms of Fermi surface and band structures.
Okulov, I V; Pauly, S; Kühn, U; Gargarella, P; Marr, T; Freudenberger, J; Schultz, L; Scharnweber, J; Oertel, C-G; Skrotzki, W; Eckert, J
2013-12-01
The correlation between the microstructure and mechanical behavior during tensile loading of Ti68.8Nb13.6Al6.5Cu6Ni5.1 and Ti71.8Nb14.1Al6.7Cu4Ni3.4 alloys was investigated. The present alloys were prepared by the non-equilibrium processing applying relatively high cooling rates. The microstructure consists of a dendritic bcc β-Ti solid solution and fine intermetallic precipitates in the interdendritic region. The volume fraction of the intermetallic phases decreases significantly with slightly decreasing the Cu and Ni content. Consequently, the fracture mechanism in tension changes from cleavage to shear. This in turn strongly enhances the ductility of the alloy and as a result Ti71.8Nb14.1Al6.7Cu4Ni3.4 demonstrates a significant tensile ductility of about 14% combined with the high yield strength of above 820 MPa already in the as-cast state. The results demonstrate that the control of precipitates can significantly enhance the ductility and yet maintaining the high strength and the low Young's modulus of these alloys. The achieved high bio performance (ratio of strength to Young's modulus) is comparable (or even superior) with that of the recently developed Ti-based biomedical alloys. © 2013.
Vortex phase diagram of the layered superconductor Cu0.03TaS2 for H \\parallel c
NASA Astrophysics Data System (ADS)
Zhu, X. D.; Lu, J. C.; Sun, Y. P.; Pi, L.; Qu, Z.; Ling, L. S.; Yang, Z. R.; Zhang, Y. H.
2010-12-01
The magnetization and anisotropic electrical transport properties have been measured in high quality Cu0.03TaS2 single crystals. A pronounced peak effect has been observed, indicating that high quality and homogeneity are vital to the peak effect. A kink has been observed in the magnetic field, H, dependence of the in-plane resistivity ρab for H\\parallel c , which corresponds to a transition from activated to diffusive behavior of the vortex liquid phase. In the diffusive regime of the vortex liquid phase, the in-plane resistivity ρab is proportional to H0.3, which does not follow the Bardeen-Stephen law for free flux flow. Finally, a simplified vortex phase diagram of Cu0.03TaS2 for H \\parallel c is given.
NASA Astrophysics Data System (ADS)
Li, Y. D.; Wang, C. C.; Guo, Y. M.; Yu, Y.; Lu, Q. L.; Huang, S. G.; Li, Q. J.; Wang, H.; Cheng, R. L.; Liu, C. S.
2018-05-01
The possibilities of ferromagnetism induced by nonmagnetic dopants (Cu, Zn) in double perovskite Sr2AlTaO6 at B sites are investigated by density functional theory. Calculations reveal that substitutions at Ta-site tend to form high spin electronic configurations and could induce ferromagnetism which can be attributed to the hole-mediated p- d hybridization between Cu (or Zn) eg states and the neighboring O 2p states. The dopants preferably substitute at Al-site and adopt low spin electronic structures. Due to the smaller hole concentration and weaker covalent intensity, Sr2AlTaO6 with dopants at Al-site exhibits p-type metallic semiconductors without spin polarization.
NASA Astrophysics Data System (ADS)
Zhang, Zongyang; Liu, Xiansong; Feng, Shuangjiu; Rehman, Khalid Mehmood Ur
2018-03-01
In this study, the melt spinning method was used to develop Fe80.5Si7.5B6Nb5Cu amorphous ribbons in the first step. Then, the Fe80.5Si7.5B6Nb5Cu amorphous-nanocrystalline core with a compact microstructure was obtained by multiple processes. The main properties of the magnetic powder core, such as micromorphology, thermal behavior, permeability, power loss and quality factor, have been analyzed. The obtained results show that an Fe80.5Si7.5B6Nb5Cu amorphous-nanocrystalline duplex core has high permeability (54.8-57), is relatively stable at different frequencies and magnetic fields, and the maximum power loss is only 313 W/kg; furthermore, it has a good quality factor.
One-step internal-tin Nb/sub 3/Sn superconductor fabrication. Final report, June 1983-August 1984
DOE Office of Scientific and Technical Information (OSTI.GOV)
Marancik, W.
1985-03-01
The object of this research is to demonstrate the feasibility of producing a Nb/sub 3/Sn superconductor in a single extrusion process with a large number of filaments with internal tin. The technique chosen uses .010'-diameter Nb and tin-plated Cu wires formed into a solenoid. The solenoid is covered with tin-plated copper foil and isostatically compacted to a pressure of 17,000 psi. The solenoid is slit along its length. This results in a ribbon about 40 inches long by about 5-inches wide, with the Nb wires running across the 5-inch-width. The ribbon is then rolled up (Jelly Roll) around a 0.5more » inch diameter Ta covered copper rod to produce a composite of about 1.5 inches in diameter by 5 inches long. The composite geometry is now a cylindrical bundle of 0.010-inch-diameter Nb wire separated from each other by tin-plated copper. Each Nb wire is aligned with the axis of cylinder. The cylinder is slid into a Ta-lined copper extrusion can which is evacuated and sealed. The can is extruded at a low temperature and drawn to final wire size without intermediate annealing. The advantage of the process is that it is an internal tin process with the tin uniformly distributed through the matrix. The Nb is in a relatively soft state having been fully annealed at 0.020-inch diameter. Only one extrusion is required since the bundling technique allows a large number of wires to be precisely aligned and spaced in the matrix.« less
Guan, Mingzhi; Wang, Xingzhe; Zhou, Youhe
2015-01-01
During design and winding of superconducting magnets at room temperature, a pre-tension under different rate is always applied to improve the mechanical stability of the magnets. However, an inconsistency rises for superconductors usually being sensitive to strain and oversized pre-stress which results in degradation of the superconducting composites' critical performance at low temperature. The present study focused on the effects of the cold-treatment and strain-rate of tension deformation on mechanical properties of NbTi/Cu superconducting composite wires. The samples were immersed in a liquid nitrogen (LN2) cryostat for the adiabatic cold-treatment, respectively with 18-hour, 20-hour, 22-hour and 24-hour. A universal testing machine was utilized for tension tests of the NbTi/Cu superconducting composite wires at room temperature; a small-scale extensometer was used to measure strain of samples with variable strain-rate. The strength, elongation at fracture and yield strength of pre-cold-treatment NbTi/Cu composite wires were drawn. It was shown that, the mechanical properties of the superconducting wires are linearly dependent on the holding time of cold-treatment at lower tensile strain-rate, while they exhibit notable nonlinear features at higher strain-rate. The cold-treatment in advance and the strain-rate of pre-tension demonstrate remarkable influences on the mechanical property of the superconducting composite wires.
Calcium hydride synthesis of Ti-Nb-based alloy powders
NASA Astrophysics Data System (ADS)
Kasimtsev, A. V.; Shuitsev, A. V.; Yudin, S. N.; Levinskii, Yu. V.; Sviridova, T. A.; Alpatov, A. V.; Novosvetlova, E. E.
2017-09-01
The metallothermic (calcium hydride) synthesis of Ti-Nb alloy powders alloyed with tantalum and zirconium is experimentally studied under various conditions. Chemical, X-ray diffraction, and metallographic analyses of the synthesized products show that initial oxides are completely reduced and a homogeneous β-Ti-based alloy powder forms under the optimum synthesis conditions at a temperature of 1200°C. At a lower synthesis temperature, the end products have a high oxygen content. The experimental results are used to plot the thermokinetic dependences o formation of a bcc solid solution at various times of isothermal holding of Ti-22Nb-6Ta and Ti-22Nb-6Zr (at %) alloys. The physicochemical and technological properties of the Ti-22Nb-6Ta and Ti-22Nb-6Zr alloy powders synthesized by calcium hydride reduction under the optimum conditions are determined.
Traces of charge density waves in NbS 2
DOE Office of Scientific and Technical Information (OSTI.GOV)
Leroux, Maxime; Cario, Laurent; Bosak, Alexei
Among transition metal dichalcogenides (TMD), NbS 2 is often considered as the archetypal compound that does not have a charge density wave (CDW) in any of its polytypes. By comparison, close isoelectronic compounds such as NbSe 2, TaS 2, and TaSe 2 all have CDW in at least one polytype. Here we report traces of CDW in the 2H polytype of NbS 2, using diffuse x-ray scattering measurements at 77 K and room temperature. We observe 12 extremely weak satellite peaks located at ±13.9° from →a* and →b* around each Bragg peak in the (h,k,0) plane. These satellite peaks aremore » commensurate with the lattice via 3 →q– →q'= →a*, where →q' is the 120° rotation of →q, and define two √13a × √13a superlattices in real space. These commensurate wave vectors and tilt angle are identical to those of the CDW observed in the 1T polytype of TaS 2 and TaSe 2. In conclusion, to understand this similarity and the faintness of the peaks, we discuss possible sources of local 1T polytype environment in bulk 2H-NbS 2 crystals.« less
Traces of charge density waves in NbS2
NASA Astrophysics Data System (ADS)
Leroux, Maxime; Cario, Laurent; Bosak, Alexei; Rodière, Pierre
2018-05-01
Among transition metal dichalcogenides (TMD), NbS2 is often considered as the archetypal compound that does not have a charge density wave (CDW) in any of its polytypes. By comparison, close isoelectronic compounds such as NbSe2, TaS2, and TaSe2 all have CDW in at least one polytype. Here we report traces of CDW in the 2H polytype of NbS2, using diffuse x-ray scattering measurements at 77 K and room temperature. We observe 12 extremely weak satellite peaks located at ±13.9° from a⃗* and b⃗* around each Bragg peak in the (h ,k ,0 ) plane. These satellite peaks are commensurate with the lattice via 3 q ⃗-q ⃗'=a⃗*,where q ⃗' is the 120° rotation of q ⃗, and define two √{13 }a ×√{13 }a superlattices in real space. These commensurate wave vectors and tilt angle are identical to those of the CDW observed in the 1T polytype of TaS2 and TaSe2. To understand this similarity and the faintness of the peaks, we discuss possible sources of local 1T polytype environment in bulk 2H-NbS2 crystals.
Traces of charge density waves in NbS 2
Leroux, Maxime; Cario, Laurent; Bosak, Alexei; ...
2018-05-15
Among transition metal dichalcogenides (TMD), NbS 2 is often considered as the archetypal compound that does not have a charge density wave (CDW) in any of its polytypes. By comparison, close isoelectronic compounds such as NbSe 2, TaS 2, and TaSe 2 all have CDW in at least one polytype. Here we report traces of CDW in the 2H polytype of NbS 2, using diffuse x-ray scattering measurements at 77 K and room temperature. We observe 12 extremely weak satellite peaks located at ±13.9° from →a* and →b* around each Bragg peak in the (h,k,0) plane. These satellite peaks aremore » commensurate with the lattice via 3 →q– →q'= →a*, where →q' is the 120° rotation of →q, and define two √13a × √13a superlattices in real space. These commensurate wave vectors and tilt angle are identical to those of the CDW observed in the 1T polytype of TaS 2 and TaSe 2. In conclusion, to understand this similarity and the faintness of the peaks, we discuss possible sources of local 1T polytype environment in bulk 2H-NbS 2 crystals.« less
Possibility of Flat-Band Ferromagnetism in Hole-Doped Pyrochlore Oxides Sn2 Nb2 O7 and Sn2 Ta2 O7
NASA Astrophysics Data System (ADS)
Hase, I.; Yanagisawa, T.; Aiura, Y.; Kawashima, K.
2018-05-01
Quantum mechanics tells us that the hopping integral between local orbitals makes the energy band dispersive. In a lattice with geometric frustration, however, dispersionless flat bands may appear due to quantum interference. Several models possessing flat bands have been proposed theoretically, and many attracting magnetic and electronic properties are predicted. However, despite many attempts to realize these models experimentally, compounds that are appropriately described by this model have not been found so far. Here we show that pyrochlore oxides Sn2 Nb2 O7 and Sn2Ta2O7 are such examples, by performing first-principles band calculation and several tight-binding analyses. Moreover, spin-polarized band calculation shows that the hole-doped systems Sn2 Nb2 O6 N and Sn2 Ta2 O6 N have complete spin polarization, and their magnetic moments are mostly carried by Sn-s and N-p orbitals, which are usually nonmagnetic. These compounds are not only candidates for ferromagnets without a magnetic element, but also will provide an experimental platform for a flat-band model which shows a wide range of physical properties.
NASA Astrophysics Data System (ADS)
Mbarki, Mohammed; Touzani, Rachid St.; Rehorn, Christian W. G.; Gladisch, Fabian C.; Fokwa, Boniface P. T.
2016-10-01
The new ternary transition metal-rich borides Ta2OsB2 and TaRuB have been successfully synthesized by arc-melting the elements in a water-cooled crucible under an argon atmosphere. The crystal structures of both compounds were solved by single-crystal X-ray diffraction and their metal compositions were confirmed by EDX analysis. It was found that Ta2OsB2 and TaRuB crystallize in the tetragonal Nb2OsB2 (space group P4/mnc, no. 128) and the orthorhombic NbRuB (space group Pmma, no. 51) structure types with lattice parameters a=5.878(2) Å, c=6.857(2) Å and a=10.806(2) Å, b=3.196(1) Å, c=6.312(2) Å, respectively. Furthermore, crystallographic, electronic and bonding characteristics have been studied by density functional theory (DFT). Electronic structure relaxation has confirmed the crystallographic parameters while COHP bonding analysis indicates that B2-dummbells are the strongest bonds in both compounds. Moreover, the formation of osmium dumbbells in Ta2OsB2 through a Peierls distortion along the c-axis, is found to be the origin of superstructure formation. Magnetic susceptibility measurements reveal that the two phases are Pauli paramagnets, thus confirming the theoretical DOS prediction of metallic character. Also hints of superconductivity are found in the two phases, however lack of single phase samples has prevented confirmation. Furthermore, the thermodynamic stability of the two modifications of AMB (A=Nb, Ta; M =Ru, Os) are studied using DFT, as new possible phases containing either B4- or B2-units are predicted, the former being the most thermodynamically stable modification.
Observations on the long-lived Mossbauer effects of 93mNb
Cheng, Yao; Yang, Shi-Hui; Lan, Michael; Lee, Chih-Hao
2016-01-01
Several observations of the Nb long-lived Mossbauer phenomena are presented, in consequence of an irradiation increased by an order of magnitude compared with previous work. These are 1) two β decays of 182Ta and 92mNb are enhanced, i.e., 182Ta is now 200 times faster than in previous results while 92mNb is twice as fast as normal; 2) γs emitted from two β decays compete to eject electrons in a winner-takes-all rule, rather than by superposition; 3) abrupt spectral changes reveal three decay phases of 182Ta; 4) the biphoton γγ of 93mNb is released from the sample for the first time; 5) the γγ distribution is narrow, in contrast to the broad γγ spectrum obtained from independent nuclei; 6) Nb K-lines super-radiate along the long sample axis; 7) collective scattering of multipolar MeV γs. The manipulation of nuclear decay speeds demonstrated here highlights a potential application of this work in cleaning up the nuclear wastes. PMID:27824073
NASA Astrophysics Data System (ADS)
Prieto, José Emilio; Zucchiatti, Alessandro; Galán, Patricia; Prieto, Pilar
2017-09-01
X-ray production differential cross sections induced by C and Si ions with energies from 1 MeV/u down to 0.25 MeV/u, produced by the CMAM 5 MV tandem accelerator, have been measured for thin targets of Ti, Fe, Zn, Nb, Ru and Ta in a direct way. X-rays have been detected by a fully characterized silicon drift diode and beam currents have been measured by a system of two Faraday cups. Measured cross sections agree in general with previously published results. The ECPSSR theory with the united atoms correction gives absolute values close to the experimental ones for all the studied elements excited by C ions and for Ta, Nb and Ru excited by Si ions. For Ti, Fe and Zn excited by Si, the matching with theory is poor since even the ionization cross section is below the measured data.
Singh, Prashant; Smirnov, A. V.; Johnson, Duane D.
2018-05-31
From electronic-structure-based thermodynamic linear response, we establish chemical ordering behavior in complex solid solutions versus how Gibbs' space is traversed—applying it on prototype refractory A2 Ta-Nb-Mo-W high-entropy alloys. Near ideal stoichiometry, this alloy has anomalous, intricate chemical ordering tendencies, with long-ranged chemical interactions that produce competing short-range order (SRO) with a crossover to spinodal segregation. This atypical SRO arises from canonical band behavior that, with alloying, creates features near the Fermi surface (well defined even with disorder) that change to simple commensurate SRO with (un)filling of these states. In conclusion, our results reveal how complexity and competing electronic effects controlmore » ordering in these alloys.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Singh, Prashant; Smirnov, A. V.; Johnson, Duane D.
From electronic-structure-based thermodynamic linear response, we establish chemical ordering behavior in complex solid solutions versus how Gibbs' space is traversed—applying it on prototype refractory A2 Ta-Nb-Mo-W high-entropy alloys. Near ideal stoichiometry, this alloy has anomalous, intricate chemical ordering tendencies, with long-ranged chemical interactions that produce competing short-range order (SRO) with a crossover to spinodal segregation. This atypical SRO arises from canonical band behavior that, with alloying, creates features near the Fermi surface (well defined even with disorder) that change to simple commensurate SRO with (un)filling of these states. In conclusion, our results reveal how complexity and competing electronic effects controlmore » ordering in these alloys.« less
NASA Astrophysics Data System (ADS)
Izquierdo, Javier; Bolat, Georgiana; Cimpoesu, Nicanor; Trinca, Lucia Carmen; Mareci, Daniel; Souto, Ricardo Manuel
2016-11-01
A new titanium base Ti-21Nb-15Ta-6Zr alloy covered with hydroxyapatite-zirconia (HA-ZrO2) by pulsed laser deposition (PLD) technique was characterized regarding its corrosion resistance in simulated physiological Ringer's solution at 37 °C. For the sake of comparison, Ti-6Al-4V standard implant alloy, with and without hydroxyapatite-zirconia coating, was also characterized. Multiscale electrochemical analysis using both conventional averaging electrochemical techniques, namely electrochemical impedance spectroscopy (EIS) and potentiodynamic polarization, and spatially-resolved microelectrochemical techniques (scanning electrochemical microscopy, SECM) were used to investigate the electrochemical behaviour of the materials. In addition, scanning electron microscopy evidenced that no relevant surface morphology changes occurred on the materials upon immersion in the simulated physiological solution, despite variations in their electrochemical behaviour. Although uncoated metals appear to show better performances during conventional corrosion tests, the response is still quite similar for the HA-ZrO2 coated materials while providing superior resistance towards electron transfer due to the formation of a more dense film on the surface, thus effectively behaving as a passive material. It is believed corrosion of the HA-ZrO2 coated Ti-21Nb-15Ta-6Zr alloy will have negligible effect upon biochemical and cellular events at the bone-implant interface and could facilitate osseointegration.
NASA Astrophysics Data System (ADS)
Apparao, K. Ch; Birru, Anil Kumar
2018-01-01
A novel Al-3.5FeNb-1.5C master alloy with uniform microstructure was prepared using a melt reaction process for this study. In the master alloy, basic intermetallic particles such as NbAl3, NbC act as heterogeneous nucleation substrates during the solidification of aluminium. The grain refining performance of the novel master alloy on Al-Si9.8-Cu3.4 alloy has also been investigated. It is observed that the addition of 0.1 wt.% of Al-3.5FeNb-1.5C master alloy can induce very effective grain refinement of the Al-Si9.8-Cu3.4 alloy. The average grain size of α-Al is reduced to 22.90 μm from about 61.22 μm and most importantly, the inoculation of Al-Si9.8-Cu3.4 alloy with FeNb-C is not characterised by any visible poisoning effect, which is the drawback of using commercial Al-Ti-B master alloys on aluminium cast alloys. Therefore, the mechanical properties of the Al-Si9.8-Cu3.4 alloy have been improved obviously by the addition of the 0.1 wt.% of Al-3.5FeNb-1.5C master alloy, including the yield strength and elongation.
NASA Astrophysics Data System (ADS)
Yin, Jiuren; Wu, Bozhao; Wang, Yanggang; Li, Zhimi; Yao, Yuanpeng; Jiang, Yong; Ding, Yanhuai; Xu, Fu; Zhang, Ping
2018-04-01
Recently, there has been a surge of interest in the research of two-dimensional (2D) phosphides due to their unique physical properties and wide applications. Transition metal phosphides 2H-M 2Ps (Mo2P, W2P, Nb2P and Ta2P) show considerable catalytic activity and energy storage potential. However, the electronic structure and mechanical properties of 2D 2H-M 2Ps are still unrevealed. Here, first-principles calculations are employed to investigate the lattice dynamics, elasticity and thermodynamic properties of 2H-M 2Ps. Results show that M 2Ps with lower stiffness exhibit remarkable lateral deformation under unidirectional loads. Due to the largest average Grüneisen parameter, single-layer Nb2P has the strongest anharmonic vibrations, resulting in the highest thermal expansion coefficient. The lattice thermal conductivities of Ta2P, W2P and Nb2P contradict classical theory, which would predict a smaller thermal conductivity due to the much heavier atom mass. Moreover, the calculations also demonstrate that the thermal conductivity of Ta2P is the highest as well as the lowest thermal expansion, owing to its weak anharmonic phonon scattering and the lowest average Grüneisen parameter. The insight provided by this study may be useful for future experimental and theoretical studies concerning 2D transition metal phosphide materials.
Vortex phase diagram of the layered superconductor Cu0.03TaS2 for H is parallel to c.
Zhu, X D; Lu, J C; Sun, Y P; Pi, L; Qu, Z; Ling, L S; Yang, Z R; Zhang, Y H
2010-12-22
The magnetization and anisotropic electrical transport properties have been measured in high quality Cu(0.03)TaS(2) single crystals. A pronounced peak effect has been observed, indicating that high quality and homogeneity are vital to the peak effect. A kink has been observed in the magnetic field, H, dependence of the in-plane resistivity ρ(ab) for H is parallel to c, which corresponds to a transition from activated to diffusive behavior of the vortex liquid phase. In the diffusive regime of the vortex liquid phase, the in-plane resistivity ρ(ab) is proportional to H(0.3), which does not follow the Bardeen-Stephen law for free flux flow. Finally, a simplified vortex phase diagram of Cu(0.03)TaS(2) for H is parallel to c is given.
Production and Precipitation Hardening of Beta-Type Ti-35Nb-10Cu Alloy Foam for Implant Applications
NASA Astrophysics Data System (ADS)
Mutlu, Ilven; Yeniyol, Sinem; Oktay, Enver
2016-04-01
In this study, beta-type Ti-35Nb-10Cu alloy foams were produced by powder metallurgy method for dental implant applications. 35% Nb was added to stabilize the beta-Ti phase with low Young's modulus. Cu addition enhanced sinterability and gave precipitation hardening capacity to the alloy. Sintered specimens were precipitation hardened in order to enhance the mechanical properties. Electrochemical corrosion behavior of the specimens was examined by electrochemical impedance spectroscopy in artificial saliva. Electrochemical impedance spectroscopy results indicated that the oxide film on the surface of foam is a bi-layer structure consisting of outer porous layer and inner barrier layer. Impedance values of barrier layer were higher than porous layer. Corrosion resistance of specimens decreased at high fluoride concentrations and at low pH of artificial saliva. Corrosion resistance of alloys was slightly decreased with aging. Mechanical properties, microstructure, and surface roughness of the specimens were also examined.
Effect of Substitution (Ta, Al, Ga) on the Conductivity of Li7La3Zr2O12
2012-01-30
xTax012). Conductivity data was not included in their report. Similarly to Ta, Nb substitution for Zr should also lower the Li content of the LLZO and a...high Li ion conductivity (0.8 mS cm−1 at 298 K) cubic garnet sample has been reported with Nb substitution for Zr by Ohta et al. [15]. However, Ta is...substitution for Zr follows this approach and it is desirable for a couple rea- sons. First, Ta is stable relative to Li [13]. Second, Ta substitution
Osiceanu, Petre; Gloriant, Thierry
2015-01-01
The influence of gas nitriding surface treatment on the superelastic Ti-23Nb-0.7Ta-2Zr-0.5N alloy was evaluated. A thorough characterization of bare and nitrided Ti-based alloy and pure Ti was performed in terms of surface film composition and morphology, electrochemical behaviour, and short term osteoblast response. XPS analysis showed that the nitriding treatment strongly influenced the composition (nitrides and oxynitrides) and surface properties both of the substrate and of the bulk alloy. SEM images revealed that the nitrided surface appears as a similar dotted pattern caused by the formation of N-rich domains coexisting with less nitrided domains, while before treatment only topographical features could be observed. All the electrochemical results confirmed the high chemical stability of the nitride and oxynitride coating and the superiority of the applied treatment. The values of the corrosion parameters ascertained the excellent corrosion resistance of the coated alloy in the real functional conditions from the human body. Cell culture experiments with MG63 osteoblasts demonstrated that the studied biomaterials do not elicit any toxic effects and support cell adhesion and enhanced cell proliferation. Altogether, these data indicate that the nitrided Ti-23Nb-0.7Ta-2Zr-0.5N alloy is the most suitable substrate for application in bone implantology. PMID:26583096
NASA Astrophysics Data System (ADS)
Chang, Yunfei; Yang, Zupei; Ma, Difei; Liu, Zonghuai; Wang, Zenglin
2009-03-01
(KxNa0.96-xLi0.04)(Nb0.85Ta0.15)O3 lead-free piezoelectric ceramics were produced by conventional solid-state reaction method. The effects of K/Na ratio on the phase transitional behavior, Raman spectrum, microstructure, and dielectric, piezoelectric, and ferroelectric properties of the ceramics have been investigated. The phase structure of the ceramics undergoes a transition from orthorhombic to tetragonal phase with increasing x. A double-degenerate symmetric O-Nb-O stretching vibration v1 and a triply degenerate symmetric O-Nb-O bending vibration v5 are detected as relatively strong scattering in the Raman spectra. The peak shifts of v5 and v1 modes all have a discontinuity with x between 0.42 and 0.46, which may suggest the coexistence of orthorhombic and tetragonal phases in this range. Properly modifying x reduces the sintering temperature, promotes the grain growth behavior, and improves the density of the ceramics. The polymorphic phase transition (at To -t) is shifted to near room temperature by increasing x to 0.44 (K/Na ratio of about 0.85:1), and the coexistence of orthorhombic and tetragonal phases in the ceramics at x =0.44 results in the optimized electrical properties (d33=291 pC/N, kp=0.54, ɛr=1167, tan δ=0.018, To -t=35 °C, TC=351 °C, Pr=27.65 μC/cm2, and Ec=8.63 kV/cm). The results show that the equal K/Na ratio is not an essential condition in obtaining optimized electrical properties in (KxNa0.96-xLi0.04)(Nb0.85Ta0.15)O3 ceramics.
Wei, Haoshan; Xie, Kui; Zhang, Jun; Zhang, Yong; Wang, Yan; Qin, Yongqiang; Cui, Jiewu; Yan, Jian; Wu, Yucheng
2014-01-01
In this paper, we report the in situ growth of NixCu1-x (x = 0, 0.25, 0.50, 0.75 and 1.0) alloy catalysts to anchor and decorate a redox-reversible Nb1.33Ti0.67O4 ceramic substrate with the aim of tailoring the electrocatalytic activity of the composite materials through direct exsolution of metal particles from the crystal lattice of a ceramic oxide in a reducing atmosphere at high temperatures. Combined analysis using XRD, SEM, EDS, TGA, TEM and XPS confirmed the completely reversible exsolution/dissolution of the NixCu1-x alloy particles during the redox cycling treatments. TEM results revealed that the alloy particles were exsolved to anchor onto the surface of highly electronically conducting Nb1.33Ti0.67O4 in the form of heterojunctions. The electrical properties of the nanosized NixCu1-x/Nb1.33Ti0.67O4 were systematically investigated and correlated to the electrochemical performance of the composite electrodes. A strong dependence of the improved electrode activity on the alloy compositions was observed in reducing atmospheres at high temperatures. Direct electrolysis of CO2 at the NixCu1-x/Nb1.33Ti0.67O4 composite cathodes was investigated in solid-oxide electrolysers. The CO2 splitting rates were observed to be positively correlated with the Ni composition; however, the Ni0.75Cu0.25 combined the advantages of metallic nickel and copper and therefore maximised the current efficiencies. PMID:24889679
TaEDS1 genes positively regulate resistance to powdery mildew in wheat.
Chen, Guiping; Wei, Bo; Li, Guoliang; Gong, Caiyan; Fan, Renchun; Zhang, Xiangqi
2018-04-01
Three EDS1 genes were cloned from common wheat and were demonstrated to positively regulate resistance to powdery mildew in wheat. The EDS1 proteins play important roles in plant basal resistance and TIR-NB-LRR protein-triggered resistance in dicots. Until now, there have been very few studies on EDS1 in monocots, and none in wheat. Here, we report on three common wheat orthologous genes of EDS1 family (TaEDS1-5A, 5B and 5D) and their function in powdery mildew resistance. Comparisons of these genes with their orthologs in diploid ancestors revealed that EDS1 is a conserved gene family in Triticeae. The cDNA sequence similarity among the three TaEDS1 genes was greater than 96.5%, and they shared sequence similarities of more than 99.6% with the respective orthologs from diploid ancestors. The phylogenetic analysis revealed that the EDS1 family originated prior to the differentiation of monocots and dicots, and EDS1 members have since undergone clear structural differentiation. The transcriptional levels of TaEDS1 genes in the leaves were obviously higher than those of the other organs, and they were induced by Blumeria graminis f. sp. tritici (Bgt) infection and salicylic acid (SA) treatment. The BSMV-VIGS experiments indicated that knock-down the transcriptional levels of the TaEDS1 genes in a powdery mildew-resistant variety of common wheat compromised resistance. Contrarily, transient overexpression of TaEDS1 genes in a susceptible common wheat variety significantly reduced the haustorium index and attenuated the growth of Bgt. Furthermore, the expression of TaEDS1 genes in the Arabidopsis mutant eds1-1 complemented its susceptible phenotype to powdery mildew. The above evidences strongly suggest that TaEDS1 acts as a positive regulator and confers resistance against powdery mildew in common wheat.
Deformation Mechanisms and Biocompatibility of the Superelastic Ti-23Nb-0.7Ta-2Zr-0.5N Alloy
NASA Astrophysics Data System (ADS)
Castany, P.; Gordin, D. M.; Drob, S. I.; Vasilescu, C.; Mitran, V.; Cimpean, A.; Gloriant, T.
2016-03-01
In this study, we have synthesized a new Ti-23Nb-0.7Ta-2Zr-0.5N alloy composition with the aim to obtain useful mechanical properties to be used in medicine such as high strength, good superelastic property, low modulus, and large ductility. Thus, mechanical properties including superelasticity and plasticity were investigated in relation with the different deformation mechanisms observed (stress-induced martensitic transformation, twinning and dislocation slip). On the other hand, the corrosion resistance in simulated body fluid (Ringer solution) and the in vitro cell behavior (MG63 human osteoblasts) of such biomedical alloy were also evaluated in order to assess its biocompatibility.
Planar Metal-Insulator-Metal Diodes Based on the Nb/Nb2O5/X Material System
2013-10-01
high -quality Nb2O5 insulator can be grown reproducibly as a pinhole-free, uniform layer on top of the Nb using an anodic oxidation process under atmos...harvesting applications. Six cathode materials (M2): Nb, Ag, Cu, Ni, Au, and Pt are studied in conjunction with Nb as the anode (M1) and Nb2O5 (I) as the...are studied in conjunction with Nb as the anode (M1) and Nb2O5 (I) as the dielectric. The cathode materials selections were based on results from a
The oxidation of TaBe sub 12 and NbBe sub 12 coatings on niobium
DOE Office of Scientific and Technical Information (OSTI.GOV)
Courtright, E.L.
1990-01-01
The oxidation behavior of tantalum and niobium beryllide coatings on niobium were evaluated. Intermetallic bond layers consisting of Ir{sub 3}Ta and Ir{sub 3}Nb were used to butter the large thermal expansion mismatch between the beryllide coatings and underlying niobium substrate. All coatings were applied by Triode Sputtering except for a final environmental protection layer of stabilized zirconia deposited by RF Diode using a ceramic target. Severe delamination and spalling occurred during cyclic oxidation exposure, even at temperatures as low as 925{degrees}C, indicating that the bond layer did not prevent the differential expansion stresses from reaching the delamination failure threshold, particularlymore » at the edges and corners. Hot pressed samples of the two beryllide compounds were also exposed to a similar cyclic oxidation history, but, in contrast to the coatings, exhibited excellent oxidation resistance to temperatures as high as 1370{degrees}C. 9 refs., 8 figs., 1 tab.« less
Veige, Adam S; Slaughter, LeGrande M; Lobkovsky, Emil B; Wolczanski, Peter T; Matsunaga, Nikita; Decker, Stephen A; Cundari, Thomas R
2003-10-06
Deoxygenations of (silox)(3)WNO (12) and R(3)PO (R = Me, Ph, (t)Bu) by M(silox)(3) (1-M; M = V, NbL (L = PMe(3), 4-picoline), Ta; silox = (t)Bu(3)SiO) reflect the consequences of electronic effects enforced by a limiting steric environment. 1-Ta rapidly deoxygenated R(3)PO (23 degrees C; R = Me (DeltaG degrees (rxn)(calcd) = -47 kcal/mol), Ph) but not (t)Bu(3)PO (85 degrees, >2 days), and cyclometalation competed with deoxygenation of 12 to (silox)(3)WN (11) and (silox)(3)TaO (3-Ta; DeltaG degrees (rxn)(calcd) = -100 kcal/mol). 1-V deoxygenated 12 slowly and formed stable adducts (silox)(3)V-OPR(3) (3-OPR(3)) with OPR(3). 1-Nb(4-picoline) (S = 0) and 1-NbPMe(3) (S = 1) deoxygenated R(3)PO (23 degrees C; R = Me (DeltaG degrees (rxn)(calcd from 1-Nb) = -47 kcal/mol), Ph) rapidly and 12 slowly (DeltaG degrees (rxn)(calcd) = -100 kcal/mol), and failed to deoxygenate (t)Bu(3)PO. Access to a triplet state is critical for substrate (EO) binding, and the S --> T barrier of approximately 17 kcal/mol (calcd) hinders deoxygenations by 1-Ta, while 1-V (S = 1) and 1-Nb (S --> T barrier approximately 2 kcal/mol) are competent. Once binding occurs, significant mixing with an (1)A(1) excited state derived from population of a sigma-orbital is needed to ensure a low-energy intersystem crossing of the (3)A(2) (reactant) and (1)A(1) (product) states. Correlation of a reactant sigma-orbital with a product sigma-orbital is required, and the greater the degree of bending in the (silox)(3)M-O-E angle, the more mixing energetically lowers the intersystem crossing point. The inability of substrates EO = 12 and (t)Bu(3)PO to attain a bent 90 degree angle M-O-E due to sterics explains their slow or negligible deoxygenations. Syntheses of relevant compounds and ramifications of the results are discussed. X-ray structural details are provided for 3-OPMe(3) (90 degree angle V-O-P = 157.61(9) degrees), 3-OP(t)Bu(3) ( 90 degree angle V-O-P = 180 degrees ), 1-NbPMe(3), and (silox)(3)ClWO (9).
Multiple deformation mechanisms of Ti-22.4Nb-0.73Ta-2.0Zr-1.34O alloy
NASA Astrophysics Data System (ADS)
Yang, Y.; Li, G. P.; Cheng, G. M.; Li, Y. L.; Yang, K.
2009-02-01
Ti-22.4Nb-0.73Ta-2.0Zr-1.34O (at. %) alloy after cold compression to ˜5.2% strain was investigated. The alloy exhibited multiple plastic deformation mechanisms, including the stress-induced α″ martensitic (SIM α″) and ω phase transformations, 1/2⟨111⟩ dislocations slipping on the {112}β planes as well as {332}⟨113⟩β and {112}⟨111⟩β twinning, which have not previously been reported to coexist in a titanium alloy. It was also found that β phase with the {200} planes vertical to the compression direction was almost completely consumed away by a β →SIM α″ transformation, and a (100) texture of SIM α″ formed.
von Rohr, Fabian; Winiarski, Michał J.; Tao, Jing; Klimczuk, Tomasz; Cava, Robert Joseph
2016-01-01
High-entropy alloys are made from random mixtures of principal elements on simple lattices, stabilized by a high mixing entropy. The recently discovered body-centered cubic (BCC) Ta-Nb-Hf-Zr-Ti high-entropy alloy superconductor appears to display properties of both simple crystalline intermetallics and amorphous materials; e.g., it has a well-defined superconducting transition along with an exceptional robustness against disorder. Here we show that the valence electron count dependence of the superconducting transition temperature in the high-entropy alloy falls between those of analogous simple solid solutions and amorphous materials and test the effect of alloy complexity on the superconductivity. We propose high-entropy alloys as excellent intermediate systems for studying superconductivity as it evolves between crystalline and amorphous materials. PMID:27803330
von Rohr, Fabian; Winiarski, Michał J; Tao, Jing; Klimczuk, Tomasz; Cava, Robert Joseph
2016-11-15
High-entropy alloys are made from random mixtures of principal elements on simple lattices, stabilized by a high mixing entropy. The recently discovered body-centered cubic (BCC) Ta-Nb-Hf-Zr-Ti high-entropy alloy superconductor appears to display properties of both simple crystalline intermetallics and amorphous materials; e.g., it has a well-defined superconducting transition along with an exceptional robustness against disorder. Here we show that the valence electron count dependence of the superconducting transition temperature in the high-entropy alloy falls between those of analogous simple solid solutions and amorphous materials and test the effect of alloy complexity on the superconductivity. We propose high-entropy alloys as excellent intermediate systems for studying superconductivity as it evolves between crystalline and amorphous materials.
Influence of cluster–support interactions on reactivity of size-selected Nb xO y clusters
Nakayama, Miki; Xue, Meng; An, Wei; ...
2015-04-17
Size-selected niobium oxide nanoclusters (Nb 3O 5, Nb 3O 7, Nb 4O 7, and Nb 4O 10) were deposited at room temperature onto a Cu(111) surface and a thin film of Cu 2O on Cu(111), and their interfacial electronic interactions and reactivity toward water dissociation were examined. These clusters were specifically chosen to elucidate the effects of the oxidation state of the metal centers; Nb 3O 5 and Nb 4O 7 are the reduced counterparts of Nb 3O 7 and Nb 4O 10, respectively. From two-photon photoemission spectroscopy (2PPE) measurements, we found that the work function increases upon cluster adsorptionmore » in all cases, indicating a negative interfacial dipole moment with the positive end pointing into the surface. The amount of increase was greater for the clusters with more metal centers and higher oxidation state. Additional analysis with DFT calculations of the clusters on Cu(111) indicated that the reduced clusters donate electrons to the substrate, indicating that the intrinsic cluster dipole moment makes a larger contribution to the overall interfacial dipole moment than charge transfer. X-ray photoelectron spectroscopy (XPS) measurements showed that the Nb atoms of Nb 3O 7 and Nb 4O 10 are primarily Nb 5+ on Cu(111), while for the reduced Nb 3O 5 and Nb 4O 7 clusters, a mixture of oxidation states was observed on Cu(111). Temperature-programmed desorption (TPD) experiments with D 2O showed that water dissociation occurred on all systems except for the oxidized Nb 3O 7 and Nb 4O 10 clusters on the Cu 2O film. A comparison of our XPS and TPD results suggests that Nb 5+ cations associated with Nb=O terminal groups act as Lewis acid sites which are key for water binding and subsequent dissociation. TPD measurements of 2-propanol dehydration also show that the clusters active toward water dissociation are indeed acidic. DFT calculations of water dissociation on Nb 3O 7 support our TPD results, but the use of bulk Cu 2O(111) as a model for the Cu 2O film merits
DOE Office of Scientific and Technical Information (OSTI.GOV)
Borza, F., E-mail: fborza@phys-iasi.ro; Lupu, N.; Dobrea, V.
2015-05-07
Ferromagnetic Fe-Ni-Co-Al-(Ta,Nb)-B microwires with diameters from 170 μm to 50 μm, which possess both superelastic and good magnetic properties, have been prepared by rapid quenching from the melt using the in rotating water spinning technique followed by cold-drawing and ageing. The cold-drawing and annealing processes lead to the initialization of premartensitic phases as confirmed by the X-ray diffraction and scanning transmission electron microscopic investigations, more significantly in the 50 μm cold-drawn microwires. An increase in the coercive field and in the saturation magnetization has been obtained by annealing, more importantly in the case of Nb-containing alloy. Ageing by thermal or current annealing ledmore » to the initialization of the superelastic effect. High values of strain of up to 1.8%, very good repeatability under successive loading, and values of superelastic effect of up to 1.2% have been achieved. The structural analysis coupled with the stress-strain data suggests that these materials annealed at 800 °C have superelastic potential at reduced ageing times. The magnetic behavior was found to be easily tailored through both thermal and thermomagnetic treatments with changes in the magnetic parameters which can be contactless detected. The results are important for future applications where both mechanical and magnetic properties matter, i.e., sensing/actuating systems.« less
Nonvolatile memories using deep traps formed in HfO2 by Nb ion implantation
NASA Astrophysics Data System (ADS)
Choul Kim, Min; Oh Kim, Chang; Taek Oh, Houng; Choi, Suk-Ho; Belay, K.; Elliman, R. G.; Russo, S. P.
2011-03-01
We report nonvolatile memories (NVMs) based on deep-energy trap levels formed in HfO2 by metal ion implantation. A comparison of Nb- and Ta-implanted samples shows that suitable charge-trapping centers are formed in Nb-implanted samples, but not in Ta-implanted samples. This is consistent with density-functional theory calculations which predict that only Nb will form deep-energy levels in the bandgap of HfO2. Photocurrent spectroscopy exhibits characteristics consistent with one of the trap levels predicted in these calculations. Nb-implanted samples showing memory windows in capacitance-voltage (V) curves always exhibit current (I) peaks in I-V curves, indicating that NVM effects result from deep traps in HfO2. In contrast, Ta-implanted samples show dielectric breakdowns during the I-V sweeps between 5 and 11 V, consistent with the fact that no trap levels are present. For a sample implanted with a fluence of 1013 Nb cm-2, the charge losses after 104 s are ˜9.8 and ˜25.5% at room temperature (RT) and 85°C, respectively, and the expected charge loss after 10 years is ˜34% at RT, very promising for commercial NVMs.
TEM study on a new Zr-(Fe, Cu) phase in furnace-cooled Zr-1.0Sn-0.3Nb-0.3Fe-0.1Cu alloy
NASA Astrophysics Data System (ADS)
Liu, Yushun; Qiu, Risheng; Luan, Baifeng; Hao, Longlong; Tan, Xinu; Tao, Boran; Zhao, Yifan; Li, Feitao; Liu, Qing
2018-06-01
A new Zr-(Fe, Cu) phase was found in furnace-cooled Zr-1.0Sn-0.3Nb-0.3Fe- 0.1Cu alloy and alloys aged at 580 °C for 10min, 2 h and 10 h. Electron diffraction experiment shows the crystal structure of this phase to be body-centered tetragonal with unit cell dimensions determined to be a = b = 6.49 Å, c = 5.37 Å. Its possible space groups have been discussed and the reason accounting for its formation is believed to be the addition of Cu according to the atom-level images. In addition, no crystal structural or chemical composition changes were observed throughout the aging process.
Growth and photo-response of NbSe2 and NbS2 crystals
NASA Astrophysics Data System (ADS)
Patel, Kunjal; Solanki, G. K.; Pataniya, Pratik; Patel, K. D.
2018-05-01
Transition metal dichalcogenides(TMDCs) have attracted intense research efforts due to their drastic properties change as we move towards ultra-thin crystalline layers from their bulk counterparts. Many well studied members of this family such as MoS2, WS2, WSe2, WS2 etc. have shown potential for flexible electronic devices including photovoltaic applications. The TMDCs like NbSe2 and NbS2 are relatively less studied layered compounds consisting of staked sandwiches of Se-Nb-Se/S-Nb-Se tri-layers with strong covalent/ionic intra layer bonds and weak Van der Waals interlayer interactions. In the present work, author have grown the crystals of NbSe2 and NbS2 by Direct Vapour Transport (DVT) technique and the material composition is confirmed using EDAX data. Photoelectrochemical (PEC) solar cell measurements are performed under monochromatic light illumination at different intensities and various solar cell parameters are calculated. These crystalline semiconductor electrodes were also analysed by photocurrent-voltage characteristics in a PEC solar cell structure (Cu/NbSe2/(0.1M K4Fe(CN)6 + 0.1M K3Fe(CN)6) and Cu/NbS2/(0.1M K4Fe(CN)6 +0.1M K3Fe(CN)6)). Blue coloured light gave the maximum efficiency. For further analysis of photodetection properties of the grown crystals, Ag painted broad low contact resistance electrical contacts were drawn from the crystals and its transient photoresponse was studied to evaluate different detector parameters.
NASA Astrophysics Data System (ADS)
Niu, Yaoling; O'Hara, Michael J.
2009-09-01
We have examined the high quality data of 306 mid-ocean ridge basalt (MORB) glass samples from the East Pacific Rise (EPR), near-EPR seamounts, Pacific Antarctic Ridge (PAR), near-PAR seamounts, Mid-Atlantic Ridge (MAR), and near-MAR seamounts. The data show a correlated variation between Eu/Eu* and Sr/Sr*, and both decrease with decreasing MgO, pointing to the effect of plagioclase crystallization. The observation that samples with MgO > 9.5 wt.% (before plagioclase on the liquidus) show Eu/Eu* > 1 and Sr/Sr* > 1 and that none of the major phases (i.e., olivine, orthopyroxene, clinopyroxene, spinel and garnet) in the sub-ridge mantle melting region can effectively fractionate Eu and Sr from otherwise similarly incompatible elements indicates that the depleted MORB mantle (DMM) possesses excess Sr and Eu, i.e., [Sr/Sr*]DMM > 1 and [Eu/Eu*]DMM > 1. Furthermore, the well-established observation that DNb ≈ DTh, DTa ≈ DU and DTi ≈ DSm during MORB mantle melting, yet primitive MORB melts all have [Nb/Th]PMMORB > 1, [Ta/U]PMMORB > 1 and [Ti/Sm]PMMORB > 1 (where PM indicates primitive mantle normalized), also points to the presence of excess Nb, Ta and Ti in the DMM, i.e., [Nb/Th]PMDMM > 1, [Ta/U]PMDMM > 1 and [Ti/Sm]PMDMM > 1. The excesses of Eu, Sr, Nb, Ta and Ti in the DMM complement the well-known deficiencies of these elements in the bulk continental crust (BCC). These new observations, which support the notion that the DMM and BCC are complementary in terms of the overall abundances of incompatible elements, offer new insights into the crust-mantle differentiation. These observations are best explained by partial melting of amphibolite of MORB protolith during continental collision, which produces andesitic melts with a remarkable compositional (major and trace element abundances as well as key elemental ratios) similarity to the BCC, as revealed by andesites in southern Tibet produced during the India-Asia continental collision. An average amphibolite of MORB
Oxidation Behavior of GRCop-84 (Cu-8Cr-4Nb) at Intermediate and High Temperatures
NASA Technical Reports Server (NTRS)
Thomas-Ogbuji, Linus U.; Humphrey, Donald L.; Greenbauer-Seng, Leslie (Technical Monitor)
2000-01-01
The oxidation behavior of GRCop-84 (Cu-8 at %Cr-4 at %Nb) has been investigated in air and in oxygen, for durations of 0.5 to 50 hours and temperatures ranging from 500 to 900 C. For comparison, data was also obtained for the oxidation of Cu and NARloy-Z (Cu-3 wt% Ag-0.5 wt% Zr) under the same conditions. Arrhenius plots of those data showed that all three materials had similar oxidation rates at high temperatures (> 750 C). However, at intermediate temperatures (500 to 750 C) GRCop exhibited significantly higher oxidation resistance than Cu and NARloy-Z. The oxidation kinetics of GRCop-84 exhibited a sharp and discontinuous jump between the two regimes. Also, in the high temperature regime GRCop-84 oxidation rate was found to change from a high initial value to a significantly smaller terminal value at each temperature, with progress of oxidation; the two different oxidation rates were found to correlate with a porous intial oxide and a dense final oxide, respectively.
Characterization of a High Strength, Refractory High Entropy Alloy, AlMo0.5NbTa0.5TiZr
NASA Astrophysics Data System (ADS)
Jensen, Jacob
High entropy alloys (HEAs) are a relatively new class of materials that have garnered significant interest over the last decade due to their intriguing balance of properties including high strength, toughness, and corrosion resistance. In contrast to conventional alloy systems, HEAs are based on four or more principal elements with near equimolar concentrations and tend to have simple microstructures due to the preferential formation of solid solution phases. HEAs appear to offer new pathways to lightweighting in structural applications, new alloys for elevated temperature components, and new magnetic materials, but more thorough characterization studies are needed to assess the viability of the recently developed multicomponent materials. One such HEA, AlMo0.5NbTa0.5TiZr, was selected to be the basis for this characterization study in part due to its strength at elevated temperatures (sigma0.2 = 1600 MPa at T = 800 °C) and low density compared with commercially available Ni-based superalloys. The refractory element containing HEA composition was developed in order to balance the high temperature strength of the refractory elements with the desirable properties achieved by the high entropy alloying design approach for potential use in aerospace thermal protection and structural applications. Ingots of AlMo0.5NbTa0.5TiZr were cast by vacuum arc melting followed by hot isostatic pressing (HIP) and homogenization at 1400 °C for 24 hrs with a furnace cool of 10 °C/min. The resulting microstructure was characterized at multiple length scales using x-ray diffraction (XRD), scanning transmission electron microscopy (SEM), conventional and scanning transmission electron microscopy (TEM and STEM), and x-ray energy dispersive spectroscopy (XEDS). The microstructure was found to consist of a periodic, coherent two phase mixture, where a disordered bcc phase is aligned orthogonally in an ordered B2 phase. Through microstructural evolution heat treatment studies, the
DOE Office of Scientific and Technical Information (OSTI.GOV)
von Rohr, Fabian; Winiarski, Michał J.; Tao, Jing
High-entropy alloys are made from random mixtures of principal elements on simple lattices, stabilized by a high mixing entropy. The recently discovered body-centered cubic (BCC) Ta-Nb-Hf-Zr-Ti high-entropy alloy superconductor appears to display properties of both simple crystalline intermetallics and amorphous materials; e.g., it has a well-defined superconducting transition along with an exceptional robustness against disorder. Here we show that the valence electron count dependence of the superconducting transition temperature in the high-entropy alloy falls between those of analogous simple solid solutions and amorphous materials and test the effect of alloy complexity on the superconductivity. We propose high-entropy alloys as excellentmore » intermediate systems for studying superconductivity as it evolves between crystalline and amorphous materials.« less
von Rohr, Fabian; Winiarski, Michał J.; Tao, Jing; ...
2016-11-01
High-entropy alloys are made from random mixtures of principal elements on simple lattices, stabilized by a high mixing entropy. The recently discovered body-centered cubic (BCC) Ta-Nb-Hf-Zr-Ti high-entropy alloy superconductor appears to display properties of both simple crystalline intermetallics and amorphous materials; e.g., it has a well-defined superconducting transition along with an exceptional robustness against disorder. Here we show that the valence electron count dependence of the superconducting transition temperature in the high-entropy alloy falls between those of analogous simple solid solutions and amorphous materials and test the effect of alloy complexity on the superconductivity. We propose high-entropy alloys as excellentmore » intermediate systems for studying superconductivity as it evolves between crystalline and amorphous materials.« less
Superconductivity in Cage Compounds LaTr2Al20 with Tr = Ti, V, Nb, and Ta
NASA Astrophysics Data System (ADS)
Yamada, Akira; Higashinaka, Ryuji; Matsuda, Tatsuma D.; Aoki, Yuji
2018-03-01
Electrical resistivity, magnetic susceptibility, and specific heat measurements on single crystals of LaTr2Al20 (Tr = Ti, V, Nb, and Ta) revealed that these four compounds exhibit weak-coupling superconductivity with transition temperatures Tc = 0.46, 0.15, 1.05, and 1.03 K, respectively. LaTi2Al20 is most probably a type-I superconductor, which is quite rare among intermetallic compounds. Single-crystal X-ray diffraction suggests "rattling" anharmonic large-amplitude oscillations of Al ions (16c site) on the Al16 cage, while no such feature is suggested for the cage-center La ion. Using a parameter dGFS quantifying the "guest free space" of the cage-center ion, we demonstrate that nonmagnetic RTr2Al20 superconductors are classified into two groups, i.e., (A) dGFS ≠ 0 and Tc correlates with dGFS, and (B) dGFS ≃ 0 and Tc seems to be governed by other factors.
(K, Na, Li)(Nb, Ta)O3:Mn lead-free single crystal with high piezoelectric properties
Huo, Xiaoqing; Zhang, Rui; Zheng, Limei; Zhang, Shujun; Wang, Rui; Wang, Junjun; Sang, Shijing; Yang, Bin; Cao, Wenwu
2016-01-01
Lead-free single crystal, (K, Na, Li)(Nb, Ta)O3:Mn, was successfully grown using top-seeded solution growth method. Complete matrix of dielectric, piezoelectric and elastic constants for [001]C poled single crystal was determined. The piezoelectric coefficient d33 measured by the resonance method was 545 pC/N, which is almost three times that of its ceramic counterpart. The values measured by the Berlincourt meter ( d33∗=630pC/N) and strain-field curve ( d33∗∗=870pm/V) were even higher. The differences were assumed to relate with the different extrinsic contributions of domain wall vibration and domain wall translation during the measurements by different approaches, where the intrinsic contribution (on the order of 539 pm/V) was supposed to be the same. The crystal has ultrahigh electromechanical coupling factor (k33 ~ 95%) and high ultrasound velocity, which make it promising for high frequency medical transducer applications. PMID:27594704
Park, Heesoo; Alharbi, Fahhad H; Sanvito, Stefano; Tabet, Nouar; El-Mellouhi, Fedwa
2018-03-19
In the quest for nontoxic and stable perovskites for solar cells, we have conducted a systematic study of the effect of chalcogen content in oxychalcogenide perovskite by using DFT and quasi-particle perturbation theory. We explored the changes in the electronic structure due to the substitution of O atoms in NaNbO 3 and NaTaO 3 perovskite structures with various chalcogens (S, Se, Te) at different concentrations. Interestingly, the introduction of the chalcogen atoms resulted in a drastic reduction in the electronic band gap, which made some of the compounds fall within the visible range of the solar spectrum. In addition, our analysis of the electronic structure shows that the optical transition becomes direct as a result of the strong hybridization between the orbitals of the transition metal and those of the chalcogen ion, in contrast to the indirect band feature of NaNbO 3 and NaTaO 3 . We identified candidates with a high theoretical solar conversion efficiency that approached the Shockley-Queisser limit, which makes them suitable for thin-film solar cell applications. The present work serves as a guideline for experimental efforts by identifying the chalcogen content that should be targeted during the synthetic route of thermodynamically stable and strongly photoactive absorbers for oxychalcogenide perovskites in thin-film solar cells. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.
NASA Astrophysics Data System (ADS)
Huang, Yulin; Bao, Jingfu; Li, Xinyi; Zhang, Benfeng; Omori, Tatsuya; Hashimoto, Ken-ya
2018-07-01
This paper describes extraction of parameters of an extended coupling-of-modes (COM) model including coupling between Rayleigh and shear-horizontal (SH) surface acoustic waves (SAW) on the SiO2-overlay/Cu-grating/LiNbO3-substrate structure. First, dispersion characteristics of two SAWs are calculated by the finite element method (FEM), and are fitted with those given by the extended COM. Then variation of COM parameters is expressed in polynomials in terms of the SiO2 and Cu thicknesses and the rotation angle Θ of LiNbO3. Then it is shown how the optimal Θ giving the SH SAW suppression changes with the thicknesses. The result agrees well with that obtained directly by FEM. It is also shown the optimal Θ changes abruptly at certain Cu thickness, and is due to decoupling between two SAW modes.
Electromigration in epitaxial Cu(001) lines
NASA Astrophysics Data System (ADS)
Ramanath, G.; Kim, H.; Goindi, H. S.; Frederick, M. J.; Shin, C.-S.; Goswami, R.; Petrov, I.; Greene, J. E.
2002-04-01
We report the electromigration (EM) response of single-domain epitaxial Cu(001) lines on layers of Ta, TaN, and TiN. Epitaxial Cu(001) lines on nitride layers exhibit nearly two orders of magnitude higher mean-time-to-failure (MTTF) values than those on Ta, indicating the strong influence of the underlayer. The activation energy of EM for Cu on the nitrides is ˜0.8-1.2 eV, and that of Cu on Ta is ˜0.2 eV, for 200-300 °C. Our results also indicate that the MTTF values correlate inversely to the crystal quality of the Cu layers measured by X-ray diffraction. The EM resistance of epitaxial Cu lines with different crystal quality on TaN were measured to separate the effects of interface chemistry and crystal quality. While higher quality epitaxial films reveal a higher EM resistance, the magnitude of the change is smaller than that obtained by changing the interface chemistry. Epitaxial lines exhibit more than 3-4 orders of magnitude higher MTTF than polycrystalline lines on the same underlayer. Based upon our results, we propose that the Cu/underlayer interface chemistry and presence of grain boundary diffusion play important roles in unpassivated Cu films.
NASA Astrophysics Data System (ADS)
Vequizo, Junie Jhon M.; Yokoyama, Masanori; Ichimura, Masaya; Yamakata, Akira
2016-06-01
Tin sulfide (SnS) fine photoelectrodes fabricated by three-step pulsed electrodeposition were active for H2 evolution. The incident-photon-conversion-efficiency increases from 900 nm and offers a good fit with the absorption spectrum. The activity was enhanced by 3.4, 3.0, and 1.8 times compared to bare SnS by loading Nb2O5, TiO2, and Ta2O5, respectively. Nb2O5 was most efficient because its conduction band is low enough to facilitate effective electron transfer from SnS; it also has sufficiently high potential for H2 evolution. The overall activity is determined by the competitive interfacial electron transfer between SnS/metal-oxide and metal-oxide/water. Therefore, constructing appropriate heterojunctions is necessary for further improving photoelectrochemical systems.
Liu, Yulu; Su, Yiguo; Han, Hui; Wang, Xiaojing
2013-02-01
Effects of copper cations doping into wide band gap semiconductor photocatalysts of tantalate on morphology, visible light response, and photocatalytic performance were studied. A series of Cu-doped NaTaO3 catalysts were prepared by hydrothermal method. XRD and XPS results suggested that copper were successfully doped into the NaTaO3 nanocrystal in the Cu2+ state. TEM studies showed the formation of the cube shape nanoparticles of NaTaO3 as well as Cu-doped NaTaO3. UV-Vis diffuse reflectance spectra clearly indicated the red-shift in the series of copper doped NaTaO3 catalysts, resulting in a decrease in the band gap of NaTaO3. The trend of red shift was increased with an increase of copper doping concentration, whereas the photo-degradation methylene blue (MB) is not improved by the doping of copper ions. The simulation of energy band structure by density functional theory unfolded that the substitution of Ta5+ ions by Cu2+ ions results in forming an intermediate band (IB) upper the top of the valence band (VB), which is mainly attributed to the state of Cu 3d. The intermediate band is responsible for the red-shift caused by the doping of Cu ions. Meanwhile Cu species can become the recombination centers of photoinduced electrons and holes. Thus, the quickly recombination of e(-)h(+) pairs is one of the most significant factors which deteriorate the photoactivity of Cu-doped NaTaO3.
Core-Shell Structure of Intermediate Precipitates in a Nb-Based Z-Phase Strengthened 12% Cr Steel.
Rashidi, Masoud; Andrén, Hans-Olof; Liu, Fang
2017-04-01
In creep resistant Z-phase strengthened 12% Cr steels, MX (M=Nb, Ta, or V, and X=C and/or N) to Z-phase (CrMN, M=Ta, Nb, or V) transformation plays an important role in achieving a fine distribution of Z-phase precipitates for creep strengthening. Atom probe tomography was employed to investigate the phase transformation in a Nb-based Z-phase strengthened trial steel. Using iso-concentration surfaces with different concentration values, and subtracting the matrix contribution enabled us to reveal the core-shell structure of the transient precipitates between MX and Z-phase. It was shown that Z-phase forms by diffusion of Cr into NbN upon ageing, and Z-phase has a composition corresponding to Cr1+x Nb1-x N with x=0.08.
Variation of Nb-Ta, Zr-Hf, Th-U and K-Cs in two diabase-granophyre suites
Gottfried, D.; Greenland, L.P.; Campbell, E.Y.
1968-01-01
Concentrations of Nb, Ta, Zr, Hf, Th, U and Cs have been determined in samples of igneous rocks representing the diabase-granophyre suites from Dillsburg, Pennsylvania, and Great Lake, Tasmania. Niobium and tantalum have a three to fourfold increase with differentiation in each of the suites. The chilled margin of the Great Lake intrusion contains half the niobium and tantalum content (5.3 ppm and 0.4 ppm, respectively) of the chilled basalt from Dillsburg (10 ppm and 0.9 ppm, respectively). The twofold difference between the suites is correlated with differences in their titanium content. The average Nb Ta ratios for each suite are similar: 13.5 for the Great Lake suite, and 14.4 for the Dillsburg suite. The zirconium content of the two suites is essentially the same and increases from 50 to 60 ppm in the chilled margins to 240-300 ppm in the granophyres. Hafnium is low in the early formed rocks (0.5 -1.5 ppm and achieves a maximum in the granophyres (5-8 ppm). The Zr Hfratio decreases from 68 to 33 with progressive differentiation. In the Dillsburg suite thorium and uranium increase from 2.6 ppm and 0.6 ppm, respectively, in the chilled samples to 11.8 ppm and 3.1 ppm in the granophyres. The chilled margin of the Great Lake suite contains 3.2 ppm thorium and 9.8 ppm uranium; the granophyre contains 11.2 ppm thorium and 2.8 ppm uranium. The average Th U ratios of the Dillsburg and Great Lake suites are nearly the same-4.1 and 4.4, respectively. Within each suite the Th U ratio remains quite constant. Cesium and the K Cs ratio do not vary systematically in the Dillsburg suite possibly because of redistribution or loss of cesium by complex geologic processes. Except for the chilled margin of the Great Lake suite, the variation of Cs and the K Cs ratio are in accord with theoretical considerations. Cesium increases from about 0.6 ppm in the lower zone to 3.5 ppm in the granophyre; the K Cs ratio varies from 10 ?? 103 in the lower zone to 6 ?? 103 in the granophyre. A
El-Sayed, Hany A; Horwood, Corie A; Abhayawardhana, Anusha D; Birss, Viola I
2013-02-21
Ta oxide nanotubes (NTs) were formed by the anodization of Ta at 15 V in a solution of concentrated sulfuric acid containing 0.8-1.0 M hydrofluoric acid. To study the initial stages of NT formation, FESEM images of samples anodized for very short times were obtained. The results contradict the existing explanation of the current-time data collected during anodization, which has persisted in the literature for more than two decades. In addition to providing a first-time morphological study of Ta oxide NT formation at very early stages of anodization, we also propose a new interpretation of the i-t response, showing that pores are already present in the first few milliseconds of anodization and that NTs are formed well before present models predict. This behaviour may also extend to the anodization of other valve metals, such as Al, Ti, Zr, W, and Nb.
NASA Astrophysics Data System (ADS)
Bataev, V. A.; Golkovski, M. G.; Samoylenko, V. V.; Ruktuev, A. A.; Polyakov, I. A.; Kuksanov, N. K.
2018-04-01
The study has been conducted in line with the current approach to investigation of materials obtained by considerably deep surface alloying of the titanium substrate with Ta, Nb, and Zr. The thickness of the resulting alloyed layer was equal to 2 mm. The coating was formed through weld deposition of a powder with the use of a high-voltage electron beam in the air. It has been lately demonstrated that manufactured such a way alloyed layers possess corrosion resistance which is significantly higher than the resistance of titanium substrates. It has already been shown that such two-layered materials are weldable. The study objective is to investigate the feasibility of rolling for necking the sheets with the Ti-Ta-Nb anticorrosion coating with further fourfold decrease in their thickness. The research is also aimed at investigation of the material properties after rolling. Anticorrosion layers were formed both on CP-titanium and on VT14 (Ti-4Al-3Mo-1 V) durable titanium alloy. The results of chemical composition determination, structure examination, X-ray phase analysis and mechanical properties observations (including bending properties of the alloyed layers) are presented in the paper. The combination of welding, rolling, and bending enables the manufacture of corrosion-resistant vessels and process pipes which are made from the developed material and find technological application.
Chen, Wen-Jie; Zhang, Chang-Fu; Zhang, Xian-Hui; Zhang, Yong-Fan; Huang, Xin
2013-05-15
Density functional theory (DFT) and coupled cluster theory (CCSD(T)) calculations are carried out to investigate the electronic and structural properties of a series of bimetallic oxide clusters MW2O9(-/0) (M=V, Nb, Ta). Generalized Koopmans' theorem is applied to predict the vertical detachment energies (VDEs) and simulate the photoelectron spectra (PES). Theoretical calculations at the B3LYP level yield singlet and doublet ground states for the bimetallic anionic and neutral clusters, respectively. All the clusters present the six-membered ring structures with different symmetries, except that the TaW2O9(-) cluster shows a chained style with a penta-coordinated tantalum atom. Spin density analyses reveal oxygen radical species in all neutral clusters, consistent with their structural characteristics. Moreover, additional calculations are performed to study the oxidation reaction of CO molecule with the W3O9(+) cation and the isoelectronic VW2O9 cluster, and results indicate that the introduction of vanadium at tungsten site can efficiently improve the oxidation reactivity. Copyright © 2013 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Qiu, Jing; Wen, Yumei; Li, Ping; Chen, Hengjia
2016-05-01
In this paper, a high sensitivity zero-biased magnetic field sensor based on multiphase laminate heterostructures consisting of FeCuNbSiB/Terfenol-D (Tb1-xDyxFe2)/PZT (Pb(Zr1-x,Tix)O3)/Terfenol-D/PZT/Ternol-D/FeCuNbSiB (FMPMPMF) is presented, whose ME coupling characteristics and sensing performances have been investigated. Compared to traditional Terfenol-D/PZT/Terfenol-D (MPM) and Terfenol-D/PZT/Terfenol-D/PZT/Terfenol-D (MPMPM) sensors, the zero-biased ME coupling characteristics of FMPMPMF sensor were significantly improved, owing to a build-in magnetic field in FeCuNbSiB/Terfenol-D layers. The optimum zero-biased resonant ME voltage coefficient of 3.02 V/Oe is achieved, which is 1.65 times as great as that of MPMPM and 2.51 times of MPM sensors. The mean value of low-frequency ME field coefficient of FMPMPMF reaches 122.53 mV/cm Oe, which is 2.39 times as great as that of MPMPM and 1.79 times of MPM sensors. Meanwhile, the induced zero-biased ME voltage of FMPMPMF sensor shows an excellent linear relationship to ac magnetic field both at the low frequency (1 kHz) and the resonant frequency (106.6 kHz). Remarkably, it indicates that the proposed zero-biased magnetic field sensor give the prospect of being able to applied to the field of highly sensitive ac magnetic field sensing.
NASA Astrophysics Data System (ADS)
Tripathy, Haraprasanna; Subramanian, Raju; Hajra, Raj Narayan; Rai, Arun Kumar; Rengachari, Mythili; Saibaba, Saroja; Jayakumar, Tammana
2016-12-01
The sequence of phase instabilities that take place in a Fe-17.7Cr-9.3Ni-0.58Nb-2.95Cu-0.12N (wt pct) austenitic stainless steel (304H Cu grade) as a function of temperature has been investigated using dynamic calorimetry. The results obtained from this investigation are supplemented by Thermocalc-based equilibrium and Scheil-Gulliver nonequilibrium solidification simulation. The following phase transformation sequence is found upon slow cooling from liquid: L → L + γ → L + γ + MX → γ + MX + δ → γ +MX + M23C6 → γ + MX + M23C6 + Cu. Under slow cooling, the solidification follows austenite + ferrite (AF) mode, which is in accordance with Thermocalc prediction and Scheil-Gulliver simulation. However, higher cooling rates result in skeletal δ-ferrite formation, due to increased segregation tendency of Nb and Cr to segregate to interdendritic liquid. The solidification mode is found to depend on combined Nb + Cu content. Experimental estimates of enthalpy change associated with melting and secondary phase precipitation are also obtained. In addition a semi-quantitative study on the dissolution kinetics of M23C6 type carbides has also been investigated. The standard solution treatment at 1413 K (1140 °C) is found to be adequate to dissolve both Cu and M23C6 into γ-austenite; but the complete dissolution of MX type carbonitrides occurs near the melting region.
Enhanced Photocatalytic Property of Cu Doped Sodium Niobate
Xu, Jianbin; Zhang, Feng; Sun, Bingyang; ...
2015-01-01
Here, we investigate the photocatalytic activity of Cu doped NaNbO 3 powder sample prepared by the modified polymer complex method. The photocatalytic activity of hydrogen evolution from methanol aqueous solution was improved by Cu 2.6 at% doping. The photocatalytic degradation of rhodamine B (RhB) under visible light irradiation was enhanced in comparison with pristine NaNbO 3. Cu introduction improved the adsorption property of NaNbO 3, judging from the Fourier transform infrared spectra. Moreover, the ultraviolet light excitation in Cu doped sample would accelerate the mineralized process.
NASA Astrophysics Data System (ADS)
Zoellner, Brandon
Mixed-metal oxides containing Mn(II), Cu(I), Ta(V), Nb(V), and V(V) were investigated for their structures and properties as new p-type semiconductors and in the potential applications involving the photocatalytic conversion of water into hydrogen and oxygen. Engineering of the bandgaps was achieved by combining metal cations that have halffilled (Mn 3d5) or filled (Cu 3d10) d-orbitals together with metal cations that have empty (V/Nb/Ta 3/4/5 d0) d-orbitals. The research described herein focuses on the synthesis, optical, electronic, and photocatalytic properties of the metal-oxide semiconductors MnV2O6, Cu3VO 4, CuNb1-xTaxO3, and Cu5(Ta1-xNbx)11O30. Powder X-ray diffraction was used to probe their phase purity as well as atomic-level crystallographic details, i.e. shifts of lattice parameters, chemical compositions, and changes in local bonding environments. Optical measurements revealed visible-light bandgap sizes of ˜1.17 eV (Cu3VO4), ˜1.45 eV (MnV2O6), ˜1.89-1.97 eV (CuNb1-xTa xO3), and ˜1.97-2.50 eV (Cu5(Ta1-xNb x)11O30). The latter two were found to systematically vary as a function of composition. Electrochemical impedance spectroscopy measurements of MnV2O6 and Cu3VO 4 provided the first experimental characterization of the energetic positions of the valence and conduction bands with respect to the water oxidation and reduction potentials, as well as confirmed the p-type nature of each semiconductor. The valence and conduction band energies were found to be suitable for driving either one or both of the water-splitting half reaction (i.e. 2H+ → H2 and 2H2O → O2 + 4H+). Photoelectrochemical measurements on polycrystalline films of the Cu(I)-based semiconductors under visible-light irradiation produced cathodic currents indicative of p-type semiconductor character and chemical reduction at their surfaces in the electrolyte solution. The stability of the photocurrents was increased by the addition of CuO oxide particles either externally deposited or
Fully Depleted Ti-Nb-Ta-Zr-O Nanotubes: Interfacial Charge Dynamics and Solar Hydrogen Production.
Chiu, Yi-Hsuan; Lai, Ting-Hsuan; Chen, Chun-Yi; Hsieh, Ping-Yen; Ozasa, Kazunari; Niinomi, Mitsuo; Okada, Kiyoshi; Chang, Tso-Fu Mark; Matsushita, Nobuhiro; Sone, Masato; Hsu, Yung-Jung
2018-05-01
Poor kinetics of hole transportation at the electrode/electrolyte interface is regarded as a primary cause for the mediocre performance of n-type TiO 2 photoelectrodes. By adopting nanotubes as the electrode backbone, light absorption and carrier collection can be spatially decoupled, allowing n-type TiO 2 , with its short hole diffusion length, to maximize the use of the available photoexcited charge carriers during operation in photoelectrochemical (PEC) water splitting. Here, we presented a delicate electrochemical anodization process for the preparation of quaternary Ti-Nb-Ta-Zr-O mixed-oxide (denoted as TNTZO) nanotube arrays and demonstrated their utility in PEC water splitting. The charge-transfer dynamics for the electrodes was investigated using time-resolved photoluminescence, electrochemical impedance spectroscopy, and the decay of open-circuit voltage analysis. Data reveal that the superior photoactivity of TNTZO over pristine TiO 2 originated from the introduction of Nd, Ta, and Zr elements, which enhanced the amount of accessible charge carriers, modified the electronic structure, and improved the hole injection kinetics for expediting water splitting. By modulating the water content of the electrolyte employed in the anodization process, the wall thickness of the grown TNTZO nanotubes can be reduced to a size smaller than that of the depletion layer thickness, realizing a fully depleted state for charge carriers to further advance the PEC performance. Hydrogen evolution tests demonstrate the practical efficacy of TNTZO for realizing solar hydrogen production. Furthermore, with the composition complexity and fully depleted band structure, the present TNTZO nanotube arrays may offer a feasible and universal platform for the loading of other semiconductors to construct a sophisticated heterostructure photoelectrode paradigm, in which the photoexcited charge carriers can be entirely utilized for efficient solar-to-fuel conversion.
High-quality single crystal growth and magnetic property of Mn4Ta2O9
NASA Astrophysics Data System (ADS)
Cao, Yiming; Xu, Kun; Yang, Ya; Yang, Wangfan; Zhang, Yuanlei; Kang, Yanru; He, Xijia; Zheng, Anmin; Liu, Mian; Wei, Shengxian; Li, Zhe; Cao, Shixun
2018-06-01
A large-size single crystal of Mn4Ta2O9 with ∼3.5 mm in diameter and ∼65 mm in length was successfully grown for the first time by a newly designed one-step method based on the optical floating zone technique. Both the clear Laue spots and sharp XRD Bragg reflections suggest the high quality of the single crystal. In Mn4Ta2O9 single crystal, an antiferromagnetic phase transition was observed below Néel temperature 102 K along c axis, which is similar to the isostructural compound Mn4Nb2O9, but differs from the isostructural Co4Nb2O9. Relative dielectric constant at 30 kOe suggests that no magnetoelectric coupling exists in Mn4Ta2O9.
Zhang, Zailei; Wang, Zhong Lin; Lu, Xianmao
2018-04-24
Silicon has proved to be a promising anode material of high-specific capacity for the next-generation lithium ion batteries (LIBs). However, during repeated discharge/charge cycles, Si-based electrodes, especially those in microscale size, pulverize and lose electrical contact with the current collectors due to large volume expansion. Here, we introduce a general method to synthesize Cu@M (M = Si, Al, C, SiO 2 , Si 3 N 4 , Ag, Ti, Ta, SnIn 2 O 5 , Au, V, Nb, W, Mg, Fe, Ni, Sn, ZnO, TiN, Al 2 O 3 , HfO 2 , and TiO 2 ) core-shell nanowire arrays on Cu substrates. The resulting Cu@Si nanowire arrays were employed as LIB anodes that can be reused via HCl etching and H 2 -reduction. Multishelled Cu@Si@Cu microparticles supported on 3D Cu current collectors were further prepared as stable and binder-free LIB anodes. This 3D Cu@Si@Cu structure allows the interior conductive Cu network to effectively accommodate the volume expansion of the electrode and facilitates the contact between the Cu@Si@Cu particles and the current collectors during the repeated insertion/extraction of lithium ions. As a result, the 3D Cu@Si@Cu microparticles at a high Si-loading of 1.08 mg/cm 2 showed a capacity retention of 81% after 200 cycles. In addition, charging tests of 3D Cu@Si@Cu-LiFePO 4 full cells by a triboelectric nanogenerator with a pulsed current demonstrated that LIBs with silicon anodes can effectively store energy delivered by mechanical energy harvesters.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Snel, J.; Monclús, M. A.; Castillo-Rodríguez, M.
The mechanical properties and deformation mechanisms of Cu/Nb nanoscale metallic multilayers (NMMs) manufactured by accumulative roll bonding are studied at 25°C and 400°C. Cu/Nb NMMs with individual layer thicknesses between 7 nm and 63 nm were tested by in situ micropillar compression inside a scanning electron microscope. Yield strength, strain-rate sensitivities and activation volumes were obtained from the pillar compression tests. The deformed micropillars were examined under scanning and transmission electron microscopy in order to examine the deformation mechanisms active for different layer thicknesses and temperatures. The paper suggests that room temperature deformation was determined by dislocation glide at largermore » layer thicknesses and interface-related mechanisms at the thinner layer thicknesses. The high-temperature compression tests, in contrast, revealed superior thermo-mechanical stability and strength retention for the NMMs with larger layer thicknesses with deformation controlled by dislocation glide. A remarkable transition in deformation mechanism occurred as the layer thickness decreased, to a deformation response controlled by diffusion processes along the interfaces, which resulted in temperature-induced softening. Finally, a deformation mechanism map, in terms of layer thickness and temperature, is proposed from the results obtained in this investigation.« less
Snel, J.; Monclús, M. A.; Castillo-Rodríguez, M.; ...
2017-08-29
The mechanical properties and deformation mechanisms of Cu/Nb nanoscale metallic multilayers (NMMs) manufactured by accumulative roll bonding are studied at 25°C and 400°C. Cu/Nb NMMs with individual layer thicknesses between 7 nm and 63 nm were tested by in situ micropillar compression inside a scanning electron microscope. Yield strength, strain-rate sensitivities and activation volumes were obtained from the pillar compression tests. The deformed micropillars were examined under scanning and transmission electron microscopy in order to examine the deformation mechanisms active for different layer thicknesses and temperatures. The paper suggests that room temperature deformation was determined by dislocation glide at largermore » layer thicknesses and interface-related mechanisms at the thinner layer thicknesses. The high-temperature compression tests, in contrast, revealed superior thermo-mechanical stability and strength retention for the NMMs with larger layer thicknesses with deformation controlled by dislocation glide. A remarkable transition in deformation mechanism occurred as the layer thickness decreased, to a deformation response controlled by diffusion processes along the interfaces, which resulted in temperature-induced softening. Finally, a deformation mechanism map, in terms of layer thickness and temperature, is proposed from the results obtained in this investigation.« less
NASA Astrophysics Data System (ADS)
Ran, Ruoshi; Liu, Yiwei; Wang, Liqiang; Lu, Eryi; Xie, Lechun; Lu, Weijie; Wang, Kuaishe; Zhang, Lai-Chang
2018-03-01
This work studied the formation of the α″ martensite and amorphous phases of TiNbTaZr alloy incorporated with TiO2 particles during friction stir processing. Formation of the amorphous phase in the top surface mainly results from the dissolution of oxygen, rearrangement of the lattice structure, and dislocations. High-stress stemming caused by dislocations and high-stress concentrations at crystal-amorphous interfaces promote the formation of α″ martensite. Meanwhile, an α″ martensitic transformation is hindered by oxygen diffusion from TiO2 to the matrix, thereby increasing resistance to shear.
NASA Astrophysics Data System (ADS)
Ran, Ruoshi; Liu, Yiwei; Wang, Liqiang; Lu, Eryi; Xie, Lechun; Lu, Weijie; Wang, Kuaishe; Zhang, Lai-Chang
2018-06-01
This work studied the formation of the α″ martensite and amorphous phases of TiNbTaZr alloy incorporated with TiO2 particles during friction stir processing. Formation of the amorphous phase in the top surface mainly results from the dissolution of oxygen, rearrangement of the lattice structure, and dislocations. High-stress stemming caused by dislocations and high-stress concentrations at crystal-amorphous interfaces promote the formation of α″ martensite. Meanwhile, an α″ martensitic transformation is hindered by oxygen diffusion from TiO2 to the matrix, thereby increasing resistance to shear.
NASA Astrophysics Data System (ADS)
Da Silva, L. B. S.; Rodrigues, C. A.; Oliveira, N. F., Jr.; Bormio-Nunes, C.; Rodrigues, D., Jr.
2010-11-01
Since the discovery of Nb3Sn superconductors many efforts have been expended to improve the transport properties in these materials. In this work, the heat treatment profiles for Nb3Sn superconductor wires with Cu(Sn) artificial pinning centers (APCs) with nanometric-scale sizes were analyzed in an attempt to improve the critical current densities and upper critical magnetic field. The methodology to optimize the heat treatment profiles in respect to the diffusion, reaction and formation of the superconducting phases is described. Microstructural characterization, transport and magnetic measurements were performed in an attempt to relate the microstructure to the pinning mechanisms acting in the samples. It was concluded that the maximum current densities occur due to normal phases (APCs) that act as the main pinning centers in the global behavior of the Nb3Sn superconducting wire. The APC technique was shown to be very powerful because it permitted mixing of the pinning mechanism. This achievement was not possible in other studies in Nb3Sn wires reported up to now.
Hydrogen absorption properties of amorphous (Ni 0.6Nb 0.4-yTa y ) 100-x Zr x membranes
Palumbo, O.; Trequattrini, F.; Pal, N.; ...
2017-02-01
Ni based amorphous materials have great potential as hydrogen purification membranes. In the present work the melt spun (Ni 0.6Nb 0.4-yTa y) 100-xZr x with y=0, 0.1 and x=20, 30 was studied. Our result of X-ray diffraction spectra of the ribbons showed an amorphous nature of the alloys. Heating these ribbons below T < 400 °C, even in a hydrogen atmosphere (1-10 bar), the amorphous structure was retained. Furthermore, the crystallization process was characterized by differential thermal analysis and the activation energy of such process was obtained. The hydrogen absorption properties of the samples in their amorphous state were studiedmore » by the volumetric method, and the results showed that the addition of Ta did not significantly influence the absorption properties, a clear change of the hydrogen solubility was observed with the variation of the Zr content. The values of the hydrogenation enthalpy changed from ~37 kJ/mol for x=30 to ~9 kJ/mol for x=20. Our analysis of the volumetric data provides the indications about the hydrogen occupation sites during hydrogenation, suggesting that at the beginning of the absorption process the deepest energy levels are occupied, while only shallower energy levels are available at higher hydrogen content, with the available interstitial sites forming a continuum of energy levels.« less
Hydrogen absorption properties of amorphous (Ni 0.6Nb 0.4-yTa y ) 100-x Zr x membranes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Palumbo, O.; Trequattrini, F.; Pal, N.
Ni based amorphous materials have great potential as hydrogen purification membranes. In the present work the melt spun (Ni 0.6Nb 0.4-yTa y) 100-xZr x with y=0, 0.1 and x=20, 30 was studied. Our result of X-ray diffraction spectra of the ribbons showed an amorphous nature of the alloys. Heating these ribbons below T < 400 °C, even in a hydrogen atmosphere (1-10 bar), the amorphous structure was retained. Furthermore, the crystallization process was characterized by differential thermal analysis and the activation energy of such process was obtained. The hydrogen absorption properties of the samples in their amorphous state were studiedmore » by the volumetric method, and the results showed that the addition of Ta did not significantly influence the absorption properties, a clear change of the hydrogen solubility was observed with the variation of the Zr content. The values of the hydrogenation enthalpy changed from ~37 kJ/mol for x=30 to ~9 kJ/mol for x=20. Our analysis of the volumetric data provides the indications about the hydrogen occupation sites during hydrogenation, suggesting that at the beginning of the absorption process the deepest energy levels are occupied, while only shallower energy levels are available at higher hydrogen content, with the available interstitial sites forming a continuum of energy levels.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhao, J.; Wijayaratne, K.; Butler, A.
We report an in-depth angle-resolved photoemission spectroscopy study on 2H-TaS2, a canonical incommensurate charge density wave (CDW) system. This study demonstrates that just as in related incommensurate CDW systems, 2H-TaSe2 and 2H-NbSe2, the energy gap (triangle(CDW)) of 2H-TaS2 is localized along the K-centered Fermi surface barrels and is particle-hole asymmetric. The persistence of triangle(CDW) even at temperatures higher than the CDW transition temperature T-CDW in 2H-TaS2, reflects the similar pseudogap behavior observed previously in 2H-TaSe2 and 2H-NbSe2. However, in sharp contrast to 2H-NbSe2, where triangle(CDW) is nonzero only in the vicinity of a few "hot spots" on the innerK-centered Fermimore » surface barrels, triangle(CDW) in 2H-TaS2 is nonzero along the entirety of both K-centered Fermi surface barrels. Based on a tight-binding model, we attribute this dichotomy in the momentum dependence and the Fermi surface specificity of triangle(CDW) between otherwise similar CDW compounds to the different orbital orientations of their electronic states that participate in the CDW pairing. Our results suggest that the orbital selectivity plays a critical role in the description of incommensurate CDW materials.« less
NASA Astrophysics Data System (ADS)
Zhao, J.; Wijayaratne, K.; Butler, A.; Yang, J.; Malliakas, C. D.; Chung, D. Y.; Louca, D.; Kanatzidis, M. G.; van Wezel, J.; Chatterjee, U.
2017-09-01
We report an in-depth angle-resolved photoemission spectroscopy study on 2 H -TaS2 , a canonical incommensurate charge density wave (CDW) system. This study demonstrates that just as in related incommensurate CDW systems, 2 H -TaSe2 and 2 H -NbSe2 , the energy gap (ΔCDW) of 2 H -TaS2 is localized along the K -centered Fermi surface barrels and is particle-hole asymmetric. The persistence of ΔCDW even at temperatures higher than the CDW transition temperature TCDW in 2 H -TaS2 , reflects the similar pseudogap behavior observed previously in 2 H -TaSe2 and 2 H -NbSe2 . However, in sharp contrast to 2 H -NbSe2 , where ΔCDW is nonzero only in the vicinity of a few "hot spots" on the inner K -centered Fermi surface barrels, ΔCDW in 2 H -TaS2 is nonzero along the entirety of both K -centered Fermi surface barrels. Based on a tight-binding model, we attribute this dichotomy in the momentum dependence and the Fermi surface specificity of ΔCDW between otherwise similar CDW compounds to the different orbital orientations of their electronic states that participate in the CDW pairing. Our results suggest that the orbital selectivity plays a critical role in the description of incommensurate CDW materials.
NASA Astrophysics Data System (ADS)
Miura, Seiji; Hatabata, Toru; Okawa, Takuya; Mohri, Tetsuo
2014-03-01
To find a new route for microstructure control and to find additive elements beneficial for improving high-temperature strength, a systematic investigation is performed on hypoeutectic Nb-15 at. pct Si-X ternary alloys containing a transition element, Fe, Co, Ni, Cu, Ru, Rh, Pd, Re, Os, Ir, Pt, or Au. Information on phase equilibrium is classified in terms of phase stability of silicide phases, α Nb5Si3, Nb4SiX, and Nb3Si, and the relationship between microstructure and mechanical properties both at room temperature and high temperature is investigated. All the additive elements are found to stabilize either α Nb5Si3 or Nb4SiX but destabilize Nb3Si. A microstructure of Nbss/α Nb5Si3 alloy composed of spheroidized α Nb5Si3 phase embedded in the Nbss matrix is effective for toughening, regardless of the initial as-cast microstructure. Also the plastic deformation of Nbss dendrites may effectively suppress the propagation of longer cracks. High-temperature strength of alloys is governed by the deformation of Nbss phase and increases with higher melting point additives.
Surface chirality of CuO thin films.
Widmer, Roland; Haug, Franz-Josef; Ruffieux, Pascal; Gröning, Oliver; Bielmann, Michael; Gröning, Pierangelo; Fasel, Roman
2006-11-01
We present X-ray photoelectron spectroscopy (XPS) and X-ray photoelectron diffraction (XPD) investigations of CuO thin films electrochemically deposited on an Au(001) single-crystal surface from a solution containing chiral tartaric acid (TA). The presence of enantiopure TA in the deposition process results in a homochiral CuO surface, as revealed by XPD. On the other hand, XPD patterns of films deposited with racemic tartaric acid or the "achiral" meso-tartaric acid are completely symmetric. A detailed analysis of the experimental data using single scattering cluster calculations reveals that the films grown with l(+)-TA exhibit a CuO(1) orientation, whereas growth in the presence of d(-)-TA results in a CuO(11) surface orientation. A simple bulk-truncated model structure with two terminating oxygen layers reproduces the experimental XPD data. Deposition with alternating enantiomers of tartaric acid leads to CuO films of alternating chirality. Enantiospecifity of the chiral CuO surfaces is demonstrated by further deposition of CuO from a solution containing racemic tartaric acid. The pre-deposited homochiral films exhibit selectivity toward the same enantiomeric deposition pathway.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lemke, R. W.; Dolan, D. H.; Dalton, D. G.
We report on a new technique for obtaining off-Hugoniot pressure vs. density data for solid metals compressed to extreme pressure by a magnetically driven liner implosion on the Z-machine (Z) at Sandia National Laboratories. In our experiments, the liner comprises inner and outer metal tubes. The inner tube is composed of a sample material (e.g., Ta and Cu) whose compressed state is to be inferred. The outer tube is composed of Al and serves as the current carrying cathode. Another aluminum liner at much larger radius serves as the anode. A shaped current pulse quasi-isentropically compresses the sample as itmore » implodes. The iterative method used to infer pressure vs. density requires two velocity measurements. Photonic Doppler velocimetry probes measure the implosion velocity of the free (inner) surface of the sample material and the explosion velocity of the anode free (outer) surface. These two velocities are used in conjunction with magnetohydrodynamic simulation and mathematical optimization to obtain the current driving the liner implosion, and to infer pressure and density in the sample through maximum compression. This new equation of state calibration technique is illustrated using a simulated experiment with a Cu sample. Monte Carlo uncertainty quantification of synthetic data establishes convergence criteria for experiments. Results are presented from experiments with Al/Ta, Al/Cu, and Al liners. Symmetric liner implosion with quasi-isentropic compression to peak pressure ~1000 GPa is achieved in all cases. Lastly, these experiments exhibit unexpectedly softer behavior above 200 GPa, which we conjecture is related to differences in the actual and modeled properties of aluminum.« less
Lemke, R. W.; Dolan, D. H.; Dalton, D. G.; ...
2016-01-07
We report on a new technique for obtaining off-Hugoniot pressure vs. density data for solid metals compressed to extreme pressure by a magnetically driven liner implosion on the Z-machine (Z) at Sandia National Laboratories. In our experiments, the liner comprises inner and outer metal tubes. The inner tube is composed of a sample material (e.g., Ta and Cu) whose compressed state is to be inferred. The outer tube is composed of Al and serves as the current carrying cathode. Another aluminum liner at much larger radius serves as the anode. A shaped current pulse quasi-isentropically compresses the sample as itmore » implodes. The iterative method used to infer pressure vs. density requires two velocity measurements. Photonic Doppler velocimetry probes measure the implosion velocity of the free (inner) surface of the sample material and the explosion velocity of the anode free (outer) surface. These two velocities are used in conjunction with magnetohydrodynamic simulation and mathematical optimization to obtain the current driving the liner implosion, and to infer pressure and density in the sample through maximum compression. This new equation of state calibration technique is illustrated using a simulated experiment with a Cu sample. Monte Carlo uncertainty quantification of synthetic data establishes convergence criteria for experiments. Results are presented from experiments with Al/Ta, Al/Cu, and Al liners. Symmetric liner implosion with quasi-isentropic compression to peak pressure ~1000 GPa is achieved in all cases. Lastly, these experiments exhibit unexpectedly softer behavior above 200 GPa, which we conjecture is related to differences in the actual and modeled properties of aluminum.« less
Observations of a Cast Cu-Cr-Zr Alloy
NASA Technical Reports Server (NTRS)
Ellis, David L.
2006-01-01
Prior work has demonstrated that Cu-Cr-Nb alloys have considerable advantages over the copper alloys currently used in regeneratively cooled rocket engine liners. Observations indicated that Zr and Nb have similar chemical properties and form very similar compounds. Glazov and Zakharov et al. reported the presence of Cr2Zr in Cu-Cr-Zr alloys with up to 3.5 wt% Cr and Zr though Zeng et al. calculated that Cr2Zr could not exist in a ternary Cu-Cr-Zr alloy. A cast Cu-6.15 wt% Cr-5.25 wt% Zr alloy was examined to determine if the microstructure developed would be similar to GRCop-84 (Cu-6.65 wt% Cr-5.85 wt% Nb). It was observed that the Cu-Cr-Zr system did not form any Cr2Zr even after a thermal exposure at 875 C for 176.5 h. Instead the alloy consisted of three phases: Cu, Cu5Zr, and Cr.
NASA Astrophysics Data System (ADS)
Filella, Montserrat; Rodushkin, Ilia
2018-03-01
There is an increasing demand for analytical techniques able to measure so-called 'technology-critical elements', a set of chemical elements increasingly used in technological applications, in environmental matrices. Nowadays, inductively coupled plasma-mass spectrometry (ICP-MS) has become the technique of choice for measuring trace element concentrations. However, its application is often less straightforward than often assumed. The hints and drawbacks of ICP-MS application to the measurement of a set of less-studied technology-critical elements (Nb, Ta, Ga, In, Ge and Te) is discussed here and concise guidelines given.
Superconducting transition temperature in the Y(1-x)M(x)Ba2Cu3O(y) system
NASA Astrophysics Data System (ADS)
Suzuki, Takeyuki; Yamazaki, Tsutomu; Sekine, Ryuuta; Koukitsu, Akinori; Seki, Hisashi
1989-04-01
Experimental results are presented for the inclusion of compositional additives, M, to the sintered high-temperature superconductor Y(1-x)M(x)Ba2Cu3O(y); M can be the oxides of Mg, Ce, Gd, Yb, Ti, Zr, V, Nb, Ta, Cr, Mo, W, Mn, Fe, Co, Ni, Zn, B, Al, Ga, In, Si, Ge, Sn, Pb, Sb, Bi, and Te, as well as Li, Na, K, Ca, Sr, and La carbonates. Temperature dependence of the electrical resistance was measured down to about 80 K. Attention is given to the influence of ionic radius and the valence of the M species.
NASA Astrophysics Data System (ADS)
Chang, Yunfei; Watson, Beecher; Fanton, Mark; Meyer, Richard J.; Messing, Gary L.
2017-12-01
In this work, both crystallographic texture and doping engineering strategies were integrated to develop relaxor-PbTiO3 (PT) based ternary ferroelectric ceramics with enhanced texture evolution and superior electromechanical properties. CuO-doped Pb(In1/2Nb1/2)O3-Pb(Mg1/3Nb2/3)O3-PbTiO3 (PIN-PMN-PT) piezoelectric ceramics with [001]c texture fraction ≥97% were synthesized by templated grain growth. The addition of CuO significantly promotes densification and oriented grain growth in the templated ceramics, leading to full texture development at dramatically reduced times and temperatures. Moreover, the CuO dopant remarkably enhances the piezoelectric properties of the textured ceramics while maintaining high phase transition temperatures and large coercive fields. Doping 0.125 wt. % CuO yields the electromechanical properties of d33 = 927 pC/N, d33* = 1510 pm/V, g33 = 43.2 × 10-3 Vm/N, Kp = 0.87, Ec=8.8 kV/cm, and tan δ = 1.3%, which are the best values reported so far in PIN-PMN-PT based ceramics. The high piezoelectric coefficient is mainly from the reversible piezoelectric response, with the irreversible contribution being on the order of 13.1%. We believe that this work not only facilitates closing the performance gap between ceramics and single crystals but also can expand relaxor-PT based piezoelectric application fields.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Lemke, R. W., E-mail: rwlemke@sandia.gov; Dolan, D. H.; Dalton, D. G.
We report on a new technique for obtaining off-Hugoniot pressure vs. density data for solid metals compressed to extreme pressure by a magnetically driven liner implosion on the Z-machine (Z) at Sandia National Laboratories. In our experiments, the liner comprises inner and outer metal tubes. The inner tube is composed of a sample material (e.g., Ta and Cu) whose compressed state is to be inferred. The outer tube is composed of Al and serves as the current carrying cathode. Another aluminum liner at much larger radius serves as the anode. A shaped current pulse quasi-isentropically compresses the sample as itmore » implodes. The iterative method used to infer pressure vs. density requires two velocity measurements. Photonic Doppler velocimetry probes measure the implosion velocity of the free (inner) surface of the sample material and the explosion velocity of the anode free (outer) surface. These two velocities are used in conjunction with magnetohydrodynamic simulation and mathematical optimization to obtain the current driving the liner implosion, and to infer pressure and density in the sample through maximum compression. This new equation of state calibration technique is illustrated using a simulated experiment with a Cu sample. Monte Carlo uncertainty quantification of synthetic data establishes convergence criteria for experiments. Results are presented from experiments with Al/Ta, Al/Cu, and Al liners. Symmetric liner implosion with quasi-isentropic compression to peak pressure ∼1000 GPa is achieved in all cases. These experiments exhibit unexpectedly softer behavior above 200 GPa, which we conjecture is related to differences in the actual and modeled properties of aluminum.« less
NASA Astrophysics Data System (ADS)
Hinatsu, Yukio; Doi, Yoshihiro; Wakeshima, Makoto
2018-06-01
Magnetic properties of europium-containing compounds Eu3MO7 (M = Nb, Ta, Ir) with fluorite-related structure have been investigated. Magnetic susceptibility measurements show that all these compounds are paramagnetic and have no magnetic ordering down to 1.8 K. At very low temperatures (T<50 K), the susceptibilities of each compound attain constant values, which is characteristic of the ground state for Eu3+ ions. The results of 151Eu Mössbauer spectroscopic measurements show that the asymmetric parameter for Eu(2) in seven-coordination is much larger than that for Eu(1) in cubic environment, which in accordance with the crystallographic result.
Mechanical and corrosion resistance of a new nanostructured Ti-Zr-Ta-Nb alloy.
Raducanu, D; Vasilescu, E; Cojocaru, V D; Cinca, I; Drob, P; Vasilescu, C; Drob, S I
2011-10-01
In this work, a multi-elementary Ti-10Zr-5Nb-5Ta alloy, with non-toxic alloying elements, was used to develop an accumulative roll bonding, ARB-type procedure in order to improve its structural and mechanical properties. The alloy was obtained by cold crucible semi-levitation melting technique and then was ARB deformed following a special route. After three ARB cycles, the total deformation degree per layer is about 86%; the calculated medium layer thickness is about 13 μm. The ARB processed alloy has a low Young's modulus of 46 GPa, a value very close to the value of the natural cortical bone (about 20 GPa). Data concerning ultimate tensile strength obtained for ARB processed alloy is rather high, suitable to be used as a material for bone substitute. Hardness of the ARB processed alloy is higher than that of the as-cast alloy, ensuring a better behaviour as a implant material. The tensile curve for the as-cast alloy shows an elastoplastic behaviour with a quite linear elastic behaviour and the tensile curve for the ARB processed alloy is quite similar with a strain-hardening elastoplastic body. Corrosion behaviour of the studied alloy revealed the improvement of the main electrochemical parameters, as a result of the positive influence of ARB processing. Lower corrosion and ion release rates for the ARB processed alloy than for the as-cast alloy, due to the favourable effect of ARB thermo-mechanical processing were obtained. Copyright © 2011 Elsevier Ltd. All rights reserved.
Effects of surface crystallization and oxidation in nanocrystalline FeNbCuSiB(P) ribbons
NASA Astrophysics Data System (ADS)
Butvinová, B.; Butvin, P.; Brzózka, K.; Kuzminski, M.; Maťko, I.; Švec, P., Sr.; Chromčíková, M.
2017-02-01
Si-poor Fe74Nb3Cu1Si8B14-xPx, (x=0, 3) nanocrystalline ribbon-form alloys often form surfaces, which exert in-plane force on underlying ribbon interior when nanocrystallized in even modest presence of oxygen. Mostly unwanted hard-ribbon-axis magnetic anisotropy is standard result. Essential sources of the surface-caused stress have been sought and influence of P instead of B substitution on this effect was studied too. Preferred surface crystallization (PSC) was found to be the major reason. However P substitution suppresses PSC and promotes Fe-oxide formation, which eases the stress, softens the surfaces and provides different annealing evolution of surface properties.
NASA Technical Reports Server (NTRS)
Whittenberger, J. D.; Moore, T. J.
1977-01-01
A study of the flow strength, creep resistance and diffusion welding characteristics of the titanium alloy Ti-6Al-2Nb-1Ta-0.8Mo was conducted. Two mill-processed forms of this alloy were examined. The forged material was essentially processed above the beta transus while the rolled form was subjected to considerable work below the beta transus. Between 1150 and 1250 K, the forged material was stronger and more creep resistant than the rolled alloy. Both forms exhibit superplastic characteristics in this temperature range. Strain measurements during diffusion welding experiments at 1200 K reveal that weld interfaces have no measurable effect on the overall creep deformation. Significant deformation appears to be necessary to produce a quality diffusion weld between superplastic materials. A 'soft' interlayer inserted between faying surfaces would seemingly allow manufacture of quality diffusion welds with little overall deformation.
Fabrication of (K0.5Na0.5)(Nb0.7Ta0.3)O3 thick films by electrophoretic deposition
NASA Astrophysics Data System (ADS)
Vineetha, P.; Saravanan, K. Venkata
2018-05-01
(K0.5Na0.5)(Nb0.7Ta0.3)O3 (KNNT) thick films were prepared by electrophoretic deposition method on copper plates (substrates). Prior to the deposition, stable suspensions of KNNT powder were prepared in isopropyl alcohol medium with and without adding triethanolamine (TEA) as dispersant. The optical transmittance spectra with time for both the suspensions were recorded and compared. Suspensions with dispersant has shown low transmittance, which indicate that the particles were dispersed very well in isopropyl alcohol. Fourier Transform Infrared (FTIR) spectroscopy was used to analyze the adsorption of TEA on KNNT particles. Suspension with dispersant was used for electrophoretic deposition. The depositions were carried out at various d.c voltages, keeping the deposition duration and inter electrode distance constant. X-Ray diffraction was used for the phase analysis of the films.
Synthesis of superconducting Nb 3Sn coatings on Nb substrates
DOE Office of Scientific and Technical Information (OSTI.GOV)
Barzi, E.; Franz, S.; Reginato, F.
In the present work the electrochemical and thermal syntheses of superconductive Nb 3Sn films are investigated. The Nb 3Sn phase is obtained by electrodeposition of Sn layers and Cu intermediate layers onto Nb substrates followed by high temperature diffusion in inert atmosphere. Electrodeposition was performed from aqueous solutions at current densities in the 20 to 50 mA/cm 2 range and at temperatures between 40 and 50°C. Subsequent thermal treatments were realized to obtain the Nb 3Sn superconductive phase. Glow discharge optical emission spectrometry (GDOES) demonstrated that after thermal treatment interdiffusion of Nb and Sn occurred across a thickness of aboutmore » 13 μm. Scanning Electron Microscopy (SEM) allowed accurately measuring the thickness of the Nb 3Sn phase, whose average for the various types of film samples was between 5.7 and 8.0 μm. X-ray diffraction (XRD) patterns confirmed the presence of a cubic Nb 3Sn phase (A15 structure) having (210) preferred orientation. The maximum obtained T c was 17.68 K and the B c20 ranged between 22.5 T and 23.8 T. With the procedure described in the present paper, coating complex shapes cost-effectively becomes possible, which is typical of electrochemical techniques. Furthermore, this approach can be implemented in classical wire processes such as "Jelly Roll" or "Rod in Tube", or directly used for producing superconducting surfaces. In conclusion, the potential of this method for Superconducting Radiofrequency (SRF) structures is also outlined.« less
Synthesis of superconducting Nb 3Sn coatings on Nb substrates
Barzi, E.; Franz, S.; Reginato, F.; ...
2015-12-01
In the present work the electrochemical and thermal syntheses of superconductive Nb 3Sn films are investigated. The Nb 3Sn phase is obtained by electrodeposition of Sn layers and Cu intermediate layers onto Nb substrates followed by high temperature diffusion in inert atmosphere. Electrodeposition was performed from aqueous solutions at current densities in the 20 to 50 mA/cm 2 range and at temperatures between 40 and 50°C. Subsequent thermal treatments were realized to obtain the Nb 3Sn superconductive phase. Glow discharge optical emission spectrometry (GDOES) demonstrated that after thermal treatment interdiffusion of Nb and Sn occurred across a thickness of aboutmore » 13 μm. Scanning Electron Microscopy (SEM) allowed accurately measuring the thickness of the Nb 3Sn phase, whose average for the various types of film samples was between 5.7 and 8.0 μm. X-ray diffraction (XRD) patterns confirmed the presence of a cubic Nb 3Sn phase (A15 structure) having (210) preferred orientation. The maximum obtained T c was 17.68 K and the B c20 ranged between 22.5 T and 23.8 T. With the procedure described in the present paper, coating complex shapes cost-effectively becomes possible, which is typical of electrochemical techniques. Furthermore, this approach can be implemented in classical wire processes such as "Jelly Roll" or "Rod in Tube", or directly used for producing superconducting surfaces. In conclusion, the potential of this method for Superconducting Radiofrequency (SRF) structures is also outlined.« less
NASA Astrophysics Data System (ADS)
Vineetha, P.; Shanmuga Priya, B.; Venkata Saravanan, K.
2018-04-01
Ferroelectric ceramics are the key components in piezoelectric devices used today, thus long term reliability is a major industrial concern. The two important things that have to be considered in the ferroelectric material based device are aging and fatigue. The first one describes degradation with time whereas the later one is characterized by the change of material property during electrical loading. In the present work ferroelectric polarization and bipolar fatigue properties of undoped and ZnO doped lead free (K0.5Na0.5)(Nb0.7Ta0.3)O3 (KNNT) ceramics prepared by solid state reaction method were investigated. X-ray diffraction analysis of the samples reveal perovskite monoclinic phase along with the secondary phase of K2Nb4O11. The ferroelectric studies indicate that ZnO addition reduce fatigue as well as a well saturated hysteresis loop is obtained. The results reveal that addition of ZnO enhances the ferroelectric properties of KNNT ceramics.
Segal, Christopher; Tarantini, Chiara; Sung, Zu Hawn; ...
2016-06-10
High critical current density (Jc) Nb 3Sn A15 multifilamentary wires require a large volume fraction of small grain, superconducting A15 phase, as well as Cu stabilizer with high Residual Resistance Ratio (RRR) to provide electromagnetic stabilization and protection. In Powder-in-Tube (PIT) wires the unreacted Nb7.5wt.%Ta outer layer of the tubular filaments acts as a diffusion barrier and protects the interfilamentary Cu stabilizer from Sn contamination. A high RRR requirement generally imposes a restricted A15 reaction heat treatment (HT) to prevent localized full reaction of the filament that could allow Sn to reach the Cu. In this paper we investigate recentmore » high quality PIT wires that achieve a J c(12 T, 4.2 K) up to ~2500 A/mm -2 and find that the minimum diffusion barrier thickness decreases as the filament aspect ratio increases from ~1 in the inner rings of filaments to 1.3 in the outer filament rings. We found that just 2-3 diffusion barrier breaches can degrade RRR from 300 to 150 or less. Using progressive etching of the Cu we also found that the RRR degradation is localized near the external filaments where deformation is highest. Consequently minimizing filament distortion during strand fabrication is important for reducing RRR degradation. The additional challenge of developing the highest possible J c must be addressed by forming the maximum fraction of high J c small-grain (SG) A15 and minimizing low J c large-grain (LG) A15 morphologies. Finally, in one wire we found that 15% of the filaments had a significantly enhanced SG/LG A15 ratio and no residual A15 in the core, a feature that opens a path to substantial J c improvement.« less
Strayer, Megan E; Binz, Jason M; Tanase, Mihaela; Shahri, Seyed Mehdi Kamali; Sharma, Renu; Rioux, Robert M; Mallouk, Thomas E
2014-04-16
Metal nanoparticles are commonly supported on metal oxides, but their utility as catalysts is limited by coarsening at high temperatures. Rhodium oxide and rhodium metal nanoparticles on niobate and tantalate supports are anomalously stable. To understand this, the nanoparticle-support interaction was studied by isothermal titration calorimetry (ITC), environmental transmission electron microscopy (ETEM), and synchrotron X-ray absorption and scattering techniques. Nanosheets derived from the layered oxides KCa2Nb3O10, K4Nb6O17, and RbTaO3 were compared as supports to nanosheets of Na-TSM, a synthetic fluoromica (Na0.66Mg2.68(Si3.98Al0.02)O10.02F1.96), and α-Zr(HPO4)2·H2O. High surface area SiO2 and γ-Al2O3 supports were also used for comparison in the ITC experiments. A Born-Haber cycle analysis of ITC data revealed an exothermic interaction between Rh(OH)3 nanoparticles and the layered niobate and tantalate supports, with ΔH values in the range -32 kJ·mol(-1) Rh to -37 kJ·mol(-1) Rh. In contrast, the interaction enthalpy was positive with SiO2 and γ-Al2O3 supports. The strong interfacial bonding in the former case led to "reverse" ripening of micrometer-size Rh(OH)3, which dispersed as 0.5 to 2 nm particles on the niobate and tantalate supports. In contrast, particles grown on Na-TSM and α-Zr(HPO4)2·H2O nanosheets were larger and had a broad size distribution. ETEM, X-ray absorption spectroscopy, and pair distribution function analyses were used to study the growth of supported nanoparticles under oxidizing and reducing conditions, as well as the transformation from Rh(OH)3 to Rh nanoparticles. Interfacial covalent bonding, possibly strengthened by d-electron acid/base interactions, appear to stabilize Rh(OH)3, Rh2O3, and Rh nanoparticles on niobate and tantalate supports.
Microstructure and Interfacial Shear Strength in W/(Zr55Cu30Al10Ni5)100- x Nb x Composites
NASA Astrophysics Data System (ADS)
Mahmoodan, M.; Gholamipour, R.; Mirdamadi, Sh.; Nategh, S.
2017-11-01
In the present study, (Zr55Cu30Al10Ni5)100- x Nb( x=0,1,2,3) bulk metallic glass matrix/tungsten wire composites were fabricated by a gas pressure infiltration process at temperature 950 °C for 5 min. Microstructural studies and mechanical behaviors of the materials have been investigated by scanning electron microscopy, transmission electron microscopy and pullout tests. The mechanical results showed that the interface shear strength in the composite sample with X = 2 increased more than twice compared to the composite sample with X = 0. Based on the microstructural results, the addition of two atomic percent Nb in the matrix composite causes an increase in the diffusion band thickness during the melt infiltration and change in the interface fracture mode as a result of pullout test.
NASA Astrophysics Data System (ADS)
Höbel, Frank; Bandara, Athula; Rupprechter, Günther; Freund, Hans-Joachim
2006-02-01
Structural changes that occur on Pd-Nb 2O 5/Cu 3Au(1 0 0) model catalysts upon thermal annealing were followed by sum frequency generation (SFG) and temperature-programmed desorption (TPD) using CO as probe molecule. SFG experiments were performed both under ultrahigh vacuum and mbar pressure. Heating the catalyst to temperatures above 300 K lead to an irreversible 50% decrease in the CO adsorption capacity and modified the remaining adsorption sites. Alterations of the phase between resonant and non-resonant SFG signals upon annealing indicate a change in the electronic structure of the surface, which excludes Pd sintering or migration of Nb 2O 5 over Pd particles to cause the observed effect and rather suggests the formation of "mixed Pd-NbO x" sites. The same changes in surface properties also occur during CO hydrogenation at 1 bar and high temperature, pointing to an involvement of "mixed Pd-NbO x" sites in catalytic reactions.
NASA Astrophysics Data System (ADS)
Krelaus, J.; Heinemann, K.; Ullmann, B.; Freyhardt, H. C.
1995-02-01
Bulk YBa 2Cu 4O 8 (Y-124) is prepared from YBa 2Cu 3O 7-σ (Y-123) and CuO by a powder-metallurgical method. The superconducting features of the Y-124, in particular critical current densities and activation energies, are measured resistively using a four-probe technique and magnetically using a Faraday magnetometer. In a second step the Y-124 is decomposed at high temperatures. The intragranular critical current density is measured at different annealing times, tA, in order to determine and discuss the characteristics of the jc( tA) curves.
NASA Technical Reports Server (NTRS)
Whittenberger, J. D.; Moore, T. J.
1979-01-01
A study of the flow strength, creep resistance and diffusion welding characteristics of the titanium alloy Ti-6Al-2Nb-1Ta-0.8Mo has been conducted. Two mill-processed forms of this alloy were examined. The forged material had been processed above the beta transus (approximately 1275 K) while the rolled form had been subjected to work below the beta transus. Between 1150 and 1250 K, the forged material was stronger and more creep resistant than the rolled alloy. Both forms exhibit superplastic characteristics in this temperature range. Strain measurements during diffusion welding experiments at 1200 K reveal that weld interfaces have no measurable effect on the overall creep deformation. Significant deformation appears to be necessary to produce a quality diffusion weld between superplastic materials. A 'soft' interlayer inserted between faying surfaces would seemingly allow manufacture of quality diffusion welds with little overall deformation.
Qiu, C L; Liu, L; Sun, M; Zhang, S M
2005-12-15
Bulk metallic glasses (BMGs) of Zr(65 - x)Nb(x)- Cu(17.5)Ni(10)Al(7.5) with Nb = 0, 2, and 5 at % were prepared by copper mold casting. Compression tests reveal that the two BMGs containing Nb exhibited superior strength and plasticity to the base alloy. The corrosion behavior of the alloys obtained was investigated in artificial body fluid by electrochemical measurements. It was found that the addition of Nb significantly enhanced the corrosion resistance of the Zr-based BMG, as indicated by a remarkable increase in corrosion potential and pitting potential. XPS analysis revealed that the passive film formed after anodic polarization was enriched in aluminum oxide and depleted in phosphate ions for the BMGs containing Nb, which accounts for the improvement of corrosion resistance. On the other hand, metal-ion release of different BMGs were determined in PPb (ng/mL) level with inductively coupled plasma mass spectrometry (ICP-MS) after being immersed in artificial body fluid at 37 degrees C for 20 days. It was found that the addition of Nb considerably reduced the ion release of all kinds of metals of the base system. This is probably attributed to the promoting effect of Nb on a rapid formation of highly protective film.
Leakage current behavior in lead-free ferroelectric (K,Na)NbO3-LiTaO3-LiSbO3 thin films
NASA Astrophysics Data System (ADS)
Abazari, M.; Safari, A.
2010-12-01
Conduction mechanisms in epitaxial (001)-oriented pure and 1 mol % Mn-doped (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.1,Sb0.06)O3 (KNN-LT-LS) thin films on SrTiO3 substrate were investigated. Temperature dependence of leakage current density was measured as a function of applied electric field in the range of 200-380 K. It was shown that the different transport mechanisms dominate in pure and Mn-doped thin films. In pure (KNN-LT-LS) thin films, Poole-Frenkel emission was found to be responsible for the leakage, while Schottky emission was the dominant mechanism in Mn-doped thin films at higher electric fields. This is a remarkable yet clear indication of effect of 1 mol % Mn on the resistive behavior of such thin films.
Strain fields induced by kink band propagation in Cu-Nb nanolaminate composites
Nizolek, T. J.; Begley, M. R.; McCabe, R. J.; ...
2017-07-01
Kink band formation is a common deformation mode for anisotropic materials and has been observed in polymer matrix fiber composites, single crystals, geological formations, and recently in metallic nanolaminates. While numerous studies have been devoted to kink band formation, the majority do not consider the often rapid and unstable process of kink band propagation. In this paper, we take advantage of stable kink band formation in Cu-Nb nanolaminates to quantitatively map the local strain fields surrounding a propagating kink band during uniaxial compression. Kink bands are observed to initiate at specimen edges, propagate across the sample during a rising globalmore » stress, and induce extended strain fields in the non-kinked material surrounding the propagating kink band. Finally, it is proposed that these stress/strain fields significantly contribute to the total energy dissipated during kinking and, analogous to crack tip stress/strain fields, influence the direction of kink propagation and therefore the kink band inclination angle.« less
Zhu, Fangyuan; Ward, Michael B.; Li, Jing-Feng; Milne, Steven J.
2015-01-01
Legislation arising from health and environmental concerns has intensified research into finding suitable alternatives to lead-based piezoceramics. Recently, solid solutions based on sodium potassium niobate (K,Na)NbO3 (KNN) have become one of the globally-important lead-free counterparts, due to their favourable dielectric and piezoelectric properties. This data article provides information on the ferroelectric properties and core–shell grain structures for the system, (1−y)[(1−x)Na0.5K0.5NbO3 – xLiTaO3] – yBiScO3 (x=0–0.1, y=0.02, abbreviated as KNN–xLT–2BS). We show elemental analysis with aid of TEM spot-EDX to identify three-type grain-types in the KNN–LT–BS ternary system. Melting behaviour has been assessed using a tube furnace with build-in camera. Details for the ferroelectric properties and core–shell chemical segregation are illustrated. PMID:26217758
Kolli, R Prakash; Seidman, David N
2014-12-01
The composition of co-precipitated and collocated NbC carbide precipitates, Fe3C iron carbide (cementite), and Cu-rich precipitates are studied experimentally by atom-probe tomography (APT). The Cu-rich precipitates located at a grain boundary (GB) are also studied. The APT results for the carbides are supplemented with computational thermodynamics predictions of composition at thermodynamic equilibrium. Two types of NbC carbide precipitates are distinguished based on their stoichiometric ratio and size. The Cu-rich precipitates at the periphery of the iron carbide and at the GB are larger than those distributed in the α-Fe (body-centered cubic) matrix, which is attributed to short-circuit diffusion of Cu along the GB. Manganese segregation is not observed at the heterophase interfaces of the Cu-rich precipitates that are located at the periphery of the iron carbide or at the GB, which is unlike those located at the edge of the NbC carbide precipitates or distributed in the α-Fe matrix. This suggests the presence of two populations of NiAl-type (B2 structure) phases at the heterophase interfaces in multicomponent Fe-Cu steels.
NASA Astrophysics Data System (ADS)
Gunawarman; Giatmana, D. D.; Ilhamdi; Affi, J.; Fonna, S.; Niinomi, M.; Nakai, M.
2018-05-01
The corrosion resistance of Ti-29Nb-13Ta-4.6Zr (TNTZ) and Ti-6Al-4V alloys in oral cavity environment were studied by investigating its corrosion rate in artificial saliva solution. Corrosion measurement was conducted in 600 ml solution of Fusayama-Meyer artificial saliva containing 0.4g NaCl, 0.4g KCl, 0.795g CaCl2.2H2O, 0.69g NaH2PO4, and 1 g urea using a potentiostat controlled by a personal computer. The solution was maintained at pH 5.2 and controlled the temperature of 37°C to imitate oral cavity condition. After corrosion test, specimen surfaces were examined by SEM and EDX. The results show that the average corrosion rate of TNTZ and Ti-6Al-4V is 4,5×10-9 mmy-1 and 6,4×10-8 mmy-1, respectively, indicating that the corrosion resistance of TNTZ is slightly better than Ti-6Al-4V. This is suggested mainly due to the formation of multiple layers of Ti, Nb and Zr oxides in the surface of TNTZ. However, the formation of micro-pitting corrosion is more severe in TNTZ as compared to that of Ti-6Al-4V. The intense pitting corrosion in TNTZ is found strongly corresponded to its high impurities content and wide elemental segregation. It is recommended, therefore, a longer homogenizing process is required in TNTZ for reducing pitting corrosion attack. However, the details of corrosion mechanism are needed to be explored further.
Segal, Christopher; Tarantini, Chiara; Lee, Peter J.; ...
2017-12-30
The next generation of superconducting accelerator magnets for the Large Hadron Collider at CERN will require large amounts of Nb 3Sn superconducting wires and the Powder-In-Tube (PIT) process, which utilizes a NbSn 2-rich powder core within tubes of Nb(7.5wt%Ta) contained in a stabilizing Cu matrix, is a potential candidate. But, the critical current density, J c , is limited by the formation of a large grain (LG) A15 layer which does not contribute to transport current, but occupies 25-30% of the total A15 area. Thus it is important to understand how this layer forms, and if it can be minimizedmore » in favor of the beneficial small grain (SG) A15 morphology which carries the supercurrent. The ratio of SG/LG A15 is our metric here, where an increase signals improvement in the wires A15 morphology distribution. We have made a critical new observation that the initiation of the LG A15 formation can be controlled at a wide range of temperatures relative to the formation of the small grain (SG) A15. The LG A15 can be uniquely identified as a decomposition product of the Nb6Sn5(Cu x ), surrounded by a layer of rejected Cu, thus the LG A15 is not only of low pin density, but is not continuous grain to grain. We have found that in single stage reactions limited to 630 °C - 690 °C, the maximum SG A15 layer thickness prior to LG A15 formation is very sensitive to temperature, with a maximum around 670 °C. This result led to the design of four novel heat treatments which all included a short, high temperature stage early in the reaction, followed by a slow cooling to a more typical reaction temperature of 630 °C. We also found that this heat treatment (HT) modification increased the SG A15 layer thickness while simultaneously suppressing LG A15 morphology, with no additional consumption of the diffusion barrier. In the best heat treatment the SG/LG A15 ratio improved by 30%. Unfortunately, J c values suffered slightly, however further exploration of this high
NASA Astrophysics Data System (ADS)
Segal, Christopher; Tarantini, Chiara; Lee, Peter J.; Larbalestier, David C.
2017-12-01
The next generation of superconducting accelerator magnets for the Large Hadron Collider at CERN will require large amounts of Nb3Sn superconducting wires and the Powder-In-Tube (PIT) process, which utilizes a NbSn2-rich powder core within tubes of Nb(7.5wt%Ta) contained in a stabilizing Cu matrix, is a potential candidate. However, the critical current density, J c , is limited by the formation of a large grain (LG) A15 layer which does not contribute to transport current, but occupies 25-30% of the total A15 area. Thus it is important to understand how this layer forms, and if it can be minimized in favor of the beneficial small grain (SG) A15 morphology which carries the supercurrent. The ratio of SG/LG A15 is our metric here, where an increase signals improvement in the wires A15 morphology distribution. We have made a critical new observation that the initiation of the LG A15 formation can be controlled at a wide range of temperatures relative to the formation of the small grain (SG) A15. The LG A15 can be uniquely identified as a decomposition product of the Nb6Sn5(Cu x ), surrounded by a layer of rejected Cu, thus the LG A15 is not only of low pin density, but is not continuous grain to grain. We have found that in single stage reactions limited to 630 °C - 690 °C, the maximum SG A15 layer thickness prior to LG A15 formation is very sensitive to temperature, with a maximum around 670 °C. This result led to the design of four novel heat treatments which all included a short, high temperature stage early in the reaction, followed by a slow cooling to a more typical reaction temperature of 630 °C. We found that this heat treatment (HT) modification increased the SG A15 layer thickness while simultaneously suppressing LG A15 morphology, with no additional consumption of the diffusion barrier. In the best heat treatment the SG/LG A15 ratio improved by 30%. Unfortunately, J c values suffered slightly, however further exploration of this high temperature
DOE Office of Scientific and Technical Information (OSTI.GOV)
Segal, Christopher; Tarantini, Chiara; Lee, Peter J.
The next generation of superconducting accelerator magnets for the Large Hadron Collider at CERN will require large amounts of Nb 3Sn superconducting wires and the Powder-In-Tube (PIT) process, which utilizes a NbSn 2-rich powder core within tubes of Nb(7.5wt%Ta) contained in a stabilizing Cu matrix, is a potential candidate. But, the critical current density, J c , is limited by the formation of a large grain (LG) A15 layer which does not contribute to transport current, but occupies 25-30% of the total A15 area. Thus it is important to understand how this layer forms, and if it can be minimizedmore » in favor of the beneficial small grain (SG) A15 morphology which carries the supercurrent. The ratio of SG/LG A15 is our metric here, where an increase signals improvement in the wires A15 morphology distribution. We have made a critical new observation that the initiation of the LG A15 formation can be controlled at a wide range of temperatures relative to the formation of the small grain (SG) A15. The LG A15 can be uniquely identified as a decomposition product of the Nb6Sn5(Cu x ), surrounded by a layer of rejected Cu, thus the LG A15 is not only of low pin density, but is not continuous grain to grain. We have found that in single stage reactions limited to 630 °C - 690 °C, the maximum SG A15 layer thickness prior to LG A15 formation is very sensitive to temperature, with a maximum around 670 °C. This result led to the design of four novel heat treatments which all included a short, high temperature stage early in the reaction, followed by a slow cooling to a more typical reaction temperature of 630 °C. We also found that this heat treatment (HT) modification increased the SG A15 layer thickness while simultaneously suppressing LG A15 morphology, with no additional consumption of the diffusion barrier. In the best heat treatment the SG/LG A15 ratio improved by 30%. Unfortunately, J c values suffered slightly, however further exploration of this high
Microstructure, Tensile and Creep Properties of Ta20Nb20Hf20Zr20Ti20 High Entropy Alloy
Larianovsky, Natalya; Katz-Demyanetz, Alexander; Eshed, Eyal; Regev, Michael
2017-01-01
This paper examines the microstructure and mechanical properties of Ta20Nb20Hf20Zr20Ti20. Two casting processes, namely, gravity casting and suction-assisted casting, were applied, both followed by Hot Isostatic Pressing (HIP). The aim of the current study was to investigate the creep and tensile properties of the material, since the literature review revealed no data whatsoever regarding these properties. The main findings are that the HIP process is responsible for the appearance of a Hexagonal Close Packed (HCP) phase that is dispersed differently in these two castings. The HIP process also led to a considerable increase in the mechanical properties of both materials under compression, with values found to be higher than those reported in the literature. Contrary to the compression properties, both materials were found to be highly brittle under tension, either during room temperature tension tests or creep tests conducted at 282 °C. Fractography yielded brittle fracture without any evidence of plastic deformation prior to fracture. PMID:28773245
Microstructural study of brass matrix internal tin multifilamentary Nb3Sn superconductors
NASA Astrophysics Data System (ADS)
Banno, Nobuya; Miyamoto, Yasuo; Tachikawa, Kyoji
2018-03-01
Zn addition to the Cu matrix in internal-tin-processed Nb3Sn superconductors is attractive in terms of the growth kinetics of the Nb3Sn layers. Sn activity is enhanced in the Cu-Zn (brass) matrix, which accelerates Nb3Sn layer formation. Here, we prepared multifilamentary wires using a brass matrix with a Nb core diameter of less than 10 μm and investigated the potential for further Jc improvement through microstructural and microchemical studies. Ti was added into the Sn cores in the precursor wire. Microchemical analysis showed that Ti accumulates between subelements consisting of Nb cores, which blocks Sn diffusion through this region when the spacing between the subelements in the precursor wire is a few microns. The average grain size was found to be about 230 nm through image analysis. To date, matrix Jc values of 1470 and 640 A/mm-2 have been obtained at 12 and 16 T, respectively. The area fraction of Nb cores in the filamentary region of the precursor wire was about 36.3%. There was still some unreacted Nb core area after heat treatment. Insufficient Ti diffusion into the Nb3Sn layers was identified in the outer subelements. These findings suggest that there is still room for improvement in Jc.
Fe4Nb2O9 : A magnetoelectric antiferromagnet
NASA Astrophysics Data System (ADS)
Maignan, Antoine; Martin, Christine
2018-04-01
The structural, magnetic, and electrical properties of a Fe4Nb2O9 polycrystalline sample have been characterized. It is found that this compound crystallizes in the P 3 ¯c 1 space group of the α -A l2O3 structure and is thus isostructural to Co4Nb2O9 and Mn4Nb2O9 , two linear magnetoelectric oxides. But in marked contrast, its ɛ'(T ) curve reveals two broad transitions at TN 1≅90 K and TN 2≅77 K , the former corresponding to the antiferromagnetic ordering temperature. Below TN 1, the M(H ) magnetization curves reveal the existence of spin flop at about 6 T. In this temperature region, a H-induced electric polarization for μ0H >6 T is evidenced by both sets of Ip(T) H and P (H) T curves. All these results point towards Fe4Nb2O9 being a magnetoelectric member of the A4B2O9 family (A =Mn , Fe, Co and B =Nb , Ta).
Facile preparation of polyethylenimine-tannins coated SiO2 hybrid materials for Cu2+ removal
NASA Astrophysics Data System (ADS)
Huang, Qiang; Liu, Meiying; Zhao, Jiao; Chen, Junyu; Zeng, Guangjian; Huang, Hongye; Tian, Jianwen; Wen, Yuanqing; Zhang, Xiaoyong; Wei, Yen
2018-01-01
Polyethylenimine-tannins coated SiO2 (SiO2@PEI-TA) hybrid materials have been prepared via a single-step multifunctional coating with polyethylenimine (PEI) and tannins (TA), and characterized by transmission electron microscope (TEM), Fourier transform infrared spectroscopy (FT-IR), thermogravimetric analysis (TGA), and X-ray photoelectron spectroscopy (XPS). The as-prepared SiO2@PEI-TA composites were examined as adsorbents to remove the Cu2+ from aqueous solution. The effects of contact time, initial Cu2+ concentration, solution pH and temperature, on Cu2+ adsorption have been investigated. The results show that the adsorption of Cu2+ onto SiO2@PEI-TA is dependent on the contact time, Cu2+ concentration, pH and temperature. The SiO2@PEI-TA composites show a 2.4-fold increase in adsorption capacity, implying that the introduction of PEI-TA coating is in favor of the Cu2+ adsorption. Based on the analysis of kinetic data, the kinetics of Cu2+ adsorption is more accurately described by the pseudo-second-order model. The equilibrium data are analyzed by Langmuir and Freundlich isotherms. Results of isotherms show that the better agreement is Freundlich isotherm model with correlation coefficient of 0.9914, which suggests that the adsorption of Cu2+ onto SiO2@PEI-TA is mainly a heterogeneous adsorption process. Thermodynamic analyses show that the adsorption interaction is actually a spontaneous and endothermic chemical process, which might involve the chemical chelation between Cu2+ and functional groups (amine and carboxyl groups) on the surface of SiO2@PEI-TA. In addition, the Cu2+ ions could desorb from SiO2@PEI-TA by using acid solution and the adsorption efficiency remains at high level after five adsorption-desorption recycles. These results provide potential applications of these novel adsorbents for the removal of heavy metal Cu2+ from aqueous solution and also provide strong evidence to support the adsorption mechanism proposed in the study.
NASA Astrophysics Data System (ADS)
Lawriniang, B.; Ghosh, R.; Badwar, S.; Vansola, V.; Santhi Sheela, Y.; Suryanarayana, S. V.; Naik, H.; Naik, Y. P.; Jyrwa, B.
2018-05-01
Excitation functions of the 93Nb(p,n)93mMo and 93Nb(p,pn)92mNb reactions were measured from threshold energies to ∼ 20MeV by employing stacked foil activation technique in combination with the off-line γ-ray spectroscopy at the BARC-TIFR Pelletron facility, Mumbai. For the 20 MeV proton beam, the energy degradation along the stack was calculated using the computer code SRIM 2013. The proton beam intensity was determined via the natCu(p,x)62Zn monitor reaction. The experimental data obtained were compared with the theoretical results from TALYS-1.8 as well as with the literature data available in EXFOR. It was found that for the 93Nb(p,n)92mMo reaction, the present data are in close agreement with some of the recent literature data and the theoretical values based on TALYS-1.8 but are lower than the other literature data. In the case of 93Nb(p,pn)93mNb reaction, present data agree very well with the literature data and the theoretical values.
Application of cluster-plus-glue-atom model to barrierless Cu–Ni–Ti and Cu–Ni–Ta films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Li, Xiaona, E-mail: lixiaona@dlut.edu.cn; Ding, Jianxin; Wang, Miao
To improve the thermal stability of copper and avoid its diffusion into surrounding dielectrics or interfacial reactions with them, the authors applied the cluster-plus-glue-atom model to investigate barrierless Cu–Ni–M (M = Ti or Ta) seed layers. The dissolution of the third element (Ti or Ta) in the Cu lattice with the aid of Ni significantly improved the thermal stability of the Cu seed layer. The appropriate M/Ni (M = Ti or Ta) ratio was selected to obtain a low resistivity: the resistivity was as low as 2.5 μΩ cm for the (Ti{sub 1.5/13.5}Ni{sub 12/13.5}){sub 0.3}Cu{sub 99.7} film and 2.8 μΩ cm for the (Ta{sub 1.1/13.1}Ni{sub 12/13.1}){submore » 0.4}Cu{sub 99.6} film after annealing at 500 °C for 1 h. After annealing at 500 °C for 40 h, the two films remained stable without forming a Cu{sub 3}Si compound. The authors confirmed that the range of applications of the cluster-plus-glue-atom model could be extended. Therefore, a third element M with negative enthalpies of mixing with both Cu and Ni could be selected, under the premise that the mixing enthalpy of M–Ni is more negative than that of M–Cu.« less
NASA Astrophysics Data System (ADS)
Gupta, Satyendra Nath; Singh, Anjali; Pal, Koushik; Muthu, D. V. S.; Shekhar, C.; Qi, Yanpeng; Naumov, Pavel G.; Medvedev, Sergey A.; Felser, C.; Waghmare, U. V.; Sood, A. K.
2018-02-01
We report high-pressure Raman, synchrotron x-ray diffraction, and electrical transport studies on Weyl semimetals NbP and TaP along with first-principles density functional theoretical (DFT) analysis. The frequencies of first-order Raman modes of NbP harden with increasing pressure and exhibit a slope change at Pc˜9 GPa. The pressure-dependent resistivity exhibits a minimum at Pc. The temperature coefficient of resistivity below Pc is positive as expected for semimetals but changes significantly in the high-pressure phase. Using DFT calculations, we show that these anomalies are associated with a pressure-induced Lifshitz transition, which involves the appearance of electron and hole pockets in its electronic structure. In contrast, the results of Raman and synchrotron x-ray diffraction experiments on TaP and DFT calculations show that TaP is quite robust under pressure and does not undergo any phase transition.
Micro-arc oxidation treatment to improve the hard-tissue compatibility of Ti-29Nb-13Ta-4.6Zr alloy
NASA Astrophysics Data System (ADS)
Tsutsumi, Yusuke; Niinomi, Mitsuo; Nakai, Masaaki; Tsutsumi, Harumi; Doi, Hisashi; Nomura, Naoyuki; Hanawa, Takao
2012-12-01
Micro-arc oxidation (MAO) was performed on a β-type Ti-29Nb-13Ta-4.6Zr alloy (TNTZ) in this study to improve its bioactivity in a body fluid and its hard-tissue compatibility. The surface oxide layer formed on TNTZ by MAO treatment in a mixture of calcium glycerophosphate and magnesium acetate was characterized using various surface analyses. The oxide layer was mainly composed of two types of TiO2 (rutile and anatase), and it also contained Ca, P, and Mg, which were incorporated from the electrolyte during the treatment. The calcium phosphate formation on the surface of the specimens after immersion in Hanks' solution was evaluated to determine the bioactivity of TNTZ with and without MAO treatment. As a result, thick calcium phosphate layers formed on the TNTZ specimen that underwent MAO treatment, whereas only a small amount of precipitate was observed on TNTZ without treatment. Thus, the MAO treatment is a promising method to improve the bioactivity and hard-tissue compatibility of TNTZ.
On the Alloying and Properties of Tetragonal Nb5Si3 in Nb-Silicide Based Alloys
Tsakiropoulos, Panos
2018-01-01
The alloying of Nb5Si3 modifies its properties. Actual compositions of (Nb,TM)5X3 silicides in developmental alloys, where X = Al + B + Ge + Si + Sn and TM is a transition and/or refractory metal, were used to calculate the composition weighted differences in electronegativity (Δχ) and an average valence electron concentration (VEC) and the solubility range of X to study the alloying and properties of the silicide. The calculations gave 4.11 < VEC < 4.45, 0.103 < Δχ < 0.415 and 33.6 < X < 41.6 at.%. In the silicide in Nb-24Ti-18Si-5Al-5Cr alloys with single addition of 5 at.% B, Ge, Hf, Mo, Sn and Ta, the solubility range of X decreased compared with the unalloyed Nb5Si3 or exceeded 40.5 at.% when B was with Hf or Mo or Sn and the Δχ decreased with increasing X. The Ge concentration increased with increasing Ti and the Hf concentration increased and decreased with increasing Ti or Nb respectively. The B and Sn concentrations respectively decreased and increased with increasing Ti and also depended on other additions in the silicide. The concentration of Sn was related to VEC and the concentrations of B and Ge were related to Δχ. The alloying of Nb5Si3 was demonstrated in Δχ versus VEC maps. Effects of alloying on the coefficient of thermal expansion (CTE) anisotropy, Young’s modulus, hardness and creep data were discussed. Compared with the hardness of binary Nb5Si3 (1360 HV), the hardness increased in silicides with Ge and dropped below 1360 HV when Al, B and Sn were present without Ge. The Al effect on hardness depended on other elements substituting Si. Sn reduced the hardness. Ti or Hf reduced the hardness more than Cr in Nb5Si3 without Ge. The (Nb,Hf)5(Si,Al)3 had the lowest hardness. VEC differentiated the effects of additions on the hardness of Nb5Si3 alloyed with Ge. Deterioration of the creep of alloyed Nb5Si3 was accompanied by decrease of VEC and increase or decrease of Δχ depending on alloying addition(s). PMID:29300327
Physical properties of spin-valve films grown on naturally oxidized metal nano-oxide surfaces
NASA Astrophysics Data System (ADS)
Mao, Ming; Cerjan, Charlie; Kools, Jacques
2002-05-01
The physical properties of spin-valve films NiFe 25 Å/CoFe 10 Å/Cu(tCu)/CoFe 30 Å/IrMn 70 Å/Ta 20 Å with graded Cu layer thickness (tCu=18-45 Å) grown on the surface of metal nano-oxide layers (NOLs) were studied. The NOLs were formed from ultrathin Al, Cr, Cu, Nb, Ta, CoFe, NiFe, and NiFeCr layers by natural oxidation. The growth of the spin-valve films on NOLs has led to an enhancement in giant magnetoresistance value by up to 48%. A corresponding reduction in minimum film resistance by over 10% confirms that this enhancement originates from an increase in the mean free path of spin-polarized electrons due to the resultant specular reflection at the nano-oxide surfaces. A wide spectrum of oscillatory interlayer exchange coupling dependence on tCu for these NOL-bearing films suggests that a specular nano-oxide surface does not necessarily result in a smoother multilayer structure. The observation of an enhanced exchange biasing among these spin-valve films appears in contradiction to the observed deterioration of their crystallographic quality. As an important application, TaOx, CrOx, and NbOx could be employed as an alternative to AlOx as the barrier layer for magnetic tunnel junctions.
Crystal Structure and Antiferromagnetic Ordering of Quasi-2D [Cu(HF2)(pyz)2]TaF6 (pyz=pyrazine)
NASA Astrophysics Data System (ADS)
Manson, J. L.; Schlueter, J. A.; McDonald, R. D.; Singleton, J.
2010-04-01
The crystal structure of the title compound was determined by X-ray diffraction at 90 and 295 K. Copper(II) ions are coordinated to four bridging pyz ligands to form square layers in the ab-plane. Bridging HF2- ligands join the layers together along the c-axis to afford a tetragonal, three-dimensional (3D) framework that contains TaF6- anions in every cavity. At 295 K, the pyz rings lie exactly perpendicular to the layers and cooling to 90 K induces a canting of those rings. Magnetically, the compound exhibits 2D antiferromagnetic correlations within the 2D layers with an exchange interaction of -13.1(1) K. Weak interlayer interactions, as mediated by Cu-F-H-F-Cu, leads to long-range magnetic order below 4.2 K. Pulsed-field magnetization data at 0.5 K show a concave curvature with increasing B and reveal a saturation magnetization at 35.4 T.
NASA Astrophysics Data System (ADS)
Deryagina, I. L.; Popova, E. N.; Valova-Zaharevskaya, E. G.; Patrakov, E. I.
2018-01-01
The microstructure and thermal stability of multifiber in situ Cu-18Nb composites with a true strain ( e) of 10.2 and 12.5 have been studied by the methods of scanning and transmission electron microscopy and X-ray diffraction analysis. It has been established that niobium dendrites in the copper matrix acquire the shape of ribbons with thicknesses of less than 100 nm under strong plastic deformation. As the strain grows, the thickness of niobium ribbons decreases, and the degree of axial texture <110>Nb║<111>Cu║DA (drawing axes) and the macrostresses in the crystal lattice of niobium increase. Interplanar distances between adjacent {110}Nb planes are stretched in the longitudinal section of the composites and reduced in their transversal section under the action of macrostresses. It has been shown that, as a result of the annealing of these composites, niobium fibers sustain coagulation, which begins at 300°C, actively develops with increasing temperature, and leads to the appreciable softening of a composite at 700°C. The softening of a composite after the annealing is accompanied by the relaxation of macrostresses in niobium and the recovery of its unit cell parameters to standard values.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Gray, George T
2010-12-14
Widespread research over the past five decades has provided a wealth of experimental data and insight concerning shock hardening and the spallation response of materials subjected to square-topped shock-wave loading profiles. Less quantitative data have been gathered on the effect of direct, in-contact, high explosive (HE)-driven Taylor wave (or triangular-wave) loading profile shock loading on the shock hardening, damage evolution, or spallation response of materials. Explosive loading induces an impulse dubbed a 'Taylor Wave'. This is a significantly different loading history than that achieved by a square-topped impulse in terms of both the pulse duration at a fixed peak pressure,more » and a different unloading strain rate from the peak Hugoniot state achieved. The goal of this research is to quantify the influence of shockwave obliquity on the spallation response of copper and tantalum by subjecting plates of each material to HE-driven sweeping detonation-wave loading and quantify both the wave propagation and the post-mortem damage evolution. This talk will summarize our current understanding of damage evolution during sweeping detonation-wave spallation loading in Cu and Ta and show comparisons to modeling simulations. The spallation responses of Cu and Ta are both shown to be critically dependent on the shockwave profile and the stress-state of the shock. Based on variations in the specifics of the shock drive (pulse shape, peak stress, shock obliquity) and sample geometry in Cu and Ta, 'spall strength' varies by over a factor of two and the details of the mechanisms of the damage evolution is seen to vary. Simplistic models of spallation, such as P{sub min} based on 1-D square-top shock data lack the physics to capture the influence of kinetics on damage evolution such as that operative during sweeping detonation loading. Such considerations are important for the development of predictive models of damage evolution and spallation in metals and alloys.« less
Superconductivity in the Nb-Ru-Ge σ phase
Carnicom, Elizabeth M.; Xie, Weiwei; Sobczak, Zuzanna; ...
2017-12-07
Here, we show that the previously unreported ternary σ-phase material Nb 20.4Ru 5.7Ge 3.9 (Nb 0.68Ru 0.19Ge 0.13) is a superconductor with a critical temperature of 2.2 K. Temperature-dependent magnetic susceptibility, resistance, and specific heat measurements were used to characterize the superconducting transition. The Sommerfeld constant γ for Nb 20.4Ru 5.7Ge 3.9 is 91 mJ mol-f.u. -1K -2 (~3 mJ mol-atom -1K -2) and the specific heat anomaly at the superconducting transition, ΔC/γT c, is approximately 1.38. The zero-temperature upper critical field (µ 0Hc 2(0)) was estimated to be 2 T by resistance data. Field-dependent magnetization data analysis estimated µmore » 0Hc 1(0) to be 5.5 mT. Thus, the characterization shows Nb 20.4Ru 5.7Ge 3.9 to be a type II BCS superconductor. This material appears to be the first reported ternary phase in the Nb-Ru-Ge system, and the fact that there are no previously reported binary Nb-Ru, Nb-Ge, or Ru-Ge σ-phases shows that all three elements are necessary to stabilize the material. An analogous σ-phase in the Ta-Ru-Ge system did not display superconductivity above 1.7 K, which suggests that electron count cannot govern the superconductivity observed. Preliminary characterization of a possible superconducting σ-phase in the Nb-Ru-Ga system is also reported.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Dirras, G., E-mail: dirras@univ-paris13.fr; Gubicza, J.; Heczel, A.
2015-10-15
The microstructure evolution in body-centered cubic (bcc) Ti{sub 20}Zr{sub 20}Hf{sub 20}Nb{sub 20}Ta{sub 20} high entropy alloy during quasi-static compression test was studied by X-ray line profile analysis (XLPA) and transmission electron microscopy (TEM). The average lattice constant and other important parameters of the microstructure such as the mean crystallite size, the dislocation density and the edge/screw character of dislocations were determined by XLPA. The elastic anisotropy factor required for XLPA procedure was determined by nanoindentation. XLPA shows that the crystallite size decreased while the dislocation density increased with strain during compression, and their values reached about 39 nm and 15more » × 10{sup 14} m{sup −2}, respectively, at a plastic strain of ~ 20%. It was revealed that with increasing strain the dislocation character became more screw. This can be explained by the reduced mobility of screw dislocations compared to edge dislocations in bcc structures. These observations are in line with TEM investigations. The development of dislocation density during compression was related to the yield strength evolution. - Highlights: • Ti{sub 20}Zr{sub 20}Hf{sub 20}Nb{sub 20}Ta{sub 20} high entropy alloy was processed by arc-melting. • The mechanical was evaluated by RT compression test. • The microstructure evolution was studied by XLPA and TEM. • With increasing strain the dislocation character became more screw. • The yield strength was related to the development of the dislocation density.« less
NASA Astrophysics Data System (ADS)
Kim, Jong Soo; Lee, Seong-Rae
2004-06-01
The thermal stability and specularity aspects of a CoNbZr-based bottom spin valve (SV) employing a nano-oxide layer (NOL) were investigated. The magnetoresistance (MR) ratio of the as-deposited CoNbZr-based bottom SV increased by 62% (from 6.3 to 10.2%) with incorporation of the NOL. The enhancement of the MR ratio was considered to be due to the specular effect ( increased from 0.722 to 1.363 cm) of the NOL. The MR ratio of a Ta-based bottom SV decreased by about 45% (from 6.9 to 3.8%) when the samples were annealed at 300 °C for 240 min. By contrast, the MR ratio of the CoNbZr-based bottom SV with NOL increase d by 14 % (from 10.2 to 11.7%). The root mean square roughness value of the CoNbZr layer (0.07 nm) was superior to that of the Ta layer (0.43 nm). Although Mn in IrMn diffused out to the surface through the active layers resulting in the formation of Mn oxide at the surface in the CoNbZr-based bottom SV, no trace of Mn was found in the active layers and no significant degradation occurred.
Wu, Ningjie; Kang, Chi Soo; Sin, Inseok; Ren, Siyuan; Liu, Dijie; Ruthengael, Varyanna C.; Lewis, Michael R.; Chong, Hyun-Soon
2016-01-01
Positron emission tomography (PET) using copper-64 is a sensitive and non-invasive imaging technique for diagnosis and staging of cancer. A bifunctional chelator that can present rapid radiolabeling kinetics and high complex stability with 64Cu is a critical component for targeted PET imaging. Bifunctional chelates 3p-C-NE3TA, 3p-C-NOTA, and 3p-C-DE4TA were evaluated for complexation kinetics and stability with 64Cu in vitro and in vivo. Hexadentate 3p-C-NOTA and heptadentate 3p-C-NE3TA possess a smaller TACN-based macrocyclic backbone, while nonadentate 3p-C-DE4TA is constructed on a larger CYCLEN-based ring. The frequently explored chelates of 64Cu, octadentate C-DOTA and hexadentate C-NOTA were also comparatively evaluated. Radiolabeling kinetics of bifunctional chelators with 64Cu was assessed under mild conditions. All bifunctional chelates instantly bound to 64Cu in excellent radiolabeling efficiency at room temperature. C-DOTA was less efficient in binding 64Cu than all other chelates. All 64Cu-radiolabeled bifunctional chelates remained stable in human serum without any loss of 64Cu for 2 days. When challenged by an excess amount of EDTA, 64Cu complexes of 3p-C-NE3TA and 3p-C-NOTA were shown to be more stable than 64Cu-C-DOTA and 64Cu-C-DE4TA. 3p-C-NE3TA and 3p-C-NOTA displayed comparable in vitro and in vivo complex stability to 64Cu-C-NOTA. In vivo biodistribution result indicates that the 64Cu-radiolabeled complexes of 3p-C-NOTA and 3p-C-NE3TA possess excellent in vivo complex stability, while 64Cu-3p-C-DE4TA was dissociated as evidenced by high renal and liver retention in mice. The results of in vitro and in vivo studies suggest that the bifunctional chelates 3p-C-NOTA and 3p-C-NE3TA offer excellent chelation chemistry with 64Cu for potential PET imaging applications. PMID:26666778
Rubio-Marcos, Fernando; Marchet, Pascal; Romero, Juan José; Fernández, Jose F
2011-09-01
This article reviews on the use of Raman spectroscopy for the study of (K,Na,Li)(Nb,Ta,Sb)O(3) lead-free piezoceramics. Currently, this material appears to be one of the most interesting and promising alternatives to the well-known PZT piezoelectric materials. In this work, we prepare piezoceramics with different stoichiometries and study their structural, ferroelectric, and piezoelectric properties. By using both Raman spectroscopy and X-ray diffraction, we establish a direct correlation between the structure and the properties. The results demonstrate that the wavenumber of the A(1g) vibration is proportional to the tetragonality, the remnant polarization, and the piezoelectric coefficients of these materials. Thus, Raman spectroscopy appears as a very useful technique for a fast evaluation of the crystalline structure and the ferroelectric/ piezoelectric properties.
Transverse excitations in liquid Fe, Cu and Zn
NASA Astrophysics Data System (ADS)
Hosokawa, S.; Inui, M.; Kajihara, Y.; Tsutsui, S.; Baron, A. Q. R.
2015-05-01
Transverse acoustic (TA) excitation modes were observed in inelastic x-ray scattering spectra of liquid Fe, Cu and Zn. From the analysis of current correlation functions, we concluded that TA excitation modes can experimentally be detected through the quasi-TA branches in the longitudinal current correlation spectra in these liquid metals. The microscopic elastic constants are estimated and a characteristic difference from macroscopic polycrystalline value was found in Poisson's ratio of liquid Fe, which shows an extremely softer value of ∼0.38 compared with the macroscopic value of ∼0.275. The lifetime of the TA modes were determined to be ∼0.45 ps for liquid Fe and Cu and ∼0.55 ps for liquid Zn, reflecting different interatomic correlations between liquid transition metals and non-transition metals. The propagation length of the TA modes are ∼0.85 nm in all of liquid metals, corresponding to the size of icosahedral or similar size of cages formed instantaneously in these liquid metals.
Comparative study of the magnetic properties of La3Ni2B‧O9 for B‧ = Nb, Taor Sb
NASA Astrophysics Data System (ADS)
Chin, Chun-Mann; Battle, Peter D.; Blundell, Stephen J.; Hunter, Emily; Lang, Franz; Hendrickx, Mylène; Paria Sena, Robert; Hadermann, Joke
2018-02-01
Polycrystalline samples of La3Ni2NbO9 and La3Ni2TaO9 have been characterised by X-ray and neutron diffraction, electron microscopy, magnetometry and muon spin relaxation (μSR); the latter technique was also applied to La3Ni2SbO9. On the length scale of a neutron diffraction experiment, the six-coordinate sites of the monoclinic perovskite structure are occupied in a 1:1 ordered manner by Ni and a random ⅓Ni/⅔B‧ mixture. Electron microscopy demonstrated that this 1:1 ordering is maintained over microscopic distances, although diffuse scattering indicative of short-range ordering on the mixed site was observed. No magnetic Bragg scattering was observed in neutron diffraction patterns collected from La3Ni2B‧O9 (B‧ = Nb or Ta) at 5 K although in each case μSR identified the presence of static spins below 30 K. Magnetometry showed that La3Ni2NbO9 behaves as a spin glass below 29 K but significant short-range interactions are present in La3Ni2TaO9 below 85 K. The contrasting properties of these compounds are discussed in terms of their microstructure.
Fabrication of fine-grain tantalum diffusion barrier tube for Nb3Sn conductors
NASA Astrophysics Data System (ADS)
Hartwig, K. T.; Balachandran, S.; Mezyenski, R.; Seymour, N.; Robinson, J.; Barber, R. E.
2014-01-01
Diffusion barriers used in Nb3Sn wire are often fabricated by wrapping Ta sheet into a tube with an overlap seam. A common result of such practice is non-uniform deformation in the Ta sheet as it thins by wire drawing because of non-uniform grain size and texture in the original Ta sheet. Seamless Ta tube with a fine-grain and uniform microstructure would be much better for the diffusion barrier application, but such material is expensive and difficult to manufacture. This report presents results on a new fabrication strategy for Ta tube that shows promise for manufacture of less costly tube with an improved microstructure. The fabrication method begins with seam-welded tube but gives a fine-grain uniform microstructure with little difference between the longitudinal seam weld region and the parent metal after post-weld processing. Severe plastic deformation processing (SPD) applied by area reduction extrusion and tube equal channel angular extrusion (tECAE) are used to refine and homogenize the microstructure. Microstructure and mechanical property results are presented for Ta tubes fabricated by this new processing strategy.
Electrical resistivity in Zr48Nb8Cu12Fe8Be24 glassy and crystallized alloys
NASA Astrophysics Data System (ADS)
Bai, H. Y.; Tong, C. Z.; Zheng, P.
2004-02-01
The electrical resistivity of Zr48Nb8Cu12Fe8Be24 bulk metallic glassy and crystallized alloys in the temperature range of 4.2-293 K is investigated. It is found that the resistivity in glassy and crystallized states shows opposite temperature coefficients. For the metallic glass, the resistivity shows a negative logarithmic dependence at temperatures below 16 K, whereas it has more normal behavior for the crystallized alloy. At higher temperatures, the resistivity in both glassy and crystallized alloys shows dependence upon both T and T2, but the signs of the T and T2 terms are opposite. The results are interpreted in terms of scattering from two-level tunneling states in glasses and the generalized Ziman diffraction model.
NASA Astrophysics Data System (ADS)
Music, Denis; Geyer, Richard W.; Hans, Marcus
2016-07-01
To increase the thermoelectric efficiency and reduce the thermal fatigue upon cyclic heat loading, alloying of amorphous NbO2 with all 3d and 5d transition metals has systematically been investigated using density functional theory. It was found that Ta fulfills the key design criteria, namely, enhancement of the Seebeck coefficient and positive Cauchy pressure (ductility gauge). These quantum mechanical predictions were validated by assessing the thermoelectric and elastic properties on combinatorial thin films, which is a high-throughput approach. The maximum power factor is 2813 μW m-1 K-2 for the Ta/Nb ratio of 0.25, which is a hundredfold increment compared to pure NbO2 and exceeds many oxide thermoelectrics. Based on the elasticity measurements, the consistency between theory and experiment for the Cauchy pressure was attained within 2%. On the basis of the electronic structure analysis, these configurations can be perceived as metallic, which is consistent with low electrical resistivity and ductile behavior. Furthermore, a pronounced quantum confinement effect occurs, which is identified as the physical origin for the Seebeck coefficient enhancement.
Porphyry Cu-Au mineralization in the Mirkuh Ali Mirza magmatic complex, NW Iran
NASA Astrophysics Data System (ADS)
Maghsoudi, A.; Yazdi, M.; Mehrpartou, M.; Vosoughi, M.; Younesi, S.
2014-01-01
The Mirkuh Ali Mirza Cu-Au porphyry system in East Azerbaijan Province is located on the western part of the Cenozoic Alborz-Azerbaijan volcanic belt. The belt is also an important Cu-Mo-Au metallogenic province in northwestern Iran. The exposed rocks in the study area consist of a volcaniclastic sequence, subvolcanic rocks and intermediate to mafic lava flows of Neogene age. The volcanic rocks show a typical subduction-related magmatic arc geological and geochemical signature, with low concentration of Nb, Ta, and Ti. Mineralization is hosted by Neogene dacitic tuff and porphyritic dacite situated at the intersections of northeast and northwest faults. Field observations, alteration zonation, geochemical haloes and isotopic data of the Mirkuh Ali Mirza magmatic complex show similarities with typical convergent margin Cu-Au porphyry type deposits. The following features confirm the classic model for Cu-Au porphyry systems: (a) close spatial association with high-K calcalkaline to shoshonitic rock related to post-collision extensional setting (b) low grade Cu (0.57%) (c) stockworks as well as disseminated sulfides (c) zonality of the alteration patterns from intense phyllic at the center to outward weak-phyllic, argillic, and propylitic (d) the presence of a pyritic halo (e) accompanied by sheeted veins and low-sulfidation epithermal gold (f) mineralization spatially associated with intersection of structures, (g) genetically related to diorite porphyry stocks at depth (h) geochemical zonation of (Cu ± Au ± Ag ± Bi) → (Cu + Mo ± Bi ± Au ± Pb ± Zn ± As) → (Au + Mo ± Pb ± Zn) → (As + Ag + Sb + Mn + Ba + Pb + Zn + Hg) → Hg from center to outwards (i) The range of sulfur isotopic values is approximately zero (interpreted to have magmatic source) and similar to other subduction-related porphyry Cu deposits.
{Nb288O768(OH)48(CO3)12}: A Macromolecular Polyoxometalate with Niobium Atoms Close to 300.
Wu, Yan-Lan; Li, Xin-Xiong; Qi, Yan-Jie; Yu, Hao; Jin, Lu; Zheng, Shou-Tian
2018-05-29
A protein-sized (ca. 4.2 ᵡ 4.2 ᵡ 3.6 nm3) non-biologically derived molecule {Nb288O768(OH)48(CO3)12} (Nb288) containing up to 288 niobium atoms has been obtained, which is by far the largest and the highest nuclearity polyoxoniobate (PONb). Particularly, in terms of metal nuclearity number, Nb288 is the second largest cluster so far reported in classic polyoxometalate chemistry (V, Mo, W, Nb, and Ta). Nb288 can be described as a giant windmill-like cluster aggregate of six brand-new, nanoscale high-nuclearity PONb units {Nb47O128(OH)6(CO3)2} (Nb47) joined together by six additional Nb ions. Interestingly, the in situ generated 47-nuclearity Nb47 units can be isolated and bridged by copper complexes to form an inorganic-organic hybrid three-dimensional PONb framework, which exhibits effective catalytic activity for hydrolyzing nerve agent simulant of dimethyl methylphosphonate. The unique Nb47 cluster also provides a new type of topology to very limited family of Nb-O clusters. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Mazur, M; Kalisz, M; Wojcieszak, D; Grobelny, M; Mazur, P; Kaczmarek, D; Domaradzki, J
2015-02-01
In this paper comparative studies on the structural, mechanical and corrosion properties of Nb2O5/Ti and (NbyCu1-y)Ox/Ti alloy systems have been investigated. Pure layers of niobia and niobia with a copper addition were deposited on a Ti6Al4V titanium alloy surface using the magnetron sputtering method. The physicochemical properties of the prepared thin films were examined with the aid of XRD, XPS SEM and AFM measurements. The mechanical properties (i.e., nanohardness, Young's modulus and abrasion resistance) were performed using nanoindentation and a steel wool test. The corrosion properties of the coatings were determined by analysis of the voltammetric curves. The deposited coatings were crack free, exhibited good adherence to the substrate, no discontinuity of the thin film was observed and the surface morphology was homogeneous. The hardness of pure niobium pentoxide was ca. 8.64GPa. The obtained results showed that the addition of copper into pure niobia resulted in the preparation of a layer with a lower hardness of ca. 7.79 GPa (for niobia with 17 at.% Cu) and 7.75 GPa (for niobia with 25 at.% Cu). The corrosion properties of the tested thin films deposited on the surface of titanium alloy depended on the composition of the thin layer. The addition of copper (i.e. a noble metal) to Nb2O5 film increased the corrosion resistance followed by a significant decrease in the value of corrosion currents and, in case of the highest Cu content, the shift of corrosion potential towards the noble direction. The best corrosion properties were obtained from a sample of Ti6Al4V coated with (Nb0.75Cu0.25)Ox thin film. It seems that the tested materials could be used in the future as protection coatings for Ti alloys in biomedical applications such as implants. Copyright © 2014. Published by Elsevier B.V.
Fabrication of multifilamentary Nb/sub 3/(Al,Ge) wires through a modified jelly roll process
DOE Office of Scientific and Technical Information (OSTI.GOV)
Tachikawa, K.; Kamisada, Y.; Suzuki, E.
Recently, development of Nb/sub 3/Al multifilamentary wires has gained much interests since high-field performance superior to that of Nb/sub 3/Sn can be expected in these wires. In this study, Nb/Al-Ge alloy composites were fabricated into multifilamentary wires through a modified jelly roll (MJR) process. A Nb mesh sheet produced at the Teledyne Wah Chang Co. was used as Nb component. An Al-Ge alloy prepared by a conventional casting process was forged and rolled into a sheet of 0.2 mm in thickness. The Nb/Al-Ge composite was prepared by wrapping the Nb mesh sheet together with the Al-Ge alloy sheet around amore » Nb core into a jelly roll form. The MJR composite was encased in a Cu-Ni alloy tube of which outer diameter was 43 mm. The resulting composite was hydrostatically pressed and extruded into a rod of 18 mm in diameter. A Nb barrier was then inserted between the MJR and the Cu-Ni jacket. The composite rod was swaged and drawn into a wire without any intermediate annealing. The wire was able to be drawn down to a very small diameter of 0.1 mm. The cross-sectional configuration of the MJR composite was not much disturbed by the fabrication. Superconducting transition temperature Tc of the wire, after different heat treatment including a rapid quenching from high temperatures by a continuous electron beam irradiation, was studied, and an onset Tc of 19.3K has been achieved.« less
Xu, Fei; Matsumoto, Kazuhiko; Hagiwara, Rika
2012-03-28
A series of 1-alkyl-3-methylimidazolium hexafluorocomplex salts (C(x)MImAF(6), x = 14, 16 and 18, A = P, As, Sb, Nb and Ta) have been characterized by thermal analysis, X-ray diffraction and polarized optical microscopy. A liquid crystalline mesophase is observed for all the C(16)MIm and C(18)MIm salts. The C(14)MIm(+) cation gives a liquid crystalline mesophase only with PF(6)(-). The temperature range of the liquid crystalline mesophase increases with an increase in alkyl chain length or with decrease in anion size. Single-crystal X-ray diffraction revealed that all the C(18)MImAF(6) salts (A = P, As, Sb, Nb and Ta) are isostructural with each other in the crystalline phase and have a layered structure. The interdigitated alkyl chain of the cation has a bent shape like a spoon near the imidazolium ring in the crystalline phase at -100 °C and is tilted with respect to the sheets of the imidazolium headgroups and anions. An increase of temperature increases the ratio of an all-trans conformation to the bent conformation in the crystalline phase. X-ray diffraction and polarized optical microscopy suggested that the liquid crystalline mesophase has a smectic A(2) structure. The interlayer distance increases with a decrease in the anion size since the smaller anion has a stronger coulombic interaction with the imidazolium headgroup, resulting in the decrease of the interdigitated part to give a larger layer spacing.
NASA Astrophysics Data System (ADS)
Drysdall, Alan R.; Douch, Colin J.
A composite sill of mineralized and highly radioactive microgranite—microsyenite caps Jabal Tawlah, a low ridge in the extreme NW of the Arabian Shield. The leucocratic composition, distribution of quartz and low K 2O:Na 2O ratios indicate that deuteric processes, including separation of a silica-rich phase and albitization, played a major role. Mineralization is in the form of a disseminated enrichment in Nb, Ta, Sn, Th, Y, heavy REE and Zr. Four Y- and heavy REE-bearing minerals, gagarinite [NaCaY(F,Cl) 6], fergusonite [(Y,Er,Ce,Fe)(Nb,Ta,Ti)O 4], xenotime and yttrian fluorite, as well as zircon, columbite, thorite, sphalerite, galena, pyrite, ilmenite, hematite, limonite, magnetite, goethite, siderite, possible chrysocolla and an MnO-bearing mineral have been identified. The geochemical signature of the mineralization is similar to that which distinguishes alkali granites from other granitic rocks. Jabal az Zuhd, a major plutonic complex consisting largely of alkali granite, crops out only 5 km NW of Jabal Tawlah. However, there is no other evidence of possible derivation from a parental alkali granite magma. Reserves indicated by outcrop dimensions and three drill-hole intersections are 6.4 million tonnes to an average depth of 65 m below wadi level, grading 0.34% Nb, 0.52% Y, 0.47% Zn and approximately 4% zircon (plus 175 ppm Ta, 380 ppm Sn, 700 ppm Th and heavy REE).
DOE Office of Scientific and Technical Information (OSTI.GOV)
Music, Denis, E-mail: music@mch.rwth-aachen.de; Geyer, Richard W.; Hans, Marcus
2016-07-28
To increase the thermoelectric efficiency and reduce the thermal fatigue upon cyclic heat loading, alloying of amorphous NbO{sub 2} with all 3d and 5d transition metals has systematically been investigated using density functional theory. It was found that Ta fulfills the key design criteria, namely, enhancement of the Seebeck coefficient and positive Cauchy pressure (ductility gauge). These quantum mechanical predictions were validated by assessing the thermoelectric and elastic properties on combinatorial thin films, which is a high-throughput approach. The maximum power factor is 2813 μW m{sup −1} K{sup −2} for the Ta/Nb ratio of 0.25, which is a hundredfold increment compared to puremore » NbO{sub 2} and exceeds many oxide thermoelectrics. Based on the elasticity measurements, the consistency between theory and experiment for the Cauchy pressure was attained within 2%. On the basis of the electronic structure analysis, these configurations can be perceived as metallic, which is consistent with low electrical resistivity and ductile behavior. Furthermore, a pronounced quantum confinement effect occurs, which is identified as the physical origin for the Seebeck coefficient enhancement.« less
The valence of Ru, Ce and Eu ions in the magneto-superconductor Eu 1.5Ce 0.5RuSr 2Cu 2O 10
NASA Astrophysics Data System (ADS)
Felner, I.; Asaf, U.; Godart, C.; Alleno, E.
1999-01-01
The superconducting (T c∼32 K) Eu 1.5Ce 0.5RuSr 2Cu 2O 10 (Ru-2122) material is also magnetically ordered (T M∼122 K) with TM≫ Tc. Superconductivity (SC) is confined to the CuO 2 planes, whereas magnetism is due to the Ru sublattice. Mossbauer spectroscopy performed at 90 and 300 K on 151Eu shows a single narrow line with an isomer shift=0.69(2) and a quadrupole splitting of 1.84 mm/s, indicating that the Eu ions are trivalent with a nonmagnetic J=0 ground state. This is in agreement with X-ray-absorption spectroscopy (XAS) taken at L III edges of Eu, Ce which shows that Eu is trivalent and Ce is tetravalent. XAS experiments at the K edge of Ru indicate that Ru is pentavalent. This indicates, that in the M-2122 system, SC exists only for pentavalent M ions such as Ta, Nb and Ru.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Bijumon, Pazhoor Varghese; Sebastian, Mailadil Thomas; Dias, Anderson
2005-05-15
Complex perovskite-type Ca{sub 5-x}Sr{sub x}A{sub 2}TiO{sub 12} [A=Nb,Ta] (0{<=}x{<=}5) ceramics were prepared by conventional solid-state ceramic route. The crystal structure, microwave dielectric properties, and vibrational spectroscopic characteristics of these materials are reported. The structure and microstructure were investigated by x-ray diffraction and scanning electron microscopy techniques. The microwave dielectric properties were measured in the 3-5-GHz frequency range by the resonance method. Structural evolutions from orthorhombic to an averaged pseudocubic phase, with associated changes in dielectric properties, were observed as a function of composition. The structure-property relationships in these ceramics were established using Raman and Fourier transform infrared spectroscopic techniques. Ramanmore » analysis showed characteristic bands of ordered perovskite materials, with variation in both intensity and frequency as a function of composition.« less
NASA Astrophysics Data System (ADS)
Lin, Fu-Shiong; Starke, E. A.; Gysler, A.
1984-10-01
The Ti-6Al-2Nb-lTa-0.8Mo alloy was processed to develop both near-basal and transverse textures. Samples were annealed at different temperatures to vary the equiaxed alpha grain size and the thick-ness of the grain boundary beta, and subsequently quenched in order to transform the beta phase to either martensite, tempered martensite, or Widmanstätten alpha + beta. The effect of microstructure and texture on tensile properties and on fracture toughness was investigated. In addition, yield locus diagrams were constructed in order to study the texture strengthening effect. The yield strength was found to be strongly dependent on the thickness and Burgers relationship of the transformed beta phase surrounding the alpha grains. A texture hardening effect as large as 60 pct was found for the basal-texture material but only 15 pct for the transverse texture material. These variations are asso-ciated with differences in deformation behavior.
Utility of reactively sputtered CuN{sub x} films in spintronics devices
DOE Office of Scientific and Technical Information (OSTI.GOV)
Fang Yeyu; Persson, J.; NanOsc AB, Electrum 205, 164 40 Kista
2012-04-01
We have studied nitrified copper (CuN{sub x}) thin films grown by reactive sputtering in the context of spintronic devices. The Ar-to-N{sub 2} flow ratio enables tunability of the electrical resistivity and surface roughness of the CuN{sub x} films, with the former increasing to nearly 20 times that of Cu, and the latter reduced to the atomic scale. Incorporating this into a Ta/CuN{sub x}/Ta seed stack for spin valves improves the current-in-plane (CIP) magnetoresistance; maximum magnetoresistance results with CuN{sub x} seed layer and Cu interlayer. Finally, finite element modeling results are presented that suggest the use of CuN{sub x} in nanocontactmore » spin torque oscillators can enhance current densities by limiting the current spread through the device. This may positively impact threshold currents, power requirements, and device reliability.« less
Interplay between interface structure and magnetism in NiFe/Cu/Ni-based pseudo-spin valves
NASA Astrophysics Data System (ADS)
Loving, Melissa G.; Ambrose, Thomas F.; Ermer, Henry; Miller, Don; Naaman, Ofer
2018-05-01
Magnetic pseudo spin valves (PSVs) with superconducting Nb electrodes, have been leading candidates for an energy-efficient memory solution compatible with cryogenic operation of ultra-low power superconducting logic. Integration of these PSV Josephson junctions in a standard multi-layer Nb process requires growing high-quality thin magnetic films on a thick Nb bottom electrode (i.e. ≥1.5kÅ, to achieve bulk superconducting properties). However, as deposited, 1.5kÅ Nb exhibits a rough surface with a characteristic rice grain morphology, which severely degrades the switching properties of subsequently deposited PSVs. Therefore, in order to achieve coherent switching throughout a PSV, the Nb interface must be modified. Here, we demonstrate that the Nb surface morphology and PSV crystallinity can be altered with the incorporation of separate 50Å Cu or 100Å Al/50Å Cu non-magnetic seed layers, and demonstrate their impact on the magnetic switching of a 15Å Ni80Fe20/50Å Cu/20Å Ni PSV, at both room temperature and at 10 K. Most notably, these results show that the incorporation of an Al seed layer leads to an improved face centered cubic templating through the bulk of the PSV, and ultimately to superior magnetic switching.
NASA Astrophysics Data System (ADS)
Yazici, Ziya Ozgur; Hitit, Aytekin; Yalcin, Yilmaz; Ozgul, Metin
2016-01-01
Effect of Cu and Si substitutions for Co and B on the glass forming ability (GFA) of Co(43-x)CuxFe20Ta5.5B(31.5-x)Siy (x=0-1.5 and y=5-10) were systematically investigated by X-ray diffraction, optical microscopy, scanning electron microscopy, and differential scanning calorimetry. In order to evaluate the contribution of copper and silicon, appropriate amounts of copper and silicon were individually introduced to the base alloy composition. By using the effects of copper and silicon together, significant enhancement was obtained and the critical casting thickness (CCT) of the base alloy was increased three times from 2 mm to 6 mm. Moreover, mechanical properties of the alloys were examined by compression tests and Vickers hardness measurements. The compression test results revealed that the glassy alloys having enhanced GFA shows high strength of about 3500-4000 MPa. In addition, existence of (Co,Fe)2B and (Co,Fe)20.82Ta2.18B6 crystalline phases in glassy matrix influences the hardnesses of the alloys compared to monolitic glassy structure having hardness of about 1200 Hv.
Residual Stresses in Thermal Barrier Coatings for a Cu-8Cr-4Nb Substrate System
NASA Technical Reports Server (NTRS)
Ghosn, Louis J.; Raj, Sai V.
2002-01-01
Analytical calculations were conducted to determine the thermal stresses developed in a coated copper-based alloy, Cu-8%(at.%)Cr-4%Nb (designated as GRCop-84), after plasma spraying and during heat-up in a simulated rocket engine environment. Finite element analyses were conducted for two coating systems consisting of a metallic top coat, a pure copper bond coat and the GRCop-84. The through thickness temperature variations were determined as a function of coating thickness for two metallic coatings, a Ni-17%(wt%)Cr-6%Al-0.5%Y alloy and a Ni-50%(at.%)Al alloy. The residual stresses after low-pressure plasma spraying of the NiCrAlY and NiAl coatings on GRCop-84 substrate were also evaluated. These analyses took into consideration a 50.8 mm copper bond coat and the effects of an interface coating roughness. The through the thickness thermal stresses developed in coated liners were also calculated after 15 minutes of exposure in a rocket environment with and without an interfacial roughness.
New Cu(GeNx) film in barrierless metallization for LED heat dissipation
NASA Astrophysics Data System (ADS)
Lin, Chon-Hsin
2015-05-01
In this study, we explore new Cu(Ge) and Cu(GeNx) films for LED heat dissipation. The films are Cu-alloy seed layers, fabricated by co-sputtering Cu and Ge in an Ar or N2 atmosphere on either Ta/Al2O3 or polyimide substrates. The Cu alloy films are then annealed at 600 and 730 °C, respectively, for 1 h without notable Cu oxide formation at the Cu-Ta/Al2O3 interface. No Cu oxide is formed at the Cu-polyimide interface either after annealing the films at 310 °C for 1 h. The film formed atop an Al2O3 substrate contains a trace amount of GeNx and is thermally stable up to 730 °C, and the film formed atop a polyimide substrate is thermally stable up to 310 °C, both exhibiting a low resistivity and a high thermal conductivity. Such a thermal feature makes the Cu(GeNx) film a good candidate material in barrierless metallization for many industrial applications, such as LED heat sinks.
Jakes, Peter; Kungl, Hans; Schierholz, Roland; Eichel, Rüdiger-A
2014-09-01
The defect structure for copper-doped sodium potassium niobate (KNN) ferroelectrics has been analyzed with respect to its defect structure. In particular, the interplay between the mutually compensating dimeric (Cu(Nb)'''-V(O)··) and trimeric (V(O)··-Cu(Nb)'''-V(O)··)· defect complexes with 180° and non-180° domain walls has been analyzed and compared to the effects from (Cu'' - V(O)··)(x)× dipoles in CuO-doped lead zirconate titanate (PZT). Attempts are made to relate the rearrangement of defect complexes to macroscopic electromechanical properties.
Leo, Pedro; Orcajo, Gisela; Briones, David; Calleja, Guillermo; Sánchez-Sánchez, Manuel; Martínez, Fernando
2017-01-01
The activity and recyclability of Cu-MOF-74 as a catalyst was studied for the ligand-free C–O cross-coupling reaction of 4-nitrobenzaldehyde (NB) with phenol (Ph) to form 4-formyldiphenyl ether (FDE). Cu-MOF-74 is characterized by having unsaturated copper sites in a highly porous metal-organic framework. The influence of solvent, reaction temperature, NB/Ph ratio, catalyst concentration, and basic agent (type and concentration) were evaluated. High conversions were achieved at 120 °C, 5 mol % of catalyst, NB/Ph ratio of 1:2, DMF as solvent, and 1 equivalent of K2CO3 base. The activity of Cu-MOF-74 material was higher than other ligand-free copper catalytic systems tested in this study. This catalyst was easily separated and reused in five successive runs, achieving a remarkable performance without significant porous framework degradation. The leaching of copper species in the reaction medium was negligible. The O-arylation between NB and Ph took place only in the presence of Cu-MOF-74 material, being negligible without the solid catalyst. The catalytic advantages of using nanostructured Cu-MOF-74 catalyst were also proven. PMID:28621710
Leo, Pedro; Orcajo, Gisela; Briones, David; Calleja, Guillermo; Sánchez-Sánchez, Manuel; Martínez, Fernando
2017-06-16
The activity and recyclability of Cu-MOF-74 as a catalyst was studied for the ligand-free C-O cross-coupling reaction of 4-nitrobenzaldehyde (NB) with phenol (Ph) to form 4-formyldiphenyl ether (FDE). Cu-MOF-74 is characterized by having unsaturated copper sites in a highly porous metal-organic framework. The influence of solvent, reaction temperature, NB/Ph ratio, catalyst concentration, and basic agent (type and concentration) were evaluated. High conversions were achieved at 120 °C, 5 mol % of catalyst, NB/Ph ratio of 1:2, DMF as solvent, and 1 equivalent of K₂CO₃ base. The activity of Cu-MOF-74 material was higher than other ligand-free copper catalytic systems tested in this study. This catalyst was easily separated and reused in five successive runs, achieving a remarkable performance without significant porous framework degradation. The leaching of copper species in the reaction medium was negligible. The O-arylation between NB and Ph took place only in the presence of Cu-MOF-74 material, being negligible without the solid catalyst. The catalytic advantages of using nanostructured Cu-MOF-74 catalyst were also proven.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Marking, Gregory Allen
1994-01-04
Investigations of ternary mixed early transition metal-rich sulfide and phosphide systems resulted in the discovery of new structures and new phases. A new series of Zr and Hf - group V transition metal - sulfur K-phases was synthesized and crystallographically characterized. When the group V transition metal was Nb or Ta, the unit cell volume was larger than any previously reported K-phase. The presence of adventitious oxygen was determined in two K-phases through a combination of neutron scattering and X-ray diffraction experiments. A compound Hf 10Ta 3S 3 was found to crystallize in a new-structure type similar to the knownmore » gamma brasses. This structure is unique in that it is the only reported "stuffed" gamma-brass type structure. The metal components, Hf and Ta, are larger in size and more electropositive than the metals found in normal gamma brasses (e.g. Cu and Zn) and because of the larger metallic radii, sulfur can be incorporated into the structure where it plays an integral role in stabilizing this phase relative to others. X-ray single-crystal, X-ray powder and neutron powder refinements were performed on this structure. A new structure was found in the ternary Nb-Zr-P system which has characteristics in common with many known early transition metal-rich sulfides, selenides, and phosphides. This structure has the simplest known interconnection of the basic building blocks known for this structural class. Anomalous scattering was a powerful tool for differentiating between Zr and Nb when using Mo Kα X-radiation. The compounds ZrNbP and HfNbP formed in the space group Prima with the simple Co 2Si structure which is among the most common structures found for crystalline solid materials. Solid solution compounds in the Ta-Nb-P, Ta-Zr-P, Nb-Zr-P, Hf-Nb-P, and Hf-Zr-S systems were crystallographically characterized. The structural information corroborated ideas about bonding in metal-rich compounds.« less
Wang, Ling; Han, Bingxu; Dai, Lei; Zhou, Huizhu; Li, Yuehua; Wu, Yinlin; Zhu, Jing
2013-11-15
A novel amperometric-type NO2 sensor based on La10Si5NbO27.5 (LSNO) electrolyte and nano-structured CuO sensing electrode was fabricated and tested. A bilayer LSNO electrolyte including both a dense layer and a porous layer was prepared by conventional solid state reaction method and screen-printing technology. The nano-structured CuO sensing electrode was in situ fabricated in LSNO porous layer by impregnating method. The composition and microstructure of the sample were characterized by XRD and SEM, respectively. The results showed that the CuO particles with diameters range of 200-500 nm were homogeneously dispersed on the LSNO backbone in porous layer. The sensor exhibited well sensing characteristics to NO2. The response current was almost linear to NO2 concentration in the range of 25-500 ppm at 600-800 °C. With increase of operating temperature, the sensitivity increased and reached 297 nA/ppm at 800 °C. The response currents toward NO2 were slightly affected by coexistent O2 (0-21 vol%) and CO2 (0-5 vol%). Copyright © 2013 Elsevier B.V. All rights reserved.
NASA Astrophysics Data System (ADS)
Zheng, Hui; Yin, Binfeng; Yu, Hewei; Chen, Leigang; Gao, Lin; Zhou, Ke; Kuo, Chinte
2017-02-01
Electromigration failure mode concerning a negative resistance shift of 4%-11% and cathode burnout was reported for Cu interconnects buried in porous low-k in this paper. Evidence for oxidation and debonding of Ta/TaN liner at high temperature was revealed, which was demonstrated to have been enabled by the unsealed porous low-k due to moisture uptake. The cathode burnout was thus attributed to severe Joule heating induced in the insulated liner after oxidation. The resistance decay of Cu also exhibited to be mainly consistent with the calculation from specularity recovery of electron scattering at the Cu/Ta interface after oxidation and debonding of the liner, although other factors like strain relaxation may also have some contribution.
Structure and physical properties of EuTa{sub 2}O{sub 6} tungsten bronze polymorph
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kolodiazhnyi, T., E-mail: kolodiazhnyi.taras@nims.go.jp; Sakurai, H.; Vasylkiv, O.
A tetragonal tungsten bronze (TTB) polymorph of EuTa{sub 2}O{sub 6} was prepared and analyzed. EuTa{sub 2}O{sub 6} crystallizes in the centrosymmetric Pnam space group (with unit cell: a = 12.3693, b = 12.4254, and c = 7.7228 Å) isomorphous with orthorhombic β-SrTa{sub 2}O{sub 6}. In contrast to early reports, we see no evidence of deviation from paramagnetic Curie-Weiss behavior among the Eu{sup 2+} 4f{sup 7}spins in EuTa{sub 2}O{sub 6} down to 2 K. Dielectric constant shows a broad peak at ca. 50 K with dielectric dispersion resembling diffuse phase transition. The relaxation time, however, follows a simple (non-freezing) thermally activated process with an activation energy of 92 meV and anmore » attempt frequency of f{sub 0} = 5.79 × 10{sup 12 }Hz. A thermal conductivity of EuTa{sub 2}O{sub 6} shows a low-temperature (T ≈ 30 K) “plateau” region reminiscent of a glass-like behaviour in Nb-based TTB compounds. This behaviour can be attributed to the loosely bound Eu{sup 2+} ions occupying large tricapped trigonal prismatic sites in the EuTa{sub 2}O{sub 6} structure.« less
Multi-component solid solution alloys having high mixing entropy
Bei, Hongbin
2015-10-06
A multi-component high-entropy alloy includes a composition selected from the following group: VNbTaTiMoWRe, VNbTaTiMoW, VNbTaTiMoRe, VNbTaTiWRe, VNbTaMoWRe, VNbTiMoWRe, VTaTiMoWRe, NbTaTiMoWRe, VNbTaTiMo, VNbTaTiW, VNbTaMoW, VNbTiMoW, VTaTiMoW, NbTaTiMoW, VNbTaTiRe, VNbTaMoRe, VNbTiMoRe, VTaTiMoRe, NbTaTiMoRe, VNbTaWRe, VNbTiWRe, VTaTiWRe, NbTaTiWRe, VNbMoWRe, VTaMoWRe, NbTaMoWRe, VTiMoWRe, NbTiMoWRe, TaTiMoWRe, wherein relative amounts of each element vary by no more than .+-.15 atomic %.
NASA Astrophysics Data System (ADS)
Hunter, Allen H.; Farren, Jeffrey D.; DuPont, John N.; Seidman, David N.
2015-07-01
An experimental steel with the composition Fe-1.39Cu-2.70Ni-0.58Al-0.48Mn-0.48Si-0.065Nb-0.05C (wt pct) or alternatively Fe-1.43Cu-2.61Ni-1.21Al-0.48Mn-0.98Si-0.039Nb-0.23C (at. pct) has been developed at Northwestern University, which has both high toughness and high strength after quenching and aging treatments. Simulated heat-affected zone (HAZ) samples are utilized to analyze the microstructures typically obtained after gas metal arc welding (GMAW). Dissolution within the HAZ of cementite (Fe3C) and NbC (F.C.C.) is revealed using synchrotron X-ray diffraction, while dissolution of Cu precipitates is measured employing local electrode atom probe tomography. The results are compared to Thermo-Calc equilibrium calculations. Comparison of measured Cu precipitate radii, number density, and volume fraction with similar measurements from a GMAW sample suggests that the cooling rate in the simulations is faster than in the experimental GMAW sample, resulting in significantly less Cu precipitate nucleation and growth during the cooling part of the weld thermal cycle. The few Cu precipitates detected in the simulated samples are primarily located on grain boundaries resulting from heterogeneous nucleation. The dissolution of NbC precipitates and the resultant austenite coarsening in the highest-temperature sample, coupled with a rapid cooling rate, results in the growth of bainite, and an increase in the strength of the matrix in the absence of significant Cu precipitation.
NASA Astrophysics Data System (ADS)
Lu, M. F.; Zhou, C. P.; Li, Q. Q.; Zhang, C. L.; Shi, H. F.
2018-01-01
In order to improve the photocatalytic activity under visible-light irradiation, we adopted first principle calculations based on density functional theory (DFT) to calculate the electronic structures of B site transition metal element doped InNbO4. The results indicated that the complete hybridization of Nb 4d states and some Ti 3d states contributed to the new conduction band of Ti doped InNbO4, barely changing the position of band edge. For Cr doping, some localized Cr 3d states were introduced into the band gap. Nonetheless, the potential of localized levels was too positive to cause visible-light reaction. When it came to Cu doping, the band gap was almost same with that of InNbO4 as well as some localized Cu 3d states appeared above the top of VB. The introduction of localized energy levels benefited electrons to migrate from valence band (VB) to conduction band (CB) by absorbing lower energy photons, realizing visible-light response.
Controlling Cu–Sn mixing so as to enable higher critical current densities in RRP® Nb3Sn wires
NASA Astrophysics Data System (ADS)
Sanabria, Charlie; Field, Michael; Lee, Peter J.; Miao, Hanping; Parrell, Jeff; Larbalestier, David C.
2018-06-01
Dipole magnets for the proposed Future Circular Collider (FCC) demand specifications significantly beyond the limits of all existing Nb3Sn wires, in particular a critical current density (J c) of more than 1500 A mm‑2 at 16 T and 4.2 K with an effective filament diameter (D eff) of less than 20 μm. The restacked-rod-process (RRP®) is the technology closest to meeting these demands, with a J c (16 T) of up to 1400 A mm‑2, residual resistivity ratio > 100, for a sub-element size D s of 58 μm (which in RRP® wires is essentially the same as D eff). An important present limitation of RRP® is that reducing the sub-element size degrades J c to as low as 900 A mm‑2 at 16 T for D s = 35 μm. To gain an understanding of the sources of this J c degradation, we have made a detailed study of the phase evolution during the Cu–Sn ‘mixing’ stages of the wire heat treatment that occur prior to Nb3Sn formation. Using extensive microstructural quantification, we have identified the critical role that the Sn–Nb–Cu ternary phase (Nausite) can play. The Nausite forms as a well-defined ring between the Sn source and the Cu/Nb filament pack, and acts as an osmotic membrane in the 300 °C–400 °C range—greatly inhibiting Sn diffusion into the Cu/Nb filament pack while supporting a strong Cu counter-diffusion from the filament pack into the Sn core. This converts the Sn core into a mixture of the low melting point (408 °C) η phase (Cu6Sn5) and the more desirable ε phase (Cu3Sn), which decomposes at 676 °C. After the mixing stages, when heated above 408 °C towards the Nb3Sn reaction, any residual η liquefies to form additional irregular Nausite on the inside of the membrane. All Nausite decomposes into NbSn2 on further heating, and ultimately transforms into coarse-grain (and often disconnected) Nb3Sn which has little contribution to current transport. Understanding this critical Nausite reaction pathway has allowed us to simplify the mixing heat treatment to
Direct Ink Writing of Three-Dimensional (K, Na)NbO3-Based Piezoelectric Ceramics
Li, Yayun; Li, Longtu; Li, Bo
2015-01-01
A kind of piezoelectric ink was prepared with Li, Ta, Sb co-doped (K, Na)NbO3 (KNN) powders. Piezoelectric scaffolds with diameters at micrometer scale were constructed from this ink by using direct ink writing method. According to the micro-morphology and density test, the samples sintered at 1100 °C for 2 h have formed ceramics completely with a high relative density of 98%. X-ray diffraction (XRD) test shows that the main phase of sintered samples is orthogonal (Na0.52K0.4425Li0.0375)(Nb0.87Sb0.07Ta0.06)O3. The piezoelectric constant d33 of 280 pC/N, dielectric constant ε of 1775, remanent polarization Pr of 18.8 μC/cm2 and coercive field Ec of 8.5 kV/cm prove that the sintered samples exhibit good electrical properties. The direct ink writing method allows one to design and rapidly fabricate piezoelectric structures in complex three-dimensional (3D) shapes without the need for any dies or lithographic masks, which will simplify the process of material preparation and offer new ideas for the design and application of piezoelectric devices. PMID:28788028
Hur, Su Gil; Kim, Tae Woo; Hwang, Seong-Ju; Park, Hyunwoong; Choi, Wonyong; Kim, Sung Jin; Kim, Sun Jin; Choy, Jin-Ho
2005-08-11
We have synthesized new, efficient, visible light active photocatalysts through the incorporation of highly electronegative non-transition metal Pb or Sn ions into the perovskite lattice of Ba(In(1/3)Pb(1/3)M'(1/3))O3 (M = Sn, Pb; M' = Nb, Ta). X-ray diffraction, X-ray absorption spectroscopic, and energy dispersive spectroscopic microprobe analyses reveal that tetravalent Pb or Sn ions exist in the B-site of the perovskite lattice, along with In and Nb/Ta ions. According to diffuse UV-vis spectroscopic analysis, the Pb-containing quaternary metal oxides Ba(In(1/3)Pb(1/3)M'(1/3))O3 possess a much narrower band gap (E(g) approximately 1.48-1.50 eV) when compared to the ternary oxides Ba(In(1/2)M'(1/2))O3 (E(g) approximately 2.97-3.30 eV) and the Sn-containing Ba(In(1/3)Sn(1/3)M'(1/3))O3 derivatives (E(g) approximately 2.85-3.00 eV). Such a variation of band gap energy upon the substitution is attributable to the broadening of the conduction band caused by the dissimilar electronegativities of the B-site cations. In contrast to the ternary or the Sn-substituted quaternary compounds showing photocatalytic activity under UV-vis irradiation, the Ba(In(1/3)Pb(1/3)M'(1/3))O3 compounds induce an efficient photodegradation of 4-chlorophenol under visible light irradiation (lambda > 420 nm). The present results highlight that the substitution of electronegative non-transition metal cations can provide a very powerful way of developing efficient visible light harvesting photocatalysts through tuning of the band structure of a semiconductive metal oxide.
Investigation of magnetization dynamics damping in Ni80Fe20/Nd-Cu bilayer at room temperature
NASA Astrophysics Data System (ADS)
Fan, Wei; Fu, Qiang; Qian, Qian; Chen, Qian; Liu, Wanling; Zhou, Xiaochao; Yuan, Honglei; Yue, Jinjin; Huang, Zhaocong; Jiang, Sheng; Kou, Zhaoxia; Zhai, Ya
2018-05-01
Focusing on the Ni80Fe20 (Py)/Nd-Cu bilayers, the magnetization dynamic damping from spin pumping effect is investigated systematically by doping itinerant Cu in rear earth metal Nd. Various Ta/Py/Nd1-xCux/Ta/Si films with x = 0%, 16%, 38%, 46% and 58% are prepared by magnetron sputtering. For every content of Cu, the thickness of Nd-Cu layer is changed from 1 nm to 32 nm. The damping coefficient increases with increasing the thickness of Nd-Cu layer, which shows the trend of the spin pumping behavior. Also, with increasing Cu concentration in the Nd-Cu layer, the damping coefficient decreases, implying that the spin-orbit coupling in Nd-Cu layer is indeed cut down by high itinerant of Cu dopants. It is interesting that the spin diffusion length (λSD) in the Nd-Cu layer for different Cu dopants is not found to increase monotonously.
Pressure-induced phase transition of KTa1/2Nb1/2O3 solid solutions: A first-principles study
NASA Astrophysics Data System (ADS)
Zhang, Huadi; Liu, Bing; Zhang, Cong; Qiu, Chengcheng; Wang, Xuping; Zhang, Yuanyuan; Lv, Xianshun; Wei, Lei; Li, Qinggang
2018-05-01
The structures and electronic properties of KTa1/2Nb1/2O3 under high pressures have been investigated using the first-principles calculations. Three candidates with B site cation ordered along the [1 0 0], [1 1 0] and [1 1 1] directions are found stable under different pressures by thermodynamics, mechanics and dynamics stability criteria. Further electronic analysis indicates that three structures are semiconductors with different band-gap characteristics. The peculiar chemical bonds of Nb-O and Ta-O are expected to be related to the different electronegativity of the corresponding cations.
Chemically stable ceramic-metal composite membrane for hydrogen separation
Chen, Fanglin; Fang, Shumin; Brinkman, Kyle S.
2017-06-27
A hydrogen permeation membrane is provided that can include a metal and a ceramic material mixed together. The metal can be Ni, Zr, Nb, Ta, Y, Pd, Fe, Cr, Co, V, or combinations thereof, and the ceramic material can have the formula: BaZr.sub.1-x-yY.sub.xT.sub.yO.sub.3-.delta. where 0.ltoreq.x.ltoreq.0.5, 0.ltoreq.y.ltoreq.0.5, (x+y)>0; 0.ltoreq..delta..ltoreq.0.5, and T is Sc, Ti, Nb, Ta, Mo, Mn, Fe, Co, Ni, Cu, Zn, Ga, In, Sn, or combinations thereof. A method of forming such a membrane is also provided. A method is also provided for extracting hydrogen from a feed stream.
Dielectric and magnetic properties of FE- and Nb-doped CaCu3Ti4O12.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Grubbs, Robert K.; Clem, Paul Gilbert; Samara, George A.
2005-08-01
Detailed studies of the properties of ceramic CaCu{sub 3}Ti{sub 4}O{sub 12} (CCTO) have clarified the physics of this interesting material and revealed several features not reported before. The dielectric relaxational properties of CCTO are explained in terms of a capacitive-layer model, as for an inhomogeneous semiconductor, consisting of semiconducting grains and insulating grain boundaries as also concluded by others. The kinetics of the main [low-temperature (T)] relaxation reveal that two different thermally activated processes in CCTO grains control the dynamics. A likely candidate defect responsible for the two processes is the oxygen vacancy which is a double donor. A higher-Tmore » relaxation is determined by grain boundary conduction. Both Nb and Fe doping lowered both the apparent dielectric constant {var_epsilon}{prime} and the dielectric loss, but increased Fe doping led to more dramatic effects. At 3 at.% Fe doping, the anomalous {var_epsilon}{prime}(T) response was removed, making the CCTO an intrinsic, very-low-loss dielectric. The intrinsic {var_epsilon}{prime}({approx}75) and its T dependence are measured and shown to be largely determined by a low-lying soft TO phonon. At low T, cubic CCTO transforms into an antiferromagnetic phase at T{sub N} = 25 K. T{sub N} is essentially independent of Nb doping (up to 4 at.%) and of hydrostatic pressure (up to {approx}7 kbar), but decreases significantly with Fe doping. Analysis of the high-T dependence of the magnetic susceptibility provided insight into the role of Fe as a dopant. Finally, an {var_epsilon}{prime}(T) anomaly associated with the onset of antiferromagnetic order has been discovered, providing evidence for coupling between the polarization and sublattice magnetization. The possible origin of this coupling is discussed.« less
Eiras, José A; Gerbasi, Rosimeire B Z; Rosso, Jaciele M; Silva, Daniel M; Cótica, Luiz F; Santos, Ivair A; Souza, Camila A; Lente, Manuel H
2016-03-08
Lead free piezoelectric materials are being intensively investigated in order to substitute lead based ones, commonly used in many different applications. Among the most promising lead-free materials are those with modified NaNbO₃, such as (K, Na)NbO₃ (KNN) and (Ba, Na)(Ti, Nb)O₃ (BTNN) families. From a ceramic processing point of view, high density single phase KNN and BTNN ceramics are very difficult to sinter due to the volatility of the alkaline elements, the narrow sintering temperature range and the anomalous grain growth. In this work, Spark Plasma Sintering (SPS) and high-energy ball milling (HEBM), following heat treatments (calcining and sintering), in oxidative (O₂) atmosphere have been used to prepare single phase highly densified KNN ("pure" and Cu 2+ or Li 1+ doped), with theoretical densities ρ th > 97% and BTNN ceramics (ρ th - 90%), respectively. Using BTTN ceramics with a P 4 mm perovskite-like structure, we showed that by increasing the NaNbO₃ content, the ferroelectric properties change from having a relaxor effect to an almost "normal" ferroelectric character, while the tetragonality and grain size increase and the shear piezoelectric coefficients ( k 15 , g 15 and d 15 ) improve. For KNN ceramics, the results reveal that the values for remanent polarization as well as for most of the coercive field are quite similar among all compositions. These facts evidenced that Cu 2+ may be incorporated into the A and/or B sites of the perovskite structure, having both hardening and softening effects.
Controlling Cu–Sn mixing so as to enable higher critical current densities in RRP ® Nb 3Sn wires
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sanabria, Charlie; Field, Michael; Lee, Peter J.
Dipole magnets for the proposed Future Circular Collider (FCC) demand specifications significantly beyond the limits of all existing Nb 3Sn wires, in particular a critical current density (J c) of more than 1500 A mm -2 at 16 T and 4.2 K with an effective filament diameter (D eff) of less than 20 μm. The restacked-rod-process (RRP ®) is the technology closest to meeting these demands, with a J c (16 T) of up to 1400 A mm -2, residual resistivity ratio > 100, for a sub-element size D s of 58 μm (which in RRP ® wires is essentiallymore » the same as D eff). An important present limitation of RRP ® is that reducing the sub-element size degrades J c to as low as 900 A mm -2 at 16 T for D s = 35 μm. To gain an understanding of the sources of this J c degradation, we have made a detailed study of the phase evolution during the Cu–Sn 'mixing' stages of the wire heat treatment that occur prior to Nb 3Sn formation. Using extensive microstructural quantification, we have identified in this paper the critical role that the Sn–Nb–Cu ternary phase (Nausite) can play. The Nausite forms as a well-defined ring between the Sn source and the Cu/Nb filament pack, and acts as an osmotic membrane in the 300 °C–400 °C range—greatly inhibiting Sn diffusion into the Cu/Nb filament pack while supporting a strong Cu counter-diffusion from the filament pack into the Sn core. This converts the Sn core into a mixture of the low melting point (408 °C) η phase (Cu 6Sn 5) and the more desirable ε phase (Cu 3Sn), which decomposes at 676 °C. After the mixing stages, when heated above 408 °C towards the Nb 3Sn reaction, any residual η liquefies to form additional irregular Nausite on the inside of the membrane. All Nausite decomposes into NbSn 2 on further heating, and ultimately transforms into coarse-grain (and often disconnected) Nb 3Sn which has little contribution to current transport. Understanding this critical Nausite reaction pathway has allowed us to simplify the
Controlling Cu–Sn mixing so as to enable higher critical current densities in RRP ® Nb 3Sn wires
Sanabria, Charlie; Field, Michael; Lee, Peter J.; ...
2018-03-22
Dipole magnets for the proposed Future Circular Collider (FCC) demand specifications significantly beyond the limits of all existing Nb 3Sn wires, in particular a critical current density (J c) of more than 1500 A mm -2 at 16 T and 4.2 K with an effective filament diameter (D eff) of less than 20 μm. The restacked-rod-process (RRP ®) is the technology closest to meeting these demands, with a J c (16 T) of up to 1400 A mm -2, residual resistivity ratio > 100, for a sub-element size D s of 58 μm (which in RRP ® wires is essentiallymore » the same as D eff). An important present limitation of RRP ® is that reducing the sub-element size degrades J c to as low as 900 A mm -2 at 16 T for D s = 35 μm. To gain an understanding of the sources of this J c degradation, we have made a detailed study of the phase evolution during the Cu–Sn 'mixing' stages of the wire heat treatment that occur prior to Nb 3Sn formation. Using extensive microstructural quantification, we have identified in this paper the critical role that the Sn–Nb–Cu ternary phase (Nausite) can play. The Nausite forms as a well-defined ring between the Sn source and the Cu/Nb filament pack, and acts as an osmotic membrane in the 300 °C–400 °C range—greatly inhibiting Sn diffusion into the Cu/Nb filament pack while supporting a strong Cu counter-diffusion from the filament pack into the Sn core. This converts the Sn core into a mixture of the low melting point (408 °C) η phase (Cu 6Sn 5) and the more desirable ε phase (Cu 3Sn), which decomposes at 676 °C. After the mixing stages, when heated above 408 °C towards the Nb 3Sn reaction, any residual η liquefies to form additional irregular Nausite on the inside of the membrane. All Nausite decomposes into NbSn 2 on further heating, and ultimately transforms into coarse-grain (and often disconnected) Nb 3Sn which has little contribution to current transport. Understanding this critical Nausite reaction pathway has allowed us to simplify the
NASA Astrophysics Data System (ADS)
Wang, D. Y.; Lin, D. M.; Kwok, K. W.; Chan, N. Y.; Dai, J. Y.; Li, S.; Chan, H. L. W.
2011-01-01
Lead-free (K0.48Na0.48Li0.04)(Nb0.775Ta0.225)O3 (KNLNT) thin films were deposited on Pt(111)/Ti/SiO2/Si(001) substrates using pulsed laser deposition. The film exhibited a well-defined ferroelectric hysteresis loop with a remnant polarization 2Pr of 22.6 μC/cm2 and a coercive field Ec of 10.3 kV/mm. The effective piezoelectric coefficient d33,f of the KNLNT thin films was found to be about 49 pm/V by piezoelectric force microscope. The dominant conduction mechanisms of Au/KNLNT/Pt thin film capacitor were determined to be bulk-limited space-charge-limited-current and Poole-Frenkle emission at low and high electric field strengths, respectively, within a measured temperature range of 130-370 K.
Current Progress in Fabrication of a 14 Tesla Nb3Sn Dipole
NASA Astrophysics Data System (ADS)
Holik, Eddie, III; Benson, Christopher; Damborsky, Kyle; Diaczenko, Nick; Elliott, Tim; Garrison, Ray; Jaisle, Andrew; McInturff, Alfred; McIntyre, Peter; Sattarov, Dior
2012-03-01
The Accelerator Technology Laboratory at Texas A&M is fabricating a model dipole magnet, TAMU3, designed to operate at a 14 Tesla bore field. The dipole employs an advanced internal-tin Nb3Sn/Cu composite strand with enhanced current density. The coils must be processed through a heat treatment after winding, during which the Sn within the heterogeneous strands diffuse into the Cu/Nb matrix to form high-performance superconducting layers. Heat treatment of the first coil assembly revealed tin leakage from the Sn cores that was caused by omission of a pre-anneal step in the heat treatment. We are evaluating the electrical properties of the coil, the microstructure and short-sample superconducting performance of cut-off samples of current leads to determine the extent of damage to the performance of the windings. Results of those tests and plans for construction of TAMU3 will be presented.
NASA Astrophysics Data System (ADS)
Stock, C.; Gehring, P. M.; Hiraka, H.; Swainson, I.; Xu, Guangyong; Ye, Z.-G.; Luo, H.; Li, J.-F.; Viehland, D.
2012-09-01
We use neutron inelastic scattering to characterize the acoustic phonons in the relaxor Pb(Mg1/3Nb2/3)O3 (PMN) and demonstrate the presence of a highly anisotropic damping mechanism that is directly related to short-range polar correlations. For a large range of temperatures above Tc˜210 K, where dynamic, short-range polar correlations are present, acoustic phonons propagating along [11¯0] and polarized along [110] (TA2 phonons) are overdamped and softened across most of the Brillouin zone. By contrast, acoustic phonons propagating along [100] and polarized along [001] (TA1 phonons) are overdamped and softened for a more limited range of wave vectors q. The anisotropy and temperature dependence of the acoustic phonon energy linewidth Γ are directly correlated with neutron diffuse scattering cross section, indicating that polar nanoregions are the cause of the anomalous behavior. The damping and softening vanish for q→0, i.e., for long-wavelength acoustic phonons near the zone center, which supports the notion that the anomalous damping is a result of the coupling between the relaxational component of the diffuse scattering and the harmonic TA phonons. Therefore, these effects are not due to large changes in the elastic constants with temperature because the elastic constants correspond to the long-wavelength limit. We compare the elastic constants we measure to those from Brillouin scattering experiments and to values reported for pure PbTiO3. We show that while the values of C44 are quite similar, those for C11 and C12 are significantly less in PMN and result in a softening of (C11-C12) over PbTiO3. The elastic constants also show an increased elastic anisotropy [2C44/(C11-C12)] in PMN versus that in PbTiO3. These results are suggestive of an instability to TA2 acoustic fluctuations in PMN and other relaxor ferroelectrics. We discuss our results in the context of the current debate over the “waterfall” effect and show that they are inconsistent with
Reduce Nb3Sn Strand Deformation when Fabricating High Jc Rutherford Cables
DOE Office of Scientific and Technical Information (OSTI.GOV)
Peng, Xuan
2012-12-17
During Phase I, our efforts were to reduce subelements deformation when fabricating Nb3Sn Rutherford cables. Our first focus is on 217-sublement tube type strand. We successfully made a few billets in OD tube with different Cu spacing between subelements, and supplied the strands to Fermi Lab for cabling. Through the rolling test characterization, these types of strands did not have enough bonding between subelements to withstand the deformation. We saw copper cracking between subelements in the deformed strands. We scaled up the billet from OD to 1.5 OD, and made two billets. This greatly improves the bonding. There is nomore » copper cracking in the deformed strands when we scaled up the diameter of the billets. Fermi Lab successfully made cables using one of this improved strands. In their cables, no Cu cracking and no filament bridging occurred. We also successfully made a couple of billets with hex OD and round ID subelements for 61-subelement restack. Due to the lack of bonding, we could not judge its cabling property properly. But we know through this experiment, we could keep the Nb round, once we select the proper Cu spacing.« less
Improvement of stability of Nb 3 Sn superconductors by introducing high specific heat substances
Xu, X.; Li, P.; Zlobin, A. V.; ...
2018-01-24
High-J c Nb 3Sn conductors have low stability against perturbations, which accounts for the slow training rates of high-field Nb 3Sn magnets. While it is known that adding substances with high specific heat (C) into Nb 3Sn wires can increase their overall specific heat and thus improve their stability, there has not been a practical method that is compatible with the fabrication of long-length conductors. In this work, we put forward a scheme to introduce such substances to distributed-barrier Nb 3Sn wires, which adds minimum difficulty to the wire manufacturing process. Multifilamentary wires using a mixture of Cu and high-Cmore » Gd 2O 3 powders have been successfully fabricated along this line. Measurements showed that addition of Gd 2O 3 had no negative effects on residual resitivity ratio or non-Cu J c, and that flux jumps were remarkably reduced, and minimum quench energy values at 4.2 K, 14 T were increased by a factor of three, indicating that stability was significantly improved. We also discussed the influences of the positioning of high-C substances and their thermal diffusivity on their effectiveness in reducing the superconductor temperature rise against perturbations. Based on these results, we proposed an optimized conductor architecture to maximize the effectiveness of this approach.« less
Improvement of stability of Nb 3 Sn superconductors by introducing high specific heat substances
DOE Office of Scientific and Technical Information (OSTI.GOV)
Xu, X.; Li, P.; Zlobin, A. V.
High-J c Nb 3Sn conductors have low stability against perturbations, which accounts for the slow training rates of high-field Nb 3Sn magnets. While it is known that adding substances with high specific heat (C) into Nb 3Sn wires can increase their overall specific heat and thus improve their stability, there has not been a practical method that is compatible with the fabrication of long-length conductors. In this work, we put forward a scheme to introduce such substances to distributed-barrier Nb 3Sn wires, which adds minimum difficulty to the wire manufacturing process. Multifilamentary wires using a mixture of Cu and high-Cmore » Gd 2O 3 powders have been successfully fabricated along this line. Measurements showed that addition of Gd 2O 3 had no negative effects on residual resitivity ratio or non-Cu J c, and that flux jumps were remarkably reduced, and minimum quench energy values at 4.2 K, 14 T were increased by a factor of three, indicating that stability was significantly improved. We also discussed the influences of the positioning of high-C substances and their thermal diffusivity on their effectiveness in reducing the superconductor temperature rise against perturbations. Based on these results, we proposed an optimized conductor architecture to maximize the effectiveness of this approach.« less
Yadav, Satyesh Kumar; Shao, S.; Chen, Youxing; ...
2017-10-17
Here, using a newly developed embedded-atom-method potential for Mg–Nb, the semi-coherent Mg/Nb interface with the Kurdjumov–Sachs orientation relationship is studied. Atomistic simulations have been carried out to understand the shear strength of the interface, as well as the interaction between lattice glide dislocations and the interface. The interface shear mechanisms are dependent on the shear loading directions, through either interface sliding between Mg and Nb atomic layers or nucleation and gliding of Shockley partial dislocations in between the first two atomic planes in Mg at the interface. The shear strength for the Mg/Nb interface is found to be generally high,more » in the range of 0.9–1.3 GPa depending on the shear direction. As a consequence, the extents of dislocation core spread into the interface are considerably small, especially when compared to the case of other “weak” interfaces such as the Cu/Nb interface.« less
NASA Astrophysics Data System (ADS)
Bhola, R.; Bhola, S. M.; Mishra, B.; Ayers, R. A.; Olson, D. L.
2011-06-01
Electrochemical characterization of the low modulus Ti-35.5Nb-7.3Zr-5.7Ta beta alloy (TNZT) has been performed in phosphate buffer saline solution at 37 °C using the non destructive electrochemical impedance spectroscopy technique. Measurements were performed at various immersion intervals at the open circuit potential (OCP), which was also monitored with time. Results obtained for TNZT alloy have been compared with those for the commercially used Ti-6Al-4V mixed alloy (Ti64) and the commercially pure titanium (Ti2) alpha alloy. Potentiodynamic polarization was performed to supplement the data obtained from EIS analysis. The TNZT alloy exhibits a two time constant impedance response, whereas the Ti64 and Ti2 alloys display a one time constant behavior. Human fetal osteoblast cells show a better adhesion and a higher cell count for the TNZT alloy compared to the other two alloys. The present investigation is an effort to understand the correlation between the electrochemical, morphological and cellular characteristics of titanium alloys to qualify them for implant applications.
Firdaus, M Lutfi; Norisuye, Kazuhiro; Sato, Taishi; Urushihara, Shouhei; Nakagawa, Yusuke; Umetani, Shigeo; Sohrin, Yoshiki
2007-02-05
Here, we present the first simultaneous preconcentration and determination of ultratrace (pmol kg(-1) level) Zr, Hf, Nb, Ta and W in seawater, both in the form of dissolved and acid-dissolvable species. 8-Hydroxyquinoline (8HQ) bonded covalently to a vinyl polymer resin, TSK-8HQ, was used in a chelating adsorbent column to concentrate the metals. The greatest advantage of this resin is its endurance to 5M HF, since this is an effective eluent for all five metals. The analytes were successfully concentrated from 250 mL seawater with a 50-fold concentration factor through the column extraction and evaporation. The detection limit was 0.009-0.15 pmol kg(-1). The procedure blank determined using ultra pure water as a sample was 0.005-0.37 pmol kg(-1). The five metals were quantitatively recovered from seawater with good precision (2-4%). The effect of sample pH, sample flow rate, eluent composition and sample pretreatment were carefully studied. This method was applied to seawater.
Eiras, José A.; Gerbasi, Rosimeire B. Z.; Rosso, Jaciele M.; Silva, Daniel M.; Cótica, Luiz F.; Santos, Ivair A.; Souza, Camila A.; Lente, Manuel H.
2016-01-01
Lead free piezoelectric materials are being intensively investigated in order to substitute lead based ones, commonly used in many different applications. Among the most promising lead-free materials are those with modified NaNbO3, such as (K, Na)NbO3 (KNN) and (Ba, Na)(Ti, Nb)O3 (BTNN) families. From a ceramic processing point of view, high density single phase KNN and BTNN ceramics are very difficult to sinter due to the volatility of the alkaline elements, the narrow sintering temperature range and the anomalous grain growth. In this work, Spark Plasma Sintering (SPS) and high-energy ball milling (HEBM), following heat treatments (calcining and sintering), in oxidative (O2) atmosphere have been used to prepare single phase highly densified KNN (“pure” and Cu2+ or Li1+ doped), with theoretical densities ρth > 97% and BTNN ceramics (ρth ~ 90%), respectively. Using BTTN ceramics with a P4mm perovskite-like structure, we showed that by increasing the NaNbO3 content, the ferroelectric properties change from having a relaxor effect to an almost “normal” ferroelectric character, while the tetragonality and grain size increase and the shear piezoelectric coefficients (k15, g15 and d15) improve. For KNN ceramics, the results reveal that the values for remanent polarization as well as for most of the coercive field are quite similar among all compositions. These facts evidenced that Cu2+ may be incorporated into the A and/or B sites of the perovskite structure, having both hardening and softening effects. PMID:28773304
NASA Astrophysics Data System (ADS)
Geoffroy, Olivier; Boust, Nicolas; Chazal, Hervé; Flury, Sébastien; Roudet, James
2018-04-01
This article focuses on the modeling of the hysteresis loop featured by Fe-Cu-Nb-Si-B nanocrystalline alloys with transverse induced anisotropy. The magnetization reversal process of a magnetic correlated volume (CV), characterized by the induced anisotropy Ku, and a deviation of the local easy magnetization direction featuring the effect of a local incoherent anisotropy Ki, is analyzed, taking account of magnetostatic interactions. Solving the equations shows that considering a unique typical kind of CV does not enable accounting for both the domain pattern and the coercivity. Actually, the classical majority CVs obeying the random anisotropy model explains well the domain pattern but considering another kind of CVs, minority, mingled with classical ones, featuring a magnitude of Ki comparable to Ku, is necessary to account for coercivity. The model has been successfully compared with experimental data.
Capping Layer (CL) Induced Antidamping in CL/Py/β-W System (CL: Al, β-Ta, Cu, β-W).
Behera, Nilamani; Guha, Puspendu; Pandya, Dinesh K; Chaudhary, Sujeet
2017-09-13
For achieving ultrafast switching speed and minimizing dissipation losses, the spin-based data storage device requires a control on effective damping (α eff ) of nanomagnetic bits. Incorporation of interfacial antidamping spin orbit torque (SOT) in spintronic devices therefore has high prospects for enhancing their performance efficiency. Clear evidence of such an interfacial antidamping is found in Al capped Py(15 nm)/β-W(t W )/Si (Py = Ni 81 Fe 19 and t W = thickness of β-W), which is in contrast to the increase of α eff (i.e., damping) usually associated with spin pumping as seen in Py(15 nm)/β-W(t W )/Si system. Because of spin pumping, the interfacial spin mixing conductance (g ↑↓ ) at Py/β-W interface and spin diffusion length (λ SD ) of β-W are found to be 1.63(±0.02) × 10 18 m -2 (1.44(±0.02) × 10 18 m -2 ) and 1.42(±0.19) nm (1.00(±0.10) nm) for Py(15 nm)/β-W(t W )/Si (β-W(t W )/Py(15 nm)/Si) bilayer systems. Other different nonmagnetic capping layers (CL), namely, β-W(2 nm), Cu(2 nm), and β-Ta(2,3,4 nm) were also grown over the same Py(15 nm)/β-W(t W ). However, antidamping is seen only in β-Ta(2,3 nm)/Py(15 nm)/β-W(t W )/Si. This decrease in α eff is attributed to the interfacial Rashba like SOT generated by nonequilibrium spin accumulation subsequent to the spin pumping. Contrary to this, when interlayer positions of Py(15 nm) and β-W(t W ) is interchanged irrespective of the fixed top nonmagnetic layer, an increase of α eff is observed, which is ascribed to spin pumping from Py to β-W layer.
Experimental results of 40-kA Nb[sub 3]Al cable-in-conduit conductor for fusion machines
DOE Office of Scientific and Technical Information (OSTI.GOV)
Takahashi, Y.; Sugimoto, M.; Isono, T.
1994-07-01
A 40-kA Nb[sub 3]Al cable-in-conduit conductor has been developed for the toroidal field coils of fusion reactors, because Nb[sub 3]Al has excellent mechanical performance. This conductor consists of 405 copper-stabilized multifilamentary strands inserted into a CuNi case circular conduit. The Nb[sub 3]Al strands are fabricated by the Jelly-roll process with a diameter of 1.22 mm. This conductor could be operated up to a current of 46 kA at an external field of 11.2 T. Accordingly, Nb[sub 3]Al promises to soon become a useful superconductor for large-scale high-field applications, such as fusion machines.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Flaherty, F. A.; Trelenberg, T. W.; Li, J. A.
2015-07-13
The structure and dynamics of cleaved (001) surfaces of potassium tantalates doped with niobium, KTa 1-xNb xO₃ (KTN), with x ranging from 0% to 30%, were measured by helium atom scattering (HAS). Through HAS time-of-flight (TOF) experiments, a dispersionless branch (Einstein phonon branch) with energy of 13-14 meV was observed across the surface Brillouin zone in all samples. When this observation is combined with the results from earlier experimental and theoretical studies on these materials, a consistent picture of the stable surface structure emerges: After cleaving the single-crystal sample, the surface should be composed of equal areas of KO andmore » TaO₂/NbO₂ terraces. The data, however, suggest that K⁺ and O²⁻ ions migrate from the bulk to the surface, forming a charged KO lattice that is neutralized primarily by additional K⁺ ions bridging pairs of surface oxygens. This structural and dynamic modification at the (001) surface of KTN appears due to its formally charged KO(-1) and TaO₂/NbO₂(+1) layers and avoids a “polar catastrophe.” This behavior is contrasted with the (001) surface behavior of the fluoride perovskite KMnF₃ with its electrically neutral KF and MnF₂ layers.« less
Magnetic properties evolution of a high permeability nanocrystalline FeCuNbSiB during thermal ageing
NASA Astrophysics Data System (ADS)
Lekdim, Atef; Morel, Laurent; Raulet, Marie-Ange
2017-07-01
It is found to be one of the major issues while designing an aircraft, mass and volume have to be reduced in order to achieve energy efficiency. This leads to a high compactness of the electrical components which enables them to withstand at high temperatures. The magnetic components which are responsible for the electrical energy conversion, therefore exposed to high temperatures in working conditions. Their thermal ageing becomes a serious problem and deserves a particular attention. The FeCuNbSiB nanocrystalline materials have been selected for this ageing study because they are used in power electronic systems very frequently. The objective of the study is based on monitoring the magnetic characteristics under the condition of several continuous thermal ageing (100, 150, 200 and 240 °C). An important, experimental work of magnetic characterization is being done through a specific monitoring protocol and X-ray diffraction (XRD) along with magnetostriction measurements was carried out to support the study of the evolution of the anisotropy energies with aging. The latter is discussed in this paper to explain and give the hypothesis about the aging phenomena. Contribution to the topical issue "Electrical Engineering Symposium (SGE 2016)", edited by Adel Razek
Synthesis and visible light photocatalytic property of polyhedron-shaped AgNbO3.
Li, Guoqiang; Yan, Shicheng; Wang, Zhiqiang; Wang, Xiangyan; Li, Zhaosheng; Ye, Jinhua; Zou, Zhigang
2009-10-28
Polyhedron-shaped AgNbO3 photocatalysts were synthesized by solvothermal and liquid-solid methods. Their photocatalytic properties were evaluated from the photocatalytic O2 evolution under visible light irradiation. The polyhedron-shaped AgNbO3 was induced to grow by shaped silver particles followed by the free-growth model. The photocatalytic results indicate that the polyhedron-shaped morphology is favourable for the photocatalytic O2 evolution under visible light irradiation in comparison with the spherical one. Furthermore, the Cu doping on the surface would enhance the visible light photocatalytic activity significantly.
NASA Astrophysics Data System (ADS)
Dhamdhere, Ajit R.; Hadamek, Tobias; Posadas, Agham B.; Demkov, Alexander A.; Smith, David J.
2016-12-01
Niobium oxide thin films have been grown by molecular beam epitaxy on SrTiO3 (STO) (111) and (La0.18Sr0.82)(Al0.59Ta0.41)O3 (LSAT) (111) substrates. Transmission electron microscopy (TEM) confirmed the formation of high quality films with coherent interfaces. Films grown with higher oxygen pressure on STO (111) resulted in a (110)-oriented NbO2 phase with a distorted rutile structure, which can be described as body-centered tetragonal. The a lattice parameter of NbO2 was determined to be ˜13.8 Å in good agreement with neutron diffraction results published in the literature. Films grown on LSAT (111) at lower oxygen pressure produced the NbO phase with a defective rock salt cubic structure. The NbO lattice parameter was determined to be a ≈ 4.26 Å. The film phase/structure identification from TEM was in good agreement with in situ x-ray photoelectron spectroscopy measurements that confirmed the dioxide and monoxide phases, respectively. The atomic structure of the NbO2/STO and NbO/LSAT interfaces was determined based on comparisons between high-resolution electron micrographs and image simulations.
NASA Astrophysics Data System (ADS)
Suzuki, Yasuhiro; Iguchi, Nobuhiro; Adachi, Kazuhiro; Ichiki, Akihisa; Hioki, Tatsumi; Hsu, Che-Wei; Sato, Ryoto; Kumagai, Shinya; Sasaki, Minoru; Noh, Joo-Hyong; Sakurahara, Yuuske; Okabe, Kyohei; Takai, Osamu; Honma, Hideo; Watanabe, Hideo; Sakoda, Hitoshi; Sasagawa, Hiroaki; Doy, Hideyuki; Zhou, Shuliang; Hori, H.; Nishikawa, Shigeaki; Nozaki, Toshihiro; Sugimoto, Noriaki; Motohiro, Tomoyoshi
2017-09-01
Based on the concept of a novel approach to make a compact SMES unit composed of a stack of Si wafers using MEMS process proposed previously, a complete fabrication of a traversable 3 µam thick NbN film superconducting coil lined with Cu plated layer of 42m in length in a spiral three-storied trench engraved in and extended over a whole Si-wafer of 76.2 mm in diameter was attained for the first time. With decrease in temperature, the DC resistivity showed a metallic decrease indicating the current pass was in the Cu plated layer and then made a sudden fall to residual contact resistance indicating the shift of current pass from the Cu plated layer to the NbN film at the critical temperature Tc of 15.5K by superconducting transition. The temperature dependence of I-V curve showed the increase in the critical current with decrease in the temperature and the highest critical current measured was 220 mA at 4K which is five times as large as that obtained in the test fabrication as the experimental proof of concept presented in the previous report. This completion of a one wafer superconducting NbN coil is an indispensable step for the next proof of concept of fabrication of series-connected two wafer coils via superconductive joint which will read to series connected 600 wafer coils finally, and for replacement of NbN by high Tc superconductor such as YBa2Cu3O7-x for operation under the cold energy of liquid hydrogen or liquid nitrogen.
Separation of Niobium and Tantalum Pentafluoride by Selective Precipitation Using p-Phenylenediamine
NASA Astrophysics Data System (ADS)
Nete, M.; Purcell, W.; Nel, J. T.
2016-11-01
The similarity between Ta and Nb chemistry makes it difficult to find the appropriate reagents and chemical reactions for the separation of the two elements. This study investigated the precipitation behavior of TaF5 and NbF5 with p-phenylenediamine (PPDA). PPDA preferentially precipitated Nb from a 1:1 ratio of NbF5 and TaF5. Niobium recoveries of >80%, and only 4% Ta, were found in the precipitate of the reaction between (Nb/Ta)F5 and PPDA in ethanol. A separation factor of 100(9) indicated the potential for successful separation of Nb and Ta in a fluoride environment. A spectrophotometric study of the formation ratio of the newly formed Nb compound indicated a 1:1 metal:ligand ratio.
Effect of alloying elements and heat treatment on the fracture toughness of Ti-Al-Nb alloys
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kamat, S.V.; Gogia, A.K.; Banerjee, D.
The fracture toughness and toughening mechanisms of Ti{sub 3}Al based alloy compositions covering a large range of Nb, small variations in Al and quaternary substitutions of Nb have been studied in a variety of heat treated conditions designed to vary the volume fractions of the constituents phases. It was found that the B2 phase of these alloys failed by cleavage in a coarse grained condition but in a ductile manner when fine grained. A higher Nb and a lower Al content improved the cleavage fracture stress of the B2 phase while replacement of a part of Nb and a lowermore » Al content improved the cleavage fracture stress of the B2 phase while replacement of a part of Nb with Mo or Ta had no significant effect. Heat treatments which result in a two phase microstructure ({alpha}{sub 2} + {beta}/B2) exhibited a trend of increasing fracture toughness with increasing volume fraction of {beta}/B2 up to about 60--80 volume fraction of {beta}/B2. This behavior was largely explained by quantifying the role of crack tip blunting. The effect of alloying elements on fracture toughness in two phase microstructures was similar to that observed in the coarse grained B2 condition.« less
Influences of annealing temperature on sprayed CuFeO2 thin films
NASA Astrophysics Data System (ADS)
Abdelwahab, H. M.; Ratep, A.; Abo Elsoud, A. M.; Boshta, M.; Osman, M. B. S.
2018-06-01
Delafossite CuFeO2 thin films were successfully prepared onto quartz substrates using simple spray pyrolysis technique. Post annealing under nitrogen atmosphere for 2 h was necessary to form delafossite CuFeO2 phase. The effect of alteration in annealing temperature (TA) 800, 850 and 900 °C was study on structural, morphology and optical properties. The XRD results for thin film annealed at TA = 850 °C show single phase CuFeO2 with rhombohedral crystal system and R 3 bar m space group with preferred orientation along (0 1 2). The prepared copper iron oxide thin films have an optical transmission ranged ∼40% in the visible region. The optical direct optical band gap of the prepared thin films was ranged ∼2.9 eV.
NASA Astrophysics Data System (ADS)
Zhao, Liandang; Chen, Huayong; Zhang, Li; Zhang, Weifeng; Yang, Juntao; Yan, Xuelu
2018-03-01
The Aqishan-Yamansu belt in the Eastern Tianshan (Xinjiang, NW China) is an important mineralization belt. The belt mainly comprises Carboniferous volcanic, volcaniclastic and clastic rocks, and hosts many intermediate-felsic intrusions and Fe (-Cu) deposits. The biotite diorite, felsic brecciated tuff, granodiorite and syenite from the western Aqishan-Yamansu belt are newly zircon U-Pb dated to be 316.7 ± 1.4 Ma, 315.6 ± 2.6 Ma, 305.8 ± 1.9 Ma and 252.5 ± 1.4 Ma, respectively. The mafic rocks (mafic brecciated tuff and diabase porphyry) are tholeiitic to calc-alkaline series, LILE-rich (e.g., Rb, Ba and Pb), HFSE-depleted (e.g., Nb and Ta), and have high Mg#(44-60), Nb/Ta (15.0-20.0), Ba/La (>30) and Ba/Nb (>57) values/ratios, and low Th/Yb ratios (<1), probably originating from mantle wedge metasomatized by slab-derived fluids. The intermediate-felsic igneous rocks are LILE-rich, HFSE-depleted, with high Sr and Y contents showing typical of normal arc magma affinity. Moreover, the depleted εHf(t) (>2.10) and positive εNd(t) (>5.7), combined with variable Nb/Ta ratios (9.52-21.4), Y/Nb ratios (1.47-39.7) and Pb isotopes (206Pb/204Pb = 16.225-17.640, 207Pb/204Pb = 15.454-15.520, 208Pb/204Pb = 37.097-38.025) suggest that these rocks were magma mixing products between juvenile crustal-derived magmas and minor mantle-derived magmas. Combined published works with our new ages, geochemical and isotopic data, we propose that the Aqishan-Yamansu belt was an Early Carboniferous fore-arc basin during the southward subduction of the Kangguer oceanic slab beneath the Yili-Central Tianshan block. With the continuing southward subduction, the Aqishan-Yamansu fore-arc basin initiated to close, which generated the mafic and intensive intermediate-felsic magmatism associated with regional Fe (-Cu) mineralization.
Geology, market and supply chain of niobium and tantalum—a review
NASA Astrophysics Data System (ADS)
Mackay, Duncan A. R.; Simandl, George J.
2014-12-01
Tantalum (Ta) and niobium (Nb) are essential metals in modern society. Their use in corrosion prevention, micro-electronics, specialty alloys and high-strength low-alloy (HSLA) steel earns them a strategic designation in most industrialised countries. The Ta market is unstable due in part to historic influx of `conflict' columbite-tantalite concentrate, or "Coltan," that caused Ta mines in Australia and Canada to be placed on care and maintenance. More recently, the growing appetite of modern society for consumer goods made of `conflict-free' minerals or metals has put pressure on suppliers. Pegmatites, rare-element-enriched granites, related placer deposits and weathered crusts overlying carbonatite and peralkaline complexes account for the majority of Ta production. Several carbonatite-related deposits (e.g. Upper Fir and Crevier, Canada) are being considered for potential co-production of Ta and Nb. Pyrochlore (Nb-Ta), columbite-tantalite (Nb-Ta), wodginite (Ta, Nb and Sn) and microlite (Ta and Nb) are the main ore minerals. Approximately 40 % of Ta used in 2012 came from Ta mines, 30 % from recycling, 20 % from tin slag refining and 10 % from secondary mine concentrates. Due to rapid industrialisation and increased use of Nb in steel making in countries such as China and India, demand for Nb is rising. Weathered crusts overlying carbonatite complexes in Brazil and one hard rock carbonatite deposit in Canada account for about 92 and 7 % of Nb world mine production, respectively. Since the bulk of the production is geographically and politically restricted to a single country, security of supply is considered at risk. Other prospective resources of Nb, beside carbonatites and associated weathered crusts, are peralkaline complexes (e.g. Nechalacho; where Nb is considered as a potential co-product of REE and zirconium). Economically, significant deposits of Ta and Nb contain pyrochlore, columbite-tantalite, fersmite, loparite and strüverite. Assuming continued
Creep and Oxidation Behavior of Modified CF8C-Plus with W, Cu, Ni, and Cr
NASA Astrophysics Data System (ADS)
Unocic, Kinga A.; Dryepondt, Sebastien; Yamamoto, Yukinori; Maziasz, Philip J.
2016-04-01
The microstructures of modified CF8C-Plus (Fe-19Cr-12Ni-0.4W-3.8Mn-0.2Mo-0.6Nb-0.5Si-0.9C) with W and Cu (CF8CPWCu) and CF8CPWCu enhanced with 21Cr + 15Ni or 22Cr + 17.5Ni were characterized in the as-cast condition and after creep testing. When imaged at lower magnifications, the as-cast microstructure was similar among all three alloys as they all contained a Nb-rich interdendritic phase and Mn-based inclusions. Transmission electron microscopy (TEM) analysis showed the presence of nanoscale Cu-rich nanoprecipitates distributed uniformly throughout the matrix of CF8CPWCu, whereas in CF8CPWCu22/17, Cu precipitates were found primarily at the grain boundaries. The presence of these nanoscale Cu-rich particles, in addition to W-rich Cr23C6, nanoscale Nb carbides, and Z-phase (Nb2Cr2N2), improved the creep strength of the CF8CPWCu steel. Modification of CF8CPWCu with Cr and Ni contents slightly decreased the creep strength but significantly improved the oxidation behavior at 1073 K (800 °C). In particular, the addition of 22Cr and 17.5Ni strongly enhanced the oxidation resistance of the stainless steel resulting in a 100 degrees or greater temperature improvement, and this composition provided the best balance between improving both mechanical properties and oxidation resistance.
Creep and oxidation behavior of modified CF8C-plus with W, Cu, Ni, and Cr
DOE Office of Scientific and Technical Information (OSTI.GOV)
Unocic, Kinga A.; Dryepondt, Sebastien N.; Yamamoto, Yukinori
Here, the microstructures of modified CF8C-Plus (Fe-19Cr-12Ni-0.4W-3.8Mn-0.2Mo-0.6Nb-0.5Si-0.9C) with W and Cu (CF8CPWCu) and CF8CPWCu enhanced with 21Cr + 15Ni or 22Cr + 17.5Ni were characterized in the as-cast condition and after creep testing. When imaged at lower magnifications, the as-cast microstructure was similar among all three alloys as they all contained a Nb-rich interdendritic phase and Mn-based inclusions. Transmission electron microscopy (TEM) analysis showed the presence of nanoscale Cu-rich nanoprecipitates distributed uniformly throughout the matrix of CF8CPWCu, whereas in CF8CPWCu22/17, Cu precipitates were found primarily at the grain boundaries. The presence of these nanoscale Cu-rich particles, in addition to W-richmore » Cr 23C 6, nanoscale Nb carbides, and Z-phase (Nb 2Cr 2N 2), improved the creep strength of the CF8CPWCu steel. Modification of CF8CPWCu with Cr and Ni contents slightly decreased the creep strength but significantly improved the oxidation behavior at 1073 K (800 °C). In particular, the addition of 22Cr and 17.5Ni strongly enhanced the oxidation resistance of the stainless steel resulting in a 100 degrees or greater temperature improvement, and this composition provided the best balance between improving both mechanical properties and oxidation resistance.« less
Creep and oxidation behavior of modified CF8C-plus with W, Cu, Ni, and Cr
Unocic, Kinga A.; Dryepondt, Sebastien N.; Yamamoto, Yukinori; ...
2016-02-01
Here, the microstructures of modified CF8C-Plus (Fe-19Cr-12Ni-0.4W-3.8Mn-0.2Mo-0.6Nb-0.5Si-0.9C) with W and Cu (CF8CPWCu) and CF8CPWCu enhanced with 21Cr + 15Ni or 22Cr + 17.5Ni were characterized in the as-cast condition and after creep testing. When imaged at lower magnifications, the as-cast microstructure was similar among all three alloys as they all contained a Nb-rich interdendritic phase and Mn-based inclusions. Transmission electron microscopy (TEM) analysis showed the presence of nanoscale Cu-rich nanoprecipitates distributed uniformly throughout the matrix of CF8CPWCu, whereas in CF8CPWCu22/17, Cu precipitates were found primarily at the grain boundaries. The presence of these nanoscale Cu-rich particles, in addition to W-richmore » Cr 23C 6, nanoscale Nb carbides, and Z-phase (Nb 2Cr 2N 2), improved the creep strength of the CF8CPWCu steel. Modification of CF8CPWCu with Cr and Ni contents slightly decreased the creep strength but significantly improved the oxidation behavior at 1073 K (800 °C). In particular, the addition of 22Cr and 17.5Ni strongly enhanced the oxidation resistance of the stainless steel resulting in a 100 degrees or greater temperature improvement, and this composition provided the best balance between improving both mechanical properties and oxidation resistance.« less
Effects of substitution of Mo for Nb on less-common properties of Finemet alloys
NASA Astrophysics Data System (ADS)
Butvin, P.; Butvinová, B.; Silveyra, J. M.; Chromčíková, M.; Janičkovič, D.; Sitek, J.; Švec, P.; Vlasák, G.
2010-10-01
Particular properties of Fe-Nb/Mo-Cu-B-Si rapidly quenched ribbons were examined. Apart from minor variation, no significant difference due to the Mo for Nb substitution was observed in alloy density and its annealing-induced changes. The same holds for the anisotropic thermal expansion of as-cast ribbon when annealed and for induced anisotropy when annealed under stress. The Mo-substituted ribbons show only slightly higher crystallinity and lower coercivity if annealed in inert gas ambience than in vacuum. Some diversity in surface to interior heterogeneity of the differently annealed ribbons can still be distinguished. Preserving a minor percentage of Nb together with Mo does not seem substantiated to obtain favorable soft magnetic properties of ribbons annealed in inert gas.
NASA Astrophysics Data System (ADS)
Dai, Shifeng; Nechaev, Victor P.; Chekryzhov, Igor Yu.; Zhao, Lixin; Vysotskiy, Sergei V.; Graham, Ian; Ward, Colin R.; Ignatiev, Alexander V.; Velivetskaya, Tatyana A.; Zhao, Lei; French, David; Hower, James C.
2018-03-01
Clay-altered volcanic ash with highly-elevated concentrations of Nb(Ta), Zr(Hf), rare earth elements (REE), and Ga, is a new type of critical metal deposit with high commercial prospects that has been discovered in Yunnan Province, southwest China. Previous studies showed that the volcanic ashes had been subjected to hydrothermal fluids, the nature of which, however, is not clear. Here we show that the volcanic ashes were originated from alkaline magmatism, followed by a continuous hydrothermal-weathering process. Heated meteoric waters, which were sourced from acidic rains and mixed with CO2 from degassing of the Emeishan plume, have caused partial, but widespread, acidic leaching of Nb, Ta, Zr, Hf, REE, and Ga into ground water and residual enrichment of these elements, along with Al and Ti, in the deeply altered rocks. Subsequent alteration occurring under cooler, neutral or alkaline conditions, caused by water-rock interaction, resulted in precipitation of the leached critical metals in the deposit. Polymetallic mineralization of similar origin may be found in other continental regions subjected to explosive alkaline volcanism associated with deep weathering in humid conditions.
Crystal structure of the new A2SnTa6X18 (A = K, Rb, Cs; X = Cl, Br) cluster compounds
NASA Astrophysics Data System (ADS)
Lemoine, P.; Wilmet, M.; Malaman, B.; Paofai, S.; Dumait, N.; Cordier, S.
2018-01-01
The crystal structure of the new cluster compounds A2SnTa6X18 (with A = K, Rb, Cs, and X = Cl, Br) was determined by using single-crystal and powder X-ray diffraction, and 119Sn Mössbauer spectroscopy. Those compounds crystallize in the Cs2EuNb6Br18-type structure of space group R 3 ̅. This type of structure is built up on discrete edge-bridged [M6Xi12Xa6]4- cluster units arranged according to a pseudo face-centered cubic stacking, where the octahedral and tetrahedral vacancies are fully occupied by divalent tin cations and monovalent alkaline cations, respectively. The tin cations influence on the halogen matrix and the electronic effects on the cluster units in the Cs2EuNb6Br18-type structure are discussed by comparison with isotype compounds. From those analyses, the ionic radius of Sn2+ in coordination number VI is estimated to be 1.14(1) Å. Finally, K2SnTa6Br18 might be considered as a new example of compound containing a quite bare stannous ion (5 s2 configuration).
NASA Astrophysics Data System (ADS)
Tripathy, Haraprasanna; Hajra, Raj Narayan; Sudha, C.; Raju, S.; Saibaba, Saroja
2018-04-01
The Young's modulus (E) and Shear modulus (G) of an indigenously developed 18Cr-9Ni-0.1C-2.95 Cu-0.58Nb (wt %) austenitic stainless steel has been evaluated in the temperature range 298 K to 1273 K (25 °C to 1000 °C), using Impulse excitation technique (IET). The Bulk modulus (K) and the poison's ratio have been estimated from the measured values of E and G. It is observed that the elastic constants (E, G and K) are found to decrease in a nonlinear fashion with increase in temperature. The Cu precipitation is found to influence the elastic moduli of the steel in the cooling cycle. The observed elastic moduli are fitted to 3rd order polynomial equations in order to describe the temperature dependence of E, G, K moduli in the temperature range 298-1273 K (25 °C to 1000 °C). The room temperature values of E,G and K moduli is found to be 207, 82 and 145 GPa respectively for the present steel.
Application Of Ti-Based Self-Formation Barrier Layers To Cu Dual-Damascene Interconnects
NASA Astrophysics Data System (ADS)
Ito, Kazuhiro; Ohmori, Kazuyuki; Kohama, Kazuyuki; Mori, Kenichi; Maekawa, Kazuyoshi; Asai, Koyu; Murakami, Masanori
2010-11-01
Cu interconnects have been used extensively in ULSI devices. However, large resistance-capacitance delay and poor device reliability have been critical issues as the device feature size has reduced to nanometer scale. In order to achieve low resistance and high reliability of Cu interconnects, we have applied a thin Ti-based self-formed barrier (SFB) using Cu(Ti) alloy seed to 45nm-node dual damascene interconnects and evaluated its performance. The line resistance and via resistance decreased significantly, compared with those of conventional Ta/TaN barriers. The stress migration performance was also drastically improved using the SFB process. A performance of time dependent dielectric breakdown revealed superior endurance. These results suggest that the Ti-based SFB process is one of the most promising candidates for advanced Cu interconnects. TEM and X-ray photoelectron spectroscopy observations for characterization of the Ti-based SFB structure were also performed. The Ti-based SFB consisted of mainly amorphous Ti oxides. Amorphous or crystalline Ti compounds such as TiC, TiN, and TiSi formed beneath Cu alloy films, and the formation varied with dielectric.
Production and processing of Cu-Cr-Nb alloys
NASA Technical Reports Server (NTRS)
Ellis, David L.; Michal, Gary M.; Orth, Norman W.
1990-01-01
A new Cu-based alloy possessing high strength, high conductivity, and good stability at elevated temperatures was recently produced. This paper details the melting of the master alloys, production of rapidly solidified ribbon, and processing of the ribbon to sheet by hot pressing and hot rolling.
Performance of WCN diffusion barrier for Cu multilevel interconnects
NASA Astrophysics Data System (ADS)
Lee, Seung Yeon; Ju, Byeong-Kwon; Kim, Yong Tae
2018-04-01
The electrical and thermal properties of a WCN diffusion barrier have been studied for Cu multilevel interconnects. The WCN has been prepared using an atomic layer deposition system with WF6-CH4-NH3-H2 gases and has a very low resistivity of 100 µΩ cm and 96.9% step coverage on the high-aspect-ratio vias. The thermally stable WCN maintains an amorphous state at 800 °C and Cu/WCN contact resistance remains within a 10% deviation from the initial value after 700 °C. The mean time to failure suggests that the Cu/WCN interconnects have a longer lifetime than Cu/TaN and Cu/WN interconnects because WCN prevents Cu migration owing to the stress evolution from tensile to compressive.
Alloy Engineering of Topological Semimetal Phase Transition in MgTa2 -xNbxN3
NASA Astrophysics Data System (ADS)
Huang, Huaqing; Jin, Kyung-Hwan; Liu, Feng
2018-03-01
Dirac, triple-point, and Weyl fermions represent three topological semimetal phases, characterized with a descending degree of band degeneracy, which have been realized separately in specific crystalline materials with different lattice symmetries. Here we demonstrate an alloy engineering approach to realize all three types of fermions in one single material system of MgTa2 -xNbx N3 . Based on symmetry analysis and first-principles calculations, we map out a phase diagram of topological order in the parameter space of alloy concentration and crystalline symmetry, where the intrinsic MgTa2 N3 with the highest symmetry hosts the Dirac semimetal phase, which transforms into the triple-point and then the Weyl semimetal phases with increasing Nb concentration that lowers the crystalline symmetries. Therefore, alloy engineering affords a unique approach for the experimental investigation of topological transitions of semimetallic phases manifesting different fermionic behaviors.
Submillimeter SIS Mixers Using High Current Density Nb/AIN/Nb Tunnel Junctions and NbTiN Films
NASA Astrophysics Data System (ADS)
Kawamura, J.; Miller, D.; Chen, J.; Kooi, J.; Zmuidzinas, J.; Bumble, B.; Leduc, H.; Stern, J.
1999-03-01
We are currently exploring ways to improve the performance of SIS mixers above 700 GHz. One approach is to use NbTiN in place of Nb for all or some of the mixer circuitry. With its high gap frequency and low losses demonstrated up to 800 GHz, it should be possible to fabricate an all-NbTiN SIS mixer with near quantum-limited noise performance up to 1.2 THz. Using a quasioptical twin-slot two-junction mixer with NbTiN ground plane and wiring and hybrid Nb/A1N/NbTiN junctions, we measured an uncorrected receiver noise temperature of TRx ~ 500 K across 790-850 GHz at 4.2 K bath temperature. Our second approach is to reduce the RC product of the mixer by employing very high current density Nb/A1N/Nb junctions. By using these we will greatly relax the requirement on tuning circuits, which is where substantial losses occur in mixers operating above the Nb gap frequency. These junctions have resistance-area products of R_N*A ~ 5.6 Ohm um2, good subgap to normal resistance ratios, R_sg/R_N ~ 10, and good run-to-run reproducibility. From FTS measurements we infer that omega*R_N*C = 1 at 270 GHz in these junctions. This is a substantial improvement over that available using Nb/Al0x/Nb technology. The sensitivity of a receiver incorporating these high current density mixers is T_Rx = 110 K at 533 GHz using a design for lower J_c mixers, which is close to the best we have measured with lower J_c Nb/Al0x/Nb mixers.
Extreme magnetoresistance and SdH oscillation in compensated semimetals of NbSb2 single crystals
NASA Astrophysics Data System (ADS)
Guo, Lei; Liu, Yu-Kuai; Gao, Guan-Yin; Huang, Ye-Yu; Gao, Heng; Chen, Lei; Zhao, Weiyao; Ren, Wei; Li, Shi-Yan; Li, Xiao-Guang; Dong, Shuai; Zheng, Ren-Kui
2018-04-01
Topological semimetals represent one of the most interesting classes of materials that continue to attract worldwide interest. Here, we report magnetotransport properties of MPn2-type (M = Nb, Ta; Pn = P, As, Sb) NbSb2 single-crystal semimetals with a centrosymmetric C12/m1 space group, paramagnetic ground state, and non-saturation parabolic-like magnetoresistance. The NbSb2 crystals show metallic conductivity down to 2 K and undergo a metal-to-insulator-like transition under a magnetic field B (B ≥ 4 T) and exhibit a resistivity plateau in the low-temperature region (T ≤ 10 K), where the value of resistivity strongly depends on the magnitude and direction of the magnetic field. Upon sweeping the magnetic field from 0 to 14.5 T in the transverse configuration at T = 1.5 K, the NbSb2 crystal shows a large positive magnetoresistance (4.2 × 103% at B = 14.5 T) with Shubnikov-de Haas (SdH) oscillation. Hall measurements reveal that both the carrier compensation between electrons and holes and the high mobility and large mean free path of carriers contribute to the large magnetoresistance. Fast Fourier transform analyses of angle-resolved SdH oscillation indicate that the Fermi surface of the NbSb2 crystal is quasi-two-dimensional with three-dimensional components. These findings, together with the theoretically calculated electronic band structure obtained within the framework of density functional theory, suggest that NbSb2 is a good candidate compensated semimetal for further theoretical and experimental investigation of this family of materials.
NASA Astrophysics Data System (ADS)
Schmidt, Alexander; Weyer, Stefan; John, Timm; Brey, Gerhard P.
2009-01-01
The depleted mantle and the continental crust are generally thought to balance the budget of refractory and lithophile elements of the Bulk Silicate Earth (BSE), resulting in complementary trace element patterns. However, the two high field strength elements (HFSE) niobium and tantalum appear to contradict this mass balance. All reservoirs of the silicate Earth exhibit subchondritic Nb/Ta ratios, possibly as a result of Nb depletion. In this study a series of nineteen orogenic MORB-type eclogites from different localities was analyzed to determine their HFSE concentrations and to contribute to the question of whether subducted oceanic crust could form a hidden reservoir to account for the mass imbalance of Nb/Ta between BSE and the chondritic reservoir. Concentrations of HFSE were analyzed with isotope dilution (ID) techniques. Additionally, LA-ICPMS analyses of clinopyroxene, garnet and rutile have been performed. Rutile is by far the major host for Nb and Ta in all analyzed eclogites. However, many rutiles revealed zoning in Nb/Ta ratios, with cores being higher than rims. Accordingly, in situ analyses of rutiles have to be evaluated carefully and rutile cores do not necessarily reflect a bulk rock Nb and Ta composition, although over 90% of these elements reside in rutile. The HFSE concentration data in bulk rocks show that the orogenic eclogites have subchondritic Nb/Ta ratios and near chondritic Zr/Hf ratios. The investigated eclogites show neither enrichment of Nb compared to similarly incompatible elements (e.g. La), nor fractionation of Nb/Ta ratios relative to MOR-basalts, the likely precursor of these rocks. This indicates that during the conversion of the oceanic crust to eclogites in most cases, (1) HFSE and REE have similar mobility on average, possibly because both element groups remain in the down going slab, and (2) no significant fractionation of Nb/Ta occurs in subducted oceanic crust. With an average Nb/Ta ratio of 14.2 ± 1.4 (2s.e.), the
Wang, Guan-Feng; Fan, Renchun; Wang, Xianping; Wang, Daowen; Zhang, Xiangqi
2015-04-01
RAR1 and SGT1 are important co-chaperones of Hsp90. We previously showed that TaHsp90.1 is required for wheat seedling growth, and that TaHsp90.2 and TaHsp90.3 are essential for resistance (R) gene mediated resistance to stripe rust fungus. Here, we report the characterization of TaRAR1 and TaSGT1 genes in bread wheat. TaRAR1 and TaSGT1 each had three homoeologs, which were located on wheat groups 2 and 3 chromosomes, respectively. Strong inhibition of seedling growth was observed after silencing TaSGT1 but not TaRAR1. In contrast, decreasing the expression of TaRAR1 or TaSGT1 could all compromise R gene mediated resistance to stripe rust fungus infection. Protein-protein interactions were found among TaRAR1, TaSGT1 and TaHsp90. The N-terminus of TaHsp90, the CHORD-I and CHORD-II domains of TaRAR1 and the CS domain of TaSGT1 may be instrumental for the interactions among the three proteins. Based on this work and our previous study on TaHsp90, we speculate that the TaSGT1-TaHsp90.1 interaction is important for maintaining bread wheat seedling growth. The TaRAR1-TaSGT1-TaHsp90.2 and TaRAR1-TaSGT1-TaHsp90.3 interactions are involved in controlling the resistance to stripe rust disease. The new information obtained here should aid further functional investigations of TaRAR1-TaSGT1-TaHsp90 complexes in regulating bread wheat growth and disease resistance.
Calcium phosphate coatings modified with zinc- or copper- incorporation on Ti-40Nb alloy
NASA Astrophysics Data System (ADS)
Komarova, E. G.; Sedelnikova, M. B.; Sharkeev, Yu P.; Kazakbaeva, A. A.; Glukhov, I. A.; Khimich, M. A.
2017-05-01
The influence of the microarc oxidation parameters and electrolyte composition on the structure, properties and composition of CaP coatings modified with Zn- or Cu- incorporation on the Ti-40mas.%Nb (Ti-40Nb) alloy was investigated. The linear growth of thickness, roughness, and size of structural elements with process voltage increasing has been revealed. It was shown that the CaP coatings have the low contact angles with liquids and, consequently, high free surface energy. This indicates a high hydrophilicity of the coatings. X-ray diffraction analysis showed that the coatings have X-ray amorphous structure. The increase of the process voltage leads to the formation of such crystalline phases as CaHPO4 and β-Ca2P2O7 in the coatings. The maximum Ca/P atomic ratio was equal to 0.4, and Zn or Cu contents was equal to 0.3 or 0.2 at.%, respectively.
Anode materials for lithium ion batteries
Abouimrane, Ali; Amine, Khalil
2017-04-11
An electrochemical device includes a composite material of general Formula (1-x)J-(x)Q wherein: J is a metal carbon alloy of formula Sn.sub.zSi.sub.z'Met.sub.wMet'.sub.w'C.sub.t; Q is a metal oxide of formula A.sub..gamma.M.sub..alpha.M'.sub..alpha.'O.sub..beta.; and wherein: A is Li, Na, or K; M and M' are individually Ge, Mo, Al, Ga, As, Sb, Te, Ti, Ta, Zr, Ca, Mg, Sr, Ba, Li, Na, K, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Nb, Rt, Ru or Cd; Met and Met' are individually Ge, Mo, Al, Ga, As, Sb, Te, Ti, Ta, Zr, Ca, Mg, Sr, Ba, Li, Na, K, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Nb, Rt, Ru or Cd; 0
2011-05-01
Mn, Fe, Co, Ni and Cu. Since metallic alloys for high temperature load bearing structures and thermal protection systems remain in high demand for aer...condition. These results indicate that the BCC crystal structure formed in both alloys during solidification is stable upon heating at least up to 1400 C... solidification (Fig. 5b). Higher magnification images reveal a dendritic structure in both alloys (Fig. 5c and d). Uneven Z contrast inside the grains indicates
Free-anchored Nb2O5@graphene networks for ultrafast-stable lithium storage.
Deng, Qinglin; Li, Mengjiao; Wang, Junyong; Jiang, Kai; Hu, Zhigao; Chu, Junhao
2018-05-04
Orthorhombic Nb 2 O 5 (T-Nb 2 O 5 ) has structural merit but poor electrical conductivity, limiting their applications in energy storage. Although graphene is frequently adopted to effectively improve its electrochemical properties, the ordinary modified methods cannot meet the growing demands for high-performance. Here, we demonstrate that different graphene modified routes play a vital role in affecting the electrochemical performances of T-Nb 2 O 5 . By only manual shaking within one minute, Nb 2 O 5 nano-particles can be rapidly adsorbed onto graphene, then the free-anchored T-Nb 2 O 5 @graphene three-dimensional networks can be successfully prepared based on hydrogel method. As for the application in lithium-ion batteries, it performs outstanding rate character (129 mA h g -1 (25C rate), 110 mA h g -1 (50C rate) and 90 mA h g -1 (100C rate), correspond to 79%, 67% and 55% capacity of 0.5C rate, respectively) and excellent long-term cycling feature (∼70% capacity retention after 20000 cycles). Moreover, it still maintains similar ultrafast-stable lithium storage performances when Cu foil is substituted by Al foil as current collector. In addition, relevant kinetics mechanisms are also expounded. This work provides a versatile strategy for the preparation of graphene modified Nb 2 O 5 or other types of nanoparticles.
Free-anchored Nb2O5@graphene networks for ultrafast-stable lithium storage
NASA Astrophysics Data System (ADS)
Deng, Qinglin; Li, Mengjiao; Wang, Junyong; Jiang, Kai; Hu, Zhigao; Chu, Junhao
2018-05-01
Orthorhombic Nb2O5 (T-Nb2O5) has structural merit but poor electrical conductivity, limiting their applications in energy storage. Although graphene is frequently adopted to effectively improve its electrochemical properties, the ordinary modified methods cannot meet the growing demands for high-performance. Here, we demonstrate that different graphene modified routes play a vital role in affecting the electrochemical performances of T-Nb2O5. By only manual shaking within one minute, Nb2O5 nano-particles can be rapidly adsorbed onto graphene, then the free-anchored T-Nb2O5@graphene three-dimensional networks can be successfully prepared based on hydrogel method. As for the application in lithium-ion batteries, it performs outstanding rate character (129 mA h g-1 (25C rate), 110 mA h g-1 (50C rate) and 90 mA h g-1 (100C rate), correspond to 79%, 67% and 55% capacity of 0.5C rate, respectively) and excellent long-term cycling feature (˜70% capacity retention after 20000 cycles). Moreover, it still maintains similar ultrafast-stable lithium storage performances when Cu foil is substituted by Al foil as current collector. In addition, relevant kinetics mechanisms are also expounded. This work provides a versatile strategy for the preparation of graphene modified Nb2O5 or other types of nanoparticles.
NASA Astrophysics Data System (ADS)
Romanov, I. Yu.; Gushchina, N. V.; Ovchinnikov, V. V.; Makhinko, F. F.; Stepanov, A. V.; Medvedev, A. I.; Starodubtsev, Yu. N.; Belozerov, V. Ya.; Loginov, B. A.
2018-02-01
Using the methods of X-ray diffraction and atomic force microscopy, the process of crystallization of an amorphous Fe72.5Cu1Nb2Mo1.5Si14B9 alloy irradiated with accelerated Ar+ ions is investigated. It is found out that an irradiation by the Ar+ ions with the energy 30 keV at the ion current density 300 μA/cm2 (fluence 3.75·1015 cm-2, irradiation time 2 s, ion-beam short-duration heating up to 350°C, which is 150°C lower than the thermal crystallization threshold) results in a complete crystallization of this amorphous alloy (throughout the bulk of a 25 μm ribbon) followed by precipitation of solid solution crystals of α-Fe(Si), close in its composition to Fe80Si20, stable phase of Fe3Si, and metastable hexagonal phases. By the methods of atomic force and scanning tunneling microscopy it is shown that nanocrystallization caused by ion irradiation is accompanied by surface relief changes both on the irradiated and unirradiated sides of the Fe72.5Cu1Nb2Mo1.5Si14B9 alloy ribbon at the depth exceeding by a factor of 103 that of the physical ion penetration for this material. The data obtained, taking into account a significant temperature decrease and multiple acceleration of the crystallization process, serve an evidence of the radiation-dynamic influence of accelerated ions on the metastable amorphous medium.
AMTEC recirculating test cell component testing and operation
NASA Technical Reports Server (NTRS)
Underwood, M. L.; Sievers, R. K.; O'Connor, D.; Williams, R. M.; Jeffries-Nakamura, B.; Bankston, C. P.
1989-01-01
Alkali metal thermoelectric converter operation in a recirculating test cell (RTC), which requires a small electromagnetic pump (EM) and a high-temperature beta-double-prime alumina-solid-electrolyte (BASE)-to-metal seal, is discussed. The design of a pump and an active metal braze seal and the initial operation of a cell using these components are described. The pump delivered 0.25 cu cm/min against a 28-psia head. A braze seal system was selected after shear strength tests of Ta or Nb brazed to BASE by a variety of fillers including TiCuNi, TiNi, and TiNiCr. The TiCuNi filler was chosen for environment cell testing and showed no failure or observable degradation after short-term tests up to 1055 K. The pump and the Nb/TiCuNi/BASE seal were used in a test that demonstrated all the operational functions of the RTC for the first time. An increase in the radiation reduction factor at constant input power was observed, indicating that the condenser was being wet by sodium resulting in an increased reflectivity.
Effects of bending on the superconducting critical current density of monofilamentary Nb3Sn wires
NASA Astrophysics Data System (ADS)
Kaiho, K.; Luhman, T. S.; Suenaga, M.; Sampson, W. B.
1980-02-01
Variations in the superconducting current density Jc of the Nb3Sn wires upon bending were measured for a series of monofilamentary wires in which the ratio Rv of the matrix (Cu+Sn) to the core (Nb3Sn,Nb) was changed from 0 to 58. In most cases Jc was found to increase slightly until the bending strain exceeded a value of ɛirrB , beyond which it severely and irreversibly degraded. For wires with intermediate values of Rv (˜2 to 10), ɛirrB , calculated by geometrical considerations, was substantially lower than the measured value of the tensile strain ɛirrT which was required to irreversibly degrade the critical current. The influence of bending strains on Jc can qualitatively be described by considering residual prestrains in the matrix and the core.
NASA Astrophysics Data System (ADS)
Sekiguchi, Atsuko; Koike, Junichi
2008-01-01
Mechanical processes of the nanoscratch test are investigated using a finite element analysis of Cu/Ta/SiO2/Si multilayer films. The calculated stress distribution at the moment of delamination suggests that delamination occurs in a small region of approximately 100 nm. The driving force for delamination is the stress concentration due to strain-incompatibility at the Cu/Ta interface resulting from the large plastic deformation in Cu. The degree of stress concentration is found to depend on internal variables, such as plastic deformation, residual stress, and the elastic modulus, and on the magnitude of lateral force.
NASA Astrophysics Data System (ADS)
Luo, Xing; Wu, Yanhui; Han, Mangui; Deng, Longjiang
2018-04-01
Fe-Cu-Nb-Si-B flakes with multiphase nanostructures have been obtained by annealing the amorphous ribbon and subsequently ball milled for 30 h. The crystal structures have been examined by X-ray diffraction pattern and Mössbauer spectrum. The results show that the particles annealed at 900 °C are made up of amorphous ferromagnetic phase, α-Fe3Si ferromagnetic phase and Fe2B phase, and the average hyperfine magnetic field (HBhf) of particles is 24.02 T. Meanwhile, the relationships between the structure and the high frequency permeability have been studied. Compared with particles annealed at 600 °C, particles annealed at 900 °C exhibit higher saturation magnetization, which is evidenced by the larger HBhf. Also, three magnetic loss peaks in a permeability spectrum have been observed for the particles annealed at 900 °C. The natural resonance frequencies are calculated, which are in good agreement with the experimental resonance peaks. The origin of the multiple magnetic loss peaks can be explained from the perspective of the distribution of shape anisotropy fields which is caused by multiple phase structure.
Phase relations in Ti-Al-Nb alloys at 1200 degrees C
DOE Office of Scientific and Technical Information (OSTI.GOV)
Suryanarayana, C.; Lee, D.S.
1992-03-15
This paper reports that titanium aluminides based on both Ti{sub 3}Al ({alpha}{sub 2}) and TiAl ({gamma}) have received considerable attention during the past few years as potential candidates for high temperature structural applications in the aerospace industry. This has been due to the attractive combination of properties such as low density, high specific strength, elevated temperature strength and modulus retention, excellent creep resistance and high resistance to oxidation. A serious handicap in using these alloys has been their vary poor ductility. Refinement of grain size, addition of ternary and quaternary alloying elements (e.g., Nb, Mn and Ta) and microstructural refinementsmore » through either innovative heat treatments or by production of nanometer-sized grains through mechanical alloying or magnetron sputtering methods have been explored to increase the ductility. Amongst these approaches, addition of alloying elements, especially of niobium, has proven extremely beneficial. Thus, there have been several investigations in recent years on the constitution, microstructure and properties of ternary Ti-Al-Nb alloys.« less
Structural Transformation in Fe73.5Nb3Cu1Si15.5B7 Amorphous Alloy Induced by Laser Heating
NASA Astrophysics Data System (ADS)
Nykyruy, Yu. S.; Mudry, S. I.; Kulyk, Yu. O.; Zhovneruk, S. V.
2018-03-01
The effect of continuous laser irradiation (λ = 1.06 μm) with laser power of 45 W on the structure of Fe73.5Nb3Cu1Si15.5B7 amorphous alloy has been studied using X-ray diffraction and SEM methods. The sample of the ribbon has been placed at a distance from the focal plane of the lens, so a laser beam has been defocused and the diameter of laser spot on the ribbon surface has been about 10 mm. An exposure time τ varied within interval 0.25-0.70 s. Under such conditions structural transformation processes, which depend on the exposure time, have occurred in an irradiated zone. Crystallization process has started at τ = 0.35 s with the formation of α-Fe(Si) nanocrystalline phase, while complete crystallization has occurred at τ = 0.55 s with formation of two nanocrystalline phases: α-Fe(Si) and a hexagonal H-phase.
Magnetic ageing study of high and medium permeability nanocrystalline FeSiCuNbB alloys
NASA Astrophysics Data System (ADS)
Lekdim, Atef; Morel, Laurent; Raulet, Marie-Ange
2017-04-01
increasing the energy efficiency is one of the most important issues in modern power electronic systems. In aircraft applications, the energy efficiency must be associated with a maximum reduction of mass and volume, so a high components compactness. A consequence from this compactness is the increase of operating temperature. Thus, the magnetic materials used in these applications, have to work at high temperature. It raises the question of the thermal ageing problem. The reliability of these components operating at this condition becomes a real problem which deserves serious interest. Our work takes part in this context by studying the magnetic material thermal ageing. The nanocrystalline materials are getting more and more used in power electronic applications. Main advantages of nanocrystalline materials compared to ferrite are: high saturation flux density of almost 1.25 T and low dynamic losses for low and medium frequencies. The nanocrystalline Fe73.5Cu1Nb3Si15.5B7 alloys have been chosen in our aging study. This study is based on monitoring the magnetic characteristics for several continuous thermal ageing (100, 150, 200 and 240 °C). An important experimental work of magnetic characterization is being done following a specific monitoring protocol. Elsewhere, X-Ray Diffraction and magnetostriction measurements were carried out to support the study of the anisotropy energies evolution with ageing. This latter is discussed in this paper to explain and give hypothesis about the ageing phenomena.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Wang, Xuping, E-mail: wangxp@sdas.org; Liu, Bing; Yang, Yuguo
2014-08-04
An abnormal laser deflection phenomenon in a copper-doped KTa{sub 1−x}Nb{sub x}O{sub 3} (Cu:KTN) crystal is demonstrated in this Letter. A near-50 mrad beam deflection angle was observed when a voltage of 1.2 kV was applied to a Cu:KTN block with size of 2.8 mm × 1.2 mm × 7.5 mm at room temperature. The special features of this deflection phenomenon are that the laser beam deflection direction is perpendicular to the electric field direction, and the beam deflection angle remains unchanged when the electric field direction is reversed. The operating principle of the phenomenon is investigated and the origin of the deflection phenomenon is attributed to an interactionmore » between the graded refractivity effect and the quadratic electro-optic effect of the crystal.« less
Sasikumar, Kiran; Narayanan, Badri; Cherukara, Mathew; ...
2017-03-19
Heterostructures of tantalum and its oxide are of tremendous technological interest for a myriad of technological applications, including electronics, thermal management, catalysis and biochemistry. In particular, local oxygen stoichiometry variation in TaO x memristors comprising of thermodynamically stable metallic (Ta) and insulating oxide (Ta 2O 5) have been shown to result in fast switching on the subnanosecond timescale over a billion cycles. This rapid switching opens up the potential for advanced functional platforms such as stateful logic operations and neuromorphic computation. Despite its broad importance, an atomistic scale understanding of oxygen stoichiometry variation across Ta/TaO x heterointerfaces, such as duringmore » early stages of oxidation and oxide growth, is not well understood. This is mainly due to the lack of a unified interatomic potential model for tantalum oxides that can accurately describe metallic (Ta), ionic (TaO x) as well as mixed (Ta/TaO x interfaces) bonding environments simultaneously. To address this challenge, we introduce a Charge Transfer Ionic Potential (CTIP) model for Ta/Ta-oxide system by training against lattice parameters, cohesive energies, equations of state (EOS), elastic properties, and surface energies of the various experimentally observed Ta 2O 5 polymorphs (hexagonal, orthorhombic and monoclinic) obtained from density functional theory (DFT) calculations. The best CTIP parameters are determined by employing a global optimization scheme driven by genetic algorithms followed by local Simplex optimization. Our newly developed CTIP potential accurately predicts structure, thermodynamics, energetic ordering of polymorphs, as well as elastic and surface properties of both Ta and Ta 2O 5, in excellent agreement with DFT calculations and experiments. We employ our newly parameterized CTIP potential to investigate the early stages of oxidation and atomic scale mechanisms associated with oxide growth on Ta surface
Thermodynamics of superconducting Nb3Al, Nb3Ge, Nb3Sn, and V3Ga
NASA Astrophysics Data System (ADS)
Mitrović, B.; Schachinger, E.; Carbotte, J. P.
1984-06-01
We have calculated the superconducting thermodynamic properties for several high-transition-temperature A15 compounds: Nb-Al, Nb-Ge, Nb-Sn, and V-Ga. In our calculations we have used the tunneling electron-phonon-coupling spectra α2F for all four systems considered, and in the case of Nb-Al and Nb-Ge we have also used α2F=CG, where G is the measured generalized phonon density of states and C is a constant. We find that all Nb-based A15 compounds display similar thermodynamic properties, which do not depend explicitly on the band density of states: 2Δ0κBTc≅4.6, ΔCγTc≅2.5-2.6,-Tc[dHc(T)dT]TcHc(0)≅2.1, γ[TcHc(0)]2≅0.134, and positive D(t)'s with the maximum value around 0.02. For Nb3Sn we find good agreement between the calculated properties and the old specific-heat experimental results (γ≅52 mJ/mol K2). The same applies to V3Ga, where the theoretical results have been compared with the experiments of Junod et al. However, we do not find good agreement between calculated ΔCγTc, - Tc[dHc(T)dT]TcHc(0), γ[TcHc(0)]2, and experimental values for Nb3Al and Nb3Ge, presumably due to broadened transitions. It is argued that the tunneling experiments underestimate the value of the gap which should be associated with the inverted α2F.
Direct evidence on Ta-Metal Phases Igniting Resistive Switching in TaOx Thin Film
Kyu Yang, Min; Ju, Hyunsu; Hwan Kim, Gun; Lee, Jeon-Kook; Ryu, Han-Cheol
2015-01-01
A Ta/TaOx/Pt stacked capacitor-like device for resistive switching was fabricated and examined. The tested device demonstrated stable resistive switching characteristics including uniform distribution of resistive switching operational parameters, highly promising endurance, and retention properties. To reveal the resistive switching mechanism of the device, micro structure analysis using high-resolution transmission electron microscope (HR-TEM) was performed. From the observation results, two different phases of Ta-metal clusters of cubic α-Ta and tetragonal β-Ta were founded in the amorphous TaOx mother-matrix after the device was switched from high resistance state (HRS) to low resistance state (LRS) by externally applied voltage bias. The observed Ta metal clusters unveiled the origin of the electric conduction paths in the TaOx thin film at the LRS. PMID:26365532
Direct evidence on Ta-Metal Phases Igniting Resistive Switching in TaOx Thin Film
NASA Astrophysics Data System (ADS)
Kyu Yang, Min; Ju, Hyunsu; Hwan Kim, Gun; Lee, Jeon-Kook; Ryu, Han-Cheol
2015-09-01
A Ta/TaOx/Pt stacked capacitor-like device for resistive switching was fabricated and examined. The tested device demonstrated stable resistive switching characteristics including uniform distribution of resistive switching operational parameters, highly promising endurance, and retention properties. To reveal the resistive switching mechanism of the device, micro structure analysis using high-resolution transmission electron microscope (HR-TEM) was performed. From the observation results, two different phases of Ta-metal clusters of cubic α-Ta and tetragonal β-Ta were founded in the amorphous TaOx mother-matrix after the device was switched from high resistance state (HRS) to low resistance state (LRS) by externally applied voltage bias. The observed Ta metal clusters unveiled the origin of the electric conduction paths in the TaOx thin film at the LRS.
Huang, C H; Lai, J J; Wei, T Y; Chen, Y H; Wang, X; Kuan, S Y; Huang, J C
2015-01-01
The effects of the nanocrystalline phases on the bio-corrosion behavior of highly bio-friendly Ti42Zr40Si15Ta3 metallic glasses in simulated body fluid were investigated, and the findings are compared with our previous observations from the Zr53Cu30Ni9Al8 metallic glasses. The Ti42Zr40Si15Ta3 metallic glasses were annealed at temperatures above the glass transition temperature, Tg, with different time periods to result in different degrees of α-Ti nano-phases in the amorphous matrix. The nanocrystallized Ti42Zr40Si15Ta3 metallic glasses containing corrosion resistant α-Ti phases exhibited more promising bio-corrosion resistance, due to the superior pitting resistance. This is distinctly different from the previous case of the Zr53Cu30Ni9Al8 metallic glasses with the reactive Zr2Cu phases inducing serious galvanic corrosion and lower bio-corrosion resistance. Thus, whether the fully amorphous or partially crystallized metallic glass would exhibit better bio-corrosion resistance, the answer would depend on the crystallized phase nature. Copyright © 2015 Elsevier B.V. All rights reserved.
Low-cost Fe--Ni--Cr alloys for high temperature valve applications
Muralidharan, Govindarajan
2017-03-28
An Fe--Ni--Cr alloy is composed essentially of, in terms of weight percent: 1 to 3.5 Al, up to 2 Co, 15 to 19.5 Cr, up to 2 Cu, 23 to 40 Fe, up to 0.3 Hf, up to 4 Mn, 0.15 to 2 Mo, up to 0.15 Si, up to 1.05 Ta, 2.8 to 4.3 Ti, up to 0.5 W, up to 0.06 Zr, 0.02 to 0.15 C, 0.0001 to 0.007 N, balance Ni, wherein, in terms of atomic percent: 6.5.ltoreq.Al+Ti+Zr+Hf+Ta.ltoreq.10, 0.33.ltoreq.Al/(Al+Ti+Zr+Hf+Ta).ltoreq.0.065, 4.ltoreq.(Fe+Cr)/(Al+Ti+Zr+Hf+Ta).ltoreq.10, the alloy being essentially free of Nb and V.
NASA Astrophysics Data System (ADS)
Takeuchi, T.; Tsuchiya, K.; Saeda, M.; Banno, N.; Kikuchi, A.; Iijima, Y.
2010-12-01
To enhance the non-Cu critical current density Jc at 15 T and 4.2 K (1000 A mm - 2 at present) we have endeavoured to refine the grain size of rapid heating, quenching and transformation (RHQT)-processed Nb3Al. In the present study, the grain boundary structures of RHQT-processed Nb3Al were examined by electron backscatter diffraction (EBSD) because transgranular fracture prevents the observation of fractured cross sections of Nb3Al to statistically determine the grain size. The grain size distributions of body-centred-cubic supersaturated-solid-solution Nb(Al)ss and A15 Nb3Al filaments were measured for grains misoriented by more than 2°, 5° and 15°. A mixed grain structure, which consists of a few large grains (>25 µm) and many small grains (<1 µm), was observed for an Nb3Al filament that had been transformed from non-deformed Nb(Al)ss. Plastic deformation that had been made between the rapid heating and quenching steps and the transformation step apparently homogenized the grain size distribution and then reduced the average grain size. The misorientation angle distributions of Nb(Al)ss and Nb3Al were also measured and compared with each other. A clear relationship between the Jc and the inverse grain size was not confirmed for the RHQT Nb3Al conductors examined in the present study, which indicates the importance of making a filament compositionally homogeneous to obtain a high Jc.
NASA Astrophysics Data System (ADS)
Abazari, M.; Akdoǧan, E. K.; Safari, A.
2008-05-01
Single phase, epitaxial, ⟨001⟩ oriented, undoped and 1mol% Mn-doped (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films of 400nm thickness were synthesized on SrRuO3 coated SrTiO3. Such films exhibit well saturated hysteresis loops and have a spontaneous polarization (Ps) of 10μC /cm2, which is a 150% higher over the Ps of the undoped composition. The coercive field of 1mol% Mn doped films is 13kV/cm. Mn-doping results in three orders of magnitude decrease in leakage current above 50kV/cm electric field, which we attribute to the suppression of intrinsic p-type conductivity of undoped films by Mn donors.
Synthesis, processing and properties of TaC-TaB2-C Ceramics
2010-01-01
powder used. • A very important conclusion from the present study is that the grain size of nominally pure TaC ceramics is a strong function of carbon...ceramics at temperatures as low as 1500 ◦C. The grain size of nominally pure TaC ceramics was a strong function of carbon stoichiometry. Enhanced grain...evaluate the properties of ceramics in the TaC–TaB2–C system. Published by Elsevier Ltd. Keywords: A . Sintering; B. Microstructure; D. Carbides; D. Carbon
NASA Technical Reports Server (NTRS)
Jurewicz, Stephen R.; Jones, John H.
1993-01-01
El Goresy et al.'s observation of Nb, Zr, and Ta in refractory platinum metal nuggets (RPMN's) from Ca-Al-rich inclusions (CAI's) in the Allende meteorite led them to propose that these lithophile elements alloyed in the metallic state with noble metals in the early solar nebula. However, Grossman pointed out that the thermodynamic stability of Zr in the oxide phase is vastly greater than metallic Zr at estimated solar nebula conditions. Jones and Burnett suggested this discrepancy may be explained by the very non-ideal behavior of some lithophile transition elements in noble metal solutions and/or intermetallic compounds. Subsequently, Fegley and Kornacki used thermodynamic data taken from the literature to predict the stability of several of these intermetallic compounds at estimated solar nebula conditions. Palme and Schmitt and Treiman et al. conducted experiments to quantify the partitioning behavior of certain lithophile elements between silicate liquid and Pt-metal. Although their results were somewhat variable, they did suggest that Zr partition coefficients were too small to explain the observed 'percent' levels in some RPMN's. Palme and Schmitt also observed large partition coefficients for Nb and Ta. No intermetallic phases were identified. Following the work of Treiman et al., Jurewicz and Jones performed experiments to examine Zr, Nb, and Ti partitioning near solar nebula conditions. Their results showed that Zr, Nb, and Ti all have an affinity for the platinum metal, with Nb and Ti having a very strong preference for the metal. The intermetallic phases (Zr,Fe)Pt3, (Nb,Fe)Pt3, and (Ti,Fe)Pt3 were identified. Curiously, although both experiments and calculations indicate that Ti should partition strongly into Pt-metal (possibly as TiPt3), no Ti has ever been observed in any RPMN's. Fegley and Kornacki also noticed this discrepancy and hypothesized that the Ti was stabilized in perovskite which is a common phase in Allende CAI's.
Study of the effects of MeV Ag, Cu, Au, and Sn implantation on the optical properties of LiNbO3
NASA Technical Reports Server (NTRS)
Williams, E. K.; Ila, D.; Sarkisov, S.; Curley, M.; Poker, D. B.; Hensley, D. K.; Borel, C.
1998-01-01
The authors present the results of characterization of linear absorption and nonlinear refractive index of Au, Ag, Cu and Sn ion implantation into LiNbO3. Ag was implanted at 1.5 MeV to fluences of 2 to 17 x 17(exp 16)/sq cm at room temperature. Au and Cu were implanted to fluences of 5 to 20 x 10(exp 16)/sq cm at an energy of 2.0 MeV. Sn was implanted to a fluence of 1.6 x 10(exp 17)/sq cm at 160 kV. Optical absorption spectrometry indicated an absorption peak for the Au implanted samples after heat treatment at 1,000 C at approx. 620 nm. The Ag implanted samples absorption peaks shifted from approx. 450 nm before heat treatment to 550 nm after 500 C for 1h. Heat treatment at 800 C returned the Ag implanted crystals to a clear state. Cu nanocluster absorption peaks disappears at 500 C. No Sn clusters were observed by optical absorption or XRD. The size of the Ag and Au clusters as a function of heat treatment were determined from the absorption peaks. The Ag clusters did not change appreciably in size with heat treatment. The Au clusters increased from 3 to 9 nm diameter upon heat treatment at 1000 C. TEM analysis performed on a Au implanted crystal indicated the formation of Au nanocrystals with facets normal to the c-axis. Measurements of the nonlinear refractive indices were carried out using the Z-scan method with a tunable dye laser pumped by a frequency doubled mode-locked Nd:YAG laser. The dye laser had a 4.5 ps pulse duration time and 76 MHz pulse repetition rate (575 nm).
Coulomb excitation with radioactive nuclear beam of 64Cu
NASA Astrophysics Data System (ADS)
Guo, Gang; Xu, Jincheng; Chen, Quan; He, Ming; Qin, Jiuchang; Shen, Dongjun; Wu, Shaoyong; Jiang, Yongliang; Cheng, Yehao
2003-09-01
The radioactive nuclear beam of 64Cu was obtained utilizing a two-stage method at the HI-13 tandem accelerator of China Institute of Atomic Energy. The B(E2) value of the first excitation state of 64Cu has been directly measured for the first time by Coulomb excitation method, using the radioactive nuclear beam of 64Cu. An upper limit of the B(E2;2 1+→1 gs+) value from the first excitation state to the ground state of 64Cu is determined to be 49 W.u., which is significantly smaller than 250±170 W.u., the value adopted by Nuclear Data Sheets. The reliability of the experimental method was verified by simultaneously performing the Coulomb excitation experiment of 181Ta.
Federal Register 2010, 2011, 2012, 2013, 2014
2010-07-26
... DEPARTMENT OF LABOR Employment and Training Administration TA-W-73,381, MT Rail Link, Inc., Missoula, MT; TA-W-73,381A, Billings, MT; TA-W-73,381B, Laurel, MT; TA-W-73,381C, Livingston, MT; TA-W-73... Helena, Montana. The amended notice applicable to TA-W-73,381 is hereby issued as follows: All workers of...
On twin density and resistivity of nanometric Cu thin films
DOE Office of Scientific and Technical Information (OSTI.GOV)
Barmak, Katayun; Liu, Xuan; Darbal, Amith
2016-08-14
Crystal orientation mapping in the transmission electron microscope was used to quantify the twin boundary length fraction per unit area for five Ta{sub 38}Si{sub 14}N{sub 48}/SiO{sub 2} encapsulated Cu films with thicknesses in the range of 26–111 nm. The length fraction was found to be higher for a given twin-excluded grain size for these films compared with previously investigated SiO{sub 2} and Ta/SiO{sub 2} encapsulated films. The quantification of the twin length fraction per unit area allowed the contribution of the twin boundaries to the size effect resistivity to be assessed. It is shown that the increased resistivity of the Ta{submore » 38}Si{sub 14}N{sub 48} encapsulated Cu films compared with the SiO{sub 2} and Ta/SiO{sub 2} encapsulated films is not a result of increased surface scattering, but it is a result of the increase in the density of twin boundaries. With twin boundaries included in the determination of grain size as a mean-intercept length, the resistivity data are well described by 2-parameter Matthiessen's rule summation of the Fuchs-Sondheimer and Mayadas Shatzkes models, with p and R parameters that are within experimental error equal to those in prior reports and are p = 0.48(+0.33/−0.31) and R = 0.27 ± 0.03.« less
Wacewicz, Marta; Socha, Katarzyna; Soroczyńska, Jolanta; Niczyporuk, Marek; Aleksiejczuk, Piotr; Ostrowska, Jolanta; Borawska, Maria H
2018-03-01
Vitiligo is a chronic, depigmenting skin disorder, whose pathogenesis is still unknown. Narrow band ultraviolet-B (NB-UVB) is now one of the most widely used treatment of vitiligo. It was suggested that trace elements may play a role in pathogenesis of vitiligo. The aim of this study was to estimate the concentration of selenium (Se), zinc (Zn), copper (Cu) and Cu/Zn ratio as well as total antioxidant status (TAS) in the serum of patients with vitiligo. We assessed 50 patients with vitiligo and 58 healthy controls. Serum levels of Se, Zn and Cu were determined by the atomic absorption spectrometry method, and the Cu/Zn ratio was also calculated. TAS in serum was measured spectrophotometrically. Serum concentration of Se in patients with vitiligo before and after phototherapy was significantly lower as compared to the control group. Zn level in the serum of patients decreased significantly after phototherapy. We observed higher Cu/Zn ratio (p < .05) in examined patients than in the control group and after NB-UVB. We have found decrease in TAS in the serum of vitiligo patients after NB-UVB. The current study showed some disturbances in the serum levels of trace elements and total antioxidant status in vitiligo patients.
Alkali oxide-tantalum oxide and alkali oxide-niobium oxide ionic conductors
NASA Technical Reports Server (NTRS)
Roth, R. S.; Parker, H. S.; Brower, W. S.; Minor, D.
1974-01-01
A search was made for new cationic conducting phases in alkali-tantalate and niobate systems. The phase equilibrium diagrams were constructed for the six binary systems Nb2O5-LiNbO3, Nb2O5-NaNbO3, Nb2O5-KNbO3, Ta2O5-NaTaO3, Ta2O5-LiTaO3, and Ta2O5-KTaO3. Various other binary and ternary systems were also examined. Pellets of nineteen phases were evaluated (by the sponsoring agency) by dielectric loss measurements. Attempts were made to grow large crystals of eight different phases. The system Ta2O5-KTaO3 contains at least three phases which showed peaks in dielectric loss vs. temperature. All three contain structures related to the tungsten bronzes with alkali ions in non-stoichiometric crystallographic positions.
High-Performance Ink-Synthesized Cu-Gate Thin-Film Transistor with Diffusion Barrier Formation
NASA Astrophysics Data System (ADS)
Woo, Whang Je; Nam, Taewook; Oh, Il-Kwon; Maeng, Wanjoo; Kim, Hyungjun
2018-02-01
The improved electrical properties of Cu-gate thin-film transistors (TFTs) using an ink-synthesizing process were studied; this technology enables a low-cost and large area process for the display industry. We investigated the film properties and the effects of the ink-synthesized Cu layer in detail with respect to device characteristics. The mobility and reliability of the devices were significantly improved by applying a diffusion barrier at the interface between the Cu gate and the gate insulator. By using a TaN diffusion barrier layer, considerably improved and stabilized ink-Cu gated TFTs could be realized, comparable to sputtered-Cu gated TFTs under positive bias temperature stress measurements.
High-Performance Ink-Synthesized Cu-Gate Thin-Film Transistor with Diffusion Barrier Formation
NASA Astrophysics Data System (ADS)
Woo, Whang Je; Nam, Taewook; Oh, Il-Kwon; Maeng, Wanjoo; Kim, Hyungjun
2018-05-01
The improved electrical properties of Cu-gate thin-film transistors (TFTs) using an ink-synthesizing process were studied; this technology enables a low-cost and large area process for the display industry. We investigated the film properties and the effects of the ink-synthesized Cu layer in detail with respect to device characteristics. The mobility and reliability of the devices were significantly improved by applying a diffusion barrier at the interface between the Cu gate and the gate insulator. By using a TaN diffusion barrier layer, considerably improved and stabilized ink-Cu gated TFTs could be realized, comparable to sputtered-Cu gated TFTs under positive bias temperature stress measurements.
NASA Astrophysics Data System (ADS)
Matsumoto, S.; Kiyoshi, T.; Otsuka, A.; Hamada, M.; Maeda, H.; Yanagisawa, Y.; Nakagome, H.; Suematsu, H.
2012-02-01
High-temperature superconducting (HTS) magnets are believed to be a practical option in the development of high field nuclear magnetic resonance (NMR) systems. The development of a 600 MHz NMR system that uses an HTS magnet and a probe with an HTS radio frequency coil is underway. The HTS NMR magnet is expected to reduce the volume occupied by the magnet and to encourage users to install higher field NMR systems. The tolerance to high tensile stress is expected for HTS conductors in order to reduce the magnet in volume. A layer-wound Gd-Ba-Cu-O (GdBCO) insert coil was fabricated in order to investigate its properties under a high electromagnetic force in a high magnetic field. The GdBCO insert coil was successfully operated at a current of up to 321 A and an electromagnetic force BJR of 408 MPa in an external magnetic field generated by Nb3Sn and Nb-Ti low-temperature superconducting coils. The GdBCO insert coil also managed to generate a magnetic field of 6.8 T at the center of the coil in an external magnetic field of 17.2 T. The superconducting magnet consisting of GdBCO, Nb3Sn and Nb-Ti coils successfully generated a magnetic field of 24.0 T at 4.2 K, which represents a new record for a superconducting magnet.
Durable pd-based alloy and hydrogen generation membrane thereof
Benn, Raymond C.; Opalka, Susanne M.; Vanderspurt, Thomas Henry
2010-02-02
A durable Pd-based alloy is used for a H.sub.2-selective membrane in a hydrogen generator, as in the fuel processor of a fuel cell plant. The Pd-based alloy includes Cu as a binary element, and further includes "X", where "X" comprises at least one metal from group "M" that is BCC and acts to stabilize the .beta. BCC phase for stability during operating temperatures. The metal from group "M" is selected from the group consisting of Fe, Cr, Nb, Ta, V, Mo, and W, with Nb and Ta being most preferred. "X" may further comprise at least one metal from a group "N" that is non-BCC, preferably FCC, that enhances other properties of the membrane, such as ductility. The metal from group "N" is selected from the group consisting of Ag, Au, Re, Ru, Rh, Y, Ce, Ni, Ir, Pt, Co, La and In. The at. % of Pd in the binary Pd--Cu alloy ranges from about 35 at. % to about 55 at. %, and the at. % of "X" in the higher order alloy, based on said binary alloy, is in the range of about 1 at. % to about 15 at. %. The metals are selected according to a novel process.
Design of refractory high-entropy alloys
Gao, M. C.; Carney, C. S.; Dogan, O. N.; ...
2015-09-15
Here, this report presents a design methodology for refractory high-entropy alloys with a body-centered cubic (bcc) structure using select empirical parameters (i.e., enthalpy of mixing, atomic size difference, Ω-parameter, and electronegativity difference) and CALPHAD approach. Sixteen alloys in equimolar compositions ranging from quinary to ennead systems were designed with experimental verification studies performed on two alloys using x-ray diffraction, energy-dispersive spectroscopy, and scanning electron microscopy. Two bcc phases were identified in the as-cast HfMoNbTaTiVZr, whereas multiple phases formed in the as-cast HfMoNbTaTiVWZr. Observed elemental segregation in the alloys qualitatively agrees with CALPHAD prediction. Comparisons of the thermodynamic mixing properties formore » liquid and bcc phases using the Miedema model and CALPHAD are presented. This study demonstrates that CALPHAD is more effective in predicting HEA formation than empirical parameters, and new single bcc HEAs are suggested: HfMoNbTiZr, HfMoTaTiZr, NbTaTiVZr, HfMoNbTaTiZr, HfMoTaTiVZr, and MoNbTaTiVZr.« less
Effects of repeated bending load at room temperature for composite Nb3Sn wires
NASA Astrophysics Data System (ADS)
Awaji, Satoshi; Watanabe, Kazuo; Katagiri, Kazumune
2003-09-01
In order to realize a react and wind (R&W) method for Nb3Sn wires, the influences of a bending load at room temperature are investigated. Usually, the superconducting wires undergo bending loads at room temperature repeatedly during winding and insulation processes. We define these bending loads as 'pre-bending' treatments. We applied the pre-bending strain of 0 and 0.5% to the highly strengthened CuNb/(Nb, Ti)3Sn wires, and measured the stress/strain properties and critical currents. The improvements of stress dependence of normalized critical current and the increase of the maximum critical current by the pre-bending treatments were found. The model based on the distribution of the local tensile strain as a bending strain describes the experimental results well without the increase of the maximum critical current. When the pre-bending strain was applied, the calculated results indicate that the mechanical properties are improved due to the local work hardening, and hence the stress dependence of Ic increases.
Phase Evolution in and Creep Properties of Nb-Rich Nb-Si-Cr Eutectics
NASA Astrophysics Data System (ADS)
Gang, Florian; Kauffmann, Alexander; Heilmaier, Martin
2018-03-01
In this work, the Nb-rich ternary eutectic in the Nb-Si-Cr system has been experimentally determined to be Nb-10.9Si-28.4Cr (in at. pct). The eutectic is composed of three main phases: Nb solid solution (Nbss), β-Cr2Nb, and Nb9(Si,Cr)5. The ternary eutectic microstructure remains stable for several hundred hours at a temperature up to 1473 K (1200 °C). At 1573 K (1300 °C) and above, the silicide phase Nb9(Si,Cr)5 decomposes into α-Nb5Si3, Nbss, and β-Cr2Nb. Under creep conditions at 1473 K (1200 °C), the alloy deforms by dislocation creep while the major creep resistance is provided by the silicide matrix. If the silicide phase is fragmented and, thus, its matrix character is destroyed by prior heat treatment [ e.g., at 1773 K (1500 °C) for 100 hours], creep is mainly controlled by the Laves phase β-Cr2Nb, resulting in increased minimum strain rates. Compared to state of the art Ni-based superalloys, the creep resistance of this three-phase eutectic alloy is significantly higher.
NASA Astrophysics Data System (ADS)
Maleki, Farahnaz; Schlexer, Philomena; Pacchioni, Gianfranco
2018-02-01
Oxide-supported Cu nanoparticles and clusters catalyze a variety of important reactions, such as CO/CO2 hydrogenation to methanol. Recent studies demonstrate that also sub-nanometer clusters consisting of only a few atoms can actively catalyze chemical reactions. In this study, we investigate the interaction between Cu4 clusters and silica-surfaces, considering the de-hydroxylated and the fully hydroxylated α-quartz surfaces. We also considered various dopants such as Ti- and Nb-ions substitutional to Si, respectively, in order to see if an electronic change of the support has an effect on the reaction of the supported cluster. We find that hydroxyl groups can enhance the adsorption energy of the cluster, whereas the dopants have only little effects on the adsorption mode of the Cu cluster. On the fully hydroxylated surface, the cluster may react with the hydroxyl groups via reverse hydrogen spillover. Finally, we explore the reactivity of the silica-supported Cu4 cluster in terms of acetylene trimerization, for which extended Cu surfaces have shown catalytic activity. We find that this reaction should occur with activation barriers below 0.8 eV; Nb-doping of the support does not seem to produce any direct effect on the reactivity of the Cu tetramer.
NASA Astrophysics Data System (ADS)
Abazari, M.; Akdoǧan, E. K.; Safari, A.
2008-11-01
Oxygen partial pressure (PO_2) in pulsed laser deposition significantly influences the composition, microstructure, and electrical properties of epitaxial misfit strain-relieved 450nm ⟨001⟩ oriented epitaxial (K0.44,Na0.52,Li0.04)(Nb0.84,Ta0.10,Sb0.06)O3 thin films on SrRuO3 coated SrTiO3. Films deposited at 400mTorr exhibit high remnant and saturated polarization of 7.5 and 16.5μC /cm2, respectively, which is ˜100% increase over the ones grown at 100mTorr. The dielectric constant linearly increases from 220 to 450 with increasing PO2. The observed changes in surface morphology of the films and their properties are shown to be due to the suppression of volatile A-site cation loss.
2015-01-01
Mn and Cu or on refractory metals such as V, Cr, Ti, Mo, Nb , Ta, W, Zr and Hf.5,6 The compound forming elements Al and Ti are often added to both...additional properties include environmental resistance , processibil- ity, appearance (for architectural uses) and low cost. Workhardening and solid...be successful, and some work already reports on the corrosion resistance , oxidation behaviour and wear properties of structural HEAs.5 High entropy
NASA Astrophysics Data System (ADS)
Gassilloud, R.; Maunoury, C.; Leroux, C.; Piallat, F.; Saidi, B.; Martin, F.; Maitrejean, S.
2014-04-01
We studied Ta, TaN, and sub-stoichiometric TaNx electrodes (obtained by nitrogen redistribution in Ta/TaN or Ti/TaN bilayers) deposited on thermal SiO2 and HfO2/IL (0.8 nm SiO2 IL, i.e., interlayer) stacks. Effective work-functions (WF) were extracted on MOS capacitor structures on SiO2 bevelled insulator of 4.2 eV for pure Ta, 4.6 eV for TaN, and 4.3 eV for sub-stoichiometric TaNx. This intermediate WF value is explained by TaN nitrogen redistribution with reactive Ta or Ti elements shifting the gate work-function toward the Si conduction band. The same electrodes deposited on an HfO2/IL dielectric showed different behavior: First, the Ta/HfO2/IL stack shows a +200 meV WF increase (towards the Si valence band) compared to the SiO2 dielectric stack. This increase is explained by the well-known HfO2/IL dipole formation. Second, in contrast to electrodes deposited on SiO2, sub-stoichiometric TaNx/HfO2 is found to have a lower WF (4.3 eV), than pure Ta on HfO2 (4.4 eV). This inversion in work-function behavior measured on SiO2 vs. HfO2 is explained by the nitrogen redistribution in Ta/TaN bilayer together with diffusion of nitrogen through the HfO2 layer, leading to Si-N formation which prevents dipole formation at the HfO2/IL interface.
NASA Astrophysics Data System (ADS)
Valova-Zaharevskaya, E. G.; Popova, E. N.; Deryagina, I. L.; Abdyukhanov, I. M.; Tsapleva, A. S.
2018-03-01
The goal of the present study is to characterize the growth kinetics and structural parameters of the Nb3Sn layers formed under various regimes of the diffusion annealing of bronze-processed Nb/Cu-Sn composites. The structure of the superconducting layers is characterized by their thickness, average size of equiaxed grains and by the ratio of fractions of columnar and equiaxed grains. It was found that at higher diffusion annealing temperatures (above 650°C) thicker superconducting layers are obtained, but the average sizes of equiaxed Nb3Sn grains even under short exposures (10 h) are much larger than after the long low-temperature annealing. At the low-temperature (575 °C) annealing the relative fraction of columnar grains increases with increasing annealing time. Based on the data obtained, optimal regimes of the diffusion annealing can be chosen, which would on the one hand ensure complete transformation of Nb into Nb3Sn of close to the stoichiometric composition, and on the other hand prevent the formation of coarse and columnar grains.
Effect of cubic equiaxed grains and its Ti-stabilizing performance in Nb3Sn strands
NASA Astrophysics Data System (ADS)
Chaowu, Zhang; Lian, Zhou; Sulpice, Andre; Soubeyroux, Jean-Louis; Xiande, Tang; Verwaerde, Christophe; Hoang, Gia Ky
2009-06-01
Two kinds of multifilament internal-Sn Nb3Sn superconducting strands were fabricated through the RRP method, one with 2 wt% of Ti alloyed in an Sn core and the other just pure Sn. Four reaction temperatures of 650, 675, 700 and 725 °C and 128 h duration were applied for A15 phase formation heat treatment after a Cu-Sn alloying procedure of 210 °C/50 h+340 °C/25 h. Through the standard four-probe technique the heat-treated coil samples were examined for the transport non-Cu JC variation with applied field B which was then used to calculate the flux pinning force variation FP-B. The samples' phase microstructure were also observed by means of FESEM. The obtained results demonstrate that for fully reacted Nb3Sn superconductors the transport critical current density JC is more importantly affected by the cubic equiaxed morphology than by grain dimension, due to its much stronger flux pinning performance of the morphology. Ti addition in Sn stabilizes the cubic equiaxed grains at lower reaction temperature so that the HT temperature is effectively reduced, the flux pinning strength is largely reinforced and thus the transport non-Cu JC is substantially promoted. Funds: this research project was supported by the France-China Collaboration Research contract CNRS no. 722441 and the SUST doctoral foundation BJ07-07.
NASA Technical Reports Server (NTRS)
Bilodeau, Steven (Inventor); Baum, Thomas H. (Inventor); Roeder, Jeffrey F. (Inventor); Chen, Ing-Shin (Inventor)
2004-01-01
A modified PbZrTiO.sub.3 perovskite crystal material thin film, wherein the PbZrTiO.sub.3 perovskite crystal material includes crystal lattice A-sites and B-sites at least one of which is modified by the presence of a substituent selected from the group consisting of (i) A-site substituents consisting of Sr, Ca, Ba and Mg, and (ii) B-site substituents selected from the group consisting of Nb and Ta. The perovskite crystal thin film material may be formed by liquid delivery MOCVD from metalorganic precursors of the metal components of the thin film, to form PZT and PSZT, and other piezoelectric and ferroelectric thin film materials. The thin films of the invention have utility in non-volatile ferroelectric memory devices (NV-FeRAMs), and in microelectromechanical systems (MEMS) as sensor and/or actuator elements, e.g., high speed digital system actuators requiring low input power levels.
Production and properties of high strength Ni free Zr-based BMGs
NASA Astrophysics Data System (ADS)
Iqbal, M.; Wang, W. H.
2014-06-01
Bulk metallic glasses (BMGs) are well known for very attractive physical, mechanical and thermal properties. Zr-based BMGs are used as structural materials in sports goods, electronics, jewelry, medical and aerospace applications. Ni free Zr48Cu36Al8M8 (M = Nb, Ti and Ta) BMGs are successfully synthesized by Cu mold casting technique. Differential scanning calorimetery (DSC) results show that the Zr48Cu36Al8Nb8 BMG have good thermal stability, wide supercooled liquid region of 80 K and contain the double stage crystallization. The alloy has fracture strength of 1.953 GPa. Shear angle was measured to be in the range of 43.5±5° for the alloy studied. Vicker's hardness of the BMGs was found to be over 500 Hv for the as cast alloy which enhanced about 11 % more by annealing up to 600 °C/20 min. Intersected shear bands were observed. The observed promising mechanical and thermal properties showed that BMG studied can be used for industrial applications.
78 FR 28627 - TA-W-80,340; TA-W-80,340A; TA-W-80,340B
Federal Register 2010, 2011, 2012, 2013, 2014
2013-05-15
...] Bush Industries, Inc., Mason Drive Facility, Including On-Site Leased Workers From Morris Security...., Mason Drive Facility, Jamestown, New York (TA-W-80,340) and Bush Industries, Inc., Allen Street Facility... applicable to TA-W-80,340 is hereby issued as follows: All workers of Bush Industries, Inc., Mason Drive...
A New Understanding of the Heat Treatment of Nb-Sn Superconducting Wires
NASA Astrophysics Data System (ADS)
Sanabria, Charlie
Enhancing the beam energy of particle accelerators like the Large Hadron Collider (LHC), at CERN, can increase our probability of finding new fundamental particles of matter beyond those predicted by the standard model. Such discoveries could improve our understanding of the birth of universe, the universe itself, and/or many other mysteries of matter--that have been unresolved for decades--such as dark matter and dark energy. This is obviously a very exciting field of research, and therefore a worldwide collaboration (of universities, laboratories, and the industry) is attempting to increase the beam energy in the LHC. One of the most challenging requirements for an energy increase is the production of a magnetic field homogeneous enough and strong enough to bend the high energy particle beam to keep it inside the accelerating ring. In the current LHC design, these beam bending magnets are made of Nb Ti superconductors, reaching peak fields of 8 T. However, in order to move to higher fields, future magnets will have to use different and more advanced superconducting materials. Among the most viable superconductor wire technologies for future particle accelerator magnets is Nb3Sn, a technology that has been used in high field magnets for many decades. However, Nb3Sn magnet fabrication has an important challenge: the fact the wire fabrication and the coil assembly itself must be done using ductile metallic components (Nb, Sn, and Cu) before the superconducting compound (Nb3 Sn) is activated inside the wires through a heat treatment. The studies presented in this thesis work have found that the heat treatment schedule used on the most advanced Nb3Sn wire technology (the Restacked Rod Process wires, RRPRTM) can still undergo significant improvements. These improvements have already led to an increase of the figure of merit of these wires (critical current density) by 28%.
Chen, Dandan; Richardson, Terese; Chai, Shoucheng; Lynne McIntyre, C; Rae, Anne L; Xue, Gang-Ping
2016-10-01
A well-known physiological adaptation process of plants encountering drying soil is to achieve water balance by reducing shoot growth and maintaining or promoting root elongation, but little is known about the molecular basis of this process. This study investigated the role of a drought-up-regulated Triticum aestivum NAC69-1 (TaNAC69-1) in the modulation of root growth in wheat. TaNAC69-1 was predominantly expressed in wheat roots at the early vegetative stage. Overexpression of TaNAC69-1 in wheat roots using OsRSP3 (essentially root-specific) and OsPIP2;3 (root-predominant) promoters resulted in enhanced primary seminal root length and a marked increase in maturity root biomass. Competitive growth analysis under water-limited conditions showed that OsRSP3 promoter-driven TaNAC69-1 transgenic lines produced 32% and 35% more above-ground biomass and grains than wild-type plants, respectively. TaNAC69-1 overexpression in the roots down-regulated the expression of TaSHY2 and TaIAA7, which are from the auxin/IAA (Aux/IAA) transcriptional repressor gene family and are the homologs of negative root growth regulators SHY2/IAA3 and IAA7 in Arabidopsis. The expression of TaSHY2 and TaIAA7 in roots was down-regulated by drought stress and up-regulated by cytokinin treatment, which inhibited root growth. DNA binding and transient expression analyses revealed that TaNAC69-1 bound to the promoters of TaSHY2 and TaIAA7, acted as a transcriptional repressor and repressed the expression of reporter genes driven by the TaSHY2 or TaIAA7 promoter. These data suggest that TaNAC69-1 is a transcriptional repressor of TaSHY2 and TaIAA7 homologous to Arabidopsis negative root growth regulators and is likely to be involved in promoting root elongation in drying soil. © The Author 2016. Published by Oxford University Press on behalf of Japanese Society of Plant Physiologists. All rights reserved. For permissions, please email: journals.permissions@oup.com.
Impact of Nb vacancies and p-type doping of the NbCoSn-NbCoSb half-Heusler thermoelectrics.
Ferluccio, Daniella A; Smith, Ronald I; Buckman, Jim; Bos, Jan-Willem G
2018-02-07
The half-Heuslers NbCoSn and NbCoSb have promising thermoelectric properties. Here, an investigation of the NbCo 1+y Sn 1-z Sb z (y = 0, 0.05; 0 ≤ z ≤ 1) solid-solution is presented. In addition, the p-type doping of NbCoSn using Ti and Zr substitution is investigated. Rietveld analysis reveals the gradual creation of Nb vacancies to compensate for the n-type doping caused by the substitution of Sb in NbCoSn. This leads to a similar valence electron count (∼18.25) for the NbCo 1+y Sn 1-z Sb z samples (z > 0). Mass fluctuation disorder due to the Nb vacancies strongly decreases the lattice thermal conductivity from 10 W m -1 K -1 (z = 0) to 4.5 W m -1 K -1 (z = 0.5, 1). This is accompanied by a transition to degenerate semiconducting behaviour leading to large power factors, S 2 /ρ = 2.5-3 mW m -1 K -2 and figures of merit, ZT = 0.25-0.33 at 773 K. Ti and Zr can be used to achieve positive Seebeck values, e.g. S = +150 μV K -1 for 20% Zr at 773 K. However, the electrical resistivity, ρ 323K = 27-35 mΩ cm, remains too large for these materials to be considered useful p-type materials.
Nb-Based Nb-Al-Fe Alloys: Solidification Behavior and High-Temperature Phase Equilibria
NASA Astrophysics Data System (ADS)
Stein, Frank; Philips, Noah
2018-03-01
High-melting Nb-based alloys hold significant promise for the development of novel high-temperature materials for structural applications. In order to understand the effect of alloying elements Al and Fe, the Nb-rich part of the ternary Nb-Al-Fe system was investigated. A series of Nb-rich ternary alloys were synthesized from high-purity Nb, Al, and Fe metals by arc melting. Solidification paths were identified and the liquidus surface of the Nb corner of the ternary system was established by analysis of the as-melted microstructures and thermal analysis. Complementary analysis of heat-treated samples yielded isothermal sections at 1723 K and 1873 K (1450 °C and 1600 °C).
NASA Astrophysics Data System (ADS)
Nosenko, Anton; Mika, Taras; Semyrga, Olexandr; Nosenko, Viktor
2017-04-01
The influence of winding-induced mechanical stresses on the magnetic anisotropy and core loss in toroidal cores made of Fe73Cu1Nb3Si16B7 ribbon is studied. The ribbon for the cores was rapidly pre-heated under tensile stress up to 120 MPa. It was found that magnetic characteristics of the material (magnetic anisotropy energy and the core loss) can be controlled by varying the tensile stress during the preliminary rapid heating of the ribbon. It was shown that with reducing core diameter, the magnetic anisotropy energy and core loss significantly increase. However, relatively high winding-induced core loss in small cores can be significantly reduced by increasing tensile stresses applied to the ribbon during pre-heating.
Nosenko, Anton; Mika, Taras; Semyrga, Olexandr; Nosenko, Viktor
2017-12-01
The influence of winding-induced mechanical stresses on the magnetic anisotropy and core loss in toroidal cores made of Fe 73 Cu 1 Nb 3 Si 16 B 7 ribbon is studied. The ribbon for the cores was rapidly pre-heated under tensile stress up to 120 MPa. It was found that magnetic characteristics of the material (magnetic anisotropy energy and the core loss) can be controlled by varying the tensile stress during the preliminary rapid heating of the ribbon. It was shown that with reducing core diameter, the magnetic anisotropy energy and core loss significantly increase. However, relatively high winding-induced core loss in small cores can be significantly reduced by increasing tensile stresses applied to the ribbon during pre-heating.
NASA Astrophysics Data System (ADS)
Tsuji, Kosuke; Chen, Wei-Ting; Guo, Hanzheng; Lee, Wen-Hsi; Guillemet-Fritsch, Sophie; Randall, Clive A.
2017-02-01
The d.c. conduction is investigated in the two different types of internal barrier layer capacitors, namely, (Mn, Nb)-doped SrTiO3 (STO) and CaCu3Ti4O12 (CCTO). Scanning electron microscopy (SEM) and Capacitance - Voltage (C-V) analysis are performed to estimate the effective electric field at a grain boundary, EGB. Then, the d.c. conduction mechanism is discussed based on the J (Current density)-EGB characteristics. Three different conduction mechanisms are successively observed with the increase of EGB in both systems. In (Mn, Nb)-doped STO, non-linear J-EGB characteristics is temperature dependent at the intermediate EGB and becomes relatively insensitive to the temperature at the higher EGB. The J- EGB at each regime is explained by the Schottky emission (SE) followed by Fowler-Nordheim (F-N) tunneling. Based on the F-N tunneling, the breakdown voltage is then scaled by the function of the depletion layer thickness and Schottky barrier height at the average grain boundary. The proposed function shows a clear linear relationship with the breakdown. On the other hand, F-N tunneling was not observed in CCTO in our measurement. Ohmic, Poole-Frenkel (P-F), and SE are successively observed in CCTO. The transition point from P-F and SE depends on EGB and temperature. A charge-based deep level transient spectroscopy study reveals that 3 types of trap states exist in CCTO. The trap one with Et ˜ 0.65 eV below the conduction band is found to be responsible for the P-F conduction.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Karayigit, A.I.; Bulut, Y.; Karayigit, G.
A total of 48 samples, feed coals (FCs), fly ashes (FAs) and bottom ashes (BAs), which were systematically collected once a week over an eight-week period from boiler units, B1-4 with 660 MW and B5-6 with 330 MW capacity from Soma power plant, have been evaluated for major and trace elements (Al, Ca, Fe, K, Mg, Mn, Na, Ti, S, As, B, Ba, Be, Bi, Cd, Co, Cr, Cu, Cs, Ga, Ge, Hf, Hg, Li, Mo, Nb, Ni, P, Pb, Rb, Sb, Sc, Se, Sn, Sr, Ta, Th, Tl, U, V, Y, Zn, Zr, and REEs) to get information onmore » behavior during coal combustion. This study indicates that some elements such as Hg, Bi, Cd, As, Pb, Ge, Tl, Sn, Zn, Sb, B show enrichments in FAs relative to the BAs in both group boiler units. In addition to these, Cs, Lu, Tm, and Ga in Units B1-4 and S in Units B5-6 also have enrichments in FAs. Elements showing enrichments in BAs in both group boiler units are Ta, Mn, Nb. In addition to these, Se, Ca, Mg, Na, Fe in Units B1-4 and Cu in Units B5-6 also have enrichments in BAs. The remaining elements investigated in this study have no clear segregation between FAs and BAs. Mass balance calculations with the two methods show that some elements, S, Ta, Hg, Se, Zn, Na, Ca in Units B1-4, and Hg, S, Ta, Se, P in Units B5-6, have volatile behavior during coal combustion in the Soma power plant. This study also implies that some elements, Sb and Tb in Units B1-4 and Sb in Units B5-6, have relatively high retention effects in the combustion residues from the Soma power plant.« less
Pressure-induced ferroelectric to paraelectric transition in LiTaO 3 and (Li,Mg)TaO 3
Yamanaka, Takamitsu; Nakamoto, Yuki; Takei, Fumihiko; ...
2016-02-16
X-ray powder diffraction and Raman scattering of LiTaO 3 (LT) and (Li,Mg)TaO 3 (LMT) have been measured under pressure up to 46 GPa. Above 30 GPa, the ferroelectric rhombohedral phase (R3c, Z – 6) of LiTaO 3 transforms to a paraelectric orthorhombic phase (Pnma with Z – 4) with a large hysteresis. Rietveld profile fitting analysis shows that the Li-O bond is compressed and approaches that of Ta-O with pressure. The cation distribution analysis of the orthorhombic perovskite structure shows that Li and Ta are located in the octahedral 8-fold coordination sites. Difference Fourier |F obs(hkl)| - |F cal(hkl)| mapsmore » of LiTaO 3 and (Li,Mg)TaO 3 indicate polarization in the c axis direction and a more distinct electron density distribution around the Ta position for (Li,Mg)TaO 3 compared to LiTaO 3. The observed effective charges indicate that for (Li,Mg)TaO 3 without vacancies Ta 5+ becomes less ionized as a function of Mg substitution. Considering both site occupancy and effective charge analysis, Ta 5+ is reduced to Ta 4.13+. Mg 2+ and O 2- change to Mg 1.643+ and O 1.732 -, respectively. The space- and time-averaged structures of the dynamical vibration of atoms can be elucidated from the electron density analysis by difference Fourier and temperature factors T(hkl) in the structure refinement. The refinement of the temperature factor is consistent with the cation distribution assuming full stoichiometry. The residual electron density induced from the excess electron in (Li,Mg)TaO 3 indicates more electrons around the Ta site, as confirmed by the effective charge analysis. Raman spectra of LiTaO 3 and (Li,Mg)TaO 3 show notable changes over the measured pressure range. Raman peaks centered at 250 cm –1 and 350 cm –1 at ambient pressure merge above 8 GPa, which we associate with the diminishing of difference in distances between Li-O and Ta-O bonds with pressure in both materials. Finally, Raman spectra show significant changes at 28 GPa and 33 GPa for
NASA Astrophysics Data System (ADS)
Padiyar, Sumant Devdas
2003-09-01
Current and future performance requirements for high- speed integrated circuit (IC) devices have placed great emphasis on the introduction of novel materials, deposition techniques and improved metrology techniques. The introduction of copper interconnects and more currently low-k dielectric materials in IC fabrication are two such examples. This introduction necessitates research on the compatibility of these materials and process techniques with adjacent diffusion barrier materials. One candidate, which has attracted significant attention is tantalum-silicon-nitride (TaSiN) on account of its superior diffusion barrier performance and high recrystallization temperature1. The subject of this dissertation is an investigation of the integration compatibility and performance of TaSiN barrier layers with a low-k dielectric polymer (SiLK ®2). A plasma- enhanced chemical vapor deposition (PECVD) approach is taken for growth of TaSiN films in this work due to potential advantages in conformal film coverage compared to more conventional physical vapor deposition methods. A Design of Experiment (DOE) methodology was introduced for PECVD of TaSiN on SiLK to optimize film properties such as film composition, resistivity, growth rate and film roughness with respect to the predictors viz. substrate temperature, precursor gas flow and plasma power. The first pass study determined the response window for optimized TaSiN film composition, growth rate and low halide contamination and the compatibility of the process with an organic polymer substrate, i.e. SiLK. Second-pass studies were carried out to deposit ultra- thin (10nm) films on: (a)blanket SiLK to investigate the performance of TaSiN films against copper diffusion, and (b)patterned SiLK to evaluate step coverage and conformality. All TaSiN depositions were carried out on SiO2 substrates for baseline comparisons. A second purpose of the diffusion barrier in IC processing is to improve interfacial adhesion between the
NASA Astrophysics Data System (ADS)
Wang, Yunfeng; Chen, Huayong; Han, Jinsheng; Chen, Shoubo; Huang, Baoqiang; Li, Chen; Tian, Qinglei; Wang, Chao; Wu, Jianxin; Chen, Mingxia
2018-03-01
The Yuhai intrusions (quartz diorite, granite and pyroxene diorite) are located in the eastern part of the Dananhu-Tousuquan island arc belt of the Eastern Tianshan, and associated with the early Paleozoic porphyry Cu mineralization. LA-ICP-MS zircon U-Pb dating yielded emplacement ages of 443.5 ± 4.1 Ma for the quartz diorite, 325.4 ± 2.5 Ma for the granite, and 291 ± 3.0 Ma for the pyroxene diorite. These rocks are tholeiitic to calc-alkaline and metaluminous, with A/CNK values ranging from 0.66 to 1.10. The Silurian ore-bearing Yuhai quartz diorite is rich in LREEs and LILEs (e.g., K, Ba, Pb and Sr), and depleted in HREEs and HFSEs (e.g., Nb, Ta and Ti). These rocks are MgO-rich (1.90-3.80 wt.%; Mg# = 37-72), with high Sr/Y, La/Yb and Ba/Th ratios, positive εNd(t) (6.31-6.84) and εHf(t) (13.26-16.40), low (87Sr/86Sr)i (0.7037-0.7039), and low Nb/U and Ta/U ratios. The data suggest that the quartz diorite was generated by the partial melting of subducted juvenile oceanic slab. The oxygen fugacity (ƒO2) of the quartz diorite, calculated by zircon Ce4+/Ce3+ ratios, is higher than that of the granite and pyroxene diorite, implying that the quartz diorite was more favorable to porphyry Cu mineralization. The Carboniferous Yuhai granite reveals similar geochemical features with the quartz diorite, except for the lower Mg# (27-33), and the more elevated Th/U and Th/La ratios. Furthermore, these rocks also show high εNd(t) (5.2-5.8) and εHf(t) (11.03-14.85) values, and low (87Sr/86Sr)i (0.7036-0.7037). These features indicate that the parental magma of the granite was probably derived from a juvenile lower crust with no significant mantle component involvement. Different from the Yuhai quartz diorite and granite, the early Permian Yuhai pyroxene diorite contains low SiO2 (50.76-55.74 wt.%) and high MgO (3.96-4.33 wt.%; Mg# = 40-44). The εNd(t), εHf(t) and (87Sr/86Sr)i values of the pyroxene diorite are 5.77-6.42, 7.99-12.10 and 0.7035-0.7040, respectively. The
Development of 10 kA class Nb sub 3 Al superconducting cable by Jelly-role process
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ando, T.; Takahashi, Y.; Nishi, M.
This paper reports on a multifilamentary Cu/Nb{sub 3}Al composite strand developed, with a non-copper critical current density of more than 400 A/mm{sup 2} at 12 T, by Jelly-roll process. A 10 kA cable-in-conduit conductor was fabricated to demonstrate the applicability to fusion magnets. The cable had 324 strands, and a circular CuNi seamless pipe was used as the conduit. The strand diameter was 0.88 mm and the filament diameter was 28 {mu}m. The heat treatment was performed at 820{degrees} C for 2 hr.
NbN/MgO/NbN edge-geometry tunnel junctions
NASA Technical Reports Server (NTRS)
Hunt, B. D.; Leduc, H. G.; Cypher, S. R.; Stern, J. A.; Judas, A.
1989-01-01
The fabrication and low-frequency testing of the first edge-geometry NbN/MgO/NbN superconducting tunnel junctions are reported. The use of an edge geometry allows very small junction areas to be obtained, while the all-NbN electrodes permit operation at 8-10 K with a potential maximum operating frequency above 1 THz. Edge definition in the base NbN film was accomplished utilizing Ar ion milling with an Al2O3 milling mask, followed by a lower energy ion cleaning step. This process has produced all-refractory-material tunnel junctions with areas as small as 0.1 sq micron, resistance-area products less than 21 ohm sq micron, and subgap to normal state resistance ratios larger than 18.
Low-cost, high-strength Fe--Ni--Cr alloys for high temperature exhaust valve application
Muralidharan, Govindarajan
2017-09-05
An Fe--Ni--Cr alloy is composed essentially of, in terms of wt. %: 2.4 to 3.7 Al, up to 1.05 Co, 14.8 to 15.9 Cr, 25 to 36 Fe, up to 1.2 Hf, up to 4 Mn, up to 0.6 Mo, up to 2.2 Nb, up to 1.05 Ta, 1.9 to 3.6 Ti, up to 0.08 W, up to 0.03 Zr, 0.18 to 0.27 C, up to 0.0015 N, balance Ni, wherein, in terms of atomic percent: 8.5.ltoreq.Al+Ti+Zr+Hf+Ta.ltoreq.11.5, 0.53.ltoreq.Al/(Al+Ti+Zr+Hf+Ta).ltoreq.0.65, and 0.16.ltoreq.Cr/(Fe+Ni+Cr+Mn).ltoreq.0.21, the alloy being essentially free of Cu, Si, and V.
Hydrometallurgical Separation of Niobium and Tantalum: A Fundamental Approach
NASA Astrophysics Data System (ADS)
Nete, Motlalepula; Purcell, Walter; Nel, Johann T.
2016-02-01
A mixture of pure Ta2O5 and Nb2O5 was dissolved using two different fluxes, namely NH4F·HF and Na2HPO4/NaH2PO4·H2O. Selective precipitation and ion exchange were used as separation techniques. Selective precipitation using p-phenylediamine in a fluoride matrix resulted in the isolation of 73(3)% tantalum accompanied by 23(5)% niobium. A separation factor of 11(4) was obtained. A single solvent extraction step using methyl-isobutyl ketone at a 4 M H2SO4 yielded excellent Ta and Nb separation in the fluoride solution with 80% of the Ta and only 2% Nb recovered in the organic layer. A two-step extraction recovered 100% Ta at 0.5-4 M H2SO4 with a separation factor of ~2000. A study of the extraction mechanism indicated that the stability of the protonated compounds such as H2TaF7/H2NbOF5 is in the extraction and separation determining steps in this process. A K' (double de-protonated constant) of approximately 0.2 was calculated for H2TaF7. Only 91.7% Nb and 73.4% Ta were recovered from anion separation using strong Amberlite resin and 96.1% Nb and 52.3% using the weak Dowex Marathon resin from fluoride dissolution.
Electrodeposition of Al-Ta alloys in NaCl-KCl-AlCl3 molten salt containing TaCl5
NASA Astrophysics Data System (ADS)
Sato, Kazuki; Matsushima, Hisayoshi; Ueda, Mikito
2016-12-01
To form Al-Ta alloys for high temperature oxidation resistance components, molten salt electrolysis was carried out in an AlCl3-NaCl-KCl melt containing TaCl5 at 423 K. The voltammogram showed two cathodic waves at 0.45 V and 0.7 V vs. Al/Al(III), which may correspond to reduction from Ta(V) to Ta(III) and from Ta(III) to tantalum metal, respectively. Electrodeposits of Al and Ta were obtained in the range from -0.05 to 0.3 V and the highest concentration of Ta in the electrodeposit was 72 at% at 0.3 V. With increasing Ta content in the alloy, the morphology of the electrodeposits became powdery and the particle size smaller.
NASA Astrophysics Data System (ADS)
Lu, Wei; Huang, Ping; Wang, Yuxin; Yan, Biao
2013-07-01
In this paper, Nb element was partially replaced by V element in Finemet-type Fe73Cu1Nb3.5-xVxSi13.5B9 (x = 1, 1.5, 2) alloys and the effect of annealing temperatures on the microstructure and AC magnetic properties of the samples are studied. The annealing temperatures affect the grain sizes of the bcc α-Fe phase greatly. When the annealing temperature is between 540-560°C, the samples have better AC magnetic properties than the samples annealed at other temperatures. The optimized annealing temperature of the studied samples is around 560°C. The coercivity and iron loss of the V2 sample is a little bit higher than that of V1 and V1.5 alloys while the amplitude permeability of V2 alloy is larger than that of V1 and V1.5, which indicate that the content of V element has strong influence on the magnetic properties of nanocrystalline soft magnetic alloys.
The genesis of Mo-Cu deposits and mafic igneous rocks in the Senj area, Alborz magmatic belt, Iran
NASA Astrophysics Data System (ADS)
Nabatian, Ghasem; Li, Xian-Hua; Wan, Bo; Honarmand, Maryam
2017-11-01
The geochemical and isotopic investigations were provided on the Upper Eocene Senj mafic intrusion and Mo-Cu mineralization to better understand the tectono-magmatic evolution and metallogeny of the central part of the Alborz magmatic belt. The Senj mafic intrusion is composed of gabbro to monzodiorite and monzonite in lithology, and intruded as a sill into volcano-sedimentary rocks of the Eocene Karaj Formation. The Karaj Formation consists of volcano-sedimentary rocks, such as altered crystalline to shaly tuffs. The Senj intrusion (39.7 ± 0.4 Ma) shows LILE and LREE enrichment and negative anomaly of Nb, Ta and Ti, the geochemical signatures similar to those from subduction-related mafic magmas. The Hf-O zircon analyses yield ɛHf(t) values of + 4.1 to + 11.1 and δ18O values of + 4.8 to + 6.2‰. The zircon isotopic signatures together with shoshonitic affinity in the Senj mafic samples suggest partial melting of an enriched lithospheric mantle that had already been metasomatized by slab-derived melts and fluids. The Mo-Cu mineralization mainly occurs as veins and veinlets in the volcano-sedimentary rocks of the Karaj Formation and is dominated by molybdenite with minor amounts of chalcopyrite, bornite, pyrite and tetrahedrite-tennantite. The associated gangue minerals are tremolite, actinolite, quartz, calcite, chlorite and epidote. The Senj Mo-Cu deposit formed in volcano-sedimentary rocks following the emplacement of the Late Eocene Senj sill. The source of molybdenite in the Senj deposit is dominantly from crustal materials as it is revealed by Re contents in the molybdenite minerals (0.5 to 0.7 ppm). In fact, the molybdenite occurrence may be a remobilization process related to the emplacement of the Senj mafic magma.
NASA Astrophysics Data System (ADS)
Bisconti, Francesca; JEM-EUSO Collaboration
2016-07-01
EUSO-TA is one of the prototypes developed for the JEM-EUSO project, a space-based large field-of-view telescope to observe the fluorescence light emitted by cosmic ray air showers in the atmosphere. EUSO-TA is a ground-based prototype located at the Telescope Array (TA) site in Utah, USA, where an Electron Light Source and a Central Laser Facility are installed. The purpose of the EUSO-TA project is to calibrate the prototype with the TA fluorescence detector in presence of well-known light sources and cosmic ray air showers. In 2015, the detector started the first measurements and tests using the mentioned light sources have been performed successfully. A first cosmic ray candidate has been observed, as well as stars of different magnitude and color index. Since Silicon Photo-Multipliers (SiPMs) are very promising for fluorescence telescopes of next generation, they are under consideration for the realization of a new prototype of EUSO Photo Detector Module (PDM). The response of this sensor type is under investigation through simulations and laboratory experimentation.
Undercooling studies on Nb-Pt and Nb-Si alloys using the 105 meter drop tube
NASA Technical Reports Server (NTRS)
Robinson, M. B.; Bayuzick, R. J.; Hofmeister, W. H.
1988-01-01
Niobium-platinum samples of compositions ranging from 16 to 32 at. pct have been undercooled to as much as 540 K in the low gravity, containerless environment of a 105 meter drop tube. Undercooling was terminated in the Nb-Pt samples by the nucleation and growth of the Nb3Pt phase. In the 16-18 at. pct Pt samples, this resulted in samples which are completely Nb3Pt, in contrast to both the equilibrium phase diagram and the nonundercooled samples which formed with Nb dendrites and interdendritic Nb3Pt. Undercoolings for the Nb-Si samples were up to 670 K, which corresponds to 27 percent of the liquidus temperature or 80 percent of the estimated hypercooling limit. In the Nb-Si system, a coupled zone was identified as well as a metastable extension of the solubility limit of Si in Nb due to deep undercooling.
NASA Astrophysics Data System (ADS)
Li, Jianing; Liu, Kegao; Yuan, Xingdong; Shan, Feihu; Zhang, Bolun; Wang, Zhe; Xu, Wenzhuo; Zhang, Zheng; An, Xiangchen
2017-10-01
The nanoscale quasicrystals (NQs), amorphous and ultrafine nanocrystals (UNs) modified hard composites are produced by laser cladding (LC) of the Ni60A-TiC-NbC-Sb mixed powders on the additive manufacturing (AM) TA1 titanium alloy. The LC technique is favorable to formations of icosahedral quasicrystals (I-phase) with five-fold symmetry due to its rapid cooling and solidification characteristics. The formation mechanism of this I-phase is explained here. Under the actions of NQs, amorphous and UNs, such LC composites exhibited an extremely high micro-hardness. UNs may also intertwin with amorphous, forming yarn-shape materials. This research provides essential theoretical basis to improve the quality of laser-treated composites.
Formation and characterization of Ta2O5/TaOx films formed by O ion implantation
NASA Astrophysics Data System (ADS)
Ruffell, S.; Kurunczi, P.; England, J.; Erokhin, Y.; Hautala, J.; Elliman, R. G.
2013-07-01
Ta2O5/TaOx (oxide/suboxide) heterostructures are fabricated by high fluence O ion-implantation into deposited Ta films. The resultant films are characterized by depth profiling X-ray photoelectron spectroscopy (XPS), cross-sectional transmission electron microscopy (XTEM), four-point probe, and current-voltage and capacitance-voltage measurements. The measurements show that Ta2O5/TaOx oxide/suboxide heterostructures can be fabricated with the relative thicknesses of the layers controlled by implantation energy and fluence. Electrical measurements show that this approach has promise for high volume manufacturing of resistive switching memory devices based on oxide/suboxide heterostructures.
Atomic and electronic basis for the serrations of refractory high-entropy alloys
NASA Astrophysics Data System (ADS)
Wang, William Yi; Shang, Shun Li; Wang, Yi; Han, Fengbo; Darling, Kristopher A.; Wu, Yidong; Xie, Xie; Senkov, Oleg N.; Li, Jinshan; Hui, Xi Dong; Dahmen, Karin A.; Liaw, Peter K.; Kecskes, Laszlo J.; Liu, Zi-Kui
2017-06-01
Refractory high-entropy alloys present attractive mechanical properties, i.e., high yield strength and fracture toughness, making them potential candidates for structural applications. Understandings of atomic and electronic interactions are important to reveal the origins for the formation of high-entropy alloys and their structure-dominated mechanical properties, thus enabling the development of a predictive approach for rapidly designing advanced materials. Here, we report the atomic and electronic basis for the valence-electron-concentration-categorized principles and the observed serration behavior in high-entropy alloys and high-entropy metallic glass, including MoNbTaW, MoNbVW, MoTaVW, HfNbTiZr, and Vitreloy-1 MG (Zr41Ti14Cu12.5Ni10Be22.5). We find that the yield strengths of high-entropy alloys and high-entropy metallic glass are a power-law function of the electron-work function, which is dominated by local atomic arrangements. Further, a reliance on the bonding-charge density provides a groundbreaking insight into the nature of loosely bonded spots in materials. The presence of strongly bonded clusters and weakly bonded glue atoms imply a serrated deformation of high-entropy alloys, resulting in intermittent avalanches of defects movement.
NASA Astrophysics Data System (ADS)
Yin, Shuo; Ma, Changqian; Xu, Jiannan
2017-12-01
A general consensus has emerged that high field strength elements (HFSE) can mobile to some extent in a hydrothermal fluid. However, there are hot debates on whether sub-solidus hydrothermal alteration can lower the Nb/Ta ratio in evolved melts. In this study, we present petrography, geochronology and geochemistry of the barren and mineralized rocks in the Yemaquan skarn iron deposit, northern Qinghai-Tibet Plateau, to probe magmatic-hydrothermal transition. The barren rocks consist of diorites, granodiorites, granites and syenogranites, whereas the porphyritic granodiorites are associated with mineralization for an excellent consistency between the magmatic zircon U-Pb age (225 ± 2 Ma) and the hydrothermal phlogopite 40Ar-39Ar age (225 ± 1.5 Ma). The Sr-Nd-Hf-Pb isotopic data demonstrate that the Yemaquan granitoids are originated from a relatively homogenous enriched mantle with different degrees of crust contamination (assimilation fractional crystallization, AFC). Trace elements signatures indicate that the porphyritic granodiorites related to mineralization display amphibole crystallization for high water contents, whereas the barren granites have gone through biotite crystallization due to potassium enrichment by continuous upper crust contamination, both of which are responsible for their Nb/Ta ratios, respectively. Modeling results suggest that a basaltic melt with Nb/Ta ratio of 15.3 can reach a minimum Nb/Ta ratio of 12 in the producing granodioritic melt by amphibole fractional crystallization based on partition coefficients of Nb and Ta between amphibole and melts from previous experiments. This may explain the average Nb/Ta ratio (13.7) of the barren granodiorites, while it cannot account for the average Nb/Ta ratio (8.4) of the mineralized porphyritic granodiorites, and it is even lower than that of the granites (10.3) with biotite fractional crystallization. Exsolution of a magmatic-hydrothermal fluid is inevitable when a water saturated magma
DOE Office of Scientific and Technical Information (OSTI.GOV)
Xue, Renzhong; Department of Technology and Physics, Zhengzhou University of Light Industry, Zhengzhou 450002; Zhao, Gaoyang, E-mail: zhaogy@xaut.edu.cn
Graphical abstract: The dielectric constant decreases with Ta doping, increases with Y doping and keeps almost constant with Zr doping compared with that of pure CCTO. - Highlights: • Y and Ta doping cause different defect types and concentration. • Defect influences the grain boundary mobility and results in different grain size. • Y doping increases the dielectric constant and decreases the nonlinear property. • Ta doping decreases the dielectric constant and enhances the nonlinear property. • Zr doped sample has nearly the defect type and dielectric properties as CaCu{sub 3}Ti{sub 4}O{sub 12}. - Abstract: The microstructure, dielectric and electricalmore » properties of CaCu{sub 3}Ti{sub 4−x}R{sub x}O{sub 12} (R = Y, Zr, Ta; x = 0 and 0.005) ceramics were investigated by XRD, Raman spectra, SEM and dielectric spectrum measurements. Positron annihilation measurements have been performed to investigate the influence of doping on the defects. The results show that all samples form a single crystalline phase. Y and Ta doping cause different defect types and increase the defect size and concentration, which influence the mobility of grain boundary and result in the different grain size. Y doping increases the dielectric constant and decreases the nonlinear property while Ta doping lead to an inverse result. Zr-doped sample has nearly the defect type, grain morphology and dielectric properties as pure CaCu{sub 3}Ti{sub 4}O{sub 12}. The effects of microstructure including the grain morphology and the vacancy defects on the mechanism of the dielectric and electric properties by doping are discussed.« less
High Mn austenitic stainless steel
Yamamoto, Yukinori [Oak Ridge, TN; Santella, Michael L [Knoxville, TN; Brady, Michael P [Oak Ridge, TN; Maziasz, Philip J [Oak Ridge, TN; Liu, Chain-tsuan [Knoxville, TN
2010-07-13
An austenitic stainless steel alloy includes, in weight percent: >4 to 15 Mn; 8 to 15 Ni; 14 to 16 Cr; 2.4 to 3 Al; 0.4 to 1 total of at least one of Nb and Ta; 0.05 to 0.2 C; 0.01 to 0.02 B; no more than 0.3 of combined Ti+V; up to 3 Mo; up to 3 Co; up to 1W; up to 3 Cu; up to 1 Si; up to 0.05 P; up to 1 total of at least one of Y, La, Ce, Hf, and Zr; less than 0.05 N; and base Fe, wherein the weight percent Fe is greater than the weight percent Ni, and wherein the alloy forms an external continuous scale including alumina, nanometer scale sized particles distributed throughout the microstructure, the particles including at least one of NbC and TaC, and a stable essentially single phase FCC austenitic matrix microstructure that is essentially delta-ferrite-free and essentially BCC-phase-free.
Test results of a Nb 3Al/Nb 3Sn subscale magnet for accelerator application
Iio, Masami; Xu, Qingjin; Nakamoto, Tatsushi; ...
2015-01-28
The High Energy Accelerator Research Organization (KEK) has been developing a Nb 3Al and Nb 3Sn subscale magnet to establish the technology for a high-field accelerator magnet. The development goals are a feasibility demonstration for a Nb 3Al cable and the technology acquisition of magnet fabrication with Nb 3Al superconductors. KEK developed two double-pancake racetrack coils with Rutherford-type cables composed of 28 Nb 3Al wires processed by rapid heating, quenching, and transformation in collaboration with the National Institute for Materials Science and the Fermi National Accelerator Laboratory. The magnet was fabricated to efficiently generate a high magnetic field in amore » minimum-gap common-coil configuration with two Nb 3Al coils sandwiched between two Nb 3Sn coils produced by the Lawrence Berkeley National Laboratory. A shell-based structure and a “bladder and key” technique have been used for adjusting coil prestress during both the magnet assembly and the cool down. In the first excitation test of the magnet at 4.5 K performed in June 2014, the highest quench current of the Nb 3Sn coil, i.e., 9667 A, was reached at 40 A/s corresponding to 9.0 T in the Nb 3Sn coil and 8.2 T in the Nb 3Al coil. The quench characteristics of the magnet were studied.« less
NASA Technical Reports Server (NTRS)
Bilodeau, Steven (Inventor); Baum, Thomas H. (Inventor); Roeder, Jeffrey F. (Inventor); Chen, Ing-Shin (Inventor)
2001-01-01
A modified PbZrTiO.sub.3 perovskite crystal material thin film, wherein the PbZrTiO.sub.3 perovskite crystal material includes crystal lattice A-sites and B-sites at least one of which is modified by the presence of a substituent selected from the group consisting of (i) A-site substituents consisting of Sr, Ca, Ba and Mg, and (ii) B-site substituents selected from the group consisting of Nb and Ta. The perovskite crystal thin film material may be formed by liquid delivery MOCVD from metalorganic precursors of the metal components of the thin film, to form PZT and PSZT, and other piezoelectric and ferroelectric thin film materials. The thin films of the invention have utility in non-volatile ferroelectric memory devices (NV-FeRAMs), and in microelectromechanical systems (MEMS) as sensor and/or actuator elements, e.g., high speed digital system actuators requiring low input power levels.
Pei, Lang; Wang, Hongxu; Wang, Xiaohui; Xu, Zhe; Yan, Shicheng; Zou, Zhigang
2018-06-20
Enhancing the charge separation by a semiconductor heterojunction is greatly promising and challenging for photoelectrochemical (PEC) water splitting. Here, we report for the first time the design and fabrication of a TaON/Ta3N5 heterojunction photoanode, in which the electrode Ta3N5 is the primary light absorber and TaON acts as an electron conductor. By combining the merits of the substantial light harvesting of Ta3N5 with the excellent charge transport capability of TaON, the TaON/Ta3N5 heterojunction photoanode, without any co-catalysts, shows a 350 mV negative shift of photocurrent onset potential to 0.65 V versus the reversible hydrogen electrode (RHE) compared to that of the Ta3N5 photoanode. The design and fabrication scheme can be readily extended to other (oxy)nitride semiconductors for heterojunction construction.
Okazaki, Yoshimitsu
2012-01-01
Zr, Nb, and Ta as alloying elements for Ti alloys are important for attaining superior corrosion resistance and biocompatibility in the long term. However, note that the addition of excess Nb and Ta to Ti alloys leads to higher manufacturing cost. To develop low-cost manufacturing processes, the effects of hot-forging and continuous-hot-rolling conditions on the microstructure, mechanical properties, hot forgeability, and fatigue strength of Ti-15Zr-4Nb-4Ta alloy were investigated. The temperature dependences with a temperature difference (ΔT) from β-transus temperature (Tβ) for the volume fraction of the α- and β-phases were almost the same for both Ti-15Zr-4Nb-4Ta and Ti-6Al-4V alloys. In the α-β-forged Ti-15Zr-4Nb-4Ta alloy, a fine granular α-phase structure containing a fine granular β-phase at grain boundaries of an equiaxed α-phase was observed. The Ti-15Zr-4Nb-4Ta alloy billet forged at Tβ-(30 to 50) °C exhibited high strength and excellent ductility. The effects of forging ratio on mechanical strength and ductility were small at a forging ratio of more than 3. The maximum strength (σmax) markedly increased with decreasing testing temperature below Tβ. The reduction in area (R.A.) value slowly decreased with decreasing testing temperature below Tβ. The temperature dependences of σmax for the Ti-15Zr-4Nb-4Ta and Ti-6Al-4V alloys show the same tendency and might be caused by the temperature difference (ΔT) from Tβ. It was clarified that Ti-15Zr-4Nb-4Ta alloy could be manufactured using the same manufacturing process as for previously approved Ti-6Al-4V alloy, taking into account the difference (ΔT) between Tβ and heat treatment temperature. Also, the manufacturing equivalency of Ti-15Zr-4Nb-4Ta alloy to obtain marketing approval of implants was established. Thus, it was concluded that continuous hot rolling is useful for manufacturing α-β-type Ti alloy.
What determines the interfacial configuration of Nb/Al2O3 and Nb/MgO interface
Du, J. L.; Fang, Y.; Fu, E. G.; Ding, X.; Yu, K. Y.; Wang, Y. G.; Wang, Y. Q.; Baldwin, J. K.; Wang, P. P.; Bai, Q.
2016-01-01
Nb films are deposited on single crystal Al2O3 (110) and MgO(111) substrates by e-beam evaporation technique. Structure of Nb films and orientation relationships (ORs) of Nb/Al2O3 and Nb/MgO interface are studied and compared by the combination of experiments and simulations. The experiments show that the Nb films obtain strong (110) texture, and the Nb film on Al2O3(110) substrate shows a higher crystalline quality than that on MgO(111) substrate. First principle calculations show that both the lattice mismatch and the strength of interface bonding play major roles in determining the crystalline perfection of Nb films and ORs between Nb films and single crystal ceramic substrates. The fundamental mechanisms for forming the interfacial configuration in terms of the lattice mismatch and the strength of interface bonding are discussed. PMID:27698458
Computational modeling of high-entropy alloys: Structures, thermodynamics and elasticity
DOE Office of Scientific and Technical Information (OSTI.GOV)
Gao, Michael C.; Gao, Pan; Hawk, Jeffrey A.
This study provides a short review on computational modeling on the formation, thermodynamics, and elasticity of single-phase high-entropy alloys (HEAs). Hundreds of predicted single-phase HEAs were re-examined using various empirical thermo-physical parameters. Potential BCC HEAs (CrMoNbTaTiVW, CrMoNbReTaTiVW, and CrFeMoNbReRuTaVW) were suggested based on CALPHAD modeling. The calculated vibrational entropies of mixing are positive for FCC CoCrFeNi, negative for BCC MoNbTaW, and near-zero for HCP CoOsReRu. The total entropies of mixing were observed to trend in descending order: CoCrFeNi > CoOsReRu > MoNbTaW. Calculated lattice parameters agree extremely well with averaged values estimated from the rule of mixtures (ROM) if themore » same crystal structure is used for the elements and the alloy. The deviation in the calculated elastic properties from ROM for select alloys is small but is susceptible to the choice used for the structures of pure components.« less
Computational modeling of high-entropy alloys: Structures, thermodynamics and elasticity
Gao, Michael C.; Gao, Pan; Hawk, Jeffrey A.; ...
2017-10-12
This study provides a short review on computational modeling on the formation, thermodynamics, and elasticity of single-phase high-entropy alloys (HEAs). Hundreds of predicted single-phase HEAs were re-examined using various empirical thermo-physical parameters. Potential BCC HEAs (CrMoNbTaTiVW, CrMoNbReTaTiVW, and CrFeMoNbReRuTaVW) were suggested based on CALPHAD modeling. The calculated vibrational entropies of mixing are positive for FCC CoCrFeNi, negative for BCC MoNbTaW, and near-zero for HCP CoOsReRu. The total entropies of mixing were observed to trend in descending order: CoCrFeNi > CoOsReRu > MoNbTaW. Calculated lattice parameters agree extremely well with averaged values estimated from the rule of mixtures (ROM) if themore » same crystal structure is used for the elements and the alloy. The deviation in the calculated elastic properties from ROM for select alloys is small but is susceptible to the choice used for the structures of pure components.« less
Motowidlo, Leszek R.; Lee, P. J.; Tarantini, C.; ...
2017-11-28
We report on the development of multifilamentary Nb 3Sn superconductors by a versatile powder-in-tube technique (PIT) that demonstrates a simple pathway to a strand with a higher density of flux-pinning sites that has the potential to increase critical current density beyond present levels. The approach uses internal oxidation of Zr-alloyed Nb tubes to produce Zr oxide particles within the Nb 3Sn layer that act as a dispersion of artificial pinning centres (APCs). In this design, SnO 2 powder is mixed with Cu 5Sn 4 powder within the PIT core that supplies the Sn for the A15 reaction with Nb1Zr filamentmore » tubes. Initial results show an average grain size of ~38 nm in the A15 layer, compared to the 90–130 nm of typical APC-free high-J c strands made by conventional PIT or Internal Sn processing. Furthermore, there is a shift in the peak of the pinning force curve from H/H irr of ~0.2 to ~0.3 and the pinning force curves can be deconvoluted into grain boundary and point-pinning components, the point-pinning contribution dominating for the APC Nb-1wt%Zr strands.« less
NASA Astrophysics Data System (ADS)
Motowidlo, L. R.; Lee, P. J.; Tarantini, C.; Balachandran, S.; Ghosh, A. K.; Larbalestier, D. C.
2018-01-01
We report on the development of multifilamentary Nb3Sn superconductors by a versatile powder-in-tube technique (PIT) that demonstrates a simple pathway to a strand with a higher density of flux-pinning sites that has the potential to increase critical current density beyond present levels. The approach uses internal oxidation of Zr-alloyed Nb tubes to produce Zr oxide particles within the Nb3Sn layer that act as a dispersion of artificial pinning centres (APCs). In this design, SnO2 powder is mixed with Cu5Sn4 powder within the PIT core that supplies the Sn for the A15 reaction with Nb1Zr filament tubes. Initial results show an average grain size of ˜38 nm in the A15 layer, compared to the 90-130 nm of typical APC-free high-J c strands made by conventional PIT or Internal Sn processing. There is a shift in the peak of the pinning force curve from H/H irr of ˜0.2 to ˜0.3 and the pinning force curves can be deconvoluted into grain boundary and point-pinning components, the point-pinning contribution dominating for the APC Nb-1wt%Zr strands.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Motowidlo, Leszek R.; Lee, P. J.; Tarantini, C.
We report on the development of multifilamentary Nb 3Sn superconductors by a versatile powder-in-tube technique (PIT) that demonstrates a simple pathway to a strand with a higher density of flux-pinning sites that has the potential to increase critical current density beyond present levels. The approach uses internal oxidation of Zr-alloyed Nb tubes to produce Zr oxide particles within the Nb 3Sn layer that act as a dispersion of artificial pinning centres (APCs). In this design, SnO 2 powder is mixed with Cu 5Sn 4 powder within the PIT core that supplies the Sn for the A15 reaction with Nb1Zr filamentmore » tubes. Initial results show an average grain size of ~38 nm in the A15 layer, compared to the 90–130 nm of typical APC-free high-J c strands made by conventional PIT or Internal Sn processing. Furthermore, there is a shift in the peak of the pinning force curve from H/H irr of ~0.2 to ~0.3 and the pinning force curves can be deconvoluted into grain boundary and point-pinning components, the point-pinning contribution dominating for the APC Nb-1wt%Zr strands.« less
Evidence for a π-junction in Nb/F/Nb' trilayers from superfluid density measurements
NASA Astrophysics Data System (ADS)
Lemberger, Thomas; Hinton, Michael; Steers, Stanley; Peters, Bryan; Yang, Fengyuan
Two-coil measurements of the sheet superfluid density of Nb/NiV/Nb' trilayers reveal the transition temperatures and volume superfluid densities of both Nb layers, as functions of the thickness, dF, of the intervening ferromagnetic (F) Ni0.96V0.04 layer. The upper transition occurs when the thicker Nb layer goes superconducting and superfluid first appears. Fitting the high-temperature superfluid density to an appropriate functional form reveals the presence of a lower ``transition'' where additional superfluid appears. This event is really a crossover, but the difference is irrelevant here. There is a surprising minimum in superfluid densities of both Nb layers at dF ~ 30 Å, followed by a slow rise. This behavior suggests that a π phase difference between the Nb layers develops at dF ~ 30 Å and continues to larger F thickness. Supported in part by NSF Grant DMR-0805227.
Ray, Siba P.; Liu, Xinghua; Weirauch, Douglas A.
2002-01-01
A cermet inert anode for the electrolytic production of metals such as aluminum is disclosed. The inert anode comprises a ceramic phase including an oxide of Ni, Fe and M, where M is at least one metal selected from Zn, Co, Al, Li, Cu, Ti, V, Cr, Zr, Nb, Ta, W, Mo, Hf and rare earths, preferably Zn and/or Co. Preferred ceramic compositions comprise Fe.sub.2 O.sub.3, NiO and ZnO or CoO. The cermet inert anode also comprises a metal phase such as Cu, Ag, Pd, Pt, Au, Rh, Ru, Ir and/or Os. A preferred metal phase comprises Cu and Ag. The cermet inert anodes may be used in electrolytic reduction cells for the production of commercial purity aluminum as well as other metals.
Silicide formation process of Er films with Ta and TaN capping layers.
Choi, Juyun; Choi, Seongheum; Kim, Jungwoo; Na, Sekwon; Lee, Hoo-Jeong; Lee, Seok-Hee; Kim, Hyoungsub
2013-12-11
The phase development and defect formation during the silicidation reaction of sputter-deposited Er films on Si with ∼20-nm-thick Ta and TaN capping layers were examined. TaN capping effectively prevented the oxygen incorporation from the annealing atmosphere, which resulted in complete conversion to the ErSi2-x phase. However, significant oxygen penetration through the Ta capping layer inhibited the ErSi2-x formation, and incurred the growth of several Er-Si-O phases, even consuming the ErSi2-x layer formed earlier. Both samples produced a number of small recessed defects at an early silicidation stage. However, large rectangular or square-shaped surface defects, which were either pitlike or pyramidal depending on the capping layer identity, were developed as the annealing temperature increased. The origin of different defect generation mechanisms was suggested based on the capping layer-dependent silicidation kinetics.
Hynowska, A; Blanquer, A; Pellicer, E; Fornell, J; Suriñach, S; Baró, M D; Gebert, A; Calin, M; Eckert, J; Nogués, C; Ibáñez, E; Barrios, L; Sort, J
2015-11-01
The microstructure, mechanical behaviour, and biocompatibility (cell culture, morphology, and cell adhesion) of nanostructured Ti45 Zr15 Pd35- x Si5 Nbx with x = 0, 5 (at. %) alloys, synthesized by arc melting and subsequent Cu mould suction casting, in the form of rods with 3 mm in diameter, are investigated. Both Ti-Zr-Pd-Si-(Nb) materials show a multi-phase (composite-like) microstructure. The main phase is cubic β-Ti phase (Im3m) but hexagonal α-Ti (P63/mmc), cubic TiPd (Pm3m), cubic PdZr (Fm3m), and hexagonal (Ti, Zr)5 Si3 (P63/mmc) phases are also present. Nanoindentation experiments show that the Ti45 Zr15 Pd30 Si5 Nb5 sample exhibits lower Young's modulus than Ti45 Zr15 Pd35 Si5 . Conversely, Ti45 Zr15 Pd35 Si5 is mechanically harder. Actually, both alloys exhibit larger values of hardness when compared with commercial Ti-40Nb, (HTi-Zr-Pd-Si ≈ 14 GPa, HTi-Zr-Pd-Si-Nb ≈ 10 GPa and HTi-40Nb ≈ 2.7 GPa). Concerning the biological behaviour, preliminary results of cell viability performed on several Ti-Zr-Pd-Si-(Nb) discs indicate that the number of live cells is superior to 94% in both cases. The studied Ti-Zr-Pd-Si-(Nb) bulk metallic system is thus interesting for biomedical applications because of the outstanding mechanical properties (relatively low Young's modulus combined with large hardness), together with the excellent biocompatibility. © 2014 Wiley Periodicals, Inc.
Nonequilibrium synthesis of NbAl3 and Nb-Al-V alloys by laser cladding. II - Oxidation behavior
NASA Technical Reports Server (NTRS)
Haasch, R. T.; Tewari, S. K.; Sircar, S.; Loxton, C. M.; Mazumder, J.
1992-01-01
Isothermal oxidation behaviors of NbAl3 alloy synthesized by laser cladding were investigated at temperatures between 800 and 1400 C, and the effect of vanadium microalloying on the oxidation of the laser-clad alloy was examined. The oxidation kinetics of the two alloys were monitored using thermal gravimetric weight gain data, and the bulk and surface chemistries were analyzed using XRD and XPS, respectively. It was found that NbAl3 did not form an exclusive layer of protective Al2O3. The oxidation products at 800 C were found to be a mixture of Nb2O5 and Al2O3. At 1200 C, a mixture of NbAlO4, Nb2O5, and Al2O3 formed; and at 1400 C, a mixture of NbAlO4, Al2O3, NbO2, NbO(2.432), and Nb2O5 formed. The addition of V led to a dramatic increase of the oxidation rate, which may be related to the formation of (Nb, V)2O5 and VO2, which grows in preference to protective Al2O3.
NASA Astrophysics Data System (ADS)
Kesraoui, M.; Marignac, C.; Hamis, A.; Cuney, M.
2012-04-01
In the c. 525 Ma RMG province of the Laouni terrane of the Pan-African Tuareg Shield (Hoggar), the small N20°E elliptic Rechla cupola (200x100 m) is particularized by a rim of Qtz-Kfs-Znw pegmatite. It is a medium-grained Na-Li-F granite, with quartz, albite (An01), rare microcline, topaz, Mn-lepidolite (≤ 8% MnO) and Hf-zircon, and: 71.4 % SiO2, 0.93% FeO+MgO+MnO (Mg # 0.19, Mg/Mg+Fe+Mn 0.09), 9.22% Na2O+K2O (Na # 0.7), Al-Na-K-2Ca from 55 to 85, and low P2O5 (0.05%) and ∑ REE (23 ppm) contents, with a pronounced tetrad effect and <0 Eu anomaly in the REE pattern. Such a composition is typical of a low-P peraluminous RMG deriving from highly potassic calcalkaline suites (A2 type) (Linnen & Cuney 2005), enriched in F (1.6%), Li (1,600 ppm), Zn (300 ppm), Be (7 ppm), Sn (740 ppm), W (40 ppm) and specially Ta (165 ppm, Ta/Nb between 2.4 and 2.6), the latter as columbo-tantalite and Mn-wodginite (Ta # 0.8). The pegmatite rim comprises, towards the intrusion (i) thick Kfs lenses (palissadic crystals ≥ 50 cm), (ii) a laminated quartz-zinnwaldite-(beryl) sequence , and (iii) a discontinuous band of fine-grained granite, with quartz, albite, topaz, Mn-lepidolite and beryl, equally fractionated: 69.4% SiO2, 0.85% FeO+MgO+MnO (Mg# 0.06, Mg/Mg+Fe+Mn 0.02), Al-Na-K-2Ca = 32, F 0.4%, Li 610 ppm, Ta 240 ppm (Ta/Nb = 2.4), Be 500 ppm. The laminated sequence overprints the Kfs lenses. It comprises thick (≤ 20 m) quartz lenses cross-cut by 10 cm-sized alternating bands of euhedral quartz and Mn-zinnwaldite (≤ 6.5% MnO). REE-patterns of the Mn-Znw display a clear inverse tetrad effect, symmetrical of the granite pattern. At the boundary with the fine-grained internal band, euhedral quartz crystals are projecting toward the inner wall. The Rechla body and its surrounding pegmatites are intrusive into a porphyritic biotite-granite representative of the evolved magmas of the A2-type Taourirt suite (Azzouni-Sekkal & Boissonnas 1993), with a classical "seagull" pattern and a
Su, Shih-Hao; Su, Ming-Der
2016-06-28
The mechanisms for the photochemical Si-H bond activation reaction are studied theoretically using a model system of the group 5 organometallic compounds, η(5)-CpM(CO)4 (M = V, Nb, and Ta), with the M06-2X method and the Def2-SVPD basis set. Three types of reaction pathways that lead to final insertion products are identified. The structures of the intersystem crossings, which play a central role in these photo-activation reactions, are determined. The intermediates and transitional structures in either the singlet or triplet states are also calculated to provide a mechanistic explanation of the reaction pathways. All of the potential energy surfaces for the group 5 η(5)-CpM(CO)4 complexes are quite similar. In particular, the theoretical evidence suggests that after irradiation using light, η(5)-CpM(CO)4 quickly loses one CO ligand to yield two tricarbonyls, in either the singlet or the triplet states. The triplet tricarbonyl 16-electron intermediates, ([η(5)-CpM(CO)3](3)), play a key role in the formation of the final oxidative addition product, η(5)-CpM(CO)3(H)(SiMe3). However, the singlet counterparts, ([η(5)-CpM(CO)3](1)), play no role in the formation of the final product molecule, but their singlet metal centers interact weakly with solvent molecules ((Me3)SiH) to produce alkyl-solvated organometallic complexes, which are observable experimentally. This theoretical evidence is in accordance with the available experimental observations.
NASA Astrophysics Data System (ADS)
Morozov, M. I.; Kungl, H.; Hoffmann, M. J.
2011-03-01
Li-, Ta-, and Mn-modified (K,Na)NbO3 ceramics with various compositional homogeneity have been prepared by conventional and precursor methods. The homogeneous ceramic has demonstrated a sharper peak in temperature dependent piezoelectric response. The dielectric and piezoelectric properties of the homogeneous ceramics have been characterized at the experimental subcoercive electric fields near the temperature of the orthorhombic-tetragonal phase transition with respect to poling in both phases. Poling in the tetragonal phase is shown to enhance the low-signal dielectric and piezoelectric properties in the orthorhombic phase.
2014-07-01
5,9], W [16], Zr [17] and Nb [18]. These systems have shown moderate to extraordinarily high microstructural stability at elevated temperatures...cans were then either serial sectioned for shear punch testing or cut into compression samples using wire electric discharge machining. Through SEM...to resist deformation, but do not necessarily alter the dislocation mechanism operating during plastic deformation. There are a number of challenges
Holographic Reflection Filters in Photorefractive LiNbO3 Channel Waveguides
NASA Astrophysics Data System (ADS)
Kip, Detlef; Hukriede, Joerg
Permanent refractive-index gratings in waveguide devices are of considerable interest for optical communication systems that make use of the high spectral selectivity of holographic filters, e.g. dense wavelength division multiplexing (DWDM) or narrow-bandwidth mirrors for integrated waveguide lasers in LiNbO3. Other possible applications include grating couplers and optical sensors. In this contribution we investigate such holographic wavelength filters in Fe- and Cu-doped LiNbO3 channel waveguides. Permanent refractive-index gratings are generated by thermal fixing of holograms in the waveguides. The samples are fabricated by successive in-diffusion of Ti stripes and thin layers of either Fe or Cu. After high-temperature recording with green light, refractive-index changes up to δ, ~10^-4 for infrared light ( 1.55,m) are obtained, resulting in a reflection efficiency well above 99% for a 15 mm-long grating. Several gratings for different wavelengths can be superimposed in the same sample, which may enable the fabrication of more complex filters, laser mirrors or optical sensors. By changing the sample temperature the reflection wavelength can be tuned by thermal expansion of the grating, and wavelength filters can be switched on and off by applying moderate voltages using the electro-optic effect. Furthermore, we report on a new thermal fixing mechanism that does not need any additional development by homogeneous light illumination and therefore does not suffer from the non-vanishing dark conductivity of the material.
Threshold Switching Characteristics of Nb/NbO 2 /TiN Vertical Devices
DOE Office of Scientific and Technical Information (OSTI.GOV)
Wang, Yuhan; Comes, Ryan B.; Wolf, Stuart A.
2016-01-01
Nb/NbO2/TiN vertical structures were synthesized in-situ and patterned to devices with different contact areas. The devices exhibited threshold resistive switching with minimal hysteresis and a small EThreshold (60~90 kV/cm). The switching behavior was unipolar, and demonstrated good repeatability. A less sharp but still sizable change in the device resistance was observed up to 150 °C. It was found that the resistive switching without Nb capping layer exhibited the hysteretic behavior and much larger EThreshold (~250 kV/cm) likely due to a 2-3 nm surface Nb2O5 layer. The stable threshold switching behavior well above room temperature shows the potential applications of thismore » device as an electronic switch.« less
Mechanism of action of NB2001 and NB2030, novel antibacterial agents activated by beta-lactamases.
Stone, Geoffrey W; Zhang, Qin; Castillo, Rosario; Doppalapudi, V Ramana; Bueno, Analia R; Lee, Jean Y; Li, Qing; Sergeeva, Maria; Khambatta, Gody; Georgopapadakou, Nafsika H
2004-02-01
Two potent antibacterial agents designed to undergo enzyme-catalyzed therapeutic activation were evaluated for their mechanisms of action. The compounds, NB2001 and NB2030, contain a cephalosporin with a thienyl (NB2001) or a tetrazole (NB2030) ring at the C-7 position and are linked to the antibacterial triclosan at the C-3 position. The compounds exploit beta-lactamases to release triclosan through hydrolysis of the beta-lactam ring. Like cephalothin, NB2001 and NB2030 were hydrolyzed by class A beta-lactamases (Escherichia coli TEM-1 and, to a lesser degree, Staphylococcus aureus PC1) and class C beta-lactamases (Enterobacter cloacae P99 and E. coli AmpC) with comparable catalytic efficiencies (k(cat)/K(m)). They also bound to the penicillin-binding proteins of S. aureus and E. coli, but with reduced affinities relative to that of cephalothin. Accordingly, they produced a cell morphology in E. coli consistent with the toxophore rather than the beta-lactam being responsible for antibacterial activity. In biochemical assays, they inhibited the triclosan target enoyl reductase (FabI), with 50% inhibitory concentrations being markedly reduced relative to that of free triclosan. The transport of NB2001, NB2030, and triclosan was rapid, with significant accumulation of triclosan in both S. aureus and E. coli. Taken together, the results suggest that NB2001 and NB2030 act primarily as triclosan prodrugs in S. aureus and E. coli.
Mechanism of generation of large (Ti,Nb,V)(C,N)-type precipitates in H13 + Nb tool steel
NASA Astrophysics Data System (ADS)
Xie, You; Cheng, Guo-guang; Chen, Lie; Zhang, Yan-dong; Yan, Qing-zhong
2016-11-01
The characteristics and generation mechanism of (Ti,Nb,V)(C,N) precipitates larger than 2 μm in Nb-containing H13 bar steel were studied. The results show that two types of (Ti,Nb,V)(C,N) phases exist—a Ti-V-rich one and an Nb-rich one—in the form of single or complex precipitates. The sizes of the single Ti-V-rich (Ti,Nb,V)(C,N) precipitates are mostly within 5 to 10 μm, whereas the sizes of the single Nb-rich precipitates are mostly 2-5 μm. The complex precipitates are larger and contain an inner Ti-V-rich layer and an outer Nb-rich layer. The compositional distribution of (Ti,Nb,V)(C,N) is concentrated. The average composition of the single Ti-V-rich phase is (Ti0.511V0.356Nb0.133)(C x N y ), whereas that for the single Nb-rich phase is (Ti0.061V0.263Nb0.676)(C x N y ). The calculation results based on the Scheil-Gulliver model in the Thermo-Calc software combining with the thermal stability experiments show that the large phases precipitate during the solidification process. With the development of solidification, the Ti-V-rich phase precipitates first and becomes homogeneous during the subsequent temperature reduction and heat treatment processes. The Nb-rich phase appears later.
NASA Astrophysics Data System (ADS)
Fan, W.; Kabius, B.; Hiller, J. M.; Saha, S.; Carlisle, J. A.; Auciello, O.; Chang, R. P. H.; Ramesh, R.
2003-11-01
The synthesis and fundamental material properties of layered TiAl/Cu/Ta electrodes were investigated to achieve the integration of Cu electrodes with high-dielectric constant (κ) oxide thin films for application to the fabrication of high-frequency devices. The Ta layer is an excellent diffusion barrier to inhibit deleterious Cu diffusion into the Si substrate, while the TiAl layer provides an excellent barrier against oxygen diffusion into the Cu layer to inhibit Cu oxidation during the growth of the high-κ layer in an oxygen atmosphere. Polycrystalline (BaxSr1-x)TiO3 (BST) thin films were grown on the Cu-based bottom electrode by rf magnetron sputtering at temperatures in the range 400-600 °C in oxygen, to investigate the performance of BST/Cu-based capacitors. Characterization of the Cu-based layered structure using surface analytical methods showed that two amorphous oxide layers were formed on both sides of the TiAl barrier, such that the oxide layer on the free surface of the TiAl layer correlates with TiAlOx, while the oxide layer at the TiAl/Cu interface is an Al2O3-rich layer. This double amorphous barrier layer structure effectively prevents oxygen penetration towards the underlying Cu and Ta layers. The TiAlOx interfacial layer, which has a relatively low dielectric constant compared with BST, reduced the total capacitance of the BST thin film capacitors. In addition, the layered electrode-oxide interface roughening observed during the growth of BST films at high temperature, due to copper grain growth, resulted in large dielectric loss on the fabricated BST capacitors. These problems were solved by growing the BST layer at 450 °C followed by a rapid thermal annealing at 700 °C. This process significantly reduced the thickness of the TiAlOx layer and interface roughness resulting in BST capacitors exhibiting properties suitable for the fabrication of high-performance high-frequency devices. In summary, relatively high dielectric constant (280), low
Cyclic Oxidation Behavior of CuCrAl Cold-Sprayed Coatings for Reusable Launch Vehicles
NASA Technical Reports Server (NTRS)
Raj, Sai; Karthikeyan, J.
2009-01-01
The next generation of reusable launch vehicles is likely to use GRCop-84 [Cu-8(at.%)Cr-4%Nb] copper alloy combustion liners. The application of protective coatings on GRCop-84 liners can minimize or eliminate many of the environmental problems experienced by uncoated liners and significantly extend their operational lives and lower operational cost. A newly developed Cu- 23 (wt.%) Cr-5% Al (CuCrAl) coating, shown to resist hydrogen attack and oxidation in an as-cast form, is currently being considered as a protective coating for GRCop-84. The coating was deposited on GRCop-84 substrates by the cold spray deposition technique, where the CuCrAl was procured as gas-atomized powders. Cyclic oxidation tests were conducted between 773 and 1,073 K to characterize the coated substrates.
NASA Astrophysics Data System (ADS)
Kong, Lingping; Zhang, Chuanfang; Wang, Jitong; Qiao, Wenming; Ling, Licheng; Long, Donghui
2016-02-01
Li-ion intercalation materials with extremely high rate capability will blur the distinction between batteries and supercapacitors. We construct a series of nanoarchitectured intercalation materials including orthorhombic (o-) Nb2O5 hollow microspheres, o-Nb2O5@carbon core-shell microspheres and tetragonal (t-) NbO2@carbon core-shell microspheres, through a one-pot hydrothermal method with different post-treatments. These nanoarchitectured materials consist of small nanocrystals with highly exposed active surface, and all of them demonstrate good Li+ intercalation pseudocapacitive properties. In particular, o-Nb2O5 hollow microspheres can deliver the specific capacitance of 488.3 F g-1, and good rate performance of 126.7 F g-1 at 50 A g-1. The o-Nb2O5@carbon core-shell microspheres show enhanced specific capacitance of 502.2 F g-1 and much improved rate performance (213.4 F g-1 at 50 A g-1). Furthermore, we demonstrate for the first time, t-NbO2 exhibits much higher rate capability than o-Nb2O5. For discharging time as fast as 5.9 s (50 A g-1), it still exhibits a very high specific capacitance of 245.8 F g-1, which is 65.2% retention of the initial capacitance (377.0 F g-1 at 1 A g-1). The unprecedented rate capability is an intrinsic feature of t-NbO2, which may be due to the conductive lithiated compounds.
Kong, Lingping; Zhang, Chuanfang; Wang, Jitong; Qiao, Wenming; Ling, Licheng; Long, Donghui
2016-01-01
Li-ion intercalation materials with extremely high rate capability will blur the distinction between batteries and supercapacitors. We construct a series of nanoarchitectured intercalation materials including orthorhombic (o-) Nb2O5 hollow microspheres, o-Nb2O5@carbon core-shell microspheres and tetragonal (t-) NbO2@carbon core-shell microspheres, through a one-pot hydrothermal method with different post-treatments. These nanoarchitectured materials consist of small nanocrystals with highly exposed active surface, and all of them demonstrate good Li+ intercalation pseudocapacitive properties. In particular, o-Nb2O5 hollow microspheres can deliver the specific capacitance of 488.3 F g−1, and good rate performance of 126.7 F g−1 at 50 A g−1. The o-Nb2O5@carbon core-shell microspheres show enhanced specific capacitance of 502.2 F g−1 and much improved rate performance (213.4 F g−1 at 50 A g−1). Furthermore, we demonstrate for the first time, t-NbO2 exhibits much higher rate capability than o-Nb2O5. For discharging time as fast as 5.9 s (50 A g−1), it still exhibits a very high specific capacitance of 245.8 F g−1, which is 65.2% retention of the initial capacitance (377.0 F g−1 at 1 A g−1). The unprecedented rate capability is an intrinsic feature of t-NbO2, which may be due to the conductive lithiated compounds. PMID:26880276
Radio frequency magnetic field limits of Nb and Nb 3Sn
Posen, S.; Valles, N.; Liepe, M.
2015-07-21
Superconducting radio frequency (srf) cavities, essential components of many large particle accelerators, rely on the metastable flux-free state of superconducting materials. In this Letter, we present results of experiments measuring the magnetic field limits of two srf materials, Nb and Nb 3Sn. Resonators made using these materials were probed using both high power rf pulses and dc magnetic fields. Nb, which is the current standard material for srf cavities in applications, was found to be limited by the superheating field H sh when prepared using methods to avoid excessive rf dissipation at high fields. Nb 3Sn, which is a promisingmore » alternative material that is still in the early stages of development for srf purposes, was found to be limited between the onset field of metastability H c1 and H sh. Furthermore, analysis of the results shows that the limitation is consistent with nucleation of flux penetration at defects in the rf layer.« less
Partially Interpenetrated NbO Topology Metal–Organic Framework Exhibiting Selective Gas Adsorption
DOE Office of Scientific and Technical Information (OSTI.GOV)
Verma, Gaurav; Kumar, Sanjay; Pham, Tony
2017-03-29
We report on the first partially interpenetrated metal–organic framework (MOF) with NbO topology for its ability to separate methane from carbon dioxide and permanently sequester the greenhouse gas CO2. The MOF, Cu2(pbpta) (H4pbpta = 4,4',4'',4'''-(1,4-phenylenbis(pyridine-4,2-6-triyl))-tetrabenzoic acid), crystallizes in the monoclinic C2/m space group and has a 2537 m2/g Brunauer, Emmett and Teller surface area with an 1.06 cm3/g pore volume. The MOF exhibits selective adsorption of CO2 over CH4 as well as that of C2H6 and C2H4 over CH4. Cu2(pbpta) additionally shows excellent catalytic efficacy for the cycloaddition reaction of CO2 with epoxides to produce industrially important cyclic carbonates usingmore » solvent-free conditions.« less
Degradation of superconducting Nb/NbN films by atmospheric oxidation
DOE Office of Scientific and Technical Information (OSTI.GOV)
Henry, M. David; Wolfley, Steve; Young, Travis
2017-03-01
Niobium and niobium nitride thin films are transitioning from fundamental research toward wafer scale manufacturing with technology drivers that include superconducting circuits and electronics, optical single photon detectors, logic, and memory. Successful microfabrication requires precise control over the properties of sputtered superconducting films, including oxidation. Previous work has demonstrated the mechanism in oxidation of Nb and how film structure could have deleterious effects upon the superconducting properties. This study provides an examination of atmospheric oxidation of NbN films. By examination of the room temperature sheet resistance of NbN bulk oxidation was identified and confirmed by secondary ion mass spectrometry. Asmore » a result, Meissner magnetic measurements confirmed the bulk oxidation not observed with simple cryogenic resistivity measurements.« less
Wacewicz, Marta; Socha, Katarzyna; Soroczyńska, Jolanta; Niczyporuk, Marek; Aleksiejczuk, Piotr; Ostrowska, Jolanta; Borawska, Maria H
2017-12-01
Psoriasis is a common, an inflammatory skin disease. Trace elements may play an active role in the pathogenesis of psoriasis. The aim of this study was to estimate the concentration of selenium (Se), zinc (Zn), copper (Cu) and Cu/Zn ratio as well as total antioxidant status (TAS) and c-reactive protein (CRP) in the serum of patients with psoriasis. In this case-control study sixty patients with psoriasis and fifty-eight healthy people were examined. Serum levels of Se, Zn and Cu were determined by atomic absorption spectrometry. Cu/Zn ratio was calculated. TAS was measured spectrophotometrically. CRP was analyzed by immunoturbidimetric method. Clinical activity of psoriasis was evaluated using Psoriasis Area and Severity Index (PASI). Serum concentration of Se in patients with psoriasis (71.89±16.90μg/L) was lower as compared to the control group (79.42±18.97μg/L) and after NB-UVB. Cu level of patients was higher (1.151±0.320mg/L) as compared to controls (1.038±0.336mg/L), but Zn level did not differ. We observed higher Cu/Zn ratio (p<0.05) in examined patients than in the control group and after NB-UVB. We found decrease TAS before and after NB-UVB. CRP levels was found to be normal range. A significant correlation coefficient between CRP and Cu/Zn was observed. The study showed some disturbances in the serum levels of trace elements and TAS in psoriatic patients. Copyright © 2017 The Authors. Published by Elsevier GmbH.. All rights reserved.
Solidification processing of intermetallic Nb-Al alloys
NASA Technical Reports Server (NTRS)
Smith, Preston P.; Oliver, Ben F.; Noebe, Ronald D.
1992-01-01
Several Nb-Al alloys, including single-phase NbAl3 and the eutectic of Nb2Al and NbAl3, were prepared either by nonconsumable arc melting in Ar or by zone processing in He following initial induction melting and rod casting, and the effect of the solidification route on the microstructure and room-temperature mechanical properties of these alloys was investigated. Automated control procedures and melt conditions for directional solidification of NbAl3 and the Nb2Al/Nb3Al eutectic were developed; high purity and stoichiometry were obtained. The effects of ternary additions of Ti and Ni are described.
Fabrication of a Tantalum-Based Josephson Junction for an X-Ray Detector
NASA Astrophysics Data System (ADS)
Morohashi, Shin'ichi; Gotoh, Kohtaroh; Yokoyama, Naoki
2000-06-01
We have fabricated a tantalum-based Josephson junction for an X-ray detector. The tantalum layer was selected for the junction electrode because of its long quasiparticle lifetime, large X-ray absorption efficiency and stability against thermal cycling. We have developed a buffer layer to fabricate the tantalum layer with a body-centered cubic structure. Based on careful consideration of their superconductivity, we have selected a niobium thin layer as the buffer layer for fabricating the tantalum base electrode, and a tungsten thin layer for the tantalum counter electrode. Fabricated Nb/AlOx-Al/Ta/Nb and Nb/Ta/W/AlOx-Al/Ta/Nb Josephson junctions exhibited current-voltage characteristics with a low subgap leakage current.
Phase Composition of Samarium Niobate and Tantalate Thin Films Prepared by Sol-Gel Method
NASA Astrophysics Data System (ADS)
Bruncková, H.; Medvecký, Ľ.; Múdra, E.; Kovalčiková, A.; Ďurišin, J.; Šebek, M.; Girman, V.
2017-12-01
Samarium niobate SmNbO4 (SNO) and tantalate SmTaO4 (STO) thin films ( 100 nm) were prepared by sol-gel/spin-coating process on alumina substrates with PZT interlayer and annealing at 1000°C. The precursors of films were synthesized using Nb or Ta tartrate complexes. The improvement of the crystallinity of monoclinic M'-SmTaO4 phase via heating was observed through the coexistence of small amounts of tetragonal T-SmTa7O19 phase in STO precursor at 1000°C. The XRD results of SNO and STO films confirmed monoclinic M-SmNbO4 and M'-SmTaO4 phases, respectively, with traces of orthorhombic O-SmNbO4 (in SNO). In STO film, the single monoclinic M'-SmTaO4 phase was revealed. The surface morphology and topography of thin films were investigated by SEM and AFM analysis. STO film was smoother with roughness 3.2 nm in comparison with SNO (6.3 nm). In the microstructure of SNO film, small spherical ( 50 nm) and larger cuboidal particles ( 100 nm) of the SmNbO4 phase were observed. In STO, compact clusters composed of fine spherical SmTaO4 particles ( 20-50 nm) were found. Effect of samarium can contribute to the formation different polymorphs of these films for the application to environmental electrolytic thin film devices.
Powder-metallurgical preparation of A15 superconductors
NASA Astrophysics Data System (ADS)
Wilhelm, Manfred; Wohlleben, Karl; Springer, Engelbert; Mrowiec, Klaus; Schaper, Wilfried; Wecker, Joachim
1985-08-01
The powder-metallurgical preparation of Cu-Nb3Sn microcomposite superconductors was investigated in order to economize in the manufacture of the conductors and to improve their mechanical and electrical properties. Conditions during high temperature extrusion are optimized by adding Al or Ti as reducing agents, also with a view to the following cold forming. Current densities well above 10,000 A/sq cm at 15T are obtained by applying coarse-grained Nb powder and incorporating small quantities of Ta or Ti. The current carrying behavior of the conductors was determined as a function of the degree of mechanical deformation, the cold forming technique, and the heat treatment conditions. Wires containing an internal tin source as well as bundled assemblies for high current applications were manufactured. Long wires can be produced.
NASA Astrophysics Data System (ADS)
Tan, Hengxin; Takenaka, Hiroyuki; Xu, Changsong; Duan, Wenhui; Grinberg, Ilya; Rappe, Andrew M.
2018-05-01
We have investigated the effect of transition-metal dopants on the local structure of the prototypical 0.75 Pb (Mg1 /3Nb2 /3) O3-0.25 PbTiO3 relaxor ferroelectric. We find that these dopants give rise to very different local structure and other physical properties. For example, when Mg is partially substituted by Cu or Zn, the displacement of Cu or Zn is much larger than that of Mg and is even comparable to that of Nb. The polarization of these systems is also increased, especially for the Cu-doped solution, due to the large polarizability of Cu and Zn. As a result, the predicted maximum dielectric constant temperatures Tm are increased. On the other hand, the replacement of a Ti atom with a Mo or Tc atom dramatically decreases the displacements of the cations and the polarization, and thus, the Tm values are also substantially decreased. The higher Tm cannot be explained by the conventional argument based on the ionic radii of the cations. Furthermore, we find that Cu, Mo, or Tc doping increases the cation displacement disorder. The effect of the dopants on the temperature dispersion Δ Tm , which is the change in Tm for different frequencies, is also discussed. Our findings lay the foundation for further investigations of unexplored dopants.
NASA Astrophysics Data System (ADS)
Liu, Yanyu; Zhou, Wei; Wu, Ping
2017-02-01
A systematic study has been carried out to research the effect of Ta monodoping and (Ta, N)-codoping on the electronic structure and optical properties of SrTiO3. The results indicate that the incorporation of N into the SrTiO3 lattice is in favor of the substitution of Ta at a Ti site, which is the most favorable structure with respect to both the energetic stability and high photocatalytic activity. Furthermore, the carrier recombination centers induced by Ta monodoping are passivated in the (Ta, N)-codoped SrTiO3 system with Ta at a Ti site. Simultaneous incorporation of N and Ta results in a band gap decreasing about 0.7 eV due to the appearance of the new states hybridized by N-p states with the O-p states above the valence band. The band alignment verifies that the (Ta, N)-codoped SrTiO3 simultaneously meets the criteria of band-edge energetic positions and band gap for the overall water splitting under visible light.
Gao, Ruili; Zhu, Pengfei; Guo, Guangguang; Hu, Hongqing; Zhu, Jun; Fu, Qingling
2016-11-01
The efficiency of five different single leaching reagents (tartaric acid (TA), citric acid (CA), CaCl 2 , FeCl 3 , EDTA) and two different composite leaching reagents (CA + FeCl 3 , CA + EDTA) on removing Cu, Pb, Zn, and Cd from contaminated paddy soil in Hunan Province (in China) was studied. The results indicated that the efficiencies of CA, FeCl 3 , and EDTA on extracting Cu, Pb, Cd, and Zn from soil were greater than that of TA and CaCl 2 , and their extraction efficiencies were EDTA ≥ FeCl 3 > CA. The efficiencies of CA + FeCl 3 on extracting Cu, Pb, Cd, and Zn were higher than that of single CA or FeCl 3 . The 25 mmol L -1 CA + 20 mmol L -1 FeCl 3 was a promising composite leaching reagent for paddy soil, and it could remove Cu (57.6 %), Pb (59.3 %), Cd (84.8 %), and Zn (28.0 %), respectively. With the same amount of leaching reagent, the efficiency of continuous leaching by several times was higher than that by once. In addition, the easily reducible and oxidizable fractions of heavy metals showed significant decrease during the process of leaching.
Atobe, Junko; Koyasu, Kiichirou; Furuse, Shunsuke; Nakajima, Atsushi
2012-07-14
The electronic properties of germanium and tin clusters containing a transition- or lanthanide-metal atom from group 3, 4, or 5, MGe(n) (M = Sc, Ti, V, Y, Zr, Nb, Lu, Hf, and Ta) and MSn(n) (M = Sc, Ti, Y. Zr, and Hf), were investigated by anion photoelectron spectroscopy at 213 nm. In the case of the group 3 elements Sc, Y, and Lu, the threshold energy of electron detachment of MGe(n)(-) exhibits local maxima at n = 10 and 16, while in the case of the group 4 elements Ti, Zr, and Hf, it exhibits a local minimum only at n = 16, associated with the presence of a small bump in the spectrum. A similar behavior is observed for MSn(n)(-) around n = 16, and these electronic characteristics of MGe(n) and MSn(n) are closely related to those of MSi(n). Compared to MSi(n), however, the larger cavity size of a Ge(n) cage allows metal atom encapsulation at a smaller size n. A cooperative effect between the electronic and geometric structures of clusters with a large cavity of Ge(16) or Sn(16) is discussed together with the results of experiments that probe their geometric stability via their reactivity to H(2)O adsorption.
Xiao, Jun; Xu, Shujuan; Li, Chunhua; Xu, Yunyuan; Xing, Lijing; Niu, Yuda; Huan, Qing; Tang, Yimiao; Zhao, Changping; Wagner, Doris; Gao, Caixia; Chong, Kang
2014-01-01
Vernalization, sensing of prolonged cold, is important for seasonal flowering in eudicots and monocots. While vernalization silences a repressor (FLC, MADS-box transcription factor) in eudicots, it induces an activator (TaVRN1, an AP1 clade MADS-box transcription factor) in monocots. The mechanism for TaVRN1 induction during vernalization is not well understood. Here we reveal a novel mechanism for controlling TaVRN1 mRNA accumulation in response to prolonged cold sensing in wheat. The carbohydrate-binding protein VER2, a jacalin lectin, promotes TaVRN1 upregulation by physically interacting with the RNA-binding protein TaGRP2. TaGRP2 binds to TaVRN1 pre-mRNA and inhibits TaVRN1 mRNA accumulation. The physical interaction between VER2 and TaGRP2 is controlled by TaGRP2 O-GlcNAc modification, which gradually increases during vernalization. The interaction between VER2 and O-GlcNAc-TaGRP2 reduces TaGRP2 protein accumulation in the nucleus and/or promotes TaGRP2 dissociation from TaVRN1, leading to TaVRN1 mRNA accumulation. Our data reveal a new mechanism for sensing prolonged cold in temperate cereals. PMID:25091017
Microstructure and dielectric properties of (Nb + In) co-doped rutile TiO2 ceramics
NASA Astrophysics Data System (ADS)
Li, Jinglei; Li, Fei; Zhuang, Yongyong; Jin, Li; Wang, Linghang; Wei, Xiaoyong; Xu, Zhuo; Zhang, Shujun
2014-08-01
The (Nb + In) co-doped TiO2 ceramics recently attracted considerable attention due to their colossal dielectric permittivity (CP) (˜100,000) and low dielectric loss (˜0.05). In this research, the 0.5 mol. % In-only, 0.5 mol. % Nb-only, and 0.5-7 mol. % (Nb + In) co-doped TiO2 ceramics were synthesized by standard conventional solid-state reaction method. Microstructure studies showed that all samples were in pure rutile phase. The Nb and In ions were homogeneously distributed in the grain and grain boundary. Impedance spectroscopy and I-V behavior analysis demonstrated that the ceramics may compose of semiconducting grains and insulating grain boundaries. The high conductivity of grain was associated with the reduction of Ti4+ ions to Ti3+ ions, while the migration of oxygen vacancy may account for the conductivity of grain boundary. The effects of annealing treatment and bias filed on electrical properties were investigated for co-doped TiO2 ceramics, where the electric behaviors of samples were found to be susceptible to the annealing treatment and bias field. The internal-barrier-layer-capacitance mechanism was used to explain the CP phenomenon, the effect of annealing treatment and nonlinear I-V behavior for co-doped rutile TiO2 ceramics. Compared with CaCu3Ti4O12 ceramics, the high activation energy of co-doped rutile TiO2 (3.05 eV for grain boundary) was thought to be responsible for the low dielectric loss.
The characterisation of second phases in the Zr-Nb and Zr-Nb-Sn-Fe alloys: A critical review
NASA Astrophysics Data System (ADS)
Harte, Allan; Griffiths, Malcolm; Preuss, Michael
2018-07-01
The nature and evolution of the Fe environment in Zr-Nb and Zr-Nb-Sn-Fe systems is essential to alloy performance during corrosion, hardening and irradiation-induced growth. Unfortunately, there is ambiguity in the literature regarding the characterisation of secondary phases in these systems. The presence, or not, of Fe in β-Nb phase has been a source of disagreement. In ternary ZrNbFe intermetallics, identical compositions have been designated as Zr(Nb,Fe)2 or (Zr,Nb)3Fe. We show that while Zr(Nb,Fe)2 is commonly reported, it is not always justified. The cubic phase (Zr,Nb)2Fe is easily identified, but its composition is more variable after low temperature heat treatments. We demonstrate the need for correlative approaches in the assessment of phase composition, crystallography and local Fe environment under different heat treatment regimes. Irradiation effects allow us to draw clues regarding phase designation, but there is diverse behaviour under irradiation due to initial phase composition, irradiation dose rate and temperature.
Effect of [Li]/[Nb] ratio on composition and defect structure of Zr:Yb:Tm:LiNbO3 crystals
NASA Astrophysics Data System (ADS)
Liu, Chunrui; Dai, Li; Wang, Luping; Shao, Yu; Yan, Zhehua; Xu, Yuheng
2018-04-01
Zr:Yb:Tm:LiNbO3 crystals with various [Li]/[Nb] ratios (0.946, 1.05, 1.20 and 1.38) were grown by the Czochralski technique. Distribution coefficients of Zr4+, Yb3+ and Tm3+ ions were analyzed by the inductively coupled plasma-atomic emission spectrometer (ICP-AES). The influence of [Li]/[Nb] ratio on the composition and defect structure of Zr:Yb:Tm:LiNbO3 crystals was investigated by X-ray diffraction and IR transmission spectrum. The results show that as the [Li]/[Nb] ratio increases in the melt, the distribution coefficients of Yb3+ and Tm3+ ions both increase while that of Zr4+ ion deceases. When the [Li]/[Nb] ratio increases to 1.20 in the melt, Zr:Yb:Tm:LiNbO3 crystal is nearly stoichiometric. In addition, when the [Li]/[Nb] ratio reaches up to 1.38, NbLi4+ are completely replaced and Li+ starts to impel the Zr4+, Yb3+ and Tm3+ into the normal Li sites.
NASA Astrophysics Data System (ADS)
Saurdi, I.; Shafura, A. K.; Mamat, M. H.; Ishak, A.; Rusop, M.
2018-05-01
In this paper, the Nb-doped TiO2 films were deposited on glass substrate and their electrical and structural properties were investigated. The results revealed that the resistivity of Nb-doped TiO2 films of 0 at.%, 1 at.%, 3 at.%, 5 at.% and 7 at.% were 2.78 × 105, 1.35 × 105 Ω.cm, 5.89 × 104 Ω.cm, 9.20 × 102 Ω.cm and 9.56 × 103 Ω.cm, respectively. Where, the lowest resistivity of 9.20 × 102 Ω.cm was obtained at 5at.% Nb-doped TiO2 films. The resistivity of Nb-doped TiO2 films decreases as the Nb concentration increased from 0 at.% to 5 at.%. However, the resistivity decrease at 7 at.% Nb-doped TiO2 films. Meanwhile, from the FESEM images the Nb-doped TiO2 films with 0 at.%, 1 at.%, 3 at.% and 5 at.% Nb had a rough and porous structures were observed. However, the Nb-doped TiO2 at 7 at.% has a agglomerated and denser structures.
Yu, Xin; Li, Wei; Huang, Jian; Li, Zhonghua; Liu, Jiawen; Hu, PingAn
2018-02-06
Superstructured mesocrystalline Ta 2 O 5 nanosheets were successfully prepared from mesocrystalline (NH 4 ) 2 Ta 2 O 3 F 6 nanorods by the annealing method for the first time. The as-prepared mesocrystalline Ta 2 O 5 nanosheets in this work showed remarkable visible light absorption, mainly due to the formation of oxygen vacancy defects in the mesocrystalline Ta 2 O 5 nanosheets, which was also confirmed by XPS spectra, Raman spectra and EPR spectra. Besides, the mesocrystalline Ta 2 O 5 nanosheets showed a highly enhanced photocatalytic activity of 11 268.24 μmol g -1 h -1 , about 3.95 times that of commercial Ta 2 O 5 . Moreover, the specific surface area of the mesocrystalline Ta 2 O 5 -800 nanosheets was 16.34 m 2 g -1 , about 5.32 times that of the commercial Ta 2 O 5 (3.072 m 2 g -1 ). The valence band XPS spectra indicated a strong oxidizing ability of the mesocrystalline Ta 2 O 5 nanosheets in comparison to that of commercial Ta 2 O 5 . The formation of superstructured Ta 2 O 5 mesocrystals generated long lifetime carriers and effective conduction pathways, which greatly enhanced the photocatalytic activity for hydrogen production.
Structure-property relationship of cast Ti-Nb alloys.
Lee, C M; Ju, C P; Chern Lin, J H
2002-04-01
The present work is a study of the microstructure, mechanical properties and corrosion behaviour of a series of binary Ti-Nb alloys with Nb contents up to 35 wt%, with emphasis placed on the structure-property relationship of the alloys. The results indicate that crystal structure and morphology of the Ti-Nb alloys are sensitive to the Nb content. The cast c.p. Ti has a hexagonal alpha phase with a lath type morphology. The alloys containing 15 wt% or less Nb are dominated by a hexagonal alpha' phase with an acicular, martensitic structure. When containing 17.5-25 wt% Nb, the alloys are primarily comprised of an orthorhombic alpha" phase. With 27.5 wt% Nb, metastable beta phase starts to be retained. With Nb contents higher than 30 wt%, the equi-axed beta phase is almost entirely retained. Small amounts of omega phase are detected in alloys containing 27.5 and 30 wt% Nb. Among all present alloys, Ti-10Nb and Ti-27.5Nb exhibit the highest strengths, while the alpha"-dominated (17.5 and 20Nb) and beta-dominated (> 30Nb) alloys have the lowest moduli. All Ti-Nb alloys show excellent corrosion resistance in Hank's solution at 37 degrees C. From the present data, the microhardness, bending strength and modulus of the various phases in Ti-Nb alloys are compared and tentatively summarized as follows: Microhardness: omega > alpha' > alpha" > beta > alpha (c.p. Ti) Bending strength: omega > alpha' > alpha" > beta > alpha (c.p. Ti) Bending modulus: omega > alpha (c.p. Ti) > alpha' > alpha" > beta
Giant permittivity and good thermal stability of LiCuNb3O9-Bi(Mg0.5Zr0.5)O3 solid solutions
NASA Astrophysics Data System (ADS)
Chen, Xiuli; Li, Xiaoxia; Huang, Guisheng; Liu, Gaofeng; Yan, Xiao; Zhou, Huanfu
(1‑x)LiCuNb3O9-xBi(Mg0.5Zr0.5)O3 ceramics ((1‑x)LCN-xBMZ) with 0≤x≤0.08 were synthesized by a solid-state reaction method. The phase structure of (1‑x)LCN-xBMZ ceramics was characterized by X-ray diffraction (XRD), which revealed that the ceramics were distorted cubic perovskite structures. Apparent giant permittivity of 1.98×104-1.05×105 at 100kHz over the measured temperature range (25∘C-250∘C) was observed in the sintered (1‑x)LCN-xBMZ (0≤x≤0.08) ceramics. Especially for the sample of x=0.04, the temperature stability of permittivity was markedly increased (Δɛ/ɛ100∘C≤±15%), and high relative permittivity (>8.3×104) were obtained over a wide temperature range from 100∘C to 250∘C at 100kHz, which indicates that this ceramic is a promising dielectric material for elevated temperature dielectrics. The giant dielectric property of (1‑x)LCN-xBMZ ceramics are profoundly concerned with the Maxwell-Wagner effect.
Retention Model of TaO/HfO x and TaO/AlO x RRAM with Self-Rectifying Switch Characteristics.
Lin, Yu-De; Chen, Pang-Shiu; Lee, Heng-Yuan; Chen, Yu-Sheng; Rahaman, Sk Ziaur; Tsai, Kan-Hsueh; Hsu, Chien-Hua; Chen, Wei-Su; Wang, Pei-Hua; King, Ya-Chin; Lin, Chrong Jung
2017-12-01
A retention behavior model for self-rectifying TaO/HfO x - and TaO/AlO x -based resistive random-access memory (RRAM) is proposed. Trapping-type RRAM can have a high resistance state (HRS) and a low resistance state (LRS); the degradation in a LRS is usually more severe than that in a HRS, because the LRS during the SET process is limited by the internal resistor layer. However, if TaO/AlO x elements are stacked in layers, the LRS retention can be improved. The LRS retention time estimated by extrapolation method is more than 5 years at room temperature. Both TaO/HfO x - and TaO/AlO x -based RRAM structures have the same capping layer of TaO, and the activation energy levels of both types of structures are 0.38 eV. Moreover, the additional AlO x switching layer of a TaO/AlO x structure creates a higher O diffusion barrier that can substantially enhance retention, and the TaO/AlO x structure also shows a quite stable LRS under biased conditions.
Epitaxial NbN/AlN/NbN tunnel junctions on Si substrates with TiN buffer layers
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sun, Rui; University of Chinese Academy of Sciences, Beijing 100049; Makise, Kazumasa
We have developed epitaxial NbN/AlN/NbN tunnel junctions on Si (100) substrates with a TiN buffer layer. A 50-nm-thick (200)-oriented TiN thin film was introduced as the buffer layer for epitaxial growth of NbN/AlN/NbN trilayers on Si substrates. The fabricated NbN/AlN/NbN junctions demonstrated excellent tunneling properties with a high gap voltage of 5.5 mV, a large I{sub c}R{sub N} product of 3.8 mV, a sharp quasiparticle current rise with a ΔV{sub g} of 0.4 mV, and a small subgap leakage current. The junction quality factor R{sub sg}/R{sub N} was about 23 for the junction with a J{sub c} of 47 A/cm{supmore » 2} and was about 6 for the junction with a J{sub c} of 3.0 kA/cm{sup 2}. X-ray diffraction and transmission electron microscopy observations showed that the NbN/AlN/NbN trilayers were grown epitaxially on the (200)-orientated TiN buffer layer and had a highly crystalline structure with the (200) orientation.« less
NASA Astrophysics Data System (ADS)
Brits, C. P.; Wiedeking, M.; Bello Garrote, F. L.; Bleuel, D. L.; Giacoppo, F.; Görgen, A.; Guttormsen, M.; Hadynska-Klek, K.; Hagen, T. W.; Ingeberg, V. W.; Kheswa, B. V.; Klintefjord, M.; Larsen, A. C.; Malatji, K. L.; Nyhus, H. T.; Papka, P.; Renstrøm, T.; Rose, S.; Sahin, E.; Siem, S.; Tveten, G. M.; Zeiser, F.
2017-09-01
Enhanced γ-decay on the tail of the giant electric dipole resonance, such as the scissors or pygmy resonances, can have significant impact on (n,γ) reaction rates. These rates are important input for modeling processes that take place in astrophysical environments and nuclear reactors. Recent results from the University of Oslo indicate the existence of a significant enhancement in the photon strength function for nuclei in the actinide region due to the scissors resonance. Further, the M1 strength distribution of the scissors resonances in rare earth nuclei has been studied extensively over the years. To investigate the evolution and persistence of the scissor resonance in other mass regions, an experiment was performed utilizing the NaI(Tl) γ-ray detector array (CACTUS) and silicon particle telescopes (SiRi) at the University of Oslo Cyclotron laboratory. Particle-γ coincidences from the 181Ta(d,p)182Ta and 181Ta(d,d')181Ta reactions were used to measure the nuclear level density and photon strength function of the well-deformed 181Ta and 182Ta systems, to investigate the existence of resonances below the neutron separation energy. Note to the reader: the title of this article has been corrected on September 19, 2017.
NASA Astrophysics Data System (ADS)
Shokrvash, Hussein; Rad, Rahim Yazdani; Massoudi, Abouzar
2018-04-01
Design and synthesis of a prototype Cu-Nb nanocomposite are presented. Oxygen-free Cu-Nb nanocomposites were prepared using an electrolysis facility with special emphasis on the cathodic deoxidation of Cu and nanometric Nb2O5 blends in a molten NaCl-CaCl2 electrolyte. The as-prepared nanocomposites were characterized by X-ray diffraction and energy-dispersive X-ray spectroscopy. The elemental analysis of the Cu matrix and Nb phase revealed the high solubility of Nb in the Cu structure (0.85 at. pct) and Cu in the Nb structure (10.59 at. pct) over short synthesis times (4-5 hours). Furthermore, precise analysis using field emission scanning electron microscopy and transmission electron microscopy confirmed the unique structure and nanocomposite morphology of the Cu-Nb nanocomposite. The successful synthesis of Cu-Nb nanocomposites offers a new conceptual and empirical outlook on the generation of bulk nanostructures of immiscible bimetals using electro-synthesis.
Dopant occupancy and exposure energy in Hf:Nd:LiNbO3 crystal as a function of [Li]/[Nb] ratios
NASA Astrophysics Data System (ADS)
Dai, Li; Liu, Chunrui; Han, Xianbo; Yan, Zhehua; Tan, Chao; Wang, Luping; Xu, Yuheng
2017-09-01
A series of Hf: Nd: LiNbO3 crystals with various [Li]/[Nb] ratios ([Li]/[Nb] = 0.94, 1.05, 1.20, 1.38) in the melt were grown by conventional Czochralski technique. The distribution coefficients of Hf4+ and Nd3+ ions were recorded by an inductively coupled plasma-atomic emission spectrometer (ICP-AES). The effective distribution coefficient of Hf4+ is reduced and that of Nd3+ is increased with the increase of [Li]/[Nb] ratio in the melts. In all cases, the effective distribution coefficients is less than 1. The IR transmission spectroscopy of the Hf: Nd: LiNbO3 crystals were measured, getting the results that Hf: Yb: Ho: LiNbO3 crystals with 1.05 [Li]/[Nb] ratios was the stoichiometric. The optical damage resistance ability of Hf:Nd:LiNbO3 crystals were studied by light-induced scattering exposure energy flux threshold method and it increases with the increasing of [Li]/[Nb] ratios. When the [Li]/[Nb] ratio is 1.38 in the melt (the sample 4#), the exposure energy achieves 687.35 J/cm2, approximately 441 folds than that of the sample 1# ([Li]/[Nb] = 0.94) in magnitude.
Bridging the opposite chemistries of tantalum and tungsten polyoxometalates.
Molina, P I; Sures, D J; Miró, P; Zakharov, L N; Nyman, M
2015-09-28
The disparate solubility, redox activity, and pH stability of the group V and group VI polyoxometalates (POMs) confer very different functionality on these species, and tailoring cluster properties by varying the ratio of group V to group VI metals poses both an opportunity and a synthetic challenge. A classic series of studies reported over 40 years ago provided some insight into W/Nb POMs, from which researchers have built on to date. However, the analogous W/Ta series has never been addressed in a systematic manner. Three members of this W/Ta series are presented here, synthesized from simple oxo- and peroxocoltanate precursors. [Ta3W3O19](5-) displays the Lindqvist-type structure, while [TaW9O32](5-) and [Ta2W8O32](6-) are isostructural with decatungstate ([W10O32](4-)). Additionally, the use of peroxoniobate instead of hexaniobate as the starting material drives the formation of the decatungstate-type structure [NbW9O32](5-) instead of the Lindqvist ion that was established to be the foundational cluster geometry in prior work. The electronic structure of the Nb/Ta substituted decatungstates is directly related to the degree of substitution inasmuch as the HOMO-LUMO energy gap (Egap) slightly increases as more Nb/Ta atoms are incorporated into the structure. The poor mixing of the d-orbitals of Nb/Ta and W is responsible for the observed trends in the UV spectra and cyclic voltammetry. Moreover, the stability of the molecular frameworks in the gas phase is also related to the extent of substitution as revealed by electrospray mass-spectrometry (ESI-MS).
Federal Register 2010, 2011, 2012, 2013, 2014
2010-07-26
... DEPARTMENT OF LABOR Employment and Training Administration TA-W-71,483, Continental Airlines, Inc., Reservations Division, Houston, TX; TA-W-71,483A, Continental Airlines, Inc., Reservations Division, Tampa, FL; TA-W-71,483B, Continental Airlines, Inc., Reservations Division, Salt Lake City, UT; Notice of Negative Determination Regarding...
Solid solution cermet: (Ti,Nb)(CN)-Ni cermet.
Kwon, Hanjung; Jung, Sun-A
2014-11-01
Solid solution powders without W, (Ti,Nb)(CN) powders with a B1 structure (NaCl like), were synthesized by high energy milling and carbothermal reduction in nitrogen. The range of molar ratios of Ti/Nb for forming complete (Ti,Nb)(CN) phase was broader than that of Ti/W for the (Ti,W)(CN) phase because carbide or carbonitride of Nb had a B1 crystal structure identical to Ti(CN) while WC had a hexagonal crystal structure. The results revealed that the hardness of (Ti,Nb)(CN)-Ni cermets was higher than that of (Ti,W)(CN)-Ni cermets. The lower density of the (Ti,Nb)(CN) powder contributed to the higher hardness compared to (Ti,W)(CN) because the volumetric ratio of (Ti,Nb)(CN) in the (Ti,Nb)(CN)-Ni cermets was higher than that of (Ti,Nb)(CN) in the (Ti,W)(CN)-Ni cermets at the same weight ratio of Ni. Additionally, it was assumed that intrinsic the properties of (Ti,Nb)(CN) could also be the cause for the high hardness of the (Ti,Nb)(CN)-Ni cermets.
Boutrot, Freddy; Meynard, Donaldo; Guiderdoni, Emmanuel; Joudrier, Philippe; Gautier, Marie-Françoise
2007-03-01
Plant non-specific lipid transfer proteins (nsLTPs) are encoded by a multigene family and support physiological functions, which remain unclear. We adapted an efficient ligation-mediated polymerase chain reaction (LM-PCR) procedure that enabled isolation of 22 novel Triticum aestivum nsLtp (TaLtp) genes encoding types 1 and 2 nsLTPs. A phylogenetic tree clustered the wheat nsLTPs into ten subfamilies comprising 1-7 members. We also studied the activity of four type 1 and two type 2 TaLtp gene promoters in transgenic rice using the 1-Glucuronidase reporter gene. The activities of the six promoters displayed both overlapping and distinct features in rice. In vegetative organs, these promoters were active in leaves and root vascular tissues while no beta-Glucuronidase (GUS) activity was detected in stems. In flowers, the GUS activity driven by the TaLtp7.2a, TaLtp9.1a, TaLtp9.2d, and TaLtp9.3e gene promoters was associated with vascular tissues in glumes and in the extremities of anther filaments whereas only the TaLtp9.4a gene promoter was active in anther epidermal cells. In developing grains, GUS activity and GUS immunolocalization data evidenced complex patterns of activity of the TaLtp7.1a, TaLtp9.2d, and TaLtp9.4a gene promoters in embryo scutellum and in the grain epicarp cell layer. In contrast, GUS activity driven by TaLtp7.2a, TaLtp9.1a, and TaLtp9.3e promoters was restricted to the vascular bundle of the embryo scutellum. This diversity of TaLtp gene promoter activity supports the hypothesis that the encoded TaLTPs possess distinct functions in planta.
Pre-Test Analysis Predictions for the Shell Buckling Knockdown Factor Checkout Tests - TA01 and TA02
NASA Technical Reports Server (NTRS)
Thornburgh, Robert P.; Hilburger, Mark W.
2011-01-01
This report summarizes the pre-test analysis predictions for the SBKF-P2-CYL-TA01 and SBKF-P2-CYL-TA02 shell buckling tests conducted at the Marshall Space Flight Center (MSFC) in support of the Shell Buckling Knockdown Factor (SBKF) Project, NASA Engineering and Safety Center (NESC) Assessment. The test article (TA) is an 8-foot-diameter aluminum-lithium (Al-Li) orthogrid cylindrical shell with similar design features as that of the proposed Ares-I and Ares-V barrel structures. In support of the testing effort, detailed structural analyses were conducted and the results were used to monitor the behavior of the TA during the testing. A summary of predicted results for each of the five load sequences is presented herein.
NASA Astrophysics Data System (ADS)
Hinton, M. J.; Steers, Stanley; Peters, Bryan; Yang, F. Y.; Lemberger, T. R.
2016-07-01
We report measurements of the superfluid density, λ-2(T ) , in ferromagnet-on-superconductor (F/S) bilayers and S/F/S' trilayers comprising Nb with Ni, Py, CoFe, and NiV ferromagnets. Bilayers provide information about F/S interface transparency and the T dependence of λ-2 that inform interpretation of trilayer data. The Houzet-Meyer theory accounts well for the measured dependence of λ-2(0 ) and Tc of F/S bilayers on thickness of F layer, dF, except that λ-2(0 ) is slightly under expectations for CoFe/Nb bilayers. For Nb/F/Nb' trilayers, we are able to extract Tc and and λ-2 for both Nb layers when F is thick enough to weaken interlayer coupling. The lower "Tc" is actually a crossover identified by onset of superfluid in the lower-Tc Nb layer. For Nb/NiV/Nb' trilayers, λ-2(0 ) versus dF for both Nb layers has a minimum followed by a recovery, suggestive of a π junction.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Blum, T.W.; Selvage, R.D.; Courtney, K.H.
This manual is the guide for initiating change at the Plutonium Facility, which handles the processing of plutonium as well as research on plutonium metallurgy. It describes the change and work control processes employed at TA-55 to ensure that all proposed changes are properly identified, reviewed, approved, implemented, tested, and documented so that operations are maintained within the approved safety envelope. All Laboratory groups, their contractors, and subcontractors doing work at TA-55 follow requirements set forth herein. This manual applies to all new and modified processes and experiments inside the TA-55 Plutonium Facility; general plant project (GPP) and line itemmore » funded construction projects at TA-55; temporary and permanent changes that directly or indirectly affect structures, systems, or components (SSCs) as described in the safety analysis, including Facility Control System (FCS) software; and major modifications to procedures. This manual does not apply to maintenance performed on process equipment or facility SSCs or the replacement of SSCs or equipment with documented approved equivalents.« less
Comparison of Fatigue Properties and Fatigue Crack Growth Rates of Various Implantable Metals
Okazaki, Yoshimitsu
2012-01-01
The fatigue strength, effects of a notch on the fatigue strength, and fatigue crack growth rate of Ti-15Zr-4Nb-4Ta alloy were compared with those of other implantable metals. Zr, Nb, and Ta are important alloying elements for Ti alloys for attaining superior long-term corrosion resistance and biocompatibility. The highly biocompatible Ti-15Zr-4Nb-4Ta alloy exhibited an excellent balance between strength and ductility. Its notched tensile strength was much higher than that of a smooth specimen. The strength of 20% cold-worked commercially pure (C.P.) grade 4 Ti was close to that of Ti alloy. The tension-to-tension fatigue strength of an annealed Ti-15Zr-4Nb-4Ta rod at 107 cycles was approximately 740 MPa. The fatigue strength of this alloy was much improved by aging treatment after solution treatment. The fatigue strengths of C.P. grade 4 Ti and stainless steel were markedly improved by 20% cold working. The fatigue strength of Co-Cr-Mo alloy was markedly increased by hot forging. The notch fatigue strengths of 20% cold-worked C.P. grade 4 Ti, and annealed and aged Ti-15Zr-4Nb-4Ta, and annealed Ti-6Al-4V alloys were less than those of the smooth specimens. The fatigue crack growth rate of Ti-15Zr-4Nb-4Ta was the same as that of Ti-6Al-4V. The fatigue crack growth rate in 0.9% NaCl was the same as that in air. Stainless steel and Co-Cr-Mo-Ni-Fe alloy had a larger stress-intensity factor range (ΔK) than Ti alloy.
He, Guan-Hua; Xu, Ji-Yuan; Wang, Yan-Xia; Liu, Jia-Ming; Li, Pan-Song; Chen, Ming; Ma, You-Zhi; Xu, Zhao-Shi
2016-05-23
Drought stress is one of the major causes of crop loss. WRKY transcription factors, as one of the largest transcription factor families, play important roles in regulation of many plant processes, including drought stress response. However, far less information is available on drought-responsive WRKY genes in wheat (Triticum aestivum L.), one of the three staple food crops. Forty eight putative drought-induced WRKY genes were identified from a comparison between de novo transcriptome sequencing data of wheat without or with drought treatment. TaWRKY1 and TaWRKY33 from WRKY Groups III and II, respectively, were selected for further investigation. Subcellular localization assays revealed that TaWRKY1 and TaWRKY33 were localized in the nuclei in wheat mesophyll protoplasts. Various abiotic stress-related cis-acting elements were observed in the promoters of TaWRKY1 and TaWRKY33. Quantitative real-time PCR (qRT-PCR) analysis showed that TaWRKY1 was slightly up-regulated by high-temperature and abscisic acid (ABA), and down-regulated by low-temperature. TaWRKY33 was involved in high responses to high-temperature, low-temperature, ABA and jasmonic acid methylester (MeJA). Overexpression of TaWRKY1 and TaWRKY33 activated several stress-related downstream genes, increased germination rates, and promoted root growth in Arabidopsis under various stresses. TaWRKY33 transgenic Arabidopsis lines showed lower rates of water loss than TaWRKY1 transgenic Arabidopsis lines and wild type plants during dehydration. Most importantly, TaWRKY33 transgenic lines exhibited enhanced tolerance to heat stress. The functional roles highlight the importance of WRKYs in stress response.
Scaling of anomalous Hall effect in Ta/CoFeB/MgAl2O4/Ta multilayers
NASA Astrophysics Data System (ADS)
Wu, Yong; Zhang, Qimeng; Meng, Kangkang; Chen, Jikun; Xu, Xiaoguang; Miao, Jun; Jiang, Yong
2017-06-01
The anomalous Hall effect (AHE) is studied in Ta/CoFeB/MgAl2O4/Ta multilayers with different thicknesses of MgAl2O4 (t), which causes in-plane magnetic anisotropy (IMA) for t = 1.0 nm and perpendicular magnetic anisotropy (PMA) for t ≥ 1.2 nm. Conventional scaling was demonstrated to be not inadequate in our case. The origin of the AHE in Ta/CoFeB/MgAl2O4/Ta multilayers is mainly an extrinsic mechanism. The contribution of skew scattering (SS) is unneglectable, and both the SS and side jump are enhanced when the magnetic anisotropy changes from IMA to PMA, indicating that the oxidation at the interface of CoFeB/MgAl2O4 has a dominant influence on the AHE.
NASA Astrophysics Data System (ADS)
Zhang, Rui; Gao, Peifeng; Wang, Xingzhe; Zhou, Youhe
2015-10-01
The low temperature superconducting materials, such as Nb3Sn and Nb3Al, have similar crystal structures and elastic properties. However, their critical-temperature degradations always show the distinct way under mechanical stresses. In this study, first-principles calculations for the low temperature superconductors based on plane-wave pseudo-potential density functional theory within the generalized gradient approximation are implemented, and the elastic moduli of Nb3Sn and Nb3Al and those superconductivities in the presence of hydrostatic pressure are evaluated. The Debye temperatures are obtained by the bulk moduli and shear moduli of superconducting materials. The MacMillan equation is further used to acquire the critical temperatures of Nb3Sn and Nb3Al under different hydrostatic pressures. It is found that the elastic constants and bulk moduli of the low temperature superconductors are enhanced by the applied hydrostatic pressure, while the critical temperatures usually are decreased with the pressure. Additionally, the decrease of critical-temperature for Nb3Sn is more sensitive to the hydrostatic pressure than the one for Nb3Al. The prediction results show good agreement with the experimental results in the literatures qualitatively.
Ternary metal-rich sulfide with a layered structure
Franzen, Hugo F.; Yao, Xiaoqiang
1993-08-17
A ternary Nb-Ta-S compound is provided having the atomic formula, Nb.sub.1.72 Ta.sub.3.28 S.sub.2, and exhibiting a layered structure in the sequence S-M3-M2-M1-M2-M3-S wherein S represents sulfur layers and M1, M2, and M3 represent Nb/Ta mixed metal layers. This sequence generates seven sheets stacked along the [001] direction of an approximate body centered cubic crystal structure with relatively weak sulfur-to-sulfur van der Waals type interactions between adjacent sulfur sheets and metal-to-metal bonding within and between adjacent mixed metal sheets.
Jiang, Wenhui; Liu, Tianxiang; Nan, Wenzhi; Jeewani, Diddugodage Chamila; Niu, Yanlu; Li, Chunlian; Shi, Xue; Wang, Cong; Wang, Jiahuan; Li, Yang; Wang, Zhonghua
2018-01-01
Abstract Purple pericarps of bread wheat (Triticum aestivum L.) are a useful source of dietary anthocyanins. Previous mapping results indicated that the purple pericarp trait is controlled by two complementary genes located on chromosomes 7D and 2A. However, the identity of the genes and the mechanisms by which they regulate the trait are unknown. In this study, two transcription factors were characterised as anthocyanin activators in purple pericarps: TaPpm1 (purple pericarp-MYB 1) and TaPpb1 (purple pericarp-bHLH 1). Three non-functional variants were detected in the coding sequence of TaPpm1 from non-purple seed lines, in which the function of TaPpm1 was destroyed either by insertion-induced frame shifts or truncated peptides. There were six 261-bp tandem repeats in the promoter region of TaPpb1 in the purple-grained varieties, while there was only one repeat unit present in the non-purple varieties. Furthermore, using yeast two-hybrid, dual luciferase, yeast one-hybrid, and transient assays, we were able to demonstrate that the interaction of TaPpm1 and TaPpb1 co-regulates the synthesis of anthocyanin. Overall, our results provide a better understanding of the molecular basis of anthocyanin synthesis in the wheat pericarp and indicate the existence of an integrated regulatory mechanism that controls production. PMID:29562292
Liu, Jie; Zhang, Tianren; Jia, Jizeng; Sun, Jiaqiang
2016-03-01
Powdery mildew, caused by the biotrophic fungal pathogen Blumeria graminis f. sp. tritici, is a major limitation for the production of bread wheat (Triticum aestivum). However, to date, the transcriptional regulation of bread wheat defense against powdery mildew remains largely unknown. Here, we report the function and molecular mechanism of the bread wheat Mediator subunit 25 (TaMED25) in regulating the bread wheat immune response signaling pathway. Three homoalleles of TaMED25 from bread wheat were identified and mapped to chromosomes 5A, 5B, and 5D, respectively. We show that knockdown of TaMED25 by barley stripe mosaic virus-induced gene silencing reduced bread wheat susceptibility to the powdery mildew fungus during the compatible plant-pathogen interaction. Moreover, our results indicate that MED25 may play a conserved role in regulating bread wheat and barley (Hordeum vulgare) susceptibility to powdery mildew. Similarly, bread wheat ETHYLENE INSENSITIVE3-LIKE1 (TaEIL1), an ortholog of Arabidopsis (Arabidopsis thaliana) ETHYLENE INSENSITIVE3, negatively regulates bread wheat resistance against powdery mildew. Using various approaches, we demonstrate that the conserved activator-interacting domain of TaMED25 interacts physically with the separate amino- and carboxyl-terminal regions of TaEIL1, contributing to the transcriptional activation activity of TaEIL1. Furthermore, we show that TaMED25 and TaEIL1 synergistically activate ETHYLENE RESPONSE FACTOR1 (TaERF1) transcription to modulate bread wheat basal disease resistance to B. graminis f. sp. tritici by repressing the expression of pathogenesis-related genes and deterring the accumulation of reactive oxygen species. Collectively, we identify the TaMED25-TaEIL1-TaERF1 signaling module as a negative regulator of bread wheat resistance to powdery mildew. © 2016 American Society of Plant Biologists. All Rights Reserved.
Atomistic simulation of shocks in single crystal and polycrystalline Ta
NASA Astrophysics Data System (ADS)
Bringa, E. M.; Higginbotham, A.; Park, N.; Tang, Y.; Suggit, M.; Mogni, G.; Ruestes, C. J.; Hawreliak, J.; Erhart, P.; Meyers, M. A.; Wark, J. S.
2011-06-01
Non-equilibrium molecular dynamics (MD) simulations of shocks in Ta single crystals and polycrystals were carried out using up to 360 million atoms. Several EAM and FS type potentials were tested up to 150 GPa, with varying success reproducing the Hugoniot and the behavior of elastic constants under pressure. Phonon modes were studied to exclude possible plasticity nucleation by soft-phonon modes, as observed in MD simulations of Cu crystals. The effect of loading rise time in the resulting microstructure was studied for ramps up to 0.2 ns long. Dislocation activity was not observed in single crystals, unless there were defects acting as dislocation sources above a certain pressure. E.M.B. was funded by CONICET, Agencia Nacional de Ciencia y Tecnología (PICT2008-1325), and a Royal Society International Joint Project award.
Nb/Al-AlOx/Nb Edge Junctions for Distributed Mixers
NASA Astrophysics Data System (ADS)
Amos, R. S.; Lichtenberger, A. W.; Tong, C. E.; Blundell, R.; Pan, S.-K.; Kerr, A. R.
We have fabricated high quality Nb/Al-oxide/Al/Nb edge junctions using a Nb/SiO/sub 2/ bi-layer film as the base electrode, suitable for use as traveling wave mixers. An edge is cut in the bi-layer with an ion gun at a 45 degree angle using a photoresist mask. The wafer is then cleaned in-situ with a physical ion gun clean followed by the deposition of a thin Al (a1) film, which is then thermally oxidized, an optional second Al (a2) layer, and a Nb counter electrode. It was found that devices with an a2 layer resulted in superior electrical characteristics, though proximity effects increased strongly with a2 thickness. The counter electrode is defined with an SF/sub 6/+N/sub 2/ reactive ion etch, using the Al barrier layer as an etch stop. The Al barrier layer is then either removed with an Al wet etch to isolate the individual devices, or the devices are separated with an anodization process. Various ion gun cleaning conditions have been examined; in addition, both wet and plasma etch bi-layer edge surface pre-treatments were investigated. It was found that edge junctions with large widths (i.e., those more suitable for traveling wave mixers) typically benefited more from such treatments. Initial receiver results at 260 GHz have yielded a DSB noise temperature of 60 K.
NASA Astrophysics Data System (ADS)
Boltersdorf, Jonathan; Maggard, Paul A.
2015-09-01
The PbTa4O11 and BiTa7O19 phases were prepared by ion-exchange and solid-state methods, respectively, and their structures were characterized by neutron time-of-flight diffraction and Rietveld refinement methods (PbTa4O11, R 3 (No. 146), a=6.23700(2) Å, c=36.8613(1) Å; BiTa7O19, P 6 bar c 2 (No. 188), a=6.2197(2) Å, c=20.02981(9) Å). Their structures are comprised of layers of TaO6 octahedra surrounded by three 7-coordinate Pb(II) cations or two 8-coordinate Bi(III) cations. These layers alternate down the c-axis with α-U3O8 types of single and double TaO7 pentagonal bipyramid layers. In contrast to earlier studies, both phases are found to crystallize in noncentrosymmetric structures. Symmetry-lowering structural distortions within PbTa4O11, i.e. R 3 bar c →R3, are found to be a result of the displacement of the Ta atoms within the TaO7 and TaO6 polyhedra, towards the apical and facial oxygen atoms, respectively. In BiTa7O19, relatively lower reaction temperatures leads to an ordering of the Bi/Ta cations within a lower-symmetry structure, i.e., P63/mcm→ P 6 bar c 2 . In the absence of Bi/Ta site disorder, the Ta-O-Ta bond angles decrease and the Ta-O bond distances increase within the TaO7 double layers. Scanning electron microscopy images reveal two particle morphologies for PbTa4O11, hexagonal rods and finer irregularly-shaped particles, while BiTa7O19 forms as aggregates of irregularly-shaped particles. Electronic-structure calculations confirm the highest-energy valence band states are comprised of O 2p-orbitals and the respective Pb 6s-orbital and Bi 6s-orbital contributions. The lowest-energy conduction band states are composed of Ta 5d-orbital contributions that are delocalized over the TaO6 octahedra and layers of TaO7 pentagonal bipyramids. The symmetry-lowering distortions in the PbTa4O11 structure, and the resulting effects on its electronic structure, lead to its relatively higher photocatalytic activity compared to similar structures without
Structure and Electrical Conductivity of AgTaS 3
NASA Astrophysics Data System (ADS)
Kim, Changkeun; Yun, Hoseop; Lee, Youngju; Shin, Heekyoon; Liou, Kwangkyoung
1997-09-01
Single crystals of the compound AgTaS 3have been prepared through reactions of the elements with halide mixtures. The structure of AgTaS 3has been analyzed by single-crystal X-ray diffraction methods. AgTaS 3crystallizes in the space group D172h- Cmcmof the orthorhombic system with four formula units in a cell of dimensions a=3.378(2), b=14.070(5), c=7.756(3) Å. The structure of AgTaS 3consists of two-dimensional 2∞[TaS -3] layers separated by Ag +cations. The layer is composed of Ta-centered bicapped trigonal prisms stacked on top of each other by sharing triangular faces. These chains are linked to form the infinite two-dimensional 2∞[TaS -3] slabs. These layers are held together through van der Waals interactions, and Ag +ions reside in the distorted octahedral sites between the layers. The temperature dependence of the electrical conductivity along the needle axis of AgTaS 3shows the typical behavior of an extrinsic semiconductor.
Nb-H system at high pressures and temperatures
NASA Astrophysics Data System (ADS)
Liu, Guangtao; Besedin, Stanislav; Irodova, Alla; Liu, Hanyu; Gao, Guoying; Eremets, Mikhail; Wang, Xin; Ma, Yanming
2017-03-01
We studied the Nb-H system over extended pressure and temperature ranges to establish the highest level of hydrogen abundance we could achieve from the resulting alloy. We probed the Nb-H system with laser heating and x-ray diffraction complemented by numerical density functional theory-based simulations. New quenched double hexagonal close-packed (hcp) Nb H2.5 appears under 46 GPa, and above 56 GPa cubic Nb H3 is formed as theoretically predicted. Nb atoms are arranged in close-packed lattices which are martensitically transformed in the sequence: face-centered cubic (fcc) → hcp → double hcp (dhcp) → distorted body-centered cubic (bcc) as pressure increases. The appearance of fcc Nb H2.5 -3 and dhcp Nb H2.5 cannot be understood in terms of enthalpic stability, but can be rationalized when finite temperatures are taken into account. The structural and compressional behavior of Nb Hx >2 is similar to that of NbH. Nevertheless, a direct H-H interaction emerges with hydrogen concentration increases, which manifests itself via a reduction in the lattice expansion induced by hydrogen dissolution.
Copper-tolfenamic acid: evaluation of stability and anti-cancer activity.
Hurtado, Myrna; Sankpal, Umesh T; Chhabra, Jaya; Brown, Deondra T; Maram, Rajasekhar; Patel, Rafid; Gurung, Raj K; Simecka, Jerry; Holder, Alvin A; Basha, Riyaz
2018-05-15
The non-steroidal anti-inflammatory drug, Tolfenamic acid (TA) acts as an anti-cancer agent in several adult and pediatric cancer models. Copper (Cu) is an important element with multiple biological functions and has gained interest in medical applications. Recently, [Cu(TA) 2 (bpy)] (Cu-TA) has been synthesized in order to enhance therapeutic activity. In this study, we synthesized Cu-TA using an established method, characterized it by UV visible spectroscopy and Fourier-transform infrared spectroscopy (FTIR), and tested its anti-cancer activity using twelve cell lines representing various cancers, such as Ewing sarcoma, glioblastoma, medulloblastoma, neuroblastoma, pancreatic and prostate. The anti-proliferative activity of Cu-TA was determined at 48 h post-treatment and compared with the parental compound, TA. The IC 50 values were calculated using GraphPad Prism software. The biological stability of Cu-TA was evaluated using twelve-month-old powder and six-month-old stock solution. Cardiomyocytes (H9C2) were used to test the cytotoxicity in non-malignant cells. Cu-TA showed higher anti-proliferative activity, and the IC 50 values were 30 to 80% lower when compared with TA. H9C2 cells were non-responsive to Cu-TA, suggesting that it is selective towards malignant cells. Comparison of the twelve-month-old powder and six-month-old stock solution using the Panc1 cell line showed similar IC 50 values (<5% variation), confirming the stability of Cu-TA either in powder or solution form. These findings demonstrate the potential of Cu-TA as an effective anti-cancer agent. Further studies to delineate the detailed mechanism of action of Cu-TA for specific cancer model are underway.
Site Preference of Ternary Alloying Additions to AuTi
NASA Technical Reports Server (NTRS)
Bozzolo, Guillermo; Mosca, Hugo O.; Noebe, Ronald D.
2006-01-01
Atomistic modeling of the site substitution behavior of several alloying additions, namely. Na, Mg, Al, Si. Sc, V, Cr, Mn. Fe, Co, Ni, Cu, Zn, Y, Zr. Nb, Mo, Tc, Ru, Rh, Pd, Ag, Cd, Hf, Ta, W, Re, Os, Ir, and Pt in B2 TiAu is reported. The 30 elements can be grouped according to their absolute preference for a specific site, regardless of concentration, or preference for available sites in the deficient sublattice. Results of large scale simulations are also presented, distinguishing between additions that remain in solution from those that precipitate a second phase.
Low-temperature nanodoping of protonated LiNbO3 crystals by univalent ions
NASA Astrophysics Data System (ADS)
Borodin, Yu. V.
2015-01-01
In the nanocomposite model developed here, crystals are treated as subordinate aggregate of pro- ton-selected structural elements, their blocks, and proton-containing quantum sublattices with preferred transport effects separating them. The formation of stratified reversible hexagonal structures is accompanied with protonation and formation of a dense network of H-bonds ensuring the nanocomposite properties. Nanodoping with H+ ions occurs during processing of crystals and glasses in melts as well as in aqueous solutions of Ag, Tl, Rb, and Cs salts. The isotope exchange H+ ↔ D+ and ion exchange H+ ↔ M+ lead to nanodoping of protonated materials with D+ and M+ ions. This is manifested especially clearly in Li-depleted nonequilibrium LiNbO3 and LiTaO3 crystals. Low-temperature proton-ion nanodoping over superlattices is a basically new approach to analysis of the structure and properties of extremely nonequilibrium materials.
NASA Astrophysics Data System (ADS)
Sanhueza, J. P.; Rojas, D.; Prat, O.; García, J.; Meléndrez, M. F.; Suarez, S.
2018-07-01
A 12 pct Cr martensitic/ferritic steel was designed and produced to study Laves and Z-phase as precipitation hardening particles under creep conditions (650 °C). According to thermodynamic calculations, W and Cu additions were selected to ensure the precipitation of Laves after tempering. It is known that Z-phase formation does not follow the classical nucleation theory. Indeed, MX particles are transformed into Z-phase by Cr diffusion from the matrix to the precipitate. Therefore, to promote fast Z-phase formation, Ta, Co, and N additions were used to produce Ta-MX, which will be transformed into Z-phase. The main result achieved was the precipitation of Laves after tempering, with a particle size of 196 nm. As regards to Z-phase, the transformation of Ta-MX into Z-phase after tempering was confirmed by the formation of hybrid nanoparticles of 30 nm. Although W and Ta have a low diffusion in the martensitic/ferritic matrix, characterization of the precipitates after isothermal aging revealed that Laves and Z-phase have fast growth kinetics, reaching 400 and 143 nm, respectively, at 8760 hours. Consequently, creep test at 650 °C showed premature failures after few thousand hours. Therefore, it is expected that future research in the field of martensitic/ferritic steels will focus on the growth and coarsening behavior of Laves and Z-phase.
NASA Astrophysics Data System (ADS)
Sanhueza, J. P.; Rojas, D.; Prat, O.; García, J.; Meléndrez, M. F.; Suarez, S.
2018-05-01
A 12 pct Cr martensitic/ferritic steel was designed and produced to study Laves and Z-phase as precipitation hardening particles under creep conditions (650 °C). According to thermodynamic calculations, W and Cu additions were selected to ensure the precipitation of Laves after tempering. It is known that Z-phase formation does not follow the classical nucleation theory. Indeed, MX particles are transformed into Z-phase by Cr diffusion from the matrix to the precipitate. Therefore, to promote fast Z-phase formation, Ta, Co, and N additions were used to produce Ta-MX, which will be transformed into Z-phase. The main result achieved was the precipitation of Laves after tempering, with a particle size of 196 nm. As regards to Z-phase, the transformation of Ta-MX into Z-phase after tempering was confirmed by the formation of hybrid nanoparticles of 30 nm. Although W and Ta have a low diffusion in the martensitic/ferritic matrix, characterization of the precipitates after isothermal aging revealed that Laves and Z-phase have fast growth kinetics, reaching 400 and 143 nm, respectively, at 8760 hours. Consequently, creep test at 650 °C showed premature failures after few thousand hours. Therefore, it is expected that future research in the field of martensitic/ferritic steels will focus on the growth and coarsening behavior of Laves and Z-phase.
Zhang, Tianren; Jia, Jizeng; Sun, Jiaqiang
2016-01-01
Powdery mildew, caused by the biotrophic fungal pathogen Blumeria graminis f. sp. tritici, is a major limitation for the production of bread wheat (Triticum aestivum). However, to date, the transcriptional regulation of bread wheat defense against powdery mildew remains largely unknown. Here, we report the function and molecular mechanism of the bread wheat Mediator subunit 25 (TaMED25) in regulating the bread wheat immune response signaling pathway. Three homoalleles of TaMED25 from bread wheat were identified and mapped to chromosomes 5A, 5B, and 5D, respectively. We show that knockdown of TaMED25 by barley stripe mosaic virus-induced gene silencing reduced bread wheat susceptibility to the powdery mildew fungus during the compatible plant-pathogen interaction. Moreover, our results indicate that MED25 may play a conserved role in regulating bread wheat and barley (Hordeum vulgare) susceptibility to powdery mildew. Similarly, bread wheat ETHYLENE INSENSITIVE3-LIKE1 (TaEIL1), an ortholog of Arabidopsis (Arabidopsis thaliana) ETHYLENE INSENSITIVE3, negatively regulates bread wheat resistance against powdery mildew. Using various approaches, we demonstrate that the conserved activator-interacting domain of TaMED25 interacts physically with the separate amino- and carboxyl-terminal regions of TaEIL1, contributing to the transcriptional activation activity of TaEIL1. Furthermore, we show that TaMED25 and TaEIL1 synergistically activate ETHYLENE RESPONSE FACTOR1 (TaERF1) transcription to modulate bread wheat basal disease resistance to B. graminis f. sp. tritici by repressing the expression of pathogenesis-related genes and deterring the accumulation of reactive oxygen species. Collectively, we identify the TaMED25-TaEIL1-TaERF1 signaling module as a negative regulator of bread wheat resistance to powdery mildew. PMID:26813794