Sample records for deposited tin films

  1. Optimization of process parameters for RF sputter deposition of tin-nitride thin-films

    NASA Astrophysics Data System (ADS)

    Jangid, Teena; Rao, G. Mohan

    2018-05-01

    Radio frequency Magnetron sputtering technique was employed to deposit Tin-nitride thin films on Si and glass substrate at different process parameters. Influence of varying parameters like substrate temperature, target-substrate distance and RF power is studied in detail. X-ray diffraction method is used as a key technique for analyzing the changes in the stoichiometric and structural properties of the deposited films. Depending on the combination of deposition parameters, crystalline as well as amorphous films were obtained. Pure tin-nitride thin films were deposited at 15W RF power and 600°C substrate temperature with target-substrate distance fixed at 10cm. Bandgap value of 1.6 eV calculated for the film deposited at optimum process conditions matches well with reported values.

  2. Room temperature deposition of sputtered TiN films for superconducting coplanar waveguide resonators

    NASA Astrophysics Data System (ADS)

    Ohya, S.; Chiaro, B.; Megrant, A.; Neill, C.; Barends, R.; Chen, Y.; Kelly, J.; Low, D.; Mutus, J.; O'Malley, P. J. J.; Roushan, P.; Sank, D.; Vainsencher, A.; Wenner, J.; White, T. C.; Yin, Y.; Schultz, B. D.; Palmstrøm, C. J.; Mazin, B. A.; Cleland, A. N.; Martinis, John M.

    2014-01-01

    We present a systematic study of the properties of room temperature deposited TiN films by varying the deposition conditions in an ultra-high-vacuum reactive magnetron sputtering chamber. By increasing the deposition pressure from 2 to 9 mTorr while keeping a nearly stoichiometric composition of Ti1-xNx (x = 0.5) without substrate heating, the film resistivity increases, the dominant crystal orientation changes from (100) to (111), grain boundaries become clearer, and the strong compressive in-plane strain changes to weak tensile in-plane strain. The TiN films absorb a high concentration of contaminants including hydrogen, carbon, and oxygen when they are exposed to air after deposition. With the target-substrate distance set to 88 mm the contaminant levels increase from ˜0.1% to ˜10% as the pressure is increased from 2 to 9 mTorr. The contaminant concentrations also correlate with in-plane distance from the center of the substrate and increase by roughly two orders of magnitude as the target-substrate distance is increased from 88 to 266 mm. These contaminants are found to strongly influence the properties of TiN thin films. For instance, the resistivity of stoichiometric films increases by around a factor of 5 as the oxygen content increases from 0.1% to 11%. These results strongly suggest that the energy of the sputtered TiN particles plays a crucial role in determining the TiN film properties, and that it is important to precisely control the energy of these particles to obtain high-quality TiN films. Superconducting coplanar waveguide resonators made from a series of nearly stoichiometric films grown at pressures from 2 to 7 mTorr show a substantial increase in intrinsic quality factor from ˜104 to ˜106 as the magnitude of the compressive strain decreases from nearly 3800 MPa to approximately 150 MPa and the oxygen content increases from 0.1% to 8%. Surprisingly, the films with a higher oxygen content exhibit lower loss, but care must be taken when

  3. Cathodic cage plasma deposition of TiN and TiO{sub 2} thin films on silicon substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sousa, Romulo R. M. de; Sato, Patricia S.; Nascente, Pedro A. P., E-mail: nascente@ufscar.br

    2015-07-15

    Cathodic cage plasma deposition (CCPD) was used for growing titanium nitride (TiN) and titanium dioxide (TiO{sub 2}) thin films on silicon substrates. The main advantages of the CCPD technique are the uniformity, tridimensionality, and high rate of the film deposition that occurs at higher pressures, lower temperatures, and lower treatment times than those used in conventional nitriding treatments. In this work, the influence of the temperature and gas atmosphere upon the characteristics of the deposited films was investigated. The TiN and TiO{sub 2} thin films were characterized by x-ray diffraction, scanning electron microscopy, and Raman spectroscopy to analyze their chemical,more » structural, and morphological characteristics, and the combination of these results indicates that the low-cost CCPD technique can be used to produce even and highly crystalline TiN and TiO{sub 2} films.« less

  4. Intrinsic anomalous surface roughening of TiN films deposited by reactive sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Auger, M. A.; Centro Nacional de Investigaciones Metalurgicas; Vazquez, L.

    2006-01-15

    We study surface kinetic roughening of TiN films grown on Si(100) substrates by dc reactive sputtering. The surface morphology of films deposited for different growth times under the same experimental conditions were analyzed by atomic force microscopy. The TiN films exhibit intrinsic anomalous scaling and multiscaling. The film kinetic roughening is characterized by a set of local exponent values {alpha}{sub loc}=1.0 and {beta}{sub loc}=0.39, and global exponent values {alpha}=1.7 and {beta}=0.67, with a coarsening exponent of 1/z=0.39. These properties are correlated to the local height-difference distribution function obeying power-law statistics. We associate this intrinsic anomalous scaling with the instability duemore » to nonlocal shadowing effects that take place during thin-film growth by sputtering.« less

  5. Radiation-induced deposition of transparent conductive tin oxide coatings

    NASA Astrophysics Data System (ADS)

    Umnov, S.; Asainov, O.; Temenkov, V.

    2016-04-01

    The study of tin oxide films is stimulated by the search for an alternative replacement of indium-tin oxide (ITO) films used as transparent conductors, oxidation catalysts, material gas sensors, etc. This work was aimed at studying the influence of argon ions irradiation on optical and electrical characteristics of tin oxide films. Thin films of tin oxide (without dopants) were deposited on glass substrates at room temperature using reactive magnetron sputtering. After deposition, the films were irradiated with an argon ion beam. The current density of the beam was (were) 2.5 mA/cm2, and the particles energy was 300-400 eV. The change of the optical and electrical properties of the films depending on the irradiation time was studied. Films optical properties were investigated by photometry in the range of 300-1100 nm. Films structural properties were studied using X-ray diffraction. The diffractometric research showed that the films, deposited on a substrate, had a crystal structure, and after argon ions irradiation they became quasi-crystalline (amorphous). It has been found that the transmission increases proportionally with the irradiation time, however the sheet resistance increases disproportionally. Tin oxide films (thickness ~30 nm) with ~100% transmittance and sheet resistance of ~100 kOhm/sq. were obtained. The study has proved to be prospective in the use of ion beams to improve the properties of transparent conducting oxides.

  6. Atomic layer deposition of tin oxide and zinc tin oxide using tetraethyltin and ozone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Warner, Ellis J.; Gladfelter, Wayne L., E-mail: wlg@umn.edu; Johnson, Forrest

    Silicon or glass substrates exposed to sequential pulses of tetraethyltin (TET) and ozone (O{sub 3}) were coated with thin films of SnO{sub 2}. Self-limiting deposition was found using 8 s pulse times, and a uniform thickness per cycle (TPC) of 0.2 nm/cycle was observed in a small, yet reproducible, temperature window from 290 to 320 °C. The as-deposited, stoichiometric SnO{sub 2} films were amorphous and transparent above 400 nm. Interspersing pulses of diethylzinc and O{sub 3} among the TET:O{sub 3} pulses resulted in deposition of zinc tin oxide films, where the fraction of tin, defined as [at. % Sn/(at. % Sn + at. %more » Zn)], was controlled by the ratio of TET pulses, specifically n{sub TET}:(n{sub TET} + n{sub DEZ}) where n{sub TET} and n{sub DEZ} are the number of precursor/O{sub 3} subcycles within each atomic layer deposition (ALD) supercycle. Based on film thickness and composition measurements, the TET pulse time required to reach saturation in the TPC of SnO{sub 2} on ZnO surfaces was increased to >30 s. Under these conditions, film stoichiometry as a function of the TET pulse ratio was consistent with the model devised by Elliott and Nilsen. The as-deposited zinc tin oxide (ZTO) films were amorphous and remained so even after annealing at 450 °C in air for 1 h. The optical bandgap of the transparent ZTO films increased as the tin concentration increased. Hall measurements established that the n-type ZTO carrier concentration was 3 × 10{sup 17} and 4 × 10{sup 18} cm{sup −3} for fractional tin concentrations of 0.28 and 0.63, respectively. The carrier mobility decreased as the concentration of tin increased. A broken gap pn junction was fabricated using ALD-deposited ZTO and a sputtered layer of cuprous oxide. The junction demonstrated ohmic behavior and low resistance consistent with similar junctions prepared using sputter-deposited ZTO.« less

  7. Investigation of thermal and hot-wire chemical vapor deposition copper thin films on TiN substrates using CupraSelect as precursor.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    Copper films were deposited on oxidized Si substrates covered with TiN using a novel chemical vapor deposition reactor in which reactions were assisted by a heated tungsten filament (hot-wire CVD, HWCVD). Liquid at room temperature hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) was directly injected into the reactor with the aid of a direct-liquid injection (DLI) system using N2 as carrier gas. The deposition rates of HWCVD Cu films obtained on TiN covered substrates were found to increase with filament temperature (65 and 170 degrees C were tested). The resistivities of HWCVD Cu films were found to be higher than for thermally grown films due to the possible presence of impurities into the Cu films from the incomplete dissociation of the precursor and W impurities caused by the presence of the filament. For HWCVD films grown at a filament temperature of 170 degrees C, smaller grains are formed than at 65 degrees C as shown from the taken SEM micrographs. XRD diffractograms taken on Cu films deposited on TiN could not reveal the presence of W compounds originating from the filament because the relative peak was masked by the TiN [112] peak.

  8. Properties of zinc tin oxide thin film by aerosol assisted chemical vapor deposition (AACVD)

    NASA Astrophysics Data System (ADS)

    Riza, Muhammad Arif; Rahman, Abu Bakar Abd; Sepeai, Suhaila; Ludin, Norasikin Ahmad; Teridi, Mohd Asri Mat; Ibrahim, Mohd Adib

    2018-05-01

    This study focuses on the properties of ZTO which have been deposited by a low-cost method namely aerosol assisted chemical vapor deposition (AACVD). The precursors used in this method were zinc acetate dihidrate and tin chloride dihydrate for ZTO thin film deposition. Both precursors were mixed and stirred until fully dissolved before deposition. The ZTO was deposited on borosilicate glass substrate for the investigation of optical properties. The films deposited have passed the scotch tape adherence test. XRD revealed that the crystal ZTO is slightly in the form of perovskite structure but several deteriorations were also seen in the spectrum. The UV-Vis analysis showed high transmittance of ˜85% and the band gap was calculated to be 3.85 eV. The average thickness of the film is around 284 nm. The results showed that the ZTO thin films have been successfully deposited by the utilization of AACVD method.

  9. Bandgap-Engineered Zinc-Tin-Oxide Thin Films for Ultraviolet Sensors.

    PubMed

    Cheng, Tien-Hung; Chang, Sheng-Po; Chang, Shoou-Jinn

    2018-07-01

    Zinc-tin-oxide thin-film transistors were prepared by radio frequency magnetron co-sputtering, while an identical zinc-tin-oxide thin film was deposited simultaneously on a clear glass substrate to facilitate measurements of the optical properties. When we adjusted the deposition power of ZnO and SnO2, the bandgap of the amorphous thin film was dominated by the deposition power of SnO2. Since the thin-film transistor has obvious absorption in the ultraviolet region owing to the wide bandgap, the drain current increases with the generation of electron-hole pairs. As part of these investigations, a zinc-tin-oxide thin-film transistor has been fabricated that appears to be very promising for ultraviolet applications.

  10. Indium Tin Oxide-Magnesium Fluoride Co-Deposited Films for Spacecraft Applications

    NASA Technical Reports Server (NTRS)

    Dever, Joycer A.; Rutledge, Sharon K.; Hambourger, Paul D.; Bruckner, Eric; Ferrante, Rhea; Pal, Anna Marie; Mayer, Karen; Pietromica, Anthony J.

    1998-01-01

    Highly transparent coatings with a maximum sheet resistivity between 10(exp 8) and 10(exp 9) ohms/square are desired to prevent charging of solar arrays for low Earth polar orbit and geosynchronous orbit missions. Indium tin oxide (ITO) and magnesium fluoride (MgF2) were ion beam sputter co-deposited onto fused silica substrates and were evaluated for transmittance, sheet resistivity and the effects of simulated space environments including atomic oxygen (AO) and vacuum ultraviolet (VUV) radiation. Optical properties and sheet resistivity as a function of MgF2 content in the films will be presented. Films containing 8.4 wt.% MgF2 were found to be highly transparent and provided sheet resistivity in the required range. These films maintained a high transmittance upon exposure to AO and to VUV radiation, although exposure to AO in the presence of charged species and intense electromagnetic radiation caused significant degradation in film transmittance. Sheet resistivity of the as-fabricated films increased with time in ambient conditions. Vacuum beat treatment following film deposition caused a reduction in sheet resistivity. However, following vacuum heat treatment, sheet resistivity values remained stable during storage in ambient conditions.

  11. Influence of a TiN interlayer on the microstructure and mechanical properties of hydroxyapatite films grown by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Nelea, Valentin D.; Ristoscu, Carmen; Colis, Silviu; Arens, Simona; Pelletier, Herve; Mihailescu, Ion N.; Mille, Pierre

    2001-04-01

    Crystalline hydroxyapatite (HA) thin films grown on metallic substrates is the best choice for bone restoration. This is due to the good biological compatibility of the hydroxyapatite material combined with the good mechanical characteristics of the substrates. We deposit HA thin films by Pulsed Laser Deposition (PLD) in vacuum at room temperature using a KrF* excimer laser ((lambda) equals 248 nm, (tau) FWHM >= 20 ns). The depositions were performed directly on Ti-5Al-2.5Fe or on substrates previously coated with a TiN buffer layer. The HA deposited structures were characterized by complementary techniques: GIXRD, SEM, TEM, SAED, EDS and nanoindentation. Properties of the HA films grown with and without the TiN buffer were discussed in term of microstructure and mechanical behavior. The films with interlayer preserve the stoichiometry, are completely recrystallized and present better mechanical characteristics as compared with those without buffer.

  12. Influence of Microstructure on the Electrical Properties of Heteroepitaxial TiN Films

    NASA Astrophysics Data System (ADS)

    Xiang, Wenfeng; Liu, Yuan; Zhang, Jiaqi

    2018-05-01

    Heteroepitaxial TiN films were deposited on Si substrates by pulse laser deposition at different substrate temperature. The microstructure and surface morphology of the films were investigated by X-ray diffraction (θ-2θ scan, ω-scan, and ϕ-scan) and atomic force microscopy. The electrical properties of the prepared TiN films were studied using a physical property measurement system. The experimental results showed that the crystallinity and surface morphology of the TiN films were improved gradually with increasing substrate temperature below 700 °C. Specially, single crystal TiN films were prepared when substrate temperature is above 700 °C; However, the quality of TiN films gradually worsened when the substrate temperature was increased further. The electrical properties of the films were directly correlated to their crystalline quality. At the optimal substrate temperature of 700 °C, the TiN films exhibited the lowest resistivity and highest mobility of 25.7 μΩ cm and 36.1 cm2/V s, respectively. In addition, the mechanism concerning the influence of substrate temperature on the microstructure of TiN films is discussed in detail.

  13. Ovonic type switching in tin selenide thin films

    NASA Technical Reports Server (NTRS)

    Baxter, C. R.; Mclennan, W. D.

    1975-01-01

    Amorphous tin selenide thin films which possess Ovonic type switching properties are fabricated using vacuum deposition techniques. The devices are fabricated in a planar configuration and consist of amorphous tin selenide deposited over silver contacts. Results obtained indicate that Ovonic type memory switching does occur in these films with the energy density required for switching from a high impedance to a low impedance state being dependent on the spacing between the electrodes of the device. There is also a strong implication that the switching is a function of the magnitude of the applied voltage pulse.

  14. Structural and electrical characteristics of gallium tin oxide thin films prepared by electron cyclotron resonance-metal organic chemical vapor deposition.

    PubMed

    Park, Ji Hun; Byun, Dongjin; Lee, Joong Kee

    2011-08-01

    Gallium tin oxide composite (GTO) thin films were prepared by electron cyclotron resonance-metal organic chemical vapor deposition (ECR-MOCVD). The organometallics of tetramethlytin and trimethylgallium were used for precursors of gallium and tin, respectively. X-ray diffraction (XRD) characterization indicated that the gallium tin oxide composite thin films show the nanopolycrystalline of tetragonal rutile structure. Hall measurement indicated that the Ga/[O+Sn] mole ratio play an important role to determine the electrical properties of gallium tin composite oxide thin films. n-type conducting film obtained Ga/[O+Sn] mole ratio of 0.05 exhibited the lowest electrical resistivity of 1.21 x 10(-3) ohms cm. In our experimental range, the optimized carrier concentration of 3.71 x 10(18) cm(-3) was prepared at the Ga/[O+Sn] mole ratio of 0.35.

  15. Hydrogenation behavior of Ti-implanted Zr-1Nb alloy with TiN films deposited using filtered vacuum arc and magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Kashkarov, E. B.; Nikitenkov, N. N.; Sutygina, A. N.; Bezmaternykh, A. O.; Kudiiarov, V. N.; Syrtanov, M. S.; Pryamushko, T. S.

    2018-02-01

    More than 60 years of operation of water-cooled reactors have shown that local or general critical hydrogen concentration is one of the basic limiting criteria of zirconium-based fuel element claddings. During the coolant radiolysis, released hydrogen penetrates and accumulates in zirconium alloys. Hydrogenation of zirconium alloys leads to degradation of their mechanical properties, hydride cracking and stress corrosion cracking. In this research the effect of titanium nitride (TiN) deposition on hydrogenation behavior of Ti-implanted Zr-1Nb alloy was described. Ti-implanted interlayer was fabricated by plasma immersion ion implantation (PIII) at the pulsed bias voltage of 1500 V to improve the adhesion of TiN and reduce hydrogen penetration into Zr-1Nb alloy. We conducted the comparative analysis on hydrogenation behavior of the Ti-implanted alloy with sputtered and evaporated TiN films by reactive dc magnetron sputtering (dcMS) and filtered cathodic vacuum arc deposition (FVAD), respectively. The crystalline structure and surface morphology were investigated using X-ray diffraction (XRD) and scanning electron microscopy (SEM). The elemental distribution was analyzed using glow-discharge optical emission spectroscopy (GD-OES). Hydrogenation was performed from gas atmosphere at 350 °C and 2 atm hydrogen pressure. The results revealed that TiN films as well as Ti implantation significantly reduce hydrogen absorption rate of Zr-1Nb alloy. The best performance to reduce the rate of hydrogen absorption is Ti-implanted layer with evaporated TiN film. Morphology of the films impacted hydrogen permeation through TiN films: the denser film the lower hydrogen permeation. The Ti-implanted interface plays an important role of hydrogen accumulation layer for trapping the penetrated hydrogen. No deterioration of adhesive properties of TiN films on Zr-1Nb alloy with Ti-implanted interface occurs under high-temperature hydrogen exposure. Thus, the fabrication of Ti

  16. Substrate-insensitive atomic layer deposition of plasmonic titanium nitride films

    DOE PAGES

    Yu, Ing-Song; Cheng, Hsyi-En; Chang, Chun-Chieh; ...

    2017-02-06

    The plasmonic properties of titanium nitride (TiN) films depend on the type of substrate when using typical deposition methods such as sputtering. We show atomic layer deposition (ALD) of TiN films with very weak dependence of plasmonic properties on the substrate, which also suggests the prediction and evaluation of plasmonic performance of TiN nanostructures on arbitrary substrates under a given deposition condition. Our results also observe that substrates with more nitrogen-terminated (N-terminated) surfaces will have significant impact on the deposition rate as well as the film plasmonic properties. Furthermore, we illustrate that the plasmonic properties of ALD TiN films canmore » be tailored by simply adjusting the deposition and/or post-deposition annealing temperatures. These characteristics and the capability of conformal coating make ALD TiN films on templates ideal for applications that require the fabrication of complex 3D plasmonic nanostructures.« less

  17. Plasma-enhanced atomic layer deposition for plasmonic TiN

    NASA Astrophysics Data System (ADS)

    Otto, Lauren M.; Hammack, Aaron T.; Aloni, Shaul; Ogletree, D. Frank; Olynick, Deirdre L.; Dhuey, Scott; Stadler, Bethanie J. H.; Schwartzberg, Adam M.

    2016-09-01

    This work presents the low temperature plasma-enhanced atomic layer deposition (PE-ALD) of TiN, a promising plasmonic synthetic metal. The plasmonics community has immediate needs for alternatives to traditional plasmonic materials (e.g. Ag and Au), which lack chemical, thermal, and mechanical stability. Plasmonic alloys and synthetic metals have significantly improved stability, but their growth can require high-temperatures (>400 °C), and it is difficult to control the thickness and directionality of the resulting film, especially on technologically important substrates. Such issues prevent the application of alternative plasmonic materials for both fundamental studies and large-scale industrial applications. Alternatively, PE-ALD allows for conformal deposition on a variety of substrates with consistent material properties. This conformal coating will allow the creation of exotic three-dimensional structures, and low-temperature deposition techniques will provide unrestricted usage across a variety of platforms. The characterization of this new plasmonic material was performed with in-situ spectroscopic ellipsometry as well as Auger electron spectroscopy for analysis of TiN film sensitivity to oxide cross-contamination. Plasmonic TiN films were fabricated, and a chlorine plasma etch was found to pattern two dimensional gratings as a test structure. Optical measurements of 900 nm period gratings showed reasonable agreement with theoretical modeling of the fabricated structures, indicating that ellipsometry models of the TiN were indeed accurate.

  18. Growth behavior and properties of atomic layer deposited tin oxide on silicon from novel tin(II)acetylacetonate precursor and ozone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kannan Selvaraj, Sathees; Feinerman, Alan; Takoudis, Christos G., E-mail: takoudis@uic.edu

    In this work, a novel liquid tin(II) precursor, tin(II)acetylacetonate [Sn(acac){sub 2}], was used to deposit tin oxide films on Si(100) substrate, using a custom-built hot wall atomic layer deposition (ALD) reactor. Three different oxidizers, water, oxygen, and ozone, were tried. Resulting growth rates were studied as a function of precursor dosage, oxidizer dosage, reactor temperature, and number of ALD cycles. The film growth rate was found to be 0.1 ± 0.01 nm/cycle within the wide ALD temperature window of 175–300 °C using ozone; no film growth was observed with water or oxygen. Characterization methods were used to study the composition, interface quality, crystallinity, microstructure,more » refractive index, surface morphology, and resistivity of the resulting films. X-ray photoelectron spectra showed the formation of a clean SnO{sub x}–Si interface. The resistivity of the SnO{sub x} films was calculated to be 0.3 Ω cm. Results of this work demonstrate the possibility of introducing Sn(acac){sub 2} as tin precursor to deposit conducting ALD SnO{sub x} thin films on a silicon surface, with clean interface and no formation of undesired SiO{sub 2} or other interfacial reaction products, for transparent conducting oxide applications.« less

  19. Influence of Deposition Conditions on Fatigue Properties of Martensitic Stainless Steel with Tin Film Coated by Arc Ion Plating Method

    NASA Astrophysics Data System (ADS)

    Fukui, Satoshi; Yonekura, Daisuke; Murakami, Ri-Ichi

    The surface properties like roughness etc. strongly influence the fatigue strength of high-tensile steel. To investigate the effect of surface condition and TiN coating on the fatigue strength of high-strength steel, four-point bending fatigue tests were carried out for martensitic stainless steel with TiN film coated using arc ion plating (AIP) method. This study, using samples that had been polished under several size of grind particle, examines the influence of pre-coating treatment on fatigue properties. A 2-µm-thick TiN film was deposited onto the substrate under three kinds of polishing condition. The difference of the hardness originated in the residual stress or thin deformation layer where the difference of the size of grinding particle of the surface polishing. And it leads the transformation of the interface of the substrate and the TiN film and improves fatigue limit.

  20. Nanocomposite TiN films with embedded MoS2 inorganic fullerenes produced by combining supersonic cluster beam deposition with cathodic arc reactive evaporation

    NASA Astrophysics Data System (ADS)

    Piazzoni, C.; Blomqvist, M.; Podestà, A.; Bardizza, G.; Bonati, M.; Piseri, P.; Milani, P.; Davies, C.; Hatto, P.; Ducati, C.; Sedláčková, K.; Radnóczi, G.

    2008-01-01

    We report the production and characterization of nanocomposite thin films consisting of a titanium nitride matrix with embedded molybdenum disulphide fullerene-like nanoparticles. This was achieved by combining a cluster source generating a pulsed supersonic beam of MoS2 clusters with an industrial cathodic arc reactive evaporation apparatus used for TiN deposition. Cluster-assembled films show the presence of MoS2 nanocages and nanostructures and the survival of such structures dispersed in the TiN matrix in the co-deposited samples. Nanotribological characterization by atomic force microscopy shows that the presence of MoS2 nanoparticles even in very low concentration modifies the behaviour of the TiN matrix.

  1. Structure and Internal Stress of Tin-Doped Indium Oxide and Indium-Zinc Oxide Films Deposited by DC Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Nishimura, Eriko; Sasabayashi, Tomoko; Ito, Norihiro; Sato, Yasushi; Utsumi, Kentaro; Yano, Koki; Kaijo, Akira; Inoue, Kazuyoshi; Shigesato, Yuzo

    2007-12-01

    Representative transparent conductive oxide films, such as tin-doped indium oxide (ITO) and indium-zinc oxide (IZO) films, were deposited by dc magnetron sputtering using corresponding oxide targets under various total gas pressures (Ptot) ranging from 0.3 to 3.0 Pa. The ITO films deposited at a Ptot lower than 0.7 Pa were polycrystalline and were found to have a large compressive stress of about 1.5 × 109 Pa, whereas the ITO films deposited at 1.5-3.0 Pa were amorphous and had a low tensile stress. In contrast, all the IZO films deposited at a Ptot range of 0.3-3.0 Pa showed an entirely amorphous structure, where the compressive stress in the IZO films deposited at a Ptot lower than 1.5 Pa was lower than that in the ITO films. Such compressive stress was considered to be generated by the atomic peening effect of high-energy neutrals (Ar0) recoiled from the target or high-energy negative ions (O-) accelerated in the cathode sheath toward the film surface.

  2. Spray deposited gallium doped tin oxide thinfilm for acetone sensor application

    NASA Astrophysics Data System (ADS)

    Preethi, M. S.; Bharath, S. P.; Bangera, Kasturi V.

    2018-04-01

    Undoped and gallium doped (1 at.%, 2 at.% and 3 at.%) tin oxide thin films were prepared using spray pyrolysis technique by optimising the deposition conditions such as precursor concentration, substrate temperature and spraying rate. X-ray diffraction analysis revealed formation of tetragonally structured polycrystalline films. The SEM micrographs of Ga doped films showed microstructures. The electrical resistivity of the doped films was found to be more than that of the undoped films. The Ga-doped tin oxide thin films were characterised for gas sensors. 1 at.% Ga doped thin films were found to be better acetone gas sensor, showed 68% sensitivity at 350°C temperature.

  3. Electrical and optical performance of transparent conducting oxide films deposited by electrostatic spray assisted vapour deposition.

    PubMed

    Hou, Xianghui; Choy, Kwang-Leong; Liu, Jun-Peng

    2011-09-01

    Transparent conducting oxide (TCO) films have the remarkable combination of high electrical conductivity and optical transparency. There is always a strong motivation to produce TCO films with good performance at low cost. Electrostatic Spray Assisted Vapor Deposition (ESAVD), as a variant of chemical vapour deposition (CVD), is a non-vacuum and low-cost deposition method. Several types of TCO films have been deposited using ESAVD process, including indium tin oxide (ITO), antimony-doped tin oxide (ATO), and fluorine doped tin oxide (FTO). This paper reports the electrical and optical properties of TCO films produced by ESAVD methods, as well as the effects of post treatment by plasma hydrogenation on these TCO films. The possible mechanisms involved during plasma hydrogenation of TCO films are also discussed. Reduction and etching effect during plasma hydrogenation are the most important factors which determine the optical and electrical performance of TCO films.

  4. Reaction Mechanisms of the Atomic Layer Deposition of Tin Oxide Thin Films Using Tributyltin Ethoxide and Ozone.

    PubMed

    Nanayakkara, Charith E; Liu, Guo; Vega, Abraham; Dezelah, Charles L; Kanjolia, Ravindra K; Chabal, Yves J

    2017-06-20

    Uniform and conformal deposition of tin oxide thin films is important for several applications in electronics, gas sensing, and transparent conducting electrodes. Thermal atomic layer deposition (ALD) is often best suited for these applications, but its implementation requires a mechanistic understanding of the initial nucleation and subsequent ALD processes. To this end, in situ FTIR and ex situ XPS have been used to explore the ALD of tin oxide films using tributyltin ethoxide and ozone on an OH-terminated, SiO 2 -passivated Si(111) substrate. Direct chemisorption of tributyltin ethoxide on surface OH groups and clear evidence that subsequent ligand exchange are obtained, providing mechanistic insight. Upon ozone pulse, the butyl groups react with ozone, forming surface carbonate and formate. The subsequent tributyltin ethoxide pulse removes the carbonate and formate features with the appearance of the bands for CH stretching and bending modes of the precursor butyl ligands. This ligand-exchange behavior is repeated for subsequent cycles, as is characteristic of ALD processes, and is clearly observed for deposition temperatures of 200 and 300 °C. On the basis of the in situ vibrational data, a reaction mechanism for the ALD process of tributyltin ethoxide and ozone is presented, whereby ligands are fully eliminated. Complementary ex situ XPS depth profiles confirm that the bulk of the films is carbon-free, that is, formate and carbonate are not incorporated into the film during the deposition process, and that good-quality SnO x films are produced. Furthermore, the process was scaled up in a cross-flow reactor at 225 °C, which allowed the determination of the growth rate (0.62 Å/cycle) and confirmed a self-limiting ALD growth at 225 and 268 °C. An analysis of the temperature-dependence data reveals that growth rate increases linearly between 200 and 300 °C.

  5. Plasma enhanced chemical vapor deposition of titanium nitride thin films using cyclopentadienyl cycloheptatrienyl titanium

    NASA Astrophysics Data System (ADS)

    Charatan, R. M.; Gross, M. E.; Eaglesham, D. J.

    1994-10-01

    The use of a low oxidation state Ti compound, cyclopentadienyl cycloheptatrienyl titanium, (C5H5) Ti(C7H7) (CPCHT), as a potential source for TiN and Ti in plasma enhanced chemical vapor deposition processes has been investigated. This precursor provides us with a new chemical vapor deposition route to TiN films that offer an interesting contrast to films deposited from Ti(IV) precursors. Film depositions were carried out by introducing CPCHT, with H2 carrier gas, into the downstream region of a NH3, N2, H2, or mixed H2/N2 plasma. Low resistivity (100-250 micro-ohm cm) nitrogen-rich TiN films with little carbon or oxygen incorporation and good conformality were deposited with activated N2 or NH3 at deposition temperatures of 300-600 C, inclusive. Mixed H2/N2 plasmas resulted in more stoichiometric TiN films with similar properties. The most striking feature of these films is the absence of columnar grain growth, in contrast to TiN films deposited using TiCl4 or Ti(NR(2))(4). Although the film texture was influenced by the plasma gas, the average grain size of the films deposited using activated N2 and NH3 was similar. The TiN films that we deposited were effective diffusion barriers between aluminum and silicon up to 575 C. Depositions using activated H2 resulted in films with significantly less carbon than CPCHT, but still having a minimum of 2.7:1 C:Ti. The lower oxidation state of the precursor did not facilitate the deposition of a Ti-rich film. No depositions were observed with any of the reactant gases in the absence of plasmas activation.

  6. Tin induced a-Si crystallization in thin films of Si-Sn alloys

    NASA Astrophysics Data System (ADS)

    Neimash, V.; Poroshin, V.; Shepeliavyi, P.; Yukhymchuk, V.; Melnyk, V.; Kuzmich, A.; Makara, V.; Goushcha, A. O.

    2013-12-01

    Effects of tin doping on crystallization of amorphous silicon were studied using Raman scattering, Auger spectroscopy, scanning electron microscopy, and X-ray fluorescence techniques. Formation of silicon nanocrystals (2-4 nm in size) in the amorphous matrix of Si1-xSnx, obtained by physical vapor deposition of the components in vacuum, was observed at temperatures around 300 °C. The aggregate volume of nanocrystals in the deposited film of Si1-xSnx exceeded 60% of the total film volume and correlated well with the tin content. Formation of structures with ˜80% partial volume of the nanocrystalline phase was also demonstrated. Tin-induced crystallization of amorphous silicon occurred only around the clusters of metallic tin, which suggested the crystallization mechanism involving an interfacial molten Si:Sn layer.

  7. Thin film deposition of conducting tin oxide from TMT in a low pressure glow discharge diode reactor

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farber, Y.; Arefi, F.; Amouroux, J.

    1993-12-31

    This work concerns the study of the deposition mechanisms of tin oxide and its characterization from a mixture of Ar + TMT + O{sub 2} in a RF glow discharge diode reactor at low pressure (0.1-0.3 mbar). The gaseous mixture is introduced in the center of the HV electrode and the glass substrates are placed on the grounded electrode. With the help of an optical fiber fixed in front of a quartz window the discharge was characterized in line. The deposited material is characterized by different surface diagnostic techniques such as XPS, FTIR, SEM/EDX. The sheet conductivity of the thinmore » films were measured by a 4 point-probe measurements. The first step of this work has been to optimize the working parameters (such as the gas composition, the interelectrode gap, the injected power and the treatment time) in order to obtain SnO{sub 2{minus}x}C{sub y} films with reproducible properties. While the second step was devoted to adjust the partial pressure of oxygen in TMT + O{sub 2} + Ar mixture in the aim to decrease the carbon content of the deposit. The carbon-free in oxide films obtained in this way (Sn/O{approx}0.5) present conductivities varying from 10{sup {minus}4} S.cm{sup {minus}1} up to 10{sup 2} S.cm{sup {minus}1} with thicknesses in the range of 500 to 20000 {angstrom}. The conductivity of the films can be improved by either an annealing process in nitrogen gas or by a hydrogen plasma post-treatment. Moreover, in the case of the latter, {beta}Sn crystalline phase has been identified. Finally, the transparent tin oxide films being prepared at relatively low temperature (=100{degrees}C) can be very well realized on polymeric substrates.« less

  8. Structural, electrical and optical properties of indium tin oxide thin film grown by metal organic chemical vapor deposition with tetramethyltin-precursor

    NASA Astrophysics Data System (ADS)

    Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Wang, Gang

    2018-01-01

    Tin-doped indium oxide (ITO) is grown by metal organic chemical vapor deposition (MOCVD) using tetramethyltin (TDMASn) as tin precursor. The as-grown ITO films are polycrystalline with (111) and (100) textures. A gradual transition of crystallographic orientation from (111) preferred to (100) preferred is observed as the composition of tin changes. By precisely controlling the Sn doping, the ITO thin films present promising optical and electrical performances at either near-infrared-visible or visible-near-ultraviolet ranges. At low Sn doping level, the as-grown ITO possesses high electron mobility of 48.8 cm2 V-1 s-1, which results in high near-infrared transmittance and low resistivity. At higher Sn doping level, high carrier concentration (8.9 × 1020 cm-3) and low resistivity (3 × 10-4 Ω cm) are achieved. The transmittance is 97.8, 99.1, and 82.3% at the wavelength of 550, 365, and 320 nm, respectively. The results strongly suggest that MOCVD with TDMASn as tin precursor is an effective method to fabricate high quality ITO thin film for near-infrared, visible light, and near-ultraviolet application.

  9. Electrical Conducting and Mechanism of Oxygen-Deficient Tin Oxide Films Deposited by RF Magnetron Sputtering at Various O2/Ar Ratios

    NASA Astrophysics Data System (ADS)

    Wang, Qi; Wang, Chengbiao; Lv, Changchun; Wang, Yang; Peng, Zhijian; Fu, Xiuli

    Oxygen-deficient tin oxide thin films were prepared by radiofrequency magnetron sputtering with a sintered non-stoichiometric tin oxide ceramic target under an atmosphere of various ratios of O2/Ar from pure Ar to 1:1. X-ray diffraction analysis showed that the thin films were polycrystalline with relatively strong (1 1 0), (1 0 1) and (2 1 1) diffraction peaks. Scanning electron microscopy observation revealed that the thin films prepared at different O2/Ar ratios were all of relatively dense and homogeneous structure. With increasing O2/Ar ratio, the grain size of the films decreased slightly, and their chemical composition became close to the stoichiometric SnO2; but the deposition rate as well as film thickness increased first and then decreased sharply. It was revealed that the main defect in obtained films was oxygen vacancy (VO), and as the O2/Ar ratio increased, the concentration of VO fell down monotonously, which would lead to an increased electrical resistivity.

  10. Antimony-Doped Tin Oxide Thin Films Grown by Home Made Spray Pyrolysis Technique

    NASA Astrophysics Data System (ADS)

    Yusuf, Gbadebo; Babatola, Babatunde Keji; Ishola, Abdulahi Dimeji; Awodugba, Ayodeji O.; Solar cell Collaboration

    2016-03-01

    Transparent conducting antimony-doped tin oxide (ATO) films have been deposited on glass substrates by home made spray pyrolysis technique. The structural, electrical and optical properties of the ATO films have been investigated as a function of Sb-doping level and annealing temperature. The optimum target composition for high conductivity and low resistivity was found to be 20 wt. % SnSb2 + 90 wt. ATO. Under optimized deposition conditions of 450oC annealing temperature, electrical resistivity of 5.2×10-4 Ω -cm, sheet resistance of 16.4 Ω/sq, average optical transmittance of 86% in the visible range, and average optical band-gap of 3.34eV were obtained. The film deposited at lower annealing temperature shows a relatively rough, loosely bound slightly porous surface morphology while the film deposited at higher annealing temperature shows uniformly distributed grains of greater size. Keywords: Annealing, Doping, Homemade spray pyrolysis, Tin oxide, Resistivity

  11. High quality superconducting titanium nitride thin film growth using infrared pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Torgovkin, A.; Chaudhuri, S.; Ruhtinas, A.; Lahtinen, M.; Sajavaara, T.; Maasilta, I. J.

    2018-05-01

    Superconducting titanium nitride (TiN) thin films were deposited on magnesium oxide, sapphire and silicon nitride substrates at 700 °C, using a pulsed laser deposition (PLD) technique, where infrared (1064 nm) pulses from a solid-state laser were used for the ablation from a titanium target in a nitrogen atmosphere. Structural studies performed with x-ray diffraction showed the best epitaxial crystallinity for films deposited on MgO. In the best films, superconducting transition temperatures, T C, as high as 4.8 K were observed, higher than in most previous superconducting TiN thin films deposited with reactive sputtering. A room temperature resistivity down to ∼17 μΩ cm and residual resistivity ratio up to 3 were observed in the best films, approaching reported single crystal film values, demonstrating that PLD is a good alternative to reactive sputtering for superconducting TiN film deposition. For less than ideal samples, the suppression of the film properties were correlated mostly with the unintended incorporation of oxygen (5–10 at%) in the film, and for high oxygen content films, vacuum annealing was also shown to increase the T C. On the other hand, superconducting properties were surprisingly insensitive to the nitrogen content, with high quality films achieved even in the highly nitrogen rich, Ti:N = 40/60 limit. Measures to limit oxygen exposure during deposition must be taken to guarantee the best superconducting film properties, a fact that needs to be taken into account with other deposition methods, as well.

  12. Patterning of Indium Tin Oxide Films

    NASA Technical Reports Server (NTRS)

    Immer, Christopher

    2008-01-01

    A relatively rapid, economical process has been devised for patterning a thin film of indium tin oxide (ITO) that has been deposited on a polyester film. ITO is a transparent, electrically conductive substance made from a mixture of indium oxide and tin oxide that is commonly used in touch panels, liquid-crystal and plasma display devices, gas sensors, and solar photovoltaic panels. In a typical application, the ITO film must be patterned to form electrodes, current collectors, and the like. Heretofore it has been common practice to pattern an ITO film by means of either a laser ablation process or a photolithography/etching process. The laser ablation process includes the use of expensive equipment to precisely position and focus a laser. The photolithography/etching process is time-consuming. The present process is a variant of the direct toner process an inexpensive but often highly effective process for patterning conductors for printed circuits. Relative to a conventional photolithography/ etching process, this process is simpler, takes less time, and is less expensive. This process involves equipment that costs less than $500 (at 2005 prices) and enables patterning of an ITO film in a process time of less than about a half hour.

  13. Positron annihilation and X-ray diffraction studies on tin oxide thin films

    NASA Astrophysics Data System (ADS)

    Prabakar, K.; Abhaya, S.; Krishnan, R.; Kalavathi, S.; Dash, S.; Jayapandian, J.; Amarendra, G.

    2009-04-01

    Positron annihilation spectroscopy along with glancing incidence X-ray diffraction have been used to investigate tin oxide thin films grown on Si by pulsed laser deposition. The films were prepared at room temperature and at 670 K under oxygen partial pressure. As-grown samples are amorphous and are found to contain large concentration of open volume sites (vacancy defects). Post-deposition annealing of as-grown samples at 970 K is found to drastically reduce the number of open volume sites and the film becomes crystalline. However, film grown under elevated temperature and under partial pressure of oxygen is found to exhibit a lower S-parameter, indicating lower defect concentration. Based on the analysis of experimental positron annihilation results, the defect-sensitive S-parameter and the overlayer thickness of tin oxide thin films are deduced. S- W correlation plots exhibit distinct positron trapping defect states in three samples.

  14. Variable temperature semiconductor film deposition

    DOEpatents

    Li, X.; Sheldon, P.

    1998-01-27

    A method of depositing a semiconductor material on a substrate is disclosed. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  15. Variable temperature semiconductor film deposition

    DOEpatents

    Li, Xiaonan; Sheldon, Peter

    1998-01-01

    A method of depositing a semiconductor material on a substrate. The method sequentially comprises (a) providing the semiconductor material in a depositable state such as a vapor for deposition on the substrate; (b) depositing the semiconductor material on the substrate while heating the substrate to a first temperature sufficient to cause the semiconductor material to form a first film layer having a first grain size; (c) continually depositing the semiconductor material on the substrate while cooling the substrate to a second temperature sufficient to cause the semiconductor material to form a second film layer deposited on the first film layer and having a second grain size smaller than the first grain size; and (d) raising the substrate temperature, while either continuing or not continuing to deposit semiconductor material to form a third film layer, to thereby anneal the film layers into a single layer having favorable efficiency characteristics in photovoltaic applications. A preferred semiconductor material is cadmium telluride deposited on a glass/tin oxide substrate already having thereon a film layer of cadmium sulfide.

  16. Thermally evaporated mechanically hard tin oxide thin films for opto-electronic apllications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tripathy, Sumanta K.; Rajeswari, V. P.

    2014-01-28

    Tungsten doped tin oxide (WTO) and Molybdenum doped tin oxide (MoTO) thin film were deposited on corn glass by thermal evaporation method. The films were annealed at 350°C for one hour. Structural analysis using Xray diffraction data shows both the films are polycrystalline in nature with monoclinic structure of tin oxide, Sn{sub 3}O{sub 4}, corresponding to JCPDS card number 01-078-6064. SEM photograph showed that both the films have spherical grains with size in the range of 20–30 nm. Compositional analysis was carried out using EDS which reveals the presence of Sn, O and the dopant Mo/W only thereby indicating themore » absence of any secondary phase in the films. The films are found to contain nearly 6 wt% of Mo, 8 wt% of W as dopants respectively. The transmission pattern for both the films in the spectral range 200 – 2000 nm shows that W doping gives a transparency of nearly 80% from 380 nm onwards while Mo doping has less transparency of 39% at 380nm. Film hardness measurement using Triboscope shows a film hardness of about 9–10 GPa for both the films. It indicates that W or M doping in tin oxide provides the films the added advantage of withstanding the mechanical wear and tear due to environmental fluctuations By optimizing the optical and electrical properties, W/Mo doped tin oxide films may be explored as window layers in opto-electronic applications such as solar cells.« less

  17. Demonstration of high-performance p-type tin oxide thin-film transistors using argon-plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Bae, Sang-Dae; Kwon, Soo-Hun; Jeong, Hwan-Seok; Kwon, Hyuck-In

    2017-07-01

    In this work, we investigated the effects of low-temperature argon (Ar)-plasma surface treatments on the physical and chemical structures of p-type tin oxide thin-films and the electrical performance of p-type tin oxide thin-film transistors (TFTs). From the x-ray photoelectron spectroscopy measurement, we found that SnO was the dominant phase in the deposited tin oxide thin-film, and the Ar-plasma treatment partially transformed the tin oxide phase from SnO to SnO2 by oxidation. The resistivity of the tin oxide thin-film increased with the plasma-treatment time because of the reduced hole concentration. In addition, the root-mean-square roughness of the tin oxide thin-film decreased as the plasma-treatment time increased. The p-type oxide TFT with an Ar-plasma-treated tin oxide thin-film exhibited excellent electrical performance with a high current on-off ratio (5.2 × 106) and a low off-current (1.2 × 10-12 A), which demonstrates that the low-temperature Ar-plasma treatment is a simple and effective method for improving the electrical performance of p-type tin oxide TFTs.

  18. Nanostructured antistatic and antireflective thin films made of indium tin oxide and silica over-coat layer

    NASA Astrophysics Data System (ADS)

    Cho, Young-Sang; Hong, Jeong-Jin; Yang, Seung-Man; Choi, Chul-Jin

    2010-08-01

    Stable dispersion of colloidal indium tin oxide nanoparticles was prepared by using indium tin oxide nanopowder, organic solvent, and suitable dispersants through attrition process. Various comminution parameters during the attrition step were studied to optimize the process for the stable dispersion of indium tin oxide sol. The transparent and conductive films were fabricated on glass substrate using the indium tin oxide sol by spin coating process. To obtain antireflective function, partially hydrolyzed alkyl silicate was deposited as over-coat layer on the pre-fabricated indium tin oxide film by spin coating technique. This double-layered structure of the nanostructured film was characterized by measuring the surface resistance and reflectance spectrum in the visible wavelength region. The final film structure was enough to satisfy the TCO regulations for EMI shielding purposes.

  19. Studies on high electronic energy deposition in transparent conducting indium tin oxide thin films

    NASA Astrophysics Data System (ADS)

    Deshpande, N. G.; Gudage, Y. G.; Ghosh, A.; Vyas, J. C.; Singh, F.; Tripathi, A.; Sharma, Ramphal

    2008-02-01

    We have examined the effect of swift heavy ions using 100 MeV Au8+ ions on the electrical properties of transparent, conducting indium tin oxide polycrystalline films with resistivity of 0.58 × 10-4 Ω cm and optical transmission greater than 78% (pristine). We report on the modifications occurring after high electronic energy deposition. With the increase in fluency, x-ray line intensity of the peaks corresponding to the planes (1 1 0), (4 0 0), (4 4 1) increased, while (3 3 1) remained constant. Surface morphological studies showed a pomegranate structure of pristine samples, which was highly disturbed with a high dose of irradiation. For the high dose, there was a formation of small spherical domes uniformly distributed over the entire surface. The transmittance was seen to be decreasing with the increase in ion fluency. At higher doses, the resistivity and photoluminescence intensity was seen to be decreased. In addition, the carrier concentration was seen to be increased, which was in accordance with the decrease in resistivity. The observed modifications after high electronic energy deposition in these films may lead to fruitful device applications.

  20. Copper-Zinc-Tin-Sulfur Thin Film Using Spin-Coating Technology

    PubMed Central

    Yeh, Min-Yen; Lei, Po-Hsun; Lin, Shao-Hsein; Yang, Chyi-Da

    2016-01-01

    Cu2ZnSnS4 (CZTS) thin films were deposited on glass substrates by using spin-coating and an annealing process, which can improve the crystallinity and morphology of the thin films. The grain size, optical gap, and atomic contents of copper (Cu), zinc (Zn), tin (Sn), and sulfur (S) in a CZTS thin film absorber relate to the concentrations of aqueous precursor solutions containing copper chloride (CuCl2), zinc chloride (ZnCl2), tin chloride (SnCl2), and thiourea (SC(NH2)2), whereas the electrical properties of CZTS thin films depend on the annealing temperature and the atomic content ratios of Cu/(Zn + Sn) and Zn/Sn. All of the CZTS films were characterized using X-ray diffraction (XRD), scanning electron microscopy (SEM), energy-dispersive X-ray spectroscopy (EDXS), Raman spectroscopy, and Hall measurements. Furthermore, CZTS thin film was deposited on an n-type silicon substrate by using spin-coating to form an Mo/p-CZTS/n-Si/Al heterostructured solar cell. The p-CZTS/n-Si heterostructured solar cell showed a conversion efficiency of 1.13% with Voc = 520 mV, Jsc = 3.28 mA/cm2, and fill-factor (FF) = 66%. PMID:28773647

  1. Comparative study on deposition of fluorine-doped tin dioxide thin films by conventional and ultrasonic spray pyrolysis methods for dye-sensitized solar modules

    NASA Astrophysics Data System (ADS)

    Icli, Kerem Cagatay; Kocaoglu, Bahadir Can; Ozenbas, Macit

    2018-01-01

    Fluorine-doped tin dioxide (FTO) thin films were produced via conventional spray pyrolysis and ultrasonic spray pyrolysis (USP) methods using alcohol-based solutions. The prepared films were compared in terms of crystal structure, morphology, surface roughness, visible light transmittance, and electronic properties. Upon investigation of the grain structures and morphologies, the films prepared using ultrasonic spray method provided relatively larger grains and due to this condition, carrier mobilities of these films exhibited slightly higher values. Dye-sensitized solar cells and 10×10 cm modules were prepared using commercially available and USP-deposited FTO/glass substrates, and solar performances were compared. It is observed that there exists no remarkable efficiency difference for both cells and modules, where module efficiency of the USP-deposited FTO glass substrates is 3.06% compared to commercial substrate giving 2.85% under identical conditions. We demonstrated that USP deposition is a low cost and versatile method of depositing commercial quality FTO thin films on large substrates employed in large area dye-sensitized solar modules or other thin film technologies.

  2. Effect of Nitrogen Content on Physical and Chemical Properties of TiN Thin Films Prepared by DC Magnetron Sputtering with Supported Discharge

    NASA Astrophysics Data System (ADS)

    Kavitha, A.; Kannan, R.; Gunasekhar, K. R.; Rajashabala, S.

    2017-10-01

    Amorphous titanium nitride (TiN) thin films have been prepared on silicon (Si) and glass substrates by direct-current (DC) reactive magnetron sputtering with a supported discharge (triode). Nitrogen gas (N2) at partial pressure of 0.3 Pa, 0.4 Pa, 0.5 Pa, and 0.6 Pa was used to prepare the TiN thin films, maintaining total pressure of argon and N2 of about 0.7 Pa. The chemical, microstructural, optical, and electrical properties of the TiN thin films were systematically studied. Presence of different phases of Ti with nitrogen (N), oxygen (O2), and carbon (C) elements was revealed by x-ray photoelectron spectroscopy characterization. Increase in the nitrogen pressure from 0.3 Pa to 0.6 Pa reduced the optical bandgap of the TiN thin film from 2.9 eV to 2.7 eV. Photoluminescence study showed that TiN thin film deposited at N2 partial pressure of 0.3 Pa exhibited three shoulder peaks at 330 nm, 335 nm, and 340 nm, which disappeared when the sample was deposited with N2 partial pressure of 0.6 Pa. Increase in the nitrogen content decreased the electrical resistivity of the TiN thin film from 3200 μΩ cm to 1800 μΩ cm. Atomic force microscopy studies of the TiN thin films deposited with N2 partial pressure of 0.6 Pa showed a uniform surface pattern associated with accumulation of fine grains. The results and advantages of this method of preparing TiN thin films are also reported.

  3. Amorphous indium-tin-zinc oxide films deposited by magnetron sputtering with various reactive gases: Spatial distribution of thin film transistor performance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jia, Junjun; Torigoshi, Yoshifumi; Shigesato, Yuzo, E-mail: yuzo@chem.aoyama.ac.jp

    This work presents the spatial distribution of electrical characteristics of amorphous indium-tin-zinc oxide film (a-ITZO), and how they depend on the magnetron sputtering conditions using O{sub 2}, H{sub 2}O, and N{sub 2}O as the reactive gases. Experimental results show that the electrical properties of the N{sub 2}O incorporated a-ITZO film has a weak dependence on the deposition location, which cannot be explained by the bombardment effect of high energy particles, and may be attributed to the difference in the spatial distribution of both the amount and the activity of the reactive gas reaching the substrate surface. The measurement for themore » performance of a-ITZO thin film transistor (TFT) also suggests that the electrical performance and device uniformity of a-ITZO TFTs can be improved significantly by the N{sub 2}O introduction into the deposition process, where the field mobility reach to 30.8 cm{sup 2} V{sup –1} s{sup –1}, which is approximately two times higher than that of the amorphous indium-gallium-zinc oxide TFT.« less

  4. Strong Surface Diffusion Mediated Glancing-Angle Deposition: Growth, Recrystallization and Reorientation of Tin Nanorods

    NASA Astrophysics Data System (ADS)

    Wang, Huan-Hua; Shi, Yi-Jian; William, Chu; Yigal, Blum

    2008-01-01

    Different from usual glancing-angle deposition where low surface diffusion is necessary to form nanorods, strong surface diffusion mediated glancing-angle deposition is exemplified by growing tin nanorod films on both silicon and glass substrates simultaneously via thermal evaporation. During growth, the nanorods were simultaneously baked by the high-temperature evaporator, and therefore re-crystallized into single crystals in consequence of strong surface diffusion. The monocrystalline tin nanorods have a preferred orientation perpendicular to the substrate surface, which is quite different from the usual uniformly oblique nanorods without recrystallization.

  5. Nano-crystalline porous tin oxide film for carbon monoxide sensing

    NASA Technical Reports Server (NTRS)

    Liu, Chung-Chiun (Inventor); Savinell, Robert F. (Inventor); Jin, Zhihong (Inventor)

    2000-01-01

    A tin oxide sol is deposited on platinum electrodes (12) of a sensor (10). The sol is calcined at a temperature of 500 to 800.degree. C. to produce a thin film of tin oxide with a thickness of about 150 nm to 2 .mu. and having a nano-crystalline structure with good stability. The sensor rapidly detects reducing gases, such as carbon monoxide, or hydrocarbons and organic vapors. Sensors using films calcined at around 700.degree. C. have high carbon monoxide selectivity with a response time of around 4 minutes and a recovery time of 1 minute, and therefore provide good detection systems for detection of trace amounts of pollutants such as toxic and flammable gases in homes, industrial settings, and hospitals.

  6. Effect of Target Density on Microstructural, Electrical, and Optical Properties of Indium Tin Oxide Thin Films

    NASA Astrophysics Data System (ADS)

    Zhu, Guisheng; Zhi, Li; Yang, Huijuan; Xu, Huarui; Yu, Aibing

    2012-09-01

    In this paper, indium tin oxide (ITO) targets with different densities were used to deposit ITO thin films. The thin films were deposited from these targets at room temperature and annealed at 750°C. Microstructural, electrical, and optical properties of the as-prepared films were studied. It was found that the target density had no effect on the properties or deposition rate of radiofrequency (RF)-sputtered ITO thin films, different from the findings for direct current (DC)-sputtered films. Therefore, when using RF sputtering, the target does not require a high density and may be reused.

  7. Nanocrystalline SnO2 formation by oxygen ion implantation in tin thin films

    NASA Astrophysics Data System (ADS)

    Kondkar, Vidya; Rukade, Deepti; Kanjilal, Dinakar; Bhattacharyya, Varsha

    2018-03-01

    Metallic tin thin films of thickness 100 nm are deposited on fused silica substrates by thermal evaporation technique. These films are implanted with 45 keV oxygen ions at fluences ranging from 5 × 1015 to 5 × 1016 ions cm-2. The energy of the oxygen ions is calculated using SRIM in order to form embedded phases at the film-substrate interface. Post-implantation, films are annealed using a tube furnace for nanocrystalline tin oxide formation. These films are characterized using x-ray diffraction, Raman spectroscopy, UV-vis spectroscopy and photoluminescence spectroscopy. XRD and Raman spectroscopy studies reveal the formation of single rutile phase of SnO2. The size of the nanocrystallites formed decreases with an increase in the ion fluence. The nanocrystalline SnO2 formation is also confirmed by UV-vis and photoluminescence spectroscopy.

  8. Amorphous tin-cadmium oxide films and the production thereof

    DOEpatents

    Li, Xiaonan; Gessert, Timothy A

    2013-10-29

    A tin-cadmium oxide film having an amorphous structure and a ratio of tin atoms to cadmium atoms of between 1:1 and 3:1. The tin-cadmium oxide film may have an optical band gap of between 2.7 eV and 3.35 eV. The film may also have a charge carrier concentration of between 1.times.10.sup.20 cm.sup.-3 and 2.times.10.sup.20 cm.sup.-3. The tin cadmium oxide film may also exhibit a Hall mobility of between 40 cm.sup.2V.sup.-1 s.sup.-1 and 60 cm.sup.2V.sup.-1 s.sup.-1. Also disclosed is a method of producing an amorphous tin-cadmium oxide film as described and devices using same.

  9. Synthesis of tin-containing polyimide films

    NASA Technical Reports Server (NTRS)

    Ezzell, S. A.; Taylor, L. T.

    1984-01-01

    A series of tin-containing polyimide films derived from either 3,3',4,4'-benzophenone tetracarboxylic acid dianhydride or pyromellitic dianhydride and 4,4'-oxydianiline have been synthesized and their electrical properties examined. Highest quality materials (i.e., homogeneous, smooth surface, flexible) with the best electrical properties were doped with either SnCl2.2H2O or (n-Bu)2SnCl2. In all cases, extensive reactivity of the tin dopant with water, air or polyamic acid during imidization is observed. Lowered electrical surface resistivities appear to be correlatable with the presence of surface tin oxide on the film surface.

  10. Electronegativity-dependent tin etching from thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pachecka, M., E-mail: m.pachecka@utwente.nl; Sturm, J. M.; Kruijs, R. W. E. van de

    2016-07-15

    The influence of a thin film substrate material on the etching of a thin layer of deposited tin (Sn) by hydrogen radicals was studied. The amount of remaining Sn was quantified for materials that cover a range of electronegativities. We show that, for metals, etching depends on the relative electronegativity of the surface material and Sn. Tin is chemically etched from surfaces with an electronegativity smaller than Sn, while incomplete Sn etching is observed for materials with an electronegativity larger than Sn. Furthermore, the amount of remaining Sn increases as the electronegativity of the surface material increases. We speculate, that,more » due to Fermi level differences in the material’s electronic structure, the energy of the two conduction bands shift such that the availability of electrons for binding with hydrogen is significantly reduced.« less

  11. SnS2 Thin Film Deposition by Spray Pyrolysis

    NASA Astrophysics Data System (ADS)

    Jaber, Abdallah Yahia; Alamri, Saleh Noaiman; Aida, Mohammed Salah

    2012-06-01

    Tin disulfide (SnS2) thin films have been synthesized using a simplified spray pyrolysis technique using a perfume atomizer. The films were deposited using two different solutions prepared by the dilution of SnCl2 and thiourea in distilled water and in methanol. The obtained films have a microcrystalline structure. The film deposited using methanol as the solvent is nearly stochiometric SnS2 with a spinel phase having a (001) preferential orientation. The film prepared with an aqueous solution is Sn-rich. Scanning electronic microscopy (SEM) images reveal that the film deposited with the aqueous solution is rough and is formed with large wires. However, the film deposited with methanol is dense and smooth. Conductivity measurements indicate that the aqueous solution leads to an n-type semiconductor, while methanol leads to a p-type semiconductor.

  12. Effect of solvent volume on the physical properties of undoped and fluorine doped tin oxide films deposited using a low-cost spray technique

    NASA Astrophysics Data System (ADS)

    Muruganantham, G.; Ravichandran, K.; Saravanakumar, K.; Ravichandran, A. T.; Sakthivel, B.

    2011-12-01

    Undoped and fluorine doped tin oxide films were deposited from starting solutions having different values of solvent volume (10-50 ml) by employing a low cost and simplified spray technique using perfume atomizer. X-ray diffraction studies showed that there was a change in the preferential orientation from (2 1 1) plane to (1 1 0) plane as the volume of the solvent was increased. The sheet resistance ( Rsh) of undoped SnO 2 film was found to be minimum (13.58 KΩ/□) when the solvent volume was lesser (10 ml) and there was a sharp increase in Rsh for higher values of solvent volume. Interestingly, it was observed that while the Rsh increases sharply with the increase in solvent volume for undoped SnO 2 films, it decreases gradually in the case of fluorine doped SnO 2 films. The quantitative analysis of EDAX confirmed that the electrical resistivity of the sprayed tin oxide film was mainly governed by the number of oxygen vacancies and the interstitial incorporation of Sn atoms which in turn was governed by the impinging flux on the hot substrate. The films were found to have good optical characteristics suitable for opto-electronic devices.

  13. Placer tin deposits in central Alaska

    USGS Publications Warehouse

    Chapman, Robert Mills; Coats, Robert Roy; Payne, Thomas G.

    1963-01-01

    Placer tin, in the form of cassiterite (Sn02) and (or) tinstone (fragments including cassiterite and some vein or rock material), is known or reported in deposits that have been prospected or mined for placer gold in four areas adjacent to the Yukon River in central Alaska, 120 to 240 miles west of Fairbanks. These areas are: the Morelock Creek area, on the north side of the Yukon River about 30 miles upstream from Tanana; the Moran Dome area, about 16 miles north of the Yukon River and 25 miles northwest of Tanana; the Mason Creek area, on the north side of the Yukon River about 36 miles west of Tanana; and the Ruby-Long area, on the south side of the Yukon River near Ruby and about 40 miles east of Galena. The only extensive placer mining in these areas has been in the Ruby-Long area. Other placer deposits including some cassiterite are known in central Alaska but are not discussed in this report. Bedrock in these areas is predominantly schist of various types with some associated greenstone and other metamorphic rocks. Some granite is exposed in the Moran Dome and Ruby-Long areas and in areas close to Morelock and Mason Creeks. Barren, milky quartz veins and veinlets transecting the metamorphic rocks are common. No cassiterite was found in the bedrock, and no bedrock source of the tin has been reported. In the Moran Dome and Mason Creek areas, and in part of the Ruby-Long area, tourmaline is present in the rocks of the tin-bearing drainage basins, and apparently absent elsewhere in these areas. The placer deposits are in both valley floor and bench alluvium, which are predominantly relatively thin, rarely exceeding a thickness of 30 feet. Most of the alluvium deposits are not perennially frozen. In the Morelock Creek area tin-bearing deposits are 5 to 5? miles above the mouth of the creek, and meager evidence indicates that cassiterite and gold are present in Morelock Creek valley and some of the tributaries both upstream and downstream from these deposits. The

  14. Effect of working power and pressure on plasma properties during the deposition of TiN films in reactive magnetron sputtering plasma measured using Langmuir probe measurement

    NASA Astrophysics Data System (ADS)

    How, Soo Ren; Nayan, Nafarizal; Khairul Ahmad, Mohd; Fhong Soon, Chin; Zainizan Sahdan, Mohd; Lias, Jais; Shuhaimi Abu Bakar, Ahmad; Arshad, Mohd Khairuddin Md; Hashim, Uda; Yazid Ahmad, Mohd

    2018-04-01

    The ion, electron density and electron temperature during formation of TiN films in reactive magnetron sputtering system have been investigated for various settings of radio frequency (RF) power and working pressure by using Langmuir probe measurements. The RF power and working pressure able to affect the densities and plasma properties during the deposition process. In this work, a working pressure (100 and 20 mTorr) and RF power (100, 150 and 200 W) have been used for data acquisition of probe measurement. Fundamental of studied on sputter deposition is very important for improvement of film quality and deposition rate. Higher working pressure and RF power able to produce a higher ion density and reduction of electron temperature.

  15. Modification of opto-electronic properties of ZnO by incorporating metallic tin for buffer layer in thin film solar cells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deepu, D. R.; Jubimol, J.; Kartha, C. Sudha

    2015-06-24

    In this report, the effect of incorporation of metallic tin (Sn) on opto-electronic properties of ZnO thin films is presented. ZnO thin films were deposited through ‘automated chemical spray pyrolysis’ (CSP) technique; later different quantities of ‘Sn’ were evaporated on it and subsequently annealed. Vacuum annealing showed a positive effect on crystallinity of films. Creation of sub band gap levels due to ‘Sn’ diffusion was evident from the absorption and PL spectra. The tin incorporated films showed good photo response in visible region. Tin incorporated ZnO thin films seem to satisfy the desirable criteria for buffer layer in thin filmmore » solar cells.« less

  16. Experimental study on TiN coated racetrack-type ceramic pipe

    NASA Astrophysics Data System (ADS)

    Wang, Jie; Xu, Yan-Hui; Zhang, Bo; Wei, Wei; Fan, Le; Pei, Xiang-Tao; Hong, Yuan-Zhi; Wang, Yong

    2015-11-01

    TiN film was coated on the internal surface of a racetrack-type ceramic pipe by three different methods: radio-frequency sputtering, DC sputtering and DC magnetron sputtering. The deposition rates of TiN film under different coating methods were compared. The highest deposition rate was 156 nm/h, which was obtained by magnetron sputtering coating. Based on AFM, SEM and XPS test results, the properties of TiN film, such as film roughness and surface morphology, were analyzed. Furthermore, the deposition rates were studied with two different cathode types, Ti wires and Ti plate. According to the SEM test results, the deposition rate of TiN/Ti film was about 800 nm/h with Ti plate cathode by DC magnetron sputtering. Using Ti plate cathode rather than Ti wire cathode can greatly improve the film deposition rate. Supported by National Nature Science Foundation of China (11075157)

  17. Models of grades and tonnages of some lode tin deposits

    USGS Publications Warehouse

    Menzie, W.D.; Reed, B.L.; Singer, Donald A.

    1988-01-01

    Descriptive and grade/tonnage models have recently been built for many types of deposits. Such models consist of descriptions of mineralogy, host rocks, ore textures, controls, alteration, geochemical signatures, age, and tectonic settings, together with statistical models of grades, tonnages, and contained metal of deposits of each type. The models are used to identify areas that may contain undiscovered deposits of given types, to convey to non-geologists an idea of the importance of such deposits, and to test and refine classifications of mineral deposits.Descriptive and grade/tonnage models have recently been built for five types of primary tin deposits: rhyolite-hosted such as in Mexico; hydrothermal lodes such as in Cornwall, England, and the Herberton district, Queensland; replacement (or exhalative?) such as Renison Bell, Tasmania; skarn such as at Lost River, Alaska; and greisen such as in the Erzgebirge. Analyses of frequency distributions of tonnage, contained metal, tin grades and the relationships between these variables show that the deposits fall into four well-defined domains that have definite geological characteristics. Rhyolite-hosted, or Mexican, deposits contain a median of 4 t of tin and have a median grade of 0.4% Sn. Hydrothermal lode deposits have the highest grades. Half of such deposits have grades over 1.0% Sn, and the majority contain more than 1,000 t Sn. Large hydrothermal vein deposits contain more than 50,000 t Sn. Replacement (or exhalative?) deposits contain the largest amount of tin (median = 40,000 t). They are only of slightly lower grade (median = 0.80% Sn) than the hydrothermal lodes. Greisen or stockwork deposits have larger tonnages than replacement deposits, but contain less tin (median = 25,000 t).They are also of much lower grade (median = 0.3% Sn). Though grades and tonnages are available for only four skarn deposits, they appear to be more like greisen deposits than replacement deposits when compared using grades

  18. Tuning the properties of tin oxide thin films for device fabrications

    NASA Astrophysics Data System (ADS)

    Sudha, A.; Sharma, S. L.; Gupta, A. N.; Sharma, S. D.

    2017-11-01

    Tin oxide thin films were deposited on well cleaned glass substrates by thermal evaporation in vacuum and were annealed at 500 ∘C in the open atmosphere inside a furnace for 90 min for promoting the sensitivity of the films. The X-ray diffraction studies revealed that the as-deposited films were amorphous in nature and the annealed films showed appreciable crystalline behavior. The annealed thin films were then irradiated using 60Co gamma source. The radiation induced changes were then studied by X-ray diffraction, scanning electron microscopy, UV-vis spectroscopy and I- V characterization. The remarkable increase in the average grain size, the decrement in the energy band gap and resistivity due to the gamma irradiations up to a certain dose and the reversal of these properties at higher doses are the important observations. The large changes in the conductivity and energy band gap of the annealed thin films due to gamma irradiation make these films quite important device material for the fabrication of gamma sensors and dosimeters.

  19. Characteristics of Ge-Sb-Te films prepared by cyclic pulsed plasma-enhanced chemical vapor deposition.

    PubMed

    Suk, Kyung-Suk; Jung, Ha-Na; Woo, Hee-Gweon; Park, Don-Hee; Kim, Do-Heyoung

    2010-05-01

    Ge-Sb-Te (GST) thin films were deposited on TiN, SiO2, and Si substrates by cyclic-pulsed plasma-enhanced chemical vapor deposition (PECVD) using Ge{N(CH3)(C2H5)}, Sb(C3H7)3, Te(C3H7)3 as precursors in a vertical flow reactor. Plasma activated H2 was used as the reducing agent. The growth behavior was strongly dependent on the type of substrate. GST grew as a continuous film on TiN regardless of the substrate temperature. However, GST formed only small crystalline aggregates on Si and SiO2 substrates, not a continuous film, at substrate temperatures > or = 200 degrees C. The effects of the deposition temperature on the surface morphology, roughness, resistivity, crystallinity, and composition of the GST films were examined.

  20. Thickness Influence on In Vitro Biocompatibility of Titanium Nitride Thin Films Synthesized by Pulsed Laser Deposition

    PubMed Central

    Duta, Liviu; Stan, George E.; Popa, Adrian C.; Husanu, Marius A.; Moga, Sorin; Socol, Marcela; Zgura, Irina; Miculescu, Florin; Urzica, Iuliana; Popescu, Andrei C.; Mihailescu, Ion N.

    2016-01-01

    We report a study on the biocompatibility vs. thickness in the case of titanium nitride (TiN) films synthesized on 410 medical grade stainless steel substrates by pulsed laser deposition. The films were grown in a nitrogen atmosphere, and their in vitro cytotoxicity was assessed according to ISO 10993-5 [1]. Extensive physical-chemical analyses have been carried out on the deposited structures with various thicknesses in order to explain the differences in biological behavior: profilometry, scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy (XPS), X-ray diffraction and surface energy measurements. XPS revealed the presence of titanium oxynitride beside TiN in amounts that vary with the film thickness. The cytocompatibility of films seems to be influenced by their TiN surface content. The thinner films seem to be more suitable for medical applications, due to the combined high values of bonding strength and superior cytocompatibility. PMID:28787846

  1. Low Temperature Synthesis of Fluorine-Doped Tin Oxide Transparent Conducting Thin Film by Spray Pyrolysis Deposition.

    PubMed

    Ko, Eun-Byul; Choi, Jae-Seok; Jung, Hyunsung; Choi, Sung-Churl; Kim, Chang-Yeoul

    2016-02-01

    Transparent conducting oxide (TCO) is widely used for the application of flat panel display like liquid crystal displays and plasma display panel. It is also applied in the field of touch panel, solar cell electrode, low-emissivity glass, defrost window, and anti-static material. Fluorine-doped tin oxide (FTO) thin films were fabricated by spray pyrolysis of ethanol-added FTO precursor solutions. FTO thin film by spray pyrolysis is very much investigated and normally formed at high temperature, about 500 degrees C. However, these days, flexible electronics draw many attentions in the field of IT industry and the research for flexible transparent conducting thin film is also required. In the industrial field, indium-tin oxide (ITO) film on polymer substrate is widely used for touch panel and displays. In this study, we investigated the possibility of FTO thin film formation at relatively low temperature of 250 degrees C. We found out that the control of volume of input precursor and exhaust gases could make it possible to form FTO thin film with a relatively low electrical resistance, less than 100 Ohm/sq and high optical transmittance about 88%.

  2. The Chemical Vapor Deposition of Thin Metal Oxide Films

    NASA Astrophysics Data System (ADS)

    Laurie, Angus Buchanan

    1990-01-01

    Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).

  3. The preparation and characterization of optical thin films produced by ion-assisted deposition

    NASA Astrophysics Data System (ADS)

    Martin, P. J.; Netterfield, R. P.; Sainty, W. G.; Pacey, C. G.

    1984-06-01

    Ion-based deposition techniques have been successfully used to deposit compound films suitable for photothermal applications, as well as dielectric films with stable and reproducible optical properties. Thus, thin films of TiN, a-Si:H, and PbS have been obtained by ion-assisted deposition for photothermal solar-selective elements and similarly prepared dielectric layers of ZrO2, SiO2, and Al2O3 have been used as protective coatings on Ag and Al mirrors. It is shown that the technique of ion-assisted deposition affords control over the film density, microstructure, adhesion, composition, and optical properties. Details of the process and film properties are discussed.

  4. Effect of Coating Thickness on the Properties of TiN Coatings Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    NASA Astrophysics Data System (ADS)

    Mubarak, A.; Akhter, Parvez; Hamzah, Esah; Mohd Toff, Mohd Radzi Hj.; Qazi, Ishtiaq A.

    Titanium nitride (TiN) widely used as hard coating material, was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The study concentrated on cathodic arc physical vapor deposition (CAPVD), a technique used for the deposition of hard coatings for tooling applications, and which has many advantages. The main drawback of this technique, however, is the formation of macrodroplets (MDs) during deposition, resulting in films with rougher morphology. Various standard characterization techniques and equipment, such as electron microscopy, atomic force microscopy, hardness testing machine, scratch tester, and pin-on-disc machine, were used to analyze and quantify the following properties and parameters: surface morphology, thickness, hardness, adhesion, and coefficient of friction (COF) of the deposited coatings. Surface morphology revealed that the MDs produced during the etching stage, protruded through the TiN film, resulting in film with deteriorated surface features. Both coating thickness and indentation loads influenced the hardness of the deposited coatings. The coatings deposited on HSS exhibit better adhesion compared to those on D2 tool steel. Standard deviation indicates that the coating deposited with thickness around 6.7 μm showed the most stable trend of COF versus sliding distance.

  5. Effect of thickness on electrical properties of SILAR deposited SnS thin films

    NASA Astrophysics Data System (ADS)

    Akaltun, Yunus; Astam, Aykut; Cerhan, Asena; ćayir, Tuba

    2016-03-01

    Tin sulfide (SnS) thin films of different thickness were prepared on glass substrates by successive ionic layer adsorption and reaction (SILAR) method at room temperature using tin (II) chloride and sodium sulfide aqueous solutions. The thicknesses of the films were determined using spectroscopic ellipsometry measurements and found to be 47.2, 65.8, 111.0, and 128.7nm for 20, 25, 30 and 35 deposition cycles respectively. The electrical properties of the films were investigated using d.c. two-point probe method at room temperature and the results showed that the resistivity was found to decrease with increasing film thickness.

  6. Structural and optical properties of tin disulphide thin films grown by flash evaporation

    NASA Astrophysics Data System (ADS)

    Banotra, Arun; Padha, Naresh

    2018-04-01

    Tin Disulphide thin films were deposited by Flash Evaporation method on corning Glass Substrate at different substrate temperatures. The deposited films were undertaken for Structural, Optical and compositional characterizations. Compositional analysis of the films exhibited decrease in the sulphur content enabling S/Sn ratio to vary from 2.05 to 1.32 with increasing substrate temperature. X-ray diffraction reveals amorphous nature of the as-deposited films with varying substrate temperatures. Optical measurements estimated from absorbance spectra suggest higher absorbance at λ≤500nm and higher transmission at λ≥500nm with bandgap changes from 2.45eV to 2.09eV. The 323K as-deposited films were undertaken for annealing which transforms the films into crystalline form corresponding to hexagonal SnS2 phase at 423K and above. However, the optical response for the annealed samples shows a higher transmission of 70% in the visible region which increases further in the Infrared region of the spectrum achieving maximum transmission upto 98%. This higher transmission in the Visible to Infrared region of the solar spectrum in amorphous as well as crystalline form makes the film suitable for their use as a window layer in the Solar Cell Design.

  7. Ovonic switching in tin selenide thin films. Ph.D. Thesis

    NASA Technical Reports Server (NTRS)

    Baxter, C. R.

    1974-01-01

    Amorphous tin selenide thin films which possess Ovonic switching properties were fabricated using vacuum deposition techniques. Results obtained indicate that memory type Ovonic switching does occur in these films the energy density required for switching from a high impedance to a low impedance state is dependent on the spacing between the electrodes of the device. The switching is also function of the magnitude of the applied voltage pulse. A completely automated computer controlled testing procedure was developed which allows precise control over the shape of the applied voltage switching pulse. A survey of previous experimental and theoretical work in the area of Ovonic switching is also presented.

  8. High Mobility Thin Film Transistors Based on Amorphous Indium Zinc Tin Oxide

    PubMed Central

    Noviyana, Imas; Lestari, Annisa Dwi; Putri, Maryane; Won, Mi-Sook; Bae, Jong-Seong; Heo, Young-Woo; Lee, Hee Young

    2017-01-01

    Top-contact bottom-gate thin film transistors (TFTs) with zinc-rich indium zinc tin oxide (IZTO) active layer were prepared at room temperature by radio frequency magnetron sputtering. Sintered ceramic target was prepared and used for deposition from oxide powder mixture having the molar ratio of In2O3:ZnO:SnO2 = 2:5:1. Annealing treatment was carried out for as-deposited films at various temperatures to investigate its effect on TFT performances. It was found that annealing treatment at 350 °C for 30 min in air atmosphere yielded the best result, with the high field effect mobility value of 34 cm2/Vs and the minimum subthreshold swing value of 0.12 V/dec. All IZTO thin films were amorphous, even after annealing treatment of up to 350 °C. PMID:28773058

  9. Highly conducting and crystalline doubly doped tin oxide films fabricated using a low-cost and simplified spray technique

    NASA Astrophysics Data System (ADS)

    Ravichandran, K.; Muruganantham, G.; Sakthivel, B.

    2009-11-01

    Doubly doped (simultaneous doping of antimony and fluorine) tin oxide films (SnO 2:Sb:F) have been fabricated by employing an inexpensive and simplified spray technique using perfume atomizer from aqueous solution of SnCl 2 precursor. The structural studies revealed that the films are highly crystalline in nature with preferential orientation along the (2 0 0) plane. It is found that the size of the crystallites of the doubly doped tin oxide films is larger (69 nm) than that (27 nm) of their undoped counterparts. The dislocation density of the doubly doped film is lesser (2.08×10 14 lines/m 2) when compared with that of the undoped film (13.2×10 14 lines/m 2), indicating the higher degree of crystallinity of the doubly doped films. The SEM images depict that the films are homogeneous and uniform. The optical transmittance in the visible range and the optical band gap of the doubly doped films are 71% and 3.56 eV respectively. The sheet resistance (4.13 Ω/□) attained for the doubly doped film in this study is lower than the values reported for spray deposited fluorine or antimony doped tin oxide films prepared from aqueous solution of SnCl 2 precursor (without using methanol or ethanol).

  10. Preparation of textural lamellar tin deposits via electrodeposition

    NASA Astrophysics Data System (ADS)

    Wen, Xiaoyu; Pan, Xiaona; Wu, Libin; Li, Ruinan; Wang, Dan; Zhang, Jinqiu; Yang, Peixia

    2017-06-01

    Lamellar tin deposits were prepared by galvanostatical electroplating from the aqueous acidic-sulfate bath, with gelatin and benzalacetone dissolved in ethanol (ABA+EtOH) as additive, and their morphologies were investigated by scanning electron microscopy. Cathodic polarization curves revealed that the absorbability of ABA+EtOH on the cathode surface was higher than that of gelatin. X-ray diffraction analysis indicated preferred orientations of tin growth led to the formation of lamellar structure and distortion of tin lattice. The growth mechanism of lamellar tin was also discussed.

  11. Synthesizing photovoltaic thin films of high quality copper-zinc-tin alloy with at least one chalcogen species

    DOEpatents

    Teeter, Glenn; Du, Hui; Young, Matthew

    2013-08-06

    A method for synthesizing a thin film of copper, zinc, tin, and a chalcogen species ("CZTCh" or "CZTSS") with well-controlled properties. The method includes depositing a thin film of precursor materials, e.g., approximately stoichiometric amounts of copper (Cu), zinc (Zn), tin (Sn), and a chalcogen species (Ch). The method then involves re-crystallizing and grain growth at higher temperatures, e.g., between about 725 and 925 degrees K, and annealing the precursor film at relatively lower temperatures, e.g., between 600 and 650 degrees K. The processing of the precursor film takes place in the presence of a quasi-equilibrium vapor, e.g., Sn and chalcogen species. The quasi-equilibrium vapor is used to maintain the precursor film in a quasi-equilibrium condition to reduce and even prevent decomposition of the CZTCh and is provided at a rate to balance desorption fluxes of Sn and chalcogens.

  12. Tiered deposition of sub-5 nm ferroelectric Hf1-xZrxO2 films on metal and semiconductor substrates

    NASA Astrophysics Data System (ADS)

    Walters, Glen; Shekhawat, Aniruddh; Rudawski, Nicholas G.; Moghaddam, Saeed; Nishida, Toshikazu

    2018-05-01

    Using a tiered deposition approach, Hf1-xZrxO2 (HZO) films with varying atomic layer deposition (ALD) cycles from 36 to 52 cycles were grown on Ge, Ir, and TiN substrates in single runs and annealed at 500 °C. 40 ALD cycle films grown on Ir exhibit a switched polarization (Psw) of 13 μC/cm2, while those grown on Ge and TiN did not exhibit measurable Psw values until 44 and 52 ALD cycles, respectively. High-resolution cross-sectional transmission electron microscopy confirmed these results; the ferroelectric films are crystalline with defined lattice fringes, while non-ferroelectric films remain amorphous. 52 ALD cycle 1:1 HZO grown on Ge had the highest Psw of all the films fabricated at 39 μC/cm2, while the 1:1 HZO grown on TiN displayed continuous wake-up and no fatigue up to 1010 cycles with the Psw increasing from <1 μC/cm2 to 21 μC/cm2.

  13. Structural and optical studies of 100 MeV Au irradiated thin films of tin oxide

    NASA Astrophysics Data System (ADS)

    Jaiswal, Manoj Kumar; Kanjilal, D.; Kumar, Rajesh

    2013-11-01

    Thin films of tin(IV) oxide (SnO2) of 100 nm thickness were grown on silicon (1 0 0) matrices by electron beam evaporation deposition technique under high vacuum. The thicknesses of these films were monitored by piezo-sensor attached to the deposition chamber. Nanocrystallinity is achieved in these thin films by 100 MeV Au8+ using 1 pnA current at normal incidence with ion fluences varying from 1 × 1011 ions/cm2 to 5 × 1013 ions/cm2. Swift Heavy Ion beam irradiation was carried out by using 15 UD Pelletron Accelerator at IUAC, New Delhi, India. Optical studies of pristine and ion irradiated thin films were characterized by UV-Visible spectroscopy and Fourier Transform Infrared (FTIR) spectroscopy. Prominent peak at 610 cm-1 in FTIR spectrum confirmed the O-Sn-O bonding of tin(IV) oxide. For Surface topographical studies and grain size calculations, these films were characterized by Atomic Force Microscope (AFM) using Nanoscope III-A. Crystallinity and phase transformation due to irradiation of pristine and irradiated films were characterized by Glancing Angle X-ray Diffraction (GAXRD) using Brucker-D8 advance model. GAXRD results show improvement in crystallinity and phase transformation due to swift heavy ion irradiation. Grain size distribution was verified by AFM and GAXRD results. Swift heavy ion induced modifications in thin films of SnO2 were confirmed by the presence of prominent peaks at 2θ values of 30.65°, 32.045°, 43.94°, 44.96° and 52.36° in GAXRD spectrum.

  14. Influences of Indium Tin Oxide Layer on the Properties of RF Magnetron-Sputtered (BaSr)TiO3 Thin Films on Indium Tin Oxide-Coated Glass Substrate

    NASA Astrophysics Data System (ADS)

    Kim, Tae Song; Oh, Myung Hwan; Kim, Chong Hee

    1993-06-01

    Nearly stoichiometric ((Ba+Sr)/Ti=1.08-1.09) and optically transparent (BaSr)TiO3 thin films were deposited on an indium tin oxide (ITO)-coated glass substrate by means of rf magnetron sputtering for their application to the insulating layer of an electroluminescent flat panel display. The influence of the ITO layer on the properties of (BaSr)TiO3 thin films deposited on the ITO-coated substrate was investigated. The ITO layer did not affect the crystallographic orientation of (BaSr)TiO3 thin film, but enhanced the grain growth. Another effect of the ITO layer on (BaSr)TiO3 thin films was the interdiffusion phenomenon, which was studied by means of secondary ion mass spectrometry (SIMS). As the substrate temperature increased, interdiffusion intensified at the interface not only between the grown film and ITO layer but also between the ITO layer and base glass substrate. The refractive index (nf) of (BaSr)TiO3 thin film deposited on a bare glass substrate was 2.138-2.286, as a function of substrate temperature.

  15. The Influence of a TiN Film on the Electronic Contribution to the Thermal Conductivity of a TiC Film in a TiN-TiC Layer System

    NASA Astrophysics Data System (ADS)

    Jagannadham, K.

    2018-01-01

    TiC and TiN films were deposited by reactive magnetron sputtering on Si substrates. Scanning electron microscopy (SEM) and transmission electron microscopy (TEM) characterization of the microstructure and interface structure have been carried out and the stoichiometric composition of TiC is determined. Thermal conductivity and interface thermal conductance between different layers in the films are evaluated by the transient thermo reflectance (TTR) and three-omega (3- ω) methods. The results showed that the thermal conductivity of the TiC films increased with temperature. The thermal conductivity of TiC in the absence of TiN is dominated by phonon contribution. The electronic contribution to the thermal conductivity of TiC in the presence of TiN is found to be more significant. The interface thermal conductance of the TiC/TiN interface is much larger than that of interfaces at Au/TiC, TiC/Si, or TiN/Si. The interface thermal conductance between TiC and TiN is reduced by the layer formed as a result of interdiffusion.

  16. The effects of two thick film deposition methods on tin dioxide gas sensor performance.

    PubMed

    Bakrania, Smitesh D; Wooldridge, Margaret S

    2009-01-01

    This work demonstrates the variability in performance between SnO(2) thick film gas sensors prepared using two types of film deposition methods. SnO(2) powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition method. Sensor performance at a fixed operating temperature of 330 °C for the different film deposition methods was evaluated by exposure to 500 ppm of the target gas carbon monoxide. A consequence of the poor film structure, large variability and poor signal properties were observed with the sensors fabricated using binders. Specifically, the sensors created using the binder recipes yielded sensor responses that varied widely (e.g., S = 5 - 20), often with hysteresis in the sensor signal. Repeatable and high quality performance was observed for the sensors prepared using the binder-less dispersion-drop method with good sensor response upon exposure to 500 ppm CO (S = 4.0) at an operating temperature of 330 °C, low standard deviation to the sensor response (±0.35) and no signal hysteresis.

  17. The Effects of Two Thick Film Deposition Methods on Tin Dioxide Gas Sensor Performance

    PubMed Central

    Bakrania, Smitesh D.; Wooldridge, Margaret S.

    2009-01-01

    This work demonstrates the variability in performance between SnO2 thick film gas sensors prepared using two types of film deposition methods. SnO2 powders were deposited on sensor platforms with and without the use of binders. Three commonly utilized binder recipes were investigated, and a new binder-less deposition procedure was developed and characterized. The binder recipes yielded sensors with poor film uniformity and poor structural integrity, compared to the binder-less deposition method. Sensor performance at a fixed operating temperature of 330 °C for the different film deposition methods was evaluated by exposure to 500 ppm of the target gas carbon monoxide. A consequence of the poor film structure, large variability and poor signal properties were observed with the sensors fabricated using binders. Specifically, the sensors created using the binder recipes yielded sensor responses that varied widely (e.g., S = 5 – 20), often with hysteresis in the sensor signal. Repeatable and high quality performance was observed for the sensors prepared using the binder-less dispersion-drop method with good sensor response upon exposure to 500 ppm CO (S = 4.0) at an operating temperature of 330 °C, low standard deviation to the sensor response (±0.35) and no signal hysteresis. PMID:22399977

  18. Influence of Preferred Orientation on the Electrical Conductivity of Fluorine-Doped Tin Oxide Films

    PubMed Central

    Wang, Jian Tao; Shi, Xiang Lei; Liu, Wei Wei; Zhong, Xin Hua; Wang, Jian Nong; Pyrah, Leo; Sanderson, Kevin D.; Ramsey, Philip M.; Hirata, Masahiro; Tsuri, Keiko

    2014-01-01

    Current development of high-performance transparent conductive oxide (TCO) films is limited with tradeoff between carrier mobility and concentration since none of them can be improved without sacrificing the other. In this study, we prepare fluorine doped tin oxide (FTO) films by chemical vapor deposition with inclusions of different additives and report that the mobility can be varied from 0.65 to 28.5 cm2 V−1 s−1 without reducing the achieved high carrier concentration of 4 × 1020 cm−3. Such an increase in mobility is shown to be clearly associated with the development of (200) preferred orientation (PO) but concurrent degradation of (110) PO in films. Thus, at a constant high carrier concentration, the electrical conductivity can be improved via carrier mobility simply by PO control. Such a one-step approach avoiding conventional post-deposition treatment is suggested for developing next-generation FTO as well as other TCO films with better than ever conductivities. PMID:24419455

  19. Nanoscale Investigation of Grain Growth in RF-Sputtered Indium Tin Oxide Thin Films by Scanning Probe Microscopy

    NASA Astrophysics Data System (ADS)

    Lamsal, B. S.; Dubey, M.; Swaminathan, V.; Huh, Y.; Galipeau, D.; Qiao, Q.; Fan, Q. H.

    2014-11-01

    This work studied the electronic characteristics of the grains and grain boundaries of indium tin oxide (ITO) thin films using electrostatic and Kelvin probe force microscopy. Two types of ITO films were compared, deposited using radiofrequency magnetron sputtering in pure argon or 99% argon + 1% oxygen, respectively. The average grain size and surface roughness increased with substrate temperature for the films deposited in pure argon. With the addition of 1% oxygen, the increase in the grain size was inhibited above 150°C, which was suggested to be due to passivation of the grains by the excess oxygen. Electrostatic force microscopy and Kelvin probe force microscopy (KPFM) images confirmed that the grain growth was defect mediated and occurred at defective interfaces at high temperatures. Films deposited at room temperature with 1% oxygen showed crystalline nature, while films deposited with pure argon at room temperature were amorphous as observed from KPFM images. The potential drop across the grain and grain boundary was determined by taking surface potential line profiles to evaluate the electronic properties.

  20. On-line coating of glass with tin oxide by atmospheric pressure chemical vapor deposition.

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Allendorf, Mark D.; Sopko, J.F.; Houf, William G.

    2006-11-01

    Atmospheric pressure chemical vapor deposition (APCVD) of tin oxide is a very important manufacturing technique used in the production of low-emissivity glass. It is also the primary method used to provide wear-resistant coatings on glass containers. The complexity of these systems, which involve chemical reactions in both the gas phase and on the deposition surface, as well as complex fluid dynamics, makes process optimization and design of new coating reactors a very difficult task. In 2001 the U.S. Dept. of Energy Industrial Technologies Program Glass Industry of the Future Team funded a project to address the need for more accuratemore » data concerning the tin oxide APCVD process. This report presents a case study of on-line APCVD using organometallic precursors, which are the primary reactants used in industrial coating processes. Research staff at Sandia National Laboratories in Livermore, CA, and the PPG Industries Glass Technology Center in Pittsburgh, PA collaborated to produce this work. In this report, we describe a detailed investigation of the factors controlling the growth of tin oxide films. The report begins with a discussion of the basic elements of the deposition chemistry, including gas-phase thermochemistry of tin species and mechanisms of chemical reactions involved in the decomposition of tin precursors. These results provide the basis for experimental investigations in which tin oxide growth rates were measured as a function of all major process variables. The experiments focused on growth from monobutyltintrichloride (MBTC) since this is one of the two primary precursors used industrially. There are almost no reliable growth-rate data available for this precursor. Robust models describing the growth rate as a function of these variables are derived from modeling of these data. Finally, the results are used to conduct computational fluid dynamic simulations of both pilot- and full-scale coating reactors. As a result, general conclusions

  1. A comparison of ZnO films deposited on indium tin oxide and soda lime glass under identical conditions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deka, Angshuman; Nanda, Karuna Kar

    2013-06-15

    ZnO films have been grown via a vapour phase transport (VPT) on soda lime glass (SLG) and indium-tin oxide (ITO) coated glass. ZnO film on ITO had traces of Zn and C which gives them a dark appearance while that appears yellowish-white on SLG. X-ray photoelectron spectroscopy studies confirm the traces of C in the form of C-O. The photoluminescence studies reveal a prominent green luminescence band for ZnO film on ITO.

  2. Periodic oxidation for fabricating titanium oxynitride thin films via atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Iwashita, Shinya, E-mail: shinya.iwashita@tel.com; Aoyama, Shintaro; Nasu, Masayuki

    2016-01-15

    This paper demonstrates thermal atomic layer deposition (ALD) combined with periodic oxidation for synthesizing titanium oxynitride (TiON) thin films. The process used a typical ALD reactor for the synthesis of titanium nitride (TiN) films wherein oxygen was supplied periodically between the ALD-TiN cycles. The great advantage of the process proposed here was that it allowed the TiN films to be oxidized efficiently. Also, a uniform depth profile of the oxygen concentration in the films could be obtained by tuning the oxidation conditions, allowing the process to produce a wide variety of TiON films. The resistivity measurement is a convenient methodmore » to confirm the reproducibility of metal film fabrication but may not be applicable for TiON films depending upon the oxidation condition because the films can easily turn into insulators when subjected to periodic oxidation. Therefore, an alternative reproducibility confirmation method was required. In this study, spectroscopic ellipsometry was applied to monitor the variation of TiON films and was able to detect changes in film structures such as conductor–insulator transitions in the TiON films.« less

  3. Effects of Loading Frequency and Film Thickness on the Mechanical Behavior of Nanoscale TiN Film

    NASA Astrophysics Data System (ADS)

    Liu, Jin-na; Xu, Bin-shi; Wang, Hai-dou; Cui, Xiu-fang; Jin, Guo; Xing, Zhi-guo

    2017-09-01

    The mechanical properties of a nanoscale-thickness film material determine its reliability and service life. To achieve quantitative detection of film material mechanical performance based on nanoscale mechanical testing methods and to explore the influence of loading frequency of the cycle load on the fatigue test, a TiN film was prepared on monocrystalline silicon by magnetron sputtering. The microstructure of the nanoscale-thickness film material was characterized by using scanning electron microscopy and high-resolution transmission electron microscopy. The residual stress distribution of the thin film was obtained by using an electronic film stress tester. The hardness values and the fatigue behavior were measured by using a nanomechanical tester. Combined with finite element simulation, the paper analyzed the influence of the film thickness and loading frequency on the deformation, as well as the equivalent stress and strain. The results showed that the TiN film was a typical face-centered cubic structure with a large amount of amorphous. The residual compressive stress decreased gradually with increasing thin film thickness, and the influence of the substrate on the elastic modulus and hardness was also reduced. A greater load frequency would accelerate the dynamic fatigue damage that occurs in TiN films.

  4. Comparison of mechanical behavior of TiN, TiNC, CrN/TiNC, TiN/TiNC films on 9Cr18 steel by PVD

    NASA Astrophysics Data System (ADS)

    Feng, Xingguo; Zhang, Yanshuai; Hu, Hanjun; Zheng, Yugang; Zhang, Kaifeng; Zhou, Hui

    2017-11-01

    TiN, TiNC, CrN/TiNC and TiN/TiNC films were deposited on 9Cr18 steel using magnetron sputtering technique. The morphology, composition, chemical state and crystalline structure of the films were observed and analyzed by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscopy (SEM). Hardness and adhesion force were tested by nanoindentation and scratch tester, respectively. The friction and wear behavior of TiN, TiNC, CrN/TiNC and TiN/TiNC films sliding against GCr15 balls were investigated and compared synthetically using ball-on-disk tribometer. It was found that Tisbnd N, Tisbnd C, Tisbnd Nsbnd C and Csbnd C bonds were formed. The TiN/TiNC film was composed of TiN, TiC and TiNC phases. Hardness and adhesion force results indicated that although the TiN film possessed the highest hardness, its adhesion force was lowest among all the films. Tribological test results showed that the friction coefficient of TiN/TiNC was much lower than that of TiN and the wear rate decreases remarkably from 2.3 × 10-15 m3/Nm to 7.1 × 10-16 m3/Nm, which indicated the TiN/TiNC film has better wear resistance.

  5. Study of quartz crystal microbalance NO2 sensor coated with sputtered indium tin oxide film

    NASA Astrophysics Data System (ADS)

    Georgieva, V.; Aleksandrova, M.; Stefanov, P.; Grechnikov, A.; Gadjanova, V.; Dilova, T.; Angelov, Ts

    2014-12-01

    A study of NO2 gas sorption ability of thin indium tin oxide (ITO) deposited on 16 MHz quartz crystal microbalance (QCM) is presented. ITO films are grown by RF sputtering of indium/tin target with weight proportion 95:5 in oxygen environment. The ITO films have been characterized by X-ray photoelectron spectroscopy measurements. The ITO surface composition in atomic % is defined to be: In-40.6%, Sn-4.3% and O-55%. The thickness and refractive index of the films are determined by ellipsometric method. The frequency shift of QCM-ITO is measured at different NO2 concentrations. The QCM-ITO system becomes sensitive at NO2 concentration >= 500 ppm. The sorbed mass for each concentration is calculated according the Sauerbrey equation. The results indicated that the 1.09 ng of the gas is sorbed into 150 nm thick ITO film at 500 ppm NO2 concentration. When the NO2 concentration increases 10 times the calculated loaded mass is 5.46 ng. The sorption process of the gas molecules is defined as reversible. The velocity of sorbtion /desorption processes are studied, too. The QCM coated with thin ITO films can be successfully used as gas sensors for detecting NO2 in the air at room temperature.

  6. Dynamics of a pulsed laser generated tin plasma expanding in an oxygen atmosphere

    NASA Astrophysics Data System (ADS)

    Barreca, F.; Fazio, E.; Neri, F.; Barletta, E.; Trusso, S.; Fazio, B.

    2005-10-01

    Semiconducting tin oxide can be successfully deposited by means of the laser ablation technique. In particular by ablating metallic tin in a controlled oxygen atmosphere, thin films of SnOx have been deposited. The partial oxygen pressure at which the films are deposited strongly influences both the stoichiometry and the structural properties of the films. In this work, we present a study of the expansion dynamics of the plasma generated by ablating a tin target by means of a pulsed laser using time and space resolved optical emission spectroscopy and fast photography imaging of the expanding plasma. Both Sn I and Sn II optical emission lines have been observed from the time-integrated spectroscopy. Time resolved-measurements revealed the dynamics of the expanding plasma in the ambient oxygen atmosphere. Stoichiometry of the films has been determined by means of X-ray photoelectron spectroscopy and correlated to the expansion dynamics of the plasma.

  7. Hydrogen plasma treatment for improved conductivity in amorphous aluminum doped zinc tin oxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Morales-Masis, M., E-mail: monica.moralesmasis@epfl.ch; Ding, L.; Dauzou, F.

    2014-09-01

    Improving the conductivity of earth-abundant transparent conductive oxides (TCOs) remains an important challenge that will facilitate the replacement of indium-based TCOs. Here, we show that a hydrogen (H{sub 2})-plasma post-deposition treatment improves the conductivity of amorphous aluminum-doped zinc tin oxide while retaining its low optical absorption. We found that the H{sub 2}-plasma treatment performed at a substrate temperature of 50 °C reduces the resistivity of the films by 57% and increases the absorptance by only 2%. Additionally, the low substrate temperature delays the known formation of tin particles with the plasma and it allows the application of the process to temperature-sensitivemore » substrates.« less

  8. Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Stewart, David M.; Pearse, Alexander J.; Kim, Nam S.

    Major advances in thin-film solid-state batteries (TFSSBs) may capitalize on 3D structuring using high-aspect-ratio substrates such as nanoscale pits, pores, trenches, flexible polymers, and textiles. This will require conformal processes such as atomic layer deposition (ALD) for every active functional component of the battery. In this paper, we explore the deposition and electrochemical properties of SnO 2, SnN y, and SnO xN y thin films as TFSSB anode materials, grown by ALD using tetrakisdimethylamido(tin), H 2O, and N 2 plasma as precursors. By controlling the dose ratio between H 2O and N 2, the N–O fraction can be tuned betweenmore » 0% N and 95% N. The electrochemical properties of these materials were tested across a composition range varying from pure SnO 2, to SnON intermediates, and pure SnNy. In TFSSBs, the SnNy anodes are found to be more stable during cycling than the SnO 2 or SnO xN y films, with an initial reversible capacity beyond that of Li–Sn alloying, retaining 75% of their capacity over 200 cycles compared to only 50% for SnO 2. Lastly, the performance of the SnO xN y anodes indicates that SnN y anodes should not be negatively impacted by small levels of O contamination.« less

  9. Tin Oxynitride Anodes by Atomic Layer Deposition for Solid-State Batteries

    DOE PAGES

    Stewart, David M.; Pearse, Alexander J.; Kim, Nam S.; ...

    2018-03-30

    Major advances in thin-film solid-state batteries (TFSSBs) may capitalize on 3D structuring using high-aspect-ratio substrates such as nanoscale pits, pores, trenches, flexible polymers, and textiles. This will require conformal processes such as atomic layer deposition (ALD) for every active functional component of the battery. In this paper, we explore the deposition and electrochemical properties of SnO 2, SnN y, and SnO xN y thin films as TFSSB anode materials, grown by ALD using tetrakisdimethylamido(tin), H 2O, and N 2 plasma as precursors. By controlling the dose ratio between H 2O and N 2, the N–O fraction can be tuned betweenmore » 0% N and 95% N. The electrochemical properties of these materials were tested across a composition range varying from pure SnO 2, to SnON intermediates, and pure SnNy. In TFSSBs, the SnNy anodes are found to be more stable during cycling than the SnO 2 or SnO xN y films, with an initial reversible capacity beyond that of Li–Sn alloying, retaining 75% of their capacity over 200 cycles compared to only 50% for SnO 2. Lastly, the performance of the SnO xN y anodes indicates that SnN y anodes should not be negatively impacted by small levels of O contamination.« less

  10. Photocatalysis of zinc oxide nanotip array/titanium oxide film heterojunction prepared by aqueous solution deposition

    NASA Astrophysics Data System (ADS)

    Lee, Ming-Kwei; Lee, Bo-Wei; Kao, Chen-Yu

    2017-05-01

    A TiO2 film was prepared on indium tin oxide (ITO)/glass by aqueous solution deposition (ASD) with precursors of ammonium hexafluoro-titanate and boric acid at 40 °C. The photocatalysis of annealed TiO2 film increases with increasing growth time and decreases with increasing growth times longer than 60 min. A ZnO nanotip array was prepared on ZnO seed layer/TiO2 film/glass by aqueous solution deposition with precursors of zinc nitrate and ammonium hydroxide at 70 °C. The photocatalysis of ASD-ZnO/ASD-TiO2 film/ITO glass can be better than that of P25.

  11. Effects of film thickness on the linear and nonlinear refractive index of p-type SnO films deposited by e-beam evaporation process

    NASA Astrophysics Data System (ADS)

    El-Gendy, Y. A.

    2017-12-01

    Tin monoxide (SnO) films of different thickness have been deposited onto glass substrates at vacuum pressure of ∼ 8 × 10-6 mbar using an e-beam evaporation system. A hot probe test revealed that the deposited films showed p-type conduction. The structure characterization and phase purity of the deposited films was confirmed using X-ray diffraction (XRD) and Raman spectroscopy. The optical transmission and reflection spectra of the deposited films recorded in the wavelength range 190-2500 nm were used to calculate the optical constants employing the Murmann's exact equations. The refractive index dispersion was adequately described by the well-known effective-single-oscillator model proposed by Wemple-DiDomenico, whereby the dispersion parameters were calculated. The nonlinear refractive index and nonlinear optical susceptibility of the deposited films were successfully evaluated using the Miller empirical relations. The lattice dielectric constant and the carrier concentration to the effective mass ratio were also calculated as a function of film thickness using the Spitzer and Fan model. The variation of the optical band gap of the deposited films as a function of film thickness was also presented.

  12. Pulsed laser deposition of transparent conductive oxide thin films on flexible substrates

    NASA Astrophysics Data System (ADS)

    Socol, G.; Socol, M.; Stefan, N.; Axente, E.; Popescu-Pelin, G.; Craciun, D.; Duta, L.; Mihailescu, C. N.; Mihailescu, I. N.; Stanculescu, A.; Visan, D.; Sava, V.; Galca, A. C.; Luculescu, C. R.; Craciun, V.

    2012-11-01

    The influence of target-substrate distance during pulsed laser deposition of indium zinc oxide (IZO), indium tin oxide (ITO) and aluminium-doped zinc oxide (AZO) thin films grown on polyethylene terephthalate (PET) substrates was investigated. It was found that the properties of such flexible transparent conductive oxide (TCO)/PET electrodes critically depend on this parameter. The TCO films that were deposited at distances of 6 and 8 cm exhibited an optical transmittance higher than 90% in the visible range and electrical resistivities around 5 × 10-4 Ω cm. In addition to these excellent electrical and optical characteristics the films grown at 8 cm distance were homogenous, smooth, adherent, and without cracks or any other extended defects, being suitable for opto-electronic device applications.

  13. Tin Sulfide Phase Exploration: Dependence of Optoelectronic Properties on Microstructural Growth and Chemical Variations in Thin Film Material

    NASA Astrophysics Data System (ADS)

    Banai, Rona Elinor

    Herzenbergite tin (II) monosulfide (alpha-SnS) is of growing interest as a photovoltaic material because of its interesting optoelectronic properties and Earth abundance. It has several stable phases due to the dual valency of tin. As a layered material, alpha-SnS has the ability to form varying microstructure with differing properties. For this dissertation, films were RF sputtered from a SnS and SnS2 target to produce films with varying microstructure. Growth of high energy phases includin beta-SnS and amorphous SnS2 were possible through sputtering. Films of mixed or strained phase resulted from both targets. Pure phase alpha-SnS was made by annealing amorphous SnS2 films. Microstructure was measured using grazing incidence XRD and field emission SEM. The impact of microstructure was seen for both optical and electronic properties. Films were evaluated using spectroscopic ellipsometry as well as unpolarized UV-Vis transmission and reflection measurements. Optical modeling of the films is sufficient for developing models corresponding to specific microstructure, enabling it to be an inexpensive tool for studying the material. Absorption coefficient and band gap were also derived for these films. Films deposited with the SnS target had resistivity values up to 20,000 O-cm. Annealing of amorphous films deposited from the SnS2 target resulted in alpha-SnS films with much lower resistivity (<50 O-cm) values. This method for producing alpha-SnS offered better control of the phase, microstructure and therefore optoelectronic properties. While SnS films made from either target were typically p-type, sputtering of the SnS2 target with substrate heating resulted in n-type SnSx of a potentially new phase similar to SnS2 but with a 2:3 tin-to-sulfur ratio. Resistivity of those films typically ranged from 1 to 40 O-cm. Both p- and n-type films made from the SnS2 target had high carrier concentration of 10 17 to 1020 cm-3, but films had low Hall mobility such that

  14. Synthesis and Characterization of Tin(IV) Oxide Obtained by Chemical Vapor Deposition Method

    NASA Astrophysics Data System (ADS)

    Nagirnyak, Svitlana V.; Lutz, Victoriya A.; Dontsova, Tatiana A.; Astrelin, Igor M.

    2016-07-01

    The effect of precursors on the characteristics of tin oxide obtained by chemical vapor deposition (CVD) method was investigated. The synthesis of nanosized tin(IV) oxide was carried out with the use of two different precursors: tin(II) oxalate obtained using tin chloride(II) and oxalic acid; tin(II) oxalate obtained using tin chloride(II); and ammonium oxalate. The synthesized tin(IV) oxide samples were studied by electron microscopy, X-ray diffraction and optical spectra. The lattice parameters of tin(IV) oxide samples were defined, the bandgap of samples were calculated.

  15. Tin

    USGS Publications Warehouse

    Kamilli, Robert J.; Kimball, Bryn E.; Carlin, James F.; Schulz, Klaus J.; DeYoung,, John H.; Seal, Robert R.; Bradley, Dwight C.

    2017-12-19

    Tin (Sn) is one of the first metals to be used by humans. Almost without exception, tin is used as an alloy. Because of its hardening effect on copper, tin was used in bronze implements as early as 3500 B.C. The major uses of tin today are for cans and containers, construction materials, transportation materials, and solder. The predominant ore mineral of tin, by far, is cassiterite (SnO2).In 2015, the world’s total estimated mine production of tin was 289,000 metric tons of contained tin. Total world reserves at the end of 2016 were estimated to be 4,700,000 metric tons. China held about 24 percent of the world’s tin reserves and accounted for 38 percent of the world’s 2015 production of tin.The proportion of scrap used in tin production is between 10 and 25 percent. Unlike many metals, tin recycling is relatively efficient, and the fraction of tin in discarded products that get recycled is greater than 50 percent.Only about 20 percent of the world’s identified tin resources occur as primary hydrothermal hard-rock veins, or lodes. These lodes contain predominantly high-temperature minerals and almost invariably occur in close association with silicic, peraluminous granites. About 80 percent of the world’s identified tin resources occur as unconsolidated secondary or placer deposits in riverbeds and valleys or on the sea floor. The largest concentration of both onshore and offshore placers is in the extensive tin belt of Southeast Asia, which stretches from China in the north, through Thailand, Burma (also referred to as Myanmar), and Malaysia, to the islands of Indonesia in the south. Furthermore, tin placers are almost always found closely allied to the granites from which they originate. Other countries with significant tin resources are Australia, Bolivia, and Brazil.Most hydrothermal tin deposits belong to what can be thought of as a superclass of porphyry-greisen deposits. The hydrothermal tin deposits are all characterized by a close spatial

  16. Continuous Microreactor-Assisted Solution Deposition for Scalable Production of CdS Films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ramprasad, Sudhir; Su, Yu-Wei; Chang, Chih-Hung

    2013-06-13

    Solution deposition offers an attractive, low temperature option in the cost effective production of thin film solar cells. Continuous microreactor-assisted solution deposition (MASD) was used to produce nanocrystalline cadmium sulfide (CdS) films on fluorine doped tin oxide (FTO) coated glass substrates with excellent uniformity. We report a novel liquid coating technique using a ceramic rod to efficiently and uniformly apply reactive solution to large substrates (152 mm × 152 mm). This technique represents an inexpensive approach to utilize the MASD on the substrate for uniform growth of CdS films. Nano-crystalline CdS films have been produced from liquid phase at ~90°C,more » with average thicknesses of 70 nm to 230 nm and with a 5 to 12% thickness variation. The CdS films produced were characterized by UV-Vis spectroscopy, transmission electron microscopy, and X-Ray diffraction to demonstrate their suitability to thin-film solar technology.« less

  17. Superior local conductivity in self-organized nanodots on indium-tin-oxide films induced by femtosecond laser pulses.

    PubMed

    Wang, Chih; Wang, Hsuan-I; Tang, Wei-Tsung; Luo, Chih-Wei; Kobayashi, Takayoshi; Leu, Jihperng

    2011-11-21

    Large-area surface ripple structures of indium-tin-oxide films, composed of self-organized nanodots, were induced by femtosecond laser pulses, without scanning. The multi-periodic spacing (~800 nm, ~400 nm and ~200 nm) was observed in the laser-induced ripple of ITO films. The local conductivity of ITO films is significantly higher, by approximately 30 times, than that of the as-deposited ITO films, due to the formation of these nanodots. Such a significant change can be ascribed to the formation of indium metal-like clusters, which appear as budges of ~5 nm height, due to an effective volume increase after breaking the In-O to form In-In bonding. © 2011 Optical Society of America

  18. Indium tin oxide films prepared by atmospheric plasma annealing and their semiconductor-metal conductivity transition around room temperature

    NASA Astrophysics Data System (ADS)

    Li, Yali; Li, Chunyang; He, Deyan; Li, Junshuai

    2009-05-01

    We report the synthesis of indium tin oxide (ITO) films using the atmospheric plasma annealing (APA) technique combined with the spin-coating method. The ITO film with a low resistivity of ~4.6 × 10-4 Ω cm and a high visible light transmittance, above 85%, was achieved. Hall measurement indicates that compared with the optimized ITO films deposited by magnetron sputtering, the above-mentioned ITO film has a higher carrier concentration of ~1.21 × 1021 cm-3 and a lower mobility of ~11.4 cm2 V-1 s-1. More interestingly, these electrical characteristics result in the semiconductor-metal conductivity transition around room temperature for the ITO films prepared by APA.

  19. Reversible wettability of electron-beam deposited indium-tin-oxide driven by ns-UV irradiation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Persano, Luana; Center for Biomolecular Nanotechnologies UNILE, Istituto Italiano di Tecnologia, Via Barsanti, I-73010 Arnesano-LE; Del Carro, Pompilio

    2012-04-09

    Indium tin oxide (ITO) is one of the most widely used semiconductor oxides in the field of organic optoelectronics, especially for the realization of anode contacts. Here the authors report on the control of the wettability properties of ITO films deposited by reactive electron beam deposition and irradiated by means of nanosecond-pulsed UV irradiation. The enhancement of the surface water wettability, with a reduction of the water contact angle larger than 50 deg., is achieved by few tens of seconds of irradiation. The analyzed photo-induced wettability change is fully reversible in agreement with a surface-defect model, and it can bemore » exploited to realize optically transparent, conductive surfaces with controllable wetting properties for sensors and microfluidic circuits.« less

  20. Surface potential measurement of n-type organic semiconductor thin films by mist deposition via Kelvin probe microscopy

    NASA Astrophysics Data System (ADS)

    Odaka, Akihiro; Satoh, Nobuo; Katori, Shigetaka

    2017-08-01

    We partially deposited fullerene (C60) and phenyl-C61-butyric acid methyl ester thin films that are typical n-type semiconductor materials on indium-tin oxide by mist deposition at various substrate temperatures. The topographic and surface potential images were observed via dynamic force microscopy/Kelvin probe force microscopy with the frequency modulation detection method. We proved that the area where a thin film is deposited depends on the substrate temperature during deposition from the topographic images. It was also found that the surface potential depends on the substrate temperature from the surface potential images.

  1. V2O5 thin film deposition for application in organic solar cells

    NASA Astrophysics Data System (ADS)

    Arbab, Elhadi A. A.; Mola, Genene Tessema

    2016-04-01

    Vanadium pentoxide V2O5 films were fabricated by way of electrochemical deposition technique for application as hole transport buffer layer in organic solar cell. A thin and uniform V2O5 films were successfully deposited on indium tin oxide-coated glass substrate. The characterization of surface morphology and optical properties of the deposition suggest that the films are suitable for photovoltaic application. Organic solar cell fabricated using V2O5 as hole transport buffer layer showed better devices performance and environmental stability than those devices fabricated with PEDOT:PSS. In an ambient device preparation condition, the power conversion efficiency increases by nearly 80 % compared with PEDOT:PSS-based devices. The devices lifetime using V2O5 buffer layer has improved by a factor of 10 over those devices with PEDOT:PSS.

  2. [Preparation and transmissivity of ZnS nanocolumn thin films with glancing angle deposition technology].

    PubMed

    Lu, Li-Fang; Xu, Zheng; Zhang, Fu-Jun; Zhao, Su-Ling; Song, Dan-Dan; Li, Jun-Ming; Wang, Yong-Sheng; Xu, Xu-Rong

    2010-02-01

    Nanocrystalline ZnS thin films were fabricated by glancing angle deposition (GLAD) technology in an electron beam evaporation system. Deposition was carried out in the custom vacuum chamber at a base pressure 3 x 10(-4) Pa, and the deposition rate was fixed at 0.2 nm x s(-1). ZnS films were deposited on pieces of indium tin oxide (ITO) substrates when the oblique angle of the substrate relative to the incoming molecular flux was set to 0 degrees, 80 degrees and 85 degrees off the substrate normal respectively. X-ray diffraction (XRD) spectra and scanning electron microscope (SEM) images showed that ZnS nanocrystalline films were formed on the substrates at different oblique angle, but the nanocolumn structure was only formed under the situation of alpha = 80 degrees and 85 degrees. The dynamics during the deposition process of the ZnS films at alpha = 0 degrees, 80 degrees and 85 degrees was analyzed. The transmitted spectra of ZnS thin films deposited on ITO substrates showed that the ZnS nanocolumn thin films could enhance the transmissivity in visible range. The ZnS nanocolumn could be used into electroluminescence device, and it would enhance the luminous efficiency of the device.

  3. Electrical and optical properties of nitrogen doped SnO{sub 2} thin films deposited on flexible substrates by magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fang, Feng, E-mail: fangfeng@seu.edu.cn; Zhang, Yeyu; Wu, Xiaoqin

    2015-08-15

    Graphical abstract: The best SnO{sub 2}:N TCO film: about 80% transmittance and 9.1 × 10{sup −4} Ω cm. - Highlights: • Nitrogen-doped tin oxide film was deposited on PET by RF-magnetron sputtering. • Effects of oxygen partial pressure on the properties of thin films were investigated. • For SnO{sub 2}:N film, visible light transmittance was 80% and electrical resistivity was 9.1 × 10{sup −4} Ω cm. - Abstract: Nitrogen-doped tin oxide (SnO{sub 2}:N) thin films were deposited on flexible polyethylene terephthalate (PET) substrates at room temperature by RF-magnetron sputtering. Effects of oxygen partial pressure (0–4%) on electrical and optical propertiesmore » of thin films were investigated. Experimental results showed that SnO{sub 2}:N films were amorphous state, and O/Sn ratios of SnO{sub 2}:N films were deviated from the standard stoichiometry 2:1. Optical band gap of SnO{sub 2}:N films increased from approximately 3.10 eV to 3.42 eV as oxygen partial pressure increased from 0% to 4%. For SnO{sub 2}:N thin films deposited on PET, transmittance was about 80% in the visible light region. The best transparent conductive oxide (TCO) deposited on flexible PET substrates was SnO{sub 2}:N thin films preparing at 2% oxygen partial pressure, the transmittance was about 80% and electrical conductivity was about 9.1 × 10{sup −4} Ω cm.« less

  4. Amorphous nickel incorporated tin oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Yang, Jianwen; Ren, Jinhua; Lin, Dong; Han, Yanbing; Qu, Mingyue; Pi, Shubin; Fu, Ruofan; Zhang, Qun

    2017-09-01

    Nickel as a dopant has been proposed to suppress excess carrier concentration in n-type tin oxide based thin film transistors (TFTs). The influences of Ni content on nickel doped tin oxide (TNO) thin films and their corresponding TFTs were investigated with experimental results showing that the TNO thin films are amorphous. Through the comparison of the transfer characteristic curves of the TNO TFTs with different Ni contents, it was observed that Ni doping is useful to improve the performance of SnO2-based TFTs by suppressing the off-state current and shifting the threshold voltage to 0 V. The amorphous TNO TFT with 3.3 at.% Ni content shows optimum performance, with field effect mobility of 8.4 cm2 V-1 s-1, saturation mobility of 6.8 cm2 V-1 s-1, subthreshold swing value of 0.8 V/decade, and an on-off current ratio of 2.1  ×  107. Nevertheless, the bias stress stability of SnO2-based TFTs deteriorate.

  5. Thermalization of X-rays in evaporated tin and bismuth films used as the absorbing materials in X-ray calorimeters

    NASA Astrophysics Data System (ADS)

    Stahle, C. K.; Kelley, R. L.; Moseley, S. H.; Szymkowiak, A. E.; Juda, M.; McCammon, D.; Zhang, J.

    1993-11-01

    We have investigated the use of evaporated tin and bismuth films as the absorbing materials in X-ray calorimeters. When the films were deposited directly on monolithic silicon calorimeters, the output signal from both Sn and Bi devices was strongly dependent on the location of the absorption event relative to the ion-implanted thermistors, presumably indicating thermistor sensitivity to a non-thermal spectrum of phonons. With Sn films we also observed that a component of the thermalization proceeded slowly, relative to a complete thermalization reference. The thermalization function could be modified by trapping magnetic flux within the film. In order to distinguish thermalization effects in the films from the thermistor sensitivity to energetic phonons, we deposited Sn and Bi films on thin Si substrates which we then affixed to calorimeters using epoxy. With glued Sn films, we were able to attain as good as 13.6 eV resolution of 6 keV X-rays with no excess broadening of the line beyond the width of the baseline, while similarly made Bi devices showed excess broadening.

  6. Synthesis, Deposition, and Microstructure Development of Thin Films Formed by Sulfidation and Selenization of Copper Zinc Tin Sulfide Nanocrystals

    NASA Astrophysics Data System (ADS)

    Chernomordik, Boris David

    Significant reduction in greenhouse gas emission and pollution associated with the global power demand can be accomplished by supplying tens-of-terawatts of power with solar cell technologies. No one solar cell material currently on the market is poised to meet this challenge due to issues such as manufacturing cost, material shortage, or material toxicity. For this reason, there is increasing interest in efficient light-absorbing materials that are comprised of abundant and non-toxic elements for thin film solar cell. Among these materials are copper zinc tin sulfide (Cu2ZnSnS4, or CZTS), copper zinc tin selenide (Cu2ZnSnSe4, or CZTSe), and copper zinc tin sulfoselenide alloys [Cu2ZnSn(SxSe1-x )4, or CZTSSe]. Laboratory power conversion efficiencies of CZTSSe-based solar cells have risen to almost 13% in less than three decades of research. Meeting the terawatt challenge will also require low cost fabrication. CZTSSe thin films from annealed colloidal nanocrystal coatings is an example of solution-based methods that can reduce manufacturing costs through advantages such as high throughput, high material utilization, and low capital expenses. The film microstructure and grain size affects the solar cell performance. To realize low cost commercial production and high efficiencies of CZTSSe-based solar cells, it is necessary to understand the fundamental factors that affect crystal growth and microstructure evolution during CZTSSe annealing. Cu2ZnSnS4 (CZTS) nanocrystals were synthesized via thermolysis of single-source cation and sulfur precursors copper, zinc and tin diethyldithiocarbamates. The average nanocrystal size could be tuned between 2 nm and 40 nm, by varying the synthesis temperature between 150 °C and 340 °C. The synthesis is rapid and is completed in less than 10 minutes. Characterization by X-ray diffraction, Raman spectroscopy, transmission electron microscopy and energy dispersive X-ray spectroscopy confirm that the nanocrystals are nominally

  7. Mechanical and optoelectric properties of post-annealed fluorine-doped tin oxide films by ultraviolet laser irradiation

    NASA Astrophysics Data System (ADS)

    Tseng, Shih-Feng; Hsiao, Wen-Tse; Chiang, Donyau; Huang, Kuo-Cheng; Chou, Chang-Pin

    2011-06-01

    The fluorine-doped tin oxide (FTO) thin film deposited on a soda-lime glass substrate was annealed by a defocus ultraviolet (UV) laser irradiation at ambient temperature. The mechanical and optoelectric properties of FTO films annealed by using the various laser processing parameters were reported. After the FTO films were subjected to laser post-annealing, the microhardness were slightly less but the reduced modulus values were larger than that of unannealed FTO films, respectively. The average optical transmittance in the visible waveband slightly increased with increasing the laser annealing energy and scan speed. Moreover, all the sheet resistance of laser annealed films was less than that of the unannealed ones. We found that the sheet resistance decrease was obviously influenced by annealing. The suitable annealing conditions could maintain the film thickness and relief the internal stress generated in the film preparation process to improve the electrical conductivity via decreasing laser energy or increasing scan speed.

  8. Atomic layer epitaxy of hematite on indium tin oxide for application in solar energy conversion

    DOEpatents

    Martinson, Alex B.; Riha, Shannon; Guo, Peijun; Emery, Jonathan D.

    2016-07-12

    A method to provide an article of manufacture of iron oxide on indium tin oxide for solar energy conversion. An atomic layer epitaxy method is used to deposit an uncommon bixbytite-phase iron (III) oxide (.beta.-Fe.sub.2O.sub.3) which is deposited at low temperatures to provide 99% phase pure .beta.-Fe.sub.2O.sub.3 thin films on indium tin oxide. Subsequent annealing produces pure .alpha.-Fe.sub.2O.sub.3 with well-defined epitaxy via a topotactic transition. These highly crystalline films in the ultra thin film limit enable high efficiency photoelectrochemical chemical water splitting.

  9. Optical and Electrical Properties of Tin-Doped Cadmium Oxide Films Prepared by Electron Beam Technique

    NASA Astrophysics Data System (ADS)

    Ali, H. M.; Mohamed, H. A.; Wakkad, M. M.; Hasaneen, M. F.

    2009-04-01

    Tin-doped cadmium oxide films were deposited by electron beam evaporation technique. The structural, optical and electrical properties of the films were characterized. The X-ray diffraction (XRD) study reveals that the films are polycrystalline in nature. As composition and structure change due to the dopant ratio and annealing temperature, the carrier concentration was varied around 1020 cm-3, and the mobility increased from less than 10 to 45 cm2 V-1 s-1. A transmittance value of ˜83% and a resistivity value of 4.4 ×10-4 Ω cm were achieved for (CdO)0.88(SnO2)0.12 film annealed at 350 °C for 15 min., whereas the maximum value of transmittance ˜93% and a resistivity value of 2.4 ×10-3 Ω cm were obtained at 350 °C for 30 min. The films exhibited direct band-to-band transitions, which corresponded to optical band gaps of 3.1-3.3 eV.

  10. Improved conductivity of indium-tin-oxide film through the introduction of intermediate layer

    NASA Astrophysics Data System (ADS)

    Ng, S. W.; Yam, F. K.; Beh, K. P.; Tneh, S. S.; Hassan, Z.

    2016-09-01

    A thin intermediate layer (Ag, AuSn, In, Ni, Sn, SiO2) was individually deposited on glass substrates prior to the deposition of indium-tin-oxide (ITO) thin film by radio-frequency (RF) magnetron sputtering employing ITO target (composition ratio of In2O3:SnO2 = 9:1). The structural, optical and electrical properties were investigated to compare the ITO thin film with and without an intermediate layer. The preferential orientation of all ITO films was along (222) plane. Although all thin films were polycrystalline, the presence of intermediate layer promoted the overall crystallinity. The sheet resistance and resistivity of the ITO film were reduced from ∼68 Ω/□ to ∼29-45 Ω/□, and 16.2 × 10-4 Ω cm up to 7.58 × 10-4 Ω cm, respectively, by inserting a thin metal layer underneath the ITO film, and it is dependent on the degree of crystallization. The optical transmittance in the visible region varies from 40 to 88% for different samples. Based on the evaluation from Tauc plot, the optical band gap falls in the range of 4.02-4.12 eV. Physical film thickness was compared with that evaluated by optical measurement in the visible range and the physical thickness was found to be smaller. Similarly, the carrier concentration/scattering time from Hall effect measurement were also compared with that from optical measurement in the infrared region. Haacke's figure of merit (FOM) was employed to assess the quality of the ITO films, and the highest FOM is credited to ITO/In up to ∼8 × 10-3 Ω-1 in the visible light region.

  11. Thermally evaporated methylammonium tin triiodide thin films for lead-free perovskite solar cell fabrication

    DOE PAGES

    Yu, Yue; Zhao, Dewei; Grice, Corey R.; ...

    2016-09-16

    Here, we report on the synthesis of methylammonium tin triiodide (MASnI 3) thin films at room temperature by a hybrid thermal evaporation method and their application in fabricating lead (Pb)-free perovskite solar cells. The as-deposited MASnI 3 thin films exhibit smooth surfaces, uniform coverage across the entire substrate, and strong crystallographic preferred orientation along the < 100 > direction. By incorporating this film with an inverted planar device architecture, our Pb-free perovskite solar cells are able to achieve an open-circuit voltage ( V oc) up to 494 mV. The relatively high V oc is mainly ascribed to the excellent surfacemore » coverage, the compact morphology, the good stoichiometry control of the MASnI 3 thin films, and the effective passivation of the electron-blocking and hole-blocking layers. Finally, our results demonstrate the potential capability of the hybrid evaporation method to prepare high-quality Pb-free MASnI 3 perovskite thin films which can be used to fabricate efficient Pb-free perovskite solar cells.« less

  12. Preparation of TiN films by reactive high-power pulsed sputtering Penning discharges

    NASA Astrophysics Data System (ADS)

    Kimura, Takashi; Yoshida, Ryo; Mishima, Toshihiko; Azuma, Kingo; Nakao, Setsuo

    2018-06-01

    Titanium nitride (TiN) films are prepared by reactive high-power pulsed sputtering Penning discharges at a total pressure of 0.7 Pa and an average power of 60 W, where the nitrogen fraction is varied up to 15%. The peak value of the instantaneous power ranges between 3 and 14 kW, and the peak power density ranges between 0.3 and 1.2 kW cm‑2. The hardness of TiN films is higher than 22 GPa at the nitrogen fractions lower than 10% and it reaches 31 GPa at a nitrogen fraction of 5%. The X-ray diffraction peak of TiN(111) texture is observed for all prepared films, showing the grain size of about 10 nm. In X-ray photoelectron spectroscopy, oxygen is mainly bonded to titanium, but the intensity of the TiN bond is dominant in the entire Ti 2p spectrum. The intensity ratio of N 1s to Ti 2p ranges between 0.85 and 0.95.

  13. Study of annealing time on sol-gel indium tin oxide films on glass

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    De, A.; Biswas, P.K.; Manara, J.

    2007-07-15

    Indium and tin salt-based precursors maintaining In:Sn atomic ratio as 90:10 were utilized for the development of sol-gel dip coated indium tin oxide films (ITO) on SiO{sub 2} coated ({approx} 200 nm thickness) soda lime silica glass substrate. The gel films were initially cured in air at {approx} 450 deg. C to obtain oxide films of physical thickness {approx} 250 nm. These were then annealed in 95% Ar-5% H{sub 2} atmosphere at {approx} 500 deg. C. The annealing time was varied from 0.5 h to 5 h. Variation of annealing time did not show any considerable change of transmittance inmore » the visible region. Thermal emissivity ({epsilon} {sub d}, 0.67-0.79) of the films were evaluated from their hemispherical spectral reflectance. These passed through a minima with increasing annealing time as the reflectivity of the films in the mid-IR passed through a maxima. The microstructure of the films revealed systematic growth of the ITO grains. XRD and XPS studies revealed the presence of both In and Sn metals in addition to the metal oxides. The energy dispersive X-ray (EDX) analysis showed little lowering of tin content in the films with increasing annealing time.« less

  14. Limits of ZnO Electrodeposition in Mesoporous Tin Doped Indium Oxide Films in View of Application in Dye-Sensitized Solar Cells

    PubMed Central

    Dunkel, Christian; von Graberg, Till; Smarsly, Bernd M.; Oekermann, Torsten; Wark, Michael

    2014-01-01

    Well-ordered 3D mesoporous indium tin oxide (ITO) films obtained by a templated sol-gel route are discussed as conductive porous current collectors. This paper explores the use of such films modified by electrochemical deposition of zinc oxide (ZnO) on the pore walls to improve the electron transport in dye-sensitized solar cells (DSSCs). Mesoporous ITO film were dip-coated with pore sizes of 20–25 nm and 40–45 nm employing novel poly(isobutylene)-b-poly(ethylene oxide) block copolymers as structure-directors. After electrochemical deposition of ZnO and sensitization with the indoline dye D149 the films were tested as photoanodes in DSSCs. Short ZnO deposition times led to strong back reaction of photogenerated electrons from non-covered ITO to the electrolyte. ITO films with larger pores enabled longer ZnO deposition times before pore blocking occurred, resulting in higher efficiencies, which could be further increased by using thicker ITO films consisting of five layers, but were still lower compared to nanoporous ZnO films electrodeposited on flat ITO. The major factors that currently limit the application are the still low thickness of the mesoporous ITO films, too small pore sizes and non-ideal geometries that do not allow obtaining full coverage of the ITO surface with ZnO before pore blocking occurs. PMID:28788618

  15. Effect of thickness on optoelectrical properties of Nb-doped indium tin oxide thin films deposited by RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Li, Shi-na; Ma, Rui-xin; Ma, Chun-hong; Li, Dong-ran; Xiao, Yu-qin; He, Liang-wei; Zhu, Hong-min

    2013-05-01

    Niobium-doped indium tin oxide (ITO:Nb) thin films are prepared on glass substrates with various film thicknesses by radio frequency (RF) magnetron sputtering from one piece of ceramic target material. The effects of thickness (60-360 nm) on the structural, electrical and optical properties of ITO: Nb films are investigated by means of X-ray diffraction (XRD), ultraviolet (UV)-visible spectroscopy, and electrical measurements. XRD patterns show the highly oriented (400) direction. The lowest resistivity of the films without any heat treatment is 3.1×10-4Ω·cm-1, and the resistivity decreases with the increase of substrate temperature. The highest Hall mobility and carrier concentration are 17.6 N·S and 1.36×1021 cm-3, respectively. Band gap energy of the films depends on substrate temperature, which varies from 3.48 eV to 3.62 eV.

  16. Combinatorial study of zinc tin oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    McDowell, M. G.; Sanderson, R. J.; Hill, I. G.

    2008-01-01

    Groups of thin-film transistors using a zinc tin oxide semiconductor layer have been fabricated via a combinatorial rf sputtering technique. The ZnO :SnO2 ratio of the film varies as a function of position on the sample, from pure ZnO to SnO2, allowing for a study of zinc tin oxide transistor performance as a function of channel stoichiometry. The devices were found to have mobilities ranging from 2to12cm2/Vs, with two peaks in mobility in devices at ZnO fractions of 0.80±0.03 and 0.25±0.05, and on/off ratios as high as 107. Transistors composed predominantly of SnO2 were found to exhibit light sensitivity which affected both the on/off ratios and threshold voltages of these devices.

  17. Micro-scale patterning of indium tin oxide film by spatially modulated pulsed Nd:YAG laser beam

    NASA Astrophysics Data System (ADS)

    Lee, Jinsoo; Kim, Seongsu; Lee, Myeongkyu

    2012-09-01

    Here we demonstrate that indium tin oxide (ITO) films deposited on glass can be directly patterned by a spatially -modulated pulsed Nd-YAG laser beam (wavelength = 1064 nm, pulse width = 6 ns) incident onto the film. This method utilizes a pulsed laser-induced thermo-elastic force exerting on the film which plays a role to detach it from the substrate. Sharp-edged clean patterns with feature size as small as 4 μm could be obtained. The threshold pulse energy density for patterning was estimated to be ˜0.8 J/cm2 for 150 nm-thick ITO film, making it possible to pattern over one square centimeter by a single pulse with energy of 850 mJ. Not only being free from photoresist and chemical etching steps, the presented method can also provide much higher throughput than the tradition photoablation process utilizing a tightly focused beam.

  18. Control of the electrical resistivity of Ni-Cr wires using low pressure chemical vapor deposition of tin

    NASA Astrophysics Data System (ADS)

    Kim, Jun-Hyun; Bak, Jeong Geun; Lee, Kangtaek; Kim, Chang-Koo

    2018-01-01

    Control of the electrical resistivity of Ni-Cr wires is demonstrated using low pressure chemical vapor deposition (LPCVD) of tin on the surface of the wire, after which the effects of the deposition temperature on the structural, morphological, and compositional characteristics of the tin-deposited Ni-Cr wires are investigated. As the deposition temperature is increased, the resistivity of the Ni-Cr wires increases in the temperature range 300-400 °C; then remains nearly constant as the temperature increased to 700 °C. The increase in the resistivity of the Ni-Cr wires is attributed to formation of Ni3Sn2 particulates on the surface of the wire. Compositional analysis shows that the pattern of change in the tin content with the deposition temperature is similar to that of resistivity with temperature, implying that the atomic content of tin on Ni-Cr directly affects the electrical resistivity.

  19. Parametrization of optical properties of indium-tin-oxide thin films by spectroscopic ellipsometry: Substrate interfacial reactivity

    NASA Astrophysics Data System (ADS)

    Losurdo, M.; Giangregorio, M.; Capezzuto, P.; Bruno, G.; de Rosa, R.; Roca, F.; Summonte, C.; Plá, J.; Rizzoli, R.

    2002-01-01

    Indium-tin-oxide (ITO) films deposited by sputtering and e-gun evaporation on both transparent (Corning glass) and opaque (c-Si, c-Si/SiO2) substrates and in c-Si/a-Si:H/ITO heterostructures have been analyzed by spectroscopic ellipsometry (SE) in the range 1.5-5.0 eV. Taking the SE advantage of being applicable to absorbent substrate, ellipsometry is used to determine the spectra of the refractive index and extinction coefficient of the ITO films. The effect of the substrate surface on the ITO optical properties is focused and discussed. To this aim, a parametrized equation combining the Drude model, which considers the free-carrier response at the infrared end, and a double Lorentzian oscillator, which takes into account the interband transition contribution at the UV end, is used to model the ITO optical properties in the useful UV-visible range, whatever the substrate and deposition technique. Ellipsometric analysis is corroborated by sheet resistance measurements.

  20. Rolling contact fatigue behavior of Cu and TiN coatings on bearing steel substrates

    NASA Technical Reports Server (NTRS)

    Hochman, R. F.; Erdemir, A.; Dolan, F. J.; Thom, R. L.

    1985-01-01

    The resistance of copper and TiN coatings on various bearing substrates to high-load rolling contact fatigue (RCF) is investigated. Special attention is given to the lubricating characteristics of copper deposited by ion plating, and the wear resistant characteristics of TiN deposited by ion plating and magnetron sputtering techniques. RCF samples of 440C and AMS 5749 bearing steels were coated. Sputter deposited and ion plated films were on the RCF samples in a range of thickness from about 2000 A to 2 microns. Results showed a marked improvement of the RCF for pure copper tested on 440C, but a degradation for copper on AMS 5749. It is also found that the 2000 A TiN films behave favorably on the 440C and AMS 5749 bearing steels at RCF stress levels of 786 ksi. Scanning electron microscopy, X-ray diffraction, and electron spectroscopy for chemical analysis were used during the investigation.

  1. Well-isolated FePt grains with high coercivity on TiN underlayers for heat-assisted magnetic recording media

    NASA Astrophysics Data System (ADS)

    Santos, Tiffany; Jain, Shikha; Hirotsune, Akemi; Hellwig, Olav

    2015-03-01

    MgO is the underlayer material of choice for granular FePt thin film media for heat assisted magnetic recording, because MgO (001) seeds L10-ordered FePt with c-axis perpendicular to the film plane and high perpendicular magnetic anisotropy. MgO is also an effective diffusion barrier between the FePt grains and the metallic underlayers beneath the MgO. However, there are possible concerns associated with using MgO in the media structure. MgO is highly sensitive to moisture, and hydration of MgO could potentially degrade film properties. In addition, many particulates are incorporated into the film during the RF-sputter process, which can be sources of delamination, pinholes and damage to the low-flying recording heads. TiN is an attractive alternative to MgO because it is chemically and mechanically robust, and TiN can be DC-sputtered, which produces fewer particles and has a faster deposition rate. Even though TiN has the same rocksalt crystal structure and lattice constant as MgO, the higher surface energy of TiN causes more wetting of the FePt grains on the TiN surface. As a result, deposition of granular FePt on TiN most often produces inter-connected, worm-like grains with low coercivity. We will show that by optimizing the deposition of FePt and segregant material on the TiN underlayer, we are able to fabricate FePt media with well-isolated grains and high coercivity reaching nearly 4 Tesla. In addition, the FePt has excellent structural properties with a high degree of L10 atomic ordering and minimal c-axis in-plane oriented grains.

  2. RBS and PIXE analysis of chlorine contamination in ALD-Grown TiN films on silicon

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Meersschaut, J.; Witters, T.; Kaeyhkoe, M.

    2013-04-19

    The performance, strengths and limitations of RBS and PIXE for the characterization of trace amounts of Cl in TiN thin films are critically compared. The chlorine atomic concentration in ALD grown TiN thin films on Si is determined for samples grown at temperatures ranging from 350 Degree-Sign C to 550 Degree-Sign C. We show that routine Rutherford backscattering spectrometry measurements (1.5 MeV He{sup +}) and PIXE measurements (1.5 MeV H{sup +}) on 20 nm thick TiN films allow one to determine the Cl content down to 0.3 at% with an absolute statistical accuracy reaching 0.03 at%. Possible improvements to pushmore » the sensitivity limit for both approaches are proposed.« less

  3. Fabrication and electrical properties of low temperature-processed thin-film-transistors with chemical-bath deposited ZnO layer.

    PubMed

    Ahn, Joo-Seob; Kwon, Ji-Hye; Yang, Heesun

    2013-06-01

    ZnO film was grown on ZnO quantum dot seed layer-coated substrate by a low-temperature chemical bath deposition, where sodium citrate serves as a complexing agent for Zn2+ ion. The ZnO film deposited under the optimal condition exhibited a highly uniform surface morphology with a thickness of approimately 30 nm. For the fabrication of thin-film-transistor with a bottom-gate structure, ZnO film was chemically deposited on the transparent substrate of a seed layer-coated SiN(x)/ITO (indium tin oxide)/glass. As-deposited ZnO channel was baked at low temperatures of 60-200 degrees C to investigate the effect of baking temperature on electrical performances. Compared to the device with 60 degrees C-baked ZnO channel, the TFT performances of one with 200 degrees C-baked channel were substantially improved, exhibiting an on-off current ratio of 3.6 x 10(6) and a saturated field-effect mobility of 0.27 cm2/V x s.

  4. Tin-tungsten mineralizing processes in tungsten vein deposits: Panasqueira, Portugal

    NASA Astrophysics Data System (ADS)

    Lecumberri-Sanchez, P.; Pinto, F.; Vieira, R.; Wälle, M.; Heinrich, C. A.

    2015-12-01

    Tungsten has a high heat resistance, density and hardness, which makes it widely applied in industry (e.g. steel, tungsten carbides). Tungsten deposits are typically magmatic-hydrothermal systems. Despite the economic significance of tungsten, there are no modern quantitative analytical studies of the fluids responsible for the formation of its highest-grade deposit type (tungsten vein deposits). Panasqueira (Portugal) is a tungsten vein deposit, one of the leading tungsten producers in Europe and one of the best geologically characterized tungsten vein deposits. In this study, compositions of the mineralizing fluids at Panasqueira have been determined through combination of detailed petrography, microthermometric measurements and LA-ICPMS analyses, and geochemical modeling has been used to determine the processes that lead to tungsten mineralization. We characterized the fluids related to the various mineralizing stages in the system: the oxide stage (tin and tungsten mineralization), the sulfide stage (chalcopyrite and sphalerite mineralization) and the carbonate stage. Thus, our results provide information on the properties of fluids related with specific paragenetic stages. Furthermore we used those fluid compositions in combination with host rock mineralogy and chemistry to evaluate which are the controlling factors in the mineralizing process. This study provides the first quantitative analytical data on fluid composition for tungsten vein deposits and evaluates the controlling mineralization processes helping to determine the mechanisms of formation of the Panasqueira tin-tungsten deposit and providing additional geochemical constraints on the local distribution of mineralization.

  5. Laser-assisted atom probe tomography of Ti/TiN films deposited on Si.

    PubMed

    Sanford, N A; Blanchard, P T; White, R; Vissers, M R; Diercks, D R; Davydov, A V; Pappas, D P

    2017-03-01

    Laser-assisted atom probe tomography (L-APT) was used to examine superconducting TiN/Ti/TiN trilayer films with nominal respective thicknesses of 5/5/5 (nm). Such materials are of interest for applications that require large arrays of microwave kinetic inductance detectors. The trilayers were deposited on Si substrates by reactive sputtering. Electron energy loss microscopy performed in a scanning transmission electron microscope (STEM/EELS) was used to corroborate the L-APT results and establish the overall thicknesses of the trilayers. Three separate batches were studied where the first (bottom) TiN layer was deposited at 500°C (for all batches) and the subsequent TiN/Ti bilayer was deposited at ambient temperature, 250°C, and 500°C, respectively. L-APT rendered an approximately planar TiN/Si interface by making use of plausible mass-spectral assignments to N 3 1+ , SiN 1+ , and SiO 1+ . This was necessary since ambiguities associated with the likely simultaneous occurrence of Si 1+ and N 2 1+ prevented their use in rendering the TiN/Si interface upon reconstruction. The non-superconducting Ti 2 N phase was also revealed by L-APT. Neither L-APT nor STEM/EELS rendered sharp Ti/TiN interfaces and the contrast between these layers diminished with increased film deposition temperature. L-APT also revealed that hydrogen was present in varying degrees in all samples including control samples that were composed of single layers of Ti or TiN. Published by Elsevier Ltd.

  6. The role of Tin Oxide Concentration on The X-ray Diffraction, Morphology and Optical Properties of In2O3:SnO2 Thin Films

    NASA Astrophysics Data System (ADS)

    Hasan, Bushra A.; Abdallah, Rusul M.

    2018-05-01

    Alloys were performed from In2O3 doped SnO2 with different doping ratio by quenching from the melt technique. Pulsed Laser Deposition PLD was used to deposit thin films of different doping ratio In2O3 : SnO2 (0, 1, 3, 5, 7 and 9 % wt.) on glass substrate at ambient temperature under vacuum of 10-3 bar thickness of ∼100nm. The structural type,grain size and morphology of the prepared alloys compounds and thin films were examined using X-ray diffraction and atomic force microscopy. The results showed that all alloys have polycrystalline structures and the peaks belonged to the preferred plane for crystal growth were identical with the ITO (Indium – Tin –Oxide) standard cards also another peaks were observed belonged to SnO2 phase. The structures of thin films was also polycrystalline, and the predominate peaks are identical with standard cards ITO. On the other side the prepared thin films declared decrease a reduction of degree of crystallinity with the increase of doping ratio. Atomic Force Microscopy AFM measurements showed the average grain size and average surface roughness exhibit to change in systematic manner with the increase of doping ratio with tin oxide. The optical measurements show that the In2O3:SnO2 thin films have a direct energy gap Eg opt in the first stage decreases with the increase of doping ratio and then get to increase with further increase of doping ration, whereas reverse to that the optical constants such as refractive index (n), extinction coefficient (k) and dielectric constant (εr, εi) have a regular increase with the doping ratio by tin oxide and then decreases.

  7. Study of indium tin oxide films exposed to atomic axygen

    NASA Technical Reports Server (NTRS)

    Snyder, Paul G.; De, Bhola N.; Woollam, John A.; Coutts, T. J.; Li, X.

    1989-01-01

    A qualitative simulation of the effects of atomic oxygen has been conducted on indium tin oxide (ITO) films prepared by dc sputtering onto room-temperature substrates, by exposing them to an RF-excited oxygen plasma and characterizing the resulting changes in optical, electrical, and structural properties as functions of exposure time with ellipsometry, spectrophotometry, resistivity, and X-ray measurements. While the films thus exposed exhibit reduced resistivity and optical transmission; both of these effects, as well as partial crystallization of the films, may be due to sample heating by the plasma. Film resistivity is found to stabilize after a period of exposure.

  8. Mechanical properties of pulsed laser-deposited hydroxyapatite thin films implanted at high energy with N + and Ar + ions. Part II: nano-scratch tests with spherical tipped indenter

    NASA Astrophysics Data System (ADS)

    Pelletier, H.; Nelea, V.; Mille, P.; Muller, D.

    2004-02-01

    In this study we report a method to improve the adherence of hydroxyapatite (HA) thin films, using an ion beam implantation treatment. Crystalline HA films were grown by pulsed laser deposition technique (PLD), using an excimer KrF * laser. The films were deposited at room temperature in vacuum on Ti-5Al-2.5Fe alloy substrates previously coated with a ceramic TiN buffer layer and then annealed in ambient air at (500-600) °C. After deposition the films were implanted with N + and Ar + ions accelerated at high energy (1-1.5 MeV range) at a fixed dose of 10 16 cm -2. The intrinsic mechanical resistance and adherence to the TiN buffer layer of the implanted HA films have been evaluated by nano-scratch tests. We used for measurements a spherical indenter with a tip radius of 5 μm. Different scratch tests have been performed on implanted and unimplanted areas of films to put into evidence the effects of N + and Ar + ion implantation process on the films properties. Results show an enhancement of the dynamic mechanical properties in the implanted zones and influence of the nature of the implanted species. The best results are obtained for films implanted with nitrogen.

  9. Vacancy defects and optoelectrical properties for fluorine tin oxide thin films with various SnF2 contents

    NASA Astrophysics Data System (ADS)

    Zhou, Yawei; Xu, Wenwu; Li, Jingjing; Yin, Chongshan; Liu, Yong; Zhao, Bin; Chen, Zhiquan; He, Chunqing; Mao, Wenfeng; Ito, Kenji

    2018-01-01

    Fluorine doped tin oxide (FTO) thin films were deposited on glass substrates by e-beam evaporation. Much higher carrier concentration, broader optical band gap, and average transmittance over 80% were obtained with SnF2 doped SnO2 thin films. Positron annihilation results showed that there are two kinds of vacancy clusters with different sizes existing in the annealed FTO thin films, and the concentration of the larger vacancy clusters of VSnO in the thin films increases with increasing SnF2 contents. Meanwhile, photoluminescence spectra results indicated that the better electrical and optical properties of the FTO thin films are attributed to FO substitutions and oxygen vacancies with higher concentration, which are supported by positron annihilation Doppler broadening results and confirmed by X-ray photoelectron spectroscopy. The results showed that widening of the optical band gap of the FTO thin films strongly depends on the carrier concentration, which is interpreted for the Burstein-Moss effect and is associated with the formation of FO and oxygen vacancies with increasing SnF2 content.

  10. Fully transparent flexible tin-doped zinc oxide thin film transistors fabricated on plastic substrate.

    PubMed

    Han, Dedong; Zhang, Yi; Cong, Yingying; Yu, Wen; Zhang, Xing; Wang, Yi

    2016-12-12

    In this work, we have successfully fabricated bottom gate fully transparent tin-doped zinc oxide thin film transistors (TZO TFTs) fabricated on flexible plastic substrate at low temperature by RF magnetron sputtering. The effect of O 2 /Ar gas flow ratio during channel deposition on the electrical properties of TZO TFTs was investigated, and we found that the O 2 /Ar gas flow ratio have a great influence on the electrical properties. TZO TFTs on flexible substrate has very nice electrical characteristics with a low off-state current (I off ) of 3 pA, a high on/off current ratio of 2 × 10 7 , a high saturation mobility (μ sat ) of 66.7 cm 2 /V•s, a steep subthreshold slope (SS) of 333 mV/decade and a threshold voltage (V th ) of 1.2 V. Root-Mean-Square (RMS) roughness of TZO thin film is about 0.52 nm. The transmittance of TZO thin film is about 98%. These results highlight that the excellent device performance can be realized in TZO film and TZO TFT can be a promising candidate for flexible displays.

  11. Fully transparent flexible tin-doped zinc oxide thin film transistors fabricated on plastic substrate

    NASA Astrophysics Data System (ADS)

    Han, Dedong; Zhang, Yi; Cong, Yingying; Yu, Wen; Zhang, Xing; Wang, Yi

    2016-12-01

    In this work, we have successfully fabricated bottom gate fully transparent tin-doped zinc oxide thin film transistors (TZO TFTs) fabricated on flexible plastic substrate at low temperature by RF magnetron sputtering. The effect of O2/Ar gas flow ratio during channel deposition on the electrical properties of TZO TFTs was investigated, and we found that the O2/Ar gas flow ratio have a great influence on the electrical properties. TZO TFTs on flexible substrate has very nice electrical characteristics with a low off-state current (Ioff) of 3 pA, a high on/off current ratio of 2 × 107, a high saturation mobility (μsat) of 66.7 cm2/V•s, a steep subthreshold slope (SS) of 333 mV/decade and a threshold voltage (Vth) of 1.2 V. Root-Mean-Square (RMS) roughness of TZO thin film is about 0.52 nm. The transmittance of TZO thin film is about 98%. These results highlight that the excellent device performance can be realized in TZO film and TZO TFT can be a promising candidate for flexible displays.

  12. Hollow tin/chromium whiskers

    NASA Astrophysics Data System (ADS)

    Cheng, Jing; Vianco, Paul T.; Li, James C. M.

    2010-05-01

    Tin whiskers have been an engineering challenge for over five decades. The mechanism has not been agreed upon thus far. This experiment aimed to identify a mechanism by applying compressive stresses to a tin film evaporated on silicon substrate with an adhesion layer of chromium in between. A phenomenon was observed in which hollow whiskers grew inside depleted areas. Using focused ion beam, the hollow whiskers were found to contain both tin and chromium. At the bottom of the depleted areas, thin tin/tin oxide film remained over the chromium layer. It indicates that tin transport occurred along the interface between tin and chromium layers.

  13. Controlling plasmonic properties of epitaxial thin films of indium tin oxide in the near-infrared region

    NASA Astrophysics Data System (ADS)

    Kamakura, R.; Fujita, K.; Murai, S.; Tanaka, K.

    2015-06-01

    Epitaxial thin films of indium tin oxide (ITO) were grown on yttria-stabilized zirconia single-crystal substrates by using a pulsed laser deposition to examine their plasmonic properties. The dielectric function of ITO was characterized by spectroscopic ellipsometry. Through the concentration of SnO2 in the target, the carrier concentration in the films was modified, which directly leads to the tuning of the dielectric function in the near-infrared region. Variable-angle reflectance spectroscopy in the Kretschmann geometry shows the dip in the reflection spectrum of p-polarized light corresponding to the excitation of surface plasmon polaritions (SPPs) in the near-infrared region. The excitation wavelength of the SPPs was shifted with changing the dielectric functions of ITO, which is reproduced by the calculation using transfer matrix method.

  14. Synthesis and characterisation of co-evaporated tin sulphide thin films

    NASA Astrophysics Data System (ADS)

    Koteeswara Reddy, N.; Ramesh, K.; Ganesan, R.; Ramakrishna Reddy, K. T.; Gunasekhar, K. R.; Gopal, E. S. R.

    2006-04-01

    Tin sulphide films were grown at different substrate temperatures by a thermal co-evaporation technique. The crystallinity of the films was evaluated from X-ray diffraction studies. Single-phase SnS films showed a strong (040) orientation with an orthorhombic crystal structure and a grain size of 0.12 μm. The films showed an electrical resistivity of 6.1 Ω cm with an activation energy of 0.26 eV. These films exhibited an optical band gap of 1.37 eV and had a high optical absorption coefficient (>104 cm-1) above the band-gap energy. The results obtained were analysed to evaluate the potentiality of the co-evaporated SnS films as an absorber layer in solar photovoltaic devices.

  15. Epitaxial integration of CoFe2O4 thin films on Si (001) surfaces using TiN buffer layers

    NASA Astrophysics Data System (ADS)

    Prieto, Pilar; Marco, José F.; Prieto, José E.; Ruiz-Gomez, Sandra; Perez, Lucas; del Real, Rafael P.; Vázquez, Manuel; de la Figuera, Juan

    2018-04-01

    Epitaxial cobalt ferrite thin films with strong in-plane magnetic anisotropy have been grown on Si (001) substrates using a TiN buffer layer. The epitaxial films have been grown by ion beam sputtering using either metallic, CoFe2, or ceramic, CoFe2O4, targets. X-ray diffraction (XRD) and Rutherford spectrometry (RBS) in random and channeling configuration have been used to determine the epitaxial relationship CoFe2O4 [100]/TiN [100]/Si [100]. Mössbauer spectroscopy, in combination with XRD and RBS, has been used to determine the composition and structure of the cobalt ferrite thin films. The TiN buffer layer induces a compressive strain in the cobalt ferrite thin films giving rise to an in-plane magnetic anisotropy. The degree of in-plane anisotropy depends on the lattice mismatch between CoFe2O4 and TiN, which is larger for CoFe2O4 thin films grown on the reactive sputtering process with ceramic targets.

  16. Influence of Continuous and Discontinuous Depositions on Properties of Ito Films Prepared by DC Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Aiempanakit, K.; Rakkwamsuk, P.; Dumrongrattana, S.

    Indium tin oxide (ITO) films were deposited on glass substrate without external heating by DC magnetron sputtering with continuous deposition of 800 s (S1) and discontinuous depositions of 400 s × 2 times (S2), 200 s × 4 times (S3) and 100 s × 8 times (S4). The structural, surface morphology, optical transmittance and electrical resistivity of ITO films were measured by X-ray diffraction, atomic force microscope, spectrophotometer and four-point probe, respectively. The deposition process of the S1 condition shows the highest target voltage due to more target poisoning occurrence. The substrate temperature of the S1 condition increases with the saturation curve of the RC charging circuit while other conditions increase and decrease due to deposition steps as DC power turns on and off. Target voltage and substrate temperature of ITO films decrease when changing the deposition conditions from S1 to S2, S3 and S4, respectively. The preferential orientation of ITO films were changed from dominate (222) plane to (400) plane with the increasing number of deposition steps. The ITO film for the S4 condition shows the lowest electrical resistivity of 1.44 × 10-3 Ω·cm with the highest energy gap of 4.09 eV and the highest surface roughness of 3.43 nm. These results were discussed from the point of different oxygen occurring on the surface ITO target between the sputtering processes which affected the properties of ITO films.

  17. On the influence of DC electric fields on the aerosol assisted chemical vapor deposition growth of photoactive titanium dioxide thin films.

    PubMed

    Romero, Luz; Binions, Russell

    2013-11-05

    Titanium dioxide thin films were deposited on fluorine doped tin oxide glass substrate from the electric field assisted aerosol chemical vapor deposition (EACVD) reaction of titanium isopropoxide (TTIP, Ti(OC3H7)4) in toluene on glass substrates at a temperature of 450 °C. DC electric fields were generated by applying a potential difference between the electrodes of the transparent coated oxide coated glass substrates during the deposition. The deposited films were characterized using scanning electron microscopy, X-ray diffraction, atomic force microscopy, Raman spectroscopy, and UV-vis spectroscopy. The photoactivity and hydrophilicity of the deposited films were also analyzed using a dye-ink test and water-contact angle measurements. The characterization work revealed that the incorporation of DC electric fields produced significant reproducible changes in the film microstructure, preferred crystallographic orientation, roughness, and film thickness. Photocatalytic activity was calculated from the half-time (t1/2) or time taken to degrade 50% of the initial resazurin dye concentration. A large improvement in photocatalytic activity was observed for films deposited using an electric field with a strong orientation in the (004) direction (t1/2 17 min) as compared to a film deposited with no electric field (t1/2 40 min).

  18. TiN films fabricated by reactive gas pulse sputtering: A hybrid design of multilayered and compositionally graded structures

    NASA Astrophysics Data System (ADS)

    Yang, Jijun; Zhang, Feifei; Wan, Qiang; Lu, Chenyang; Peng, Mingjing; Liao, Jiali; Yang, Yuanyou; Wang, Lumin; Liu, Ning

    2016-12-01

    Reactive gas pulse (RGP) sputtering approach was used to prepare TiN thin films through periodically changing the N2/Ar gas flow ratio. The obtained RGPsbnd TiN film possessed a hybrid architecture containing compositionally graded and multilayered structures, composed of hcp Ti-phase and fcc TiN-phase sublayers. Meanwhile, the RGP-TiN film exhibited a composition-oscillation along the film thickness direction, where the Ti-phase sublayer had a compositional gradient and the TiN-phase retained a constant stoichiometric ratio of Ti:N ≈ 1. The film modulation ratio λ (the thicknesses ratio of the Ti and TiN-phase sublayer) can be effectively tuned by controlling the undulation behavior of the N2 partial flow rate. Detailed analysis showed that this hybrid structure originated from a periodic transition of the film growth mode during the reactive sputtering process.

  19. Genesis of the Silsilah tin deposit, Kingdom of Saudi Arabia

    USGS Publications Warehouse

    Kamilli, Robert J.; Criss, R.E.

    1996-01-01

    The Silsilah tin deposit (lat 25 degrees 06' N, long 42 degrees 40' E) consists of a group of pervasively greisenized, flat-topped granite cupolas within a 12-km-diam ring complex. The greisens contain varying amounts of disseminated cassiterite and wolframite. Several types of quartz veins are peripheral to the greisens; some of these contain minor wolframite. The deposit is genetically associated with a highly differentiated, peraluminous alkali-feldspar granite (587 + or - 8 Ma) that is part of a mostly peralkaline, igneous ring complex intruded into Late Proterozoic, immature sandstones of the Murdama Group. We recognize four distinct phases of the peraluminous granite. Only the smallest, most highly differentiated cupolas contain significant tin greisen mineralization. Greisens developed beneath aplitic carapaces that overlie the granite and created impermeable barriers to rising volatiles. The geometry of a cupola correlates strongly with the intensity of alteration; cupolas with the smallest cross sectional areas and steepest marginal contacts have the most intensely greisenized apexes. The paragenetic sequence can be divided into five stages: pegmatite formation, locally pervasive albitization, locally pervasive greisenization and deposition of cassiterite, deposition of quartz-wolframite veins, and deposition of quartz veins with minor base metal sulfides. Pressure-corrected fluid inclusion filling temperatures indicate that the hydrothermal system generally cooled as it evolved and that the delta 18O values of the hydrothermal quartz increased from 10.8 to 15.7 per mil. Calculated delta 18O values of the hydrothermal fluid varied concomitantly from the pegmatite stage (delta 18O fluid approximately 8.6ppm; T [asymp] 550 degrees C) to the greisen stage (5.4 and 5.6[ppm; T [asymp] 360 degrees C), the quartz-wolframite vein stage (6.3 and 7.5ppm; T [asymp] 390 degrees C), and the late vein stage (4.0 and 5.1ppm; T [asymp] 270 degrees C). This evolution

  20. Mechanical properties improvement of pulsed laser-deposited hydroxyapatite thin films by high energy ion-beam implantation

    NASA Astrophysics Data System (ADS)

    Nelea, V.; Pelletier, H.; Müller, D.; Broll, N.; Mille, P.; Ristoscu, C.; Mihailescu, I. N.

    2002-01-01

    Major problems in the hydroxyapatite (HA), Ca 5(PO 4) 3OH, thin films processing still keep the poor mechanical properties and the lack in density. We present a study on the feasibility of high energy ion-beam implantation technique to densify HA bioceramic films. Crystalline HA films were grown by pulsed laser deposition (PLD) method using an excimer KrF ∗ laser ( λ=248 nm, τ FWHM≥20 ns). The films were deposited on Ti-5Al-2.5Fe alloys substrates previously coated with a ceramic TiN buffer layer. After deposition the films were implanted with Ar + ions at high energy. Optical microscopy (OM), white light confocal microscopy (WLCM), grazing incidence X-ray diffraction (GIXRD) and Berkovich nanoindentation in normal and scratch options have been applied for the characterization of the obtained structures. We put into evidence an enhancement of the mechanical characteristics after implantation, while GIXRD measurements confirm that the crystalline structure of HA phase is preserved. The improvement in mechanical properties is an effect of a densification after ion treatment as a result of pores elimination and grains regrowth.

  1. Silicon-based microfabricated tin oxide gas sensor incorporating use of Hall effect measurement

    NASA Astrophysics Data System (ADS)

    Hammond, Joseph Wilson

    2000-10-01

    Characterization of a microfabricated sol-gel derived nano-particle tin oxide thin film on a silicon substrate, through simultaneous measurement of conductivity, Hall mobility and electron density, had not been accomplished before this study. Conductivity is a function of carrier density and Hall mobility. Therefore, a full understanding of the sensing mechanism of tin oxide requires knowledge of the sensor conductivity, electron density and Hall mobility. A tin oxide thin film (1100A thick), derived by the sol-gel method, was deposited on a Si/SiO2 substrate by means of spin coating method. The sol-gel method produces films of porous interconnected nano-sized particles and is relatively inexpensive and easy to produce compared to existing methods of tin oxide thin film deposition. A goal of this study was to determine the compatibility of sol-gel derived tin oxide thin films with silicon based microfabrication procedures. It was determined that conductivity sensitivity is strongly dependant on electron density level and shows very weak dependence on Hall mobility. Lack of Hall mobility sensitivity to H2 concentration suggests that conduction is grain control limited. In this regime, in which the grain size (D) is less than twice the characteristic Debye length (LD), a change in reducing gas concentration results in a nearly simultaneous change in carrier density throughout the entire grain, while the Hall mobility remains unchanged. The sensor calcined at 500°C and operated at 250°C showed maximum conductivity sensitivity to H2 in air. The sensor exhibited a high conductivity sensitivity of 10.6 to 100ppm H2 in air with response time of (˜1) minute and recovery time of (˜4) minutes. Images of the thin film surface, obtained by SEM, were used to study the effects of calcination temperature and operating conditions on the tin oxide structure. Sensitivity decreased as average grain size increased from 7.7nm to 14.7nm, with increasing calcination temperature from

  2. Growth, intermixing, and surface phase formation for zinc tin oxide nanolaminates produced by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hägglund, Carl, E-mail: carl.hagglund@angstrom.uu.se; Grehl, Thomas; Brongersma, Hidde H.

    2016-03-15

    A broad and expanding range of materials can be produced by atomic layer deposition at relatively low temperatures, including both oxides and metals. For many applications of interest, however, it is desirable to grow more tailored and complex materials such as semiconductors with a certain doping, mixed oxides, and metallic alloys. How well such mixed materials can be accomplished with atomic layer deposition requires knowledge of the conditions under which the resulting films will be mixed, solid solutions, or laminated. The growth and lamination of zinc oxide and tin oxide is studied here by means of the extremely surface sensitivemore » technique of low energy ion scattering, combined with bulk composition and thickness determination, and x-ray diffraction. At the low temperatures used for deposition (150 °C), there is little evidence for atomic scale mixing even with the smallest possible bilayer period, and instead a morphology with small ZnO inclusions in a SnO{sub x} matrix is deduced. Postannealing of such laminates above 400 °C however produces a stable surface phase with a 30% increased density. From the surface stoichiometry, this is likely the inverted spinel of zinc stannate, Zn{sub 2}SnO{sub 4}. Annealing to 800 °C results in films containing crystalline Zn{sub 2}SnO{sub 4}, or multilayered films of crystalline ZnO, Zn{sub 2}SnO{sub 4}, and SnO{sub 2} phases, depending on the bilayer period.« less

  3. Room-temperature relaxor ferroelectricity and photovoltaic effects in tin titanate directly deposited on a silicon substrate

    NASA Astrophysics Data System (ADS)

    Agarwal, Radhe; Sharma, Yogesh; Chang, Siliang; Pitike, Krishna C.; Sohn, Changhee; Nakhmanson, Serge M.; Takoudis, Christos G.; Lee, Ho Nyung; Tonelli, Rachel; Gardner, Jonathan; Scott, James F.; Katiyar, Ram S.; Hong, Seungbum

    2018-02-01

    Tin titanate (SnTi O3 ) has been notoriously impossible to prepare as a thin-film ferroelectric, probably because high-temperature annealing converts much of the S n2 + to S n4 + . In the present paper, we show two things: first, perovskite phase SnTi O3 can be prepared by atomic-layer deposition directly onto p -type Si substrates; and second, these films exhibit ferroelectric switching at room temperature, with p -type Si acting as electrodes. X-ray diffraction measurements reveal that the film is single-phase, preferred-orientation ferroelectric perovskite SnTi O3 . Our films showed well-saturated, square, and repeatable hysteresis loops of around 3 μ C /c m2 remnant polarization at room temperature, as detected by out-of-plane polarization versus electric field and field cycling measurements. Furthermore, photovoltaic and photoferroelectricity were found in Pt /SnTi O3/Si /SnTi O3/Pt heterostructures, the properties of which can be tuned through band-gap engineering by strain according to first-principles calculations. This is a lead-free room-temperature ferroelectric oxide of potential device application.

  4. Room-temperature relaxor ferroelectricity and photovoltaic effects in tin titanate directly deposited on a silicon substrate

    DOE PAGES

    Agarwal, Radhe; Sharma, Yogesh; Chang, Siliang; ...

    2018-02-20

    Tin titanate (SnTiO 3) has been notoriously impossible to prepare as a thin-film ferroelectric, probably because high-temperature annealing converts much of the Sn 2+ to Sn 4+. In the present paper, we show two things: first, perovskite phase SnTiO 3 can be prepared by atomic-layer deposition directly onto p-type Si substrates; and second, these films exhibit ferroelectric switching at room temperature, with p-type Si acting as electrodes. X-ray diffraction measurements reveal that the film is single-phase, preferred-orientation ferroelectric perovskite SnTiO 3. Our films showed well-saturated, square, and repeatable hysteresis loops of around 3μC/cm 2 remnant polarization at room temperature, asmore » detected by out-of-plane polarization versus electric field and field cycling measurements. Furthermore, photovoltaic and photoferroelectricity were found in Pt/SnTiO 3/Si/SnTiO 3/Pt heterostructures, the properties of which can be tuned through band-gap engineering by strain according to first-principles calculations. In conclusion, this is a lead-free room-temperature ferroelectric oxide of potential device application.« less

  5. Room-temperature relaxor ferroelectricity and photovoltaic effects in tin titanate directly deposited on a silicon substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Agarwal, Radhe; Sharma, Yogesh; Chang, Siliang

    Tin titanate (SnTiO 3) has been notoriously impossible to prepare as a thin-film ferroelectric, probably because high-temperature annealing converts much of the Sn 2+ to Sn 4+. In the present paper, we show two things: first, perovskite phase SnTiO 3 can be prepared by atomic-layer deposition directly onto p-type Si substrates; and second, these films exhibit ferroelectric switching at room temperature, with p-type Si acting as electrodes. X-ray diffraction measurements reveal that the film is single-phase, preferred-orientation ferroelectric perovskite SnTiO 3. Our films showed well-saturated, square, and repeatable hysteresis loops of around 3μC/cm 2 remnant polarization at room temperature, asmore » detected by out-of-plane polarization versus electric field and field cycling measurements. Furthermore, photovoltaic and photoferroelectricity were found in Pt/SnTiO 3/Si/SnTiO 3/Pt heterostructures, the properties of which can be tuned through band-gap engineering by strain according to first-principles calculations. In conclusion, this is a lead-free room-temperature ferroelectric oxide of potential device application.« less

  6. Characteristics of layered tin disulfide deposited by atomic layer deposition with H2S annealing

    NASA Astrophysics Data System (ADS)

    Lee, Seungjin; Shin, Seokyoon; Ham, Giyul; Lee, Juhyun; Choi, Hyeongsu; Park, Hyunwoo; Jeon, Hyeongtag

    2017-04-01

    Tin disulfide (SnS2) has attracted much attention as a two-dimensional (2D) material. A high-quality, low-temperature process for producing 2D materials is required for future electronic devices. Here, we investigate tin disulfide (SnS2) layers deposited via atomic layer deposition (ALD) using tetrakis(dimethylamino)tin (TDMASn) as a Sn precursor and H2S gas as a sulfur source at low temperature (150° C). The crystallinity of SnS2 was improved by H2S gas annealing. We carried out H2S gas annealing at various conditions (250° C, 300° C, 350° C, and using a three-step method). Angle-resolved X-ray photoelectron spectroscopy (ARXPS) results revealed the valence state corresponding to Sn4+ and S2- in the SnS2 annealed with H2S gas. The SnS2 annealed with H2S gas had a hexagonal structure, as measured via X-ray diffraction (XRD) and the clearly out-of-plane (A1g) mode in Raman spectroscopy. The crystallinity of SnS2 was improved after H2S annealing and was confirmed using the XRD full-width at half-maximum (FWHM). In addition, high-resolution transmission electron microscopy (HR-TEM) images indicated a clear layered structure.

  7. Structural and optical properties of nanostructured CdSe thin films prepared by electrochemical deposition

    NASA Astrophysics Data System (ADS)

    Bai, Rekha; Chaudhary, Sujeet; Pandya, Dinesh K.

    2018-05-01

    Cadmium selenide (CdSe) nanostructured thin films have been grown on fluorine doped tin oxide (FTO) coated glass substrates by potentiostatic electrochemical deposition (ECD) technique for use in solar energy conversion devices. The effect of bath temperature on the structural, morphological and optical properties of prepared CdSe films has been explored. X-ray diffraction (XRD) and Raman spectroscopy clearly show that the CdSe films are polycrystalline and exhibit phase transformation from wurtzite to zincblende structure with increase in bath temperature. Optical spectra reveal that the nanostructured CdSe films have high absorbance in visible region and the films show a red shift in direct optical energy band gap from 1.90 to 1.65 eV with increase in bath temperature due to change in phase and bandgap tuning related to quantum confinement effect.

  8. Electro deposition of cuprous oxide for thin film solar cell applications

    NASA Astrophysics Data System (ADS)

    Shahrestani, Seyed Mohammad

    p and n type copper oxide semiconductor layers were fabricated by electrochemistry using new approaches for photovoltaic applications. Thin films were electroplated by cathodic polarization on a copper foil or indium tin oxide (ITO) substrates. The optimum deposition conditions (composition, pH and temperature of the electrolyte and applied potential) of the layers as thin films have been identified; in particular the conditions that allow getting the n-type layers have been well identified for the first time. The configuration of a photo - electrochemical cell was used to characterize the spectral response of the layers. It was shown that the p type layers exhibit a photocurrent in the cathode potential region and n layers exhibit photo current in the anode potential region. Measurements of electrical resistivity of electro chemically deposited layers of p and n type Cu2O, showed that the resistivity of p-type Cu2O varies from 3.2 x 105 to 2.0 x 108 Ocm. These values depend the electrodepositing conditions such as the pH of the solution, the deposition potential and temperature. The influence of several plating parameters of the p type layers of Cu2O, such as applied potential, pH and temperature of the bath on the chemical composition, degree of crystallinity, grain size and orientation parameters of the sample was systematically studied using X-ray diffraction and scanning electron microscopy. Depending of the electro-deposition potential, two different surface morphologies with various preferential crystal orientations were obtained for the temperatures of the electro-deposition of 30 °C and pH 9. For the same temperature, the layers of p type Cu2O of highly crystalline p type are obtained at pH 12, indicating that the crystallinity depends on the pH of the bath. Also, it has been shown that the morphology of Cu2O layers was changed by varying the potential and the duration of deposition, as well as the temperature of the solution. The conditions for the

  9. P-doped strontium titanate grown using two target pulsed laser deposition for thin film solar cells

    NASA Astrophysics Data System (ADS)

    Man, Hamdi

    Thin-film solar cells made of Mg-doped SrTiO3 p-type absorbers are promising candidates for clean energy generation. This material shows p-type conductivity and also demonstrates reasonable absorption of light. In addition, p-type SrTiO3 can be deposited as thin films so that the cost can be lower than the competing methods. In this work, Mg-doped SrTiO3 (STO) thin-films were synthesized and analyzed in order to observe their potential to be employed as the base semiconductor in photovoltaic applications. Mg-doped STO thin-films were grown by using pulsed laser deposition (PLD) using a frequency quadrupled Yttrium Aluminum Garnet (YAG) laser and with a substrate that was heated by back surface absorption of infrared (IR) laser light. The samples were characterized using X-ray photoelectron spectroscopy (XPS) and it was observed that Mg atoms were doped successfully in the stoichiometry. Reflection high energy electron diffraction (RHEED) spectroscopy proved that the thin films were polycrystalline. Kelvin Probe work function measurements indicated that the work function of the films were 4.167 eV after annealing. UV/Vis Reflection spectroscopy showed that Mg-doped STO thin-films do not reflect significantly except in the ultraviolet region of the spectrum where the reflection percentage increased up to 80%. Self-doped STO thin-films, Indium Tin Oxide (ITO) thin films and stainless steel foil (SSF) were studied in order to observe their characteristics before employing them in Mg-doped STO based solar cells. Self-doped STO thin films were grown using PLD and the results showed that they are capable of serving as the n-type semiconductor in solar cell applications with oxygen vacancies in their structure and low reflectivity. Indium Tin Oxide thin-films grown by PLD system showed low 25-50 ?/square sheet resistance and very low reflection features. Finally, commercially available stainless steel foil substrates were excellent substrates for the inexpensive growth of

  10. Evaporation-Driven Deposition of ITO Thin Films from Aqueous Solutions with Low-Speed Dip-Coating Technique.

    PubMed

    Ito, Takashi; Uchiyama, Hiroaki; Kozuka, Hiromitsu

    2017-05-30

    We suggest a novel wet coating process for preparing indium tin oxide (ITO) films from simple solutions containing only metal salts and water via evaporation-driven film deposition during low-speed dip coating. Homogeneous ITO precursor films were deposited on silica glass substrates from the aqueous solutions containing In(NO 3 ) 3 ·3H 2 O and SnCl 4 ·5H 2 O by dip coating at substrate withdrawal speeds of 0.20-0.50 cm min -1 and then crystallized by the heat treatment at 500-800 °C for 10-60 min under N 2 gas flow of 0.5 L min -1 . The ITO films heated at 600 °C for 30 min had a high optical transparency in the visible range and a good electrical conductivity. Multiple-coating ITO films obtained with five-times dip coating exhibited the lowest sheet (ρ S ) and volume (ρ V ) resistivities of 188 Ω sq -1 and 4.23 × 10 -3 Ω cm, respectively.

  11. Fundamental studies of tin whiskering in microelectronics finishes

    NASA Astrophysics Data System (ADS)

    Pinol, Lesly Agnes

    -metallization whiskering. Regarding sample geometry, it was found that smaller, thinner substrates displayed a more rapid onset of whiskering immediately following metallization. Changes in wafer-level stress were not found to correlate with whiskering morphology (number, density, length) after 6 weeks of aging. This result points either to the irrelevance of macrostress in the substrate/film composite, or to a difference in whiskering mechanism for rigid substrates (whose stress gradient over time is significant) when compared with thinner, flexible substrates (whose stress is less variable with time). Organic contamination was found to have no appreciable effect when explicitly introduced. Furthermore, electron-beam evaporated films whiskered more readily than films deposited via electroplating from baths containing organic "brighteners." Beyond such findings, novel in themselves, our work is also unique in that we emphasize the "clean" deposition of tin (with chromium adhesion layers and copper underlayers) by vacuum-based physical vapor deposition, to circumvent the question of contamination entirely. By employing silicon substrates exclusively, we have distinguished ourselves from other works (which, for example, use copper coupons fabricated from rolled shim stock) because we have better sample-to-sample consistency in terms of material properties, machinability, and orientation.

  12. Characterization of ZnO:SnO{sub 2} (50:50) thin film deposited by RF magnetron sputtering technique

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cynthia, S. R.; Sanjeeviraja, C.; Ponmudi, S.

    2016-05-06

    Zinc oxide (ZnO) and tin oxide (SnO{sub 2}) thin films have attracted significant interest recently for use in optoelectronic application such as solar cells, flat panel displays, photonic devices, laser diodes and gas sensors because of their desirable electrical and optical properties and wide band gap. In the present study, thin films of ZnO:SnO{sub 2} (50:50) were deposited on pre-cleaned microscopic glass substrate by RF magnetron sputtering technique. The substrate temperature and RF power induced changes in structural, surface morphological, compositional and optical properties of the films have been studied.

  13. Structural, electrical, and optical properties of antimony-doped tin oxide films prepared at room temperature by radio frequency magnetron sputtering for transparent electrodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Lee, Sung Uk; Hong, Byungyou; Choi, Won Seok

    2009-07-15

    Antimony-doped tin oxide (ATO) films were prepared on 7059 Corning glass substrate by the radio frequency (rf) magnetron sputtering method using SnO{sub 2} target mixed with Sb of 6 wt % at room temperature. The working pressure was varied from 0.67 to 2 Pa in steps of 0.67 Pa, and the rf power was varied from 100 to 175 W in steps of 25 W at room temperature. The thickness of the deposited ATO films was about 150 nm. X-ray diffraction (XRD) measurements showed the ATO films to be crystallized with a strong (101) preferred orientation as the rf powermore » is increased. The spectra revealed that the deposited films were polycrystalline, retaining the tetragonal structure. The grain size was estimated from the XRD spectra using the Scherrer equation and found to decrease with a decrease in the working pressure and an increase in the rf power, while the surface roughness was observed to be smoothened. The ATO film that was deposited at a working pressure of 0.67 Pa with rf power of 175 W showed the lowest resistivity of 8.6x10{sup -3} {Omega} cm, and the optical transmittance was 86.5% in the visible wavelength range from 400 to 800 nm.« less

  14. Effect of polyvinyl alcohol on electrochemically deposited ZnO thin films for DSSC applications

    NASA Astrophysics Data System (ADS)

    Marimuthu, T.; Anandhan, N.

    2017-05-01

    Nanostructures of zinc oxide (ZnO) thin film are electrochemically deposited in the absence and presence of polyvinyl alcohol (PVA) on fluorine doped tin oxide (FTO) substrate. X-ray diffraction (XRD) patterns and Raman spectroscopy confirmed the formation of hexagonal structure of ZnO. The film prepared in the presence of PVA showed a better crystallinity and its crystalline growth along the (002) plane orientation. Field emission scanning electron microscope (FE-SEM) images display nanowire arrays (NWAs) and sponge like morphology for films prepared in the absence and presence of PVA, respectively. Photoluminescence (PL) spectra depict the film prepared in the presence PVA having less atomic defects with good crystal quality compared with other film. Dye sensitized solar cell (DSSC) is constructed using low cost eosin yellow dye and current-voltage (J-V) curve is recorded for optimized sponge like morphology based solar cell.

  15. Carrier interactions and porosity initiated reversal of temperature dependence of thermal conduction in nanoscale tin films

    NASA Astrophysics Data System (ADS)

    Kaul, Pankaj B.; Prakash, Vikas

    2014-01-01

    Recently, tin has been identified as an attractive electrode material for energy storage/conversion technologies. Tin thin films have also been utilized as an important constituent of thermal interface materials in thermal management applications. In this regards, in the present paper, we investigate thermal conductivity of two nanoscale tin films, (i) with thickness 500 ± 50 nm and 0.45% porosity and (ii) with thickness 100 ± 20 nm and 12.21% porosity. Thermal transport in these films is characterized over the temperature range from 40 K-310 K, using a three-omega method for multilayer configurations. The experimental results are compared with analytical predictions obtained by considering both phonon and electron contributions to heat conduction as described by existing frequency-dependent phenomenological models and BvK dispersion for phonons. The thermal conductivity of the thicker tin film (500 nm) is measured to be 46.2 W/m-K at 300 K and is observed to increase with reduced temperatures; the mechanisms for thermal transport are understood to be governed by strong phonon-electron interactions in addition to the normal phonon-phonon interactions within the temperature range 160 K-300 K. In the case of the tin thin film with 100 nm thickness, porosity and electron-boundary scattering supersede carrier interactions, and a reversal in the thermal conductivity trend with reduced temperatures is observed; the thermal conductivity falls to 1.83 W/m-K at 40 K from its room temperature value of 36.1 W/m-K. In order to interpret the experimental results, we utilize the existing analytical models that account for contributions of electron-boundary scattering using the Mayadas-Shatzkes and Fuchs-Sondheimer models for the thin and thick films, respectively. Moreover, the effects of porosity on carrier transport are included using a previous treatment based on phonon radiative transport involving frequency-dependent mean free paths and the morphology of the nanoporous

  16. Purely electronic mechanism of electrolyte gating of indium tin oxide thin films

    DOE PAGES

    Leng, X.; Bozovic, I.; Bollinger, A. T.

    2016-08-10

    Epitaxial indium tin oxide films have been grown on both LaAlO 3 and yttria-stabilized zirconia substrates using RF magnetron sputtering. Electrolyte gating causes a large change in the film resistance that occurs immediately after the gate voltage is applied, and shows no hysteresis during the charging/discharging processes. When two devices are patterned next to one another and the first one gated through an electrolyte, the second one shows no changes in conductance, in contrast to what happens in materials (like tungsten oxide) susceptible to ionic electromigration and intercalation. These findings indicate that electrolyte gating in indium tin oxide triggers amore » pure electronic process (electron depletion or accumulation, depending on the polarity of the gate voltage), with no electrochemical reactions involved. Electron accumulation occurs in a very thin layer near the film surface, which becomes highly conductive. These results contribute to our understanding of the electrolyte gating mechanism in complex oxides and may be relevant for applications of electric double layer transistor devices.« less

  17. Thickness-dependent structure and properties of SnS2 thin films prepared by atomic layer deposition

    NASA Astrophysics Data System (ADS)

    Seo, Wondeok; Shin, Seokyoon; Ham, Giyul; Lee, Juhyun; Lee, Seungjin; Choi, Hyeongsu; Jeon, Hyeongtag

    2017-03-01

    Tin disulfide (SnS2) thin films were deposited by a thermal atomic layer deposition (ALD) method at low temperatures. The physical, chemical, and electrical characteristics of SnS2 were investigated as a function of the film thickness. SnS2 exhibited a (001) hexagonal plane peak at 14.9° in the X-ray diffraction (XRD) results and an A1g peak at 311 cm-1 in the Raman spectra. These results demonstrate that SnS2 thin films grown at 150 °C showed a crystalline phase at film thicknesses above 11.2 nm. The crystallinity of the SnS2 thin films was evaluated by a transmission electron microscope (TEM). The X-ray photoelectron spectroscopy (XPS) analysis revealed that SnS2 consisted of Sn4+ and S2- valence states. Both the optical band gap and the transmittance of SnS2 decreased as the film thickness increased. The band gap of SnS2 decreased from 3.0 to 2.4 eV and the transmittance decreased from 85 to 32% at a wavelength of 400 nm. In addition, the resistivity of the thin film SnS2 decreased from 1011 to 106 Ω·cm as the film thickness increased.

  18. Low-temperature ({<=}200 Degree-Sign C) plasma enhanced atomic layer deposition of dense titanium nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Samal, Nigamananda; Du Hui; Luberoff, Russell

    Titanium nitride (TiN) has been widely used in the semiconductor industry for its diffusion barrier and seed layer properties. However, it has seen limited adoption in other industries in which low temperature (<200 Degree-Sign C) deposition is a requirement. Examples of applications which require low temperature deposition are seed layers for magnetic materials in the data storage (DS) industry and seed and diffusion barrier layers for through-silicon-vias (TSV) in the MEMS industry. This paper describes a low temperature TiN process with appropriate electrical, chemical, and structural properties based on plasma enhanced atomic layer deposition method that is suitable for themore » DS and MEMS industries. It uses tetrakis-(dimethylamino)-titanium as an organometallic precursor and hydrogen (H{sub 2}) as co-reactant. This process was developed in a Veeco NEXUS Trade-Mark-Sign chemical vapor deposition tool. The tool uses a substrate rf-biased configuration with a grounded gas shower head. In this paper, the complimentary and self-limiting character of this process is demonstrated. The effects of key processing parameters including temperature, pulse time, and plasma power are investigated in terms of growth rate, stress, crystal morphology, chemical, electrical, and optical properties. Stoichiometric thin films with growth rates of 0.4-0.5 A/cycle were achieved. Low electrical resistivity (<300 {mu}{Omega} cm), high mass density (>4 g/cm{sup 3}), low stress (<250 MPa), and >85% step coverage for aspect ratio of 10:1 were realized. Wet chemical etch data show robust chemical stability of the film. The properties of the film have been optimized to satisfy industrial viability as a Ruthenium (Ru) preseed liner in potential data storage and TSV applications.« less

  19. Surface smoothing of indium tin oxide film by laser-induced photochemical etching

    NASA Astrophysics Data System (ADS)

    Kang, JoonHyun; Kim, Young-Hwan; Kwon, Seok Joon; Park, Joon-Suh; Park, Kyoung Wan; Park, Jae-Gwan; Han, Il Ki

    2017-12-01

    Surface smoothing of indium tin oxide (ITO) film by laser irradiation was demonstrated. The ITO surface was etched by choline radicals, which were activated by laser irradiation at a wavelength of 532 nm. The RMS surface roughness was improved from 5.6 to 4.6 nm after 10 min of laser irradiation. We also showed the changes in the surface morphology of the ITO film with various irradiation powers and times.

  20. Pulsed Laser Deposition Processing of Improved Titanium Nitride Coatings for Implant Applications

    NASA Astrophysics Data System (ADS)

    Haywood, Talisha M.

    Recently surface coating technology has attracted considerable attention of researchers to develop novel coatings with enhanced functional properties such as hardness, biocompatibility, wear and corrosion resistance for medical devices and surgical tools. The materials currently being used for surgical implants include predominantly stainless steel (316L), cobalt chromium (Co-Cr), titanium and its alloys. Some of the limitations of these implants include improper mechanical properties, corrosion resistance, cytotoxicity and bonding with bone. One of the ways to improve the performance and biocompatibility of these implants is to coat their surfaces with biocompatible materials. Among the various coating materials, titanium nitride (TiN) shows excellent mechanical properties, corrosion resistance and low cytotoxicity. In the present work, a systematic study of pulsed laser ablation processing of TiN coatings was conducted. TiN thin film coatings were grown on commercially pure titanium (Ti) and stainless steel (316L) substrates at different substrate temperatures and different nitrogen partial pressures using the pulsed laser deposition (PLD) technique. Microstructural, surface, mechanical, chemical, corrosion and biological analysis techniques were applied to characterize the TiN thin film coatings. The PLD processed TiN thin film coatings showed improvements in mechanical strength, corrosion resistance and biocompatibility when compared to the bare substrates. The enhanced performance properties of the TiN thin film coatings were a result of the changing and varying of the deposition parameters.

  1. Characteristics of Fluorine-doped tin oxide thin films grown by Streaming process for Electrodeless Electrochemical Deposition

    NASA Astrophysics Data System (ADS)

    Yusuf, Gbadebo; Khalilzadeh-Rezaie, Farnood; Cleary, Justin W.; Oladeji, Isaiah O.; Suu, Koukou; Schoenfeld, Winston V.; Peale, Robert E.; Awodugba, Ayodeji O.

    2015-04-01

    This work investigated the characteristics of SnO2: F films grown by Streaming Process for Electrodeless Electrochemical Deposition (SPEED). Stannic chloride (SnCl4) and ammonium fluoride (NH4 F) was dissolved in a mixture of deionized water and organic solvents. The preheated substrate temperature was varied between 450 and 530° C. High quality SnO2: F films were grown at all the substrate temperatures studied. The typical film thickness was 250 nm. XRD shows that the grown films are polycrystalline SnO2 with a tetragonal crystal structure. The average optical transmission of the films was around 93% throughout the wavelength of 400 to 1000 nm. The lowest electrical resistivity achieved was 6 x 10-4 Ω cm. The Hall measurements showed that the film is an n-type semiconductor, with the highest carrier mobility of 8.3 cm2/V.s, and concentration of 1 x 1021 cm-3. The direct band gap was determined to be 4 eV from the transmittance spectrum.

  2. Fabrication of organic-inorganic perovskite thin films for planar solar cells via pulsed laser deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liang, Yangang; Zhang, Xiaohang; Gong, Yunhui

    2016-01-15

    We report on fabrication of organic-inorganic perovskite thin films using a hybrid method consisting of pulsed laser deposition (PLD) of lead iodide and spin-coating of methylammonium iodide. Smooth and highly crystalline CH{sub 3}NH{sub 3}PbI{sub 3} thin films have been fabricated on silicon and glass coated substrates with fluorine doped tin oxide using this PLD-based hybrid method. Planar perovskite solar cells with an inverted structure have been successfully fabricated using the perovskite films. Because of its versatility, the PLD-based hybrid fabrication method not only provides an easy and precise control of the thickness of the perovskite thin films, but also offersmore » a straightforward platform for studying the potential feasibility in using other metal halides and organic salts for formation of the organic-inorganic perovskite structure.« less

  3. Effect of aging heat time and annealing temperature on the properties of nanocrystalline tin dioxide thin films

    NASA Astrophysics Data System (ADS)

    Kadhim, Imad H.; Abu Hassan, H.

    2017-04-01

    Nanocrystalline tin dioxide (SnO2) thin films have been successfully prepared by sol-gel spin-coating technique on p-type Si (100) substrates. A stable solution was prepared by mixing tin(II) chloride dihydrate, pure ethanol, and glycerin. Temperature affects the properties of SnO2 thin films, particularly the crystallite size where the crystallization of SnO2 with tetragonal rutile structure is achieved when thin films that prepared under different aging heat times are annealed at 400∘C. By increasing aging heat time in the presence of annealing temperatures the FESEM images indicated that the thickness of the fabricated film was directly proportional to solution viscosity, increasing from approximately 380 nm to 744 nm, as well as the crystallization of the thin films improved and reduced defects.

  4. Ion beam sputter deposited diamond like films

    NASA Technical Reports Server (NTRS)

    Banks, B. A.; Rutledge, S. K.

    1982-01-01

    A single argon ion beam source was used to sputter deposit carbon films on fused silica, copper, and tantalum substrates under conditions of sputter deposition alone and sputter deposition combined with simultaneous argon ion bombardment. Simultaneously deposited and ion bombarded carbon films were prepared under conditions of carbon atom removal to arrival ratios of 0, 0.036, and 0.71. Deposition and etch rates were measured for films on fused silica substrates. Resulting characteristics of the deposited films are: electrical resistivity of densities of 2.1 gm/cu cm for sputter deposited films and 2.2 gm/cu cm for simultaneously sputter deposited and Ar ion bombarded films. For films approximately 1700 A thick deposited by either process and at 5550 A wavelength light the reflectance was 0.2, the absorptance was 0.7, the absorption coefficient was 67,000 cm to the -1 and the transmittance was 0.1.

  5. Bulk heterojunction formation between indium tin oxide nanorods and CuInS2 nanoparticles for inorganic thin film solar cell applications.

    PubMed

    Cho, Jin Woo; Park, Se Jin; Kim, Jaehoon; Kim, Woong; Park, Hoo Keun; Do, Young Rag; Min, Byoung Koun

    2012-02-01

    In this study, we developed a novel inorganic thin film solar cell configuration in which bulk heterojunction was formed between indium tin oxide (ITO) nanorods and CuInS(2) (CIS). Specifically, ITO nanorods were first synthesized by the radio frequency magnetron sputtering deposition method followed by deposition of a dense TiO(2) layer and CdS buffer layer using atomic layer deposition and chemical bath deposition method, respectively. The spatial region between the nanorods was then filled with CIS nanoparticle ink, which was presynthesized using the colloidal synthetic method. We observed that complete gap filling was achieved to form bulk heterojunction between the inorganic phases. As a proof-of-concept, solar cell devices were fabricated by depositing an Au electrode on top of the CIS layer, which exhibited the best photovoltaic response with a V(oc), J(sc), FF, and efficiency of 0.287 V, 9.63 mA/cm(2), 0.364, and 1.01%, respectively.

  6. Surface plasmon resonance study on the optical sensing properties of tin oxide (SnO2) films to NH3 gas

    NASA Astrophysics Data System (ADS)

    Paliwal, Ayushi; Sharma, Anjali; Tomar, Monika; Gupta, Vinay

    2016-04-01

    Surface plasmon resonance (SPR) technique is an easy and reliable method for detecting very low concentration of toxic gases at room temperature using a gas sensitive thin film layer. In the present work, a room temperature operated NH3 gas sensor has been developed using a laboratory assembled SPR measurement setup utilising a p-polarized He-Ne laser and prism coupling technique. A semiconducting gas sensitive tin oxide (SnO2) layer has been deposited under varying growth conditions (i.e., by varying deposition pressure) over the gold coated prism (BK-7) to excite the surface plasmon modes in Kretschmann configuration. The SPR reflectance curves for prism/Au/SnO2/air system for SnO2 thin films prepared at different sputtering pressure were measured, and the SnO2 film deposited at 10 mT pressure is found to exhibit a sharp SPR reflectance curve with minimum reflectance (0.32) at the resonance angle of 44.7° which is further used for sensing NH3 gas of different concentration at room temperature. The SPR reflectance curve shows a significant shift in resonance angle from 45.05° to 58.55° on interacting with NH3. The prepared sensor is found to give high sensing response (0.11) with high selectivity towards very low concentration of NH3 (0.5 ppm) and quick response time at room temperature.

  7. Chemical-Vapor-Deposited Diamond Film

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1999-01-01

    This chapter describes the nature of clean and contaminated diamond surfaces, Chemical-vapor-deposited (CVD) diamond film deposition technology, analytical techniques and the results of research on CVD diamond films, and the general properties of CVD diamond films. Further, it describes the friction and wear properties of CVD diamond films in the atmosphere, in a controlled nitrogen environment, and in an ultra-high-vacuum environment.

  8. Combustion synthesized indium-tin-oxide (ITO) thin film for source/drain electrodes in all solution-processed oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Tue, Phan Trong; Inoue, Satoshi; Takamura, Yuzuru; Shimoda, Tatsuya

    2016-06-01

    We report combustion solution synthesized (SCS) indium-tin-oxide (ITO) thin film, which is a well-known transparent conductive oxide, for source/drain (S/D) electrodes in solution-processed amorphous zirconium-indium-zinc-oxide TFT. A redox-based combustion synthetic approach is applied to ITO thin film using acetylacetone as a fuel and metal nitrate as oxidizer. The structural and electrical properties of SCS-ITO precursor solution and thin films were systematically investigated with changes in tin concentration, indium metal precursors, and annealing conditions such as temperature, time, and ambient. It was found that at optimal conditions the SCS-ITO thin film exhibited high crystalline quality, atomically smooth surface (RMS ~ 4.1 Å), and low electrical resistivity (4.2 × 10-4 Ω cm). The TFT using SCS-ITO film as the S/D electrodes showed excellent electrical properties with negligible hysteresis. The obtained "on/off" current ratio, subthreshold swing factor, subthreshold voltage, and field-effect mobility were 5 × 107, 0.43 V/decade, 0.7 V, and 2.1 cm2/V s, respectively. The performance and stability of the SCS-ITO TFT are comparable to those of the sputtered-ITO TFT, emphasizing that the SCS-ITO film is a promising candidate for totally solution-processed oxide TFTs.

  9. Raman Scattering from Tin

    DTIC Science & Technology

    2015-09-01

    ARL-TR-7448 ● SEP 2015 US Army Research Laboratory Raman Scattering from Tin by Patrick A Folkes, Patrick Taylor, Charles Rong...REPORT TYPE 3. DATES COVERED 00-00-2015 to 00-00-2015 4. TITLE AND SUBTITLE Raman Scattering from Tin 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c... tin as an analytical tool for discerning specific allotropic differences in ultra-thin tin films, and discerning differences between the tin and the

  10. Perovskite solar cells based on nanocolumnar plasma-deposited ZnO thin films.

    PubMed

    Ramos, F Javier; López-Santos, Maria C; Guillén, Elena; Nazeeruddin, Mohammad Khaja; Grätzel, Michael; Gonzalez-Elipe, Agustin R; Ahmad, Shahzada

    2014-04-14

    ZnO thin films having a nanocolumnar microstructure are grown by plasma-enhanced chemical vapor deposition at 423 K on pre-treated fluorine-doped tin oxide (FTO) substrates. The films consist of c-axis-oriented wurtzite ZnO nanocolumns with well-defined microstructure and crystallinity. By sensitizing CH3NH3PbI3 on these photoanodes a power conversion of 4.8% is obtained for solid-state solar cells. Poly(triarylamine) is found to be less effective when used as the hole-transport material, compared to 2,2',7,7'-tetrakis(N,N-di-p-methoxyphenylamine)-9,9'-spirobifluorene (spiro-OMeTAD), while the higher annealing temperature of the perovskite leads to a better infiltration in the nanocolumnar structure and an enhancement of the cell efficiency. © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  11. Properties of Hydrogen Sulfide Sensors Based on Thin Films of Tin Dioxide and Tungsten Trioxide

    NASA Astrophysics Data System (ADS)

    Sevastianov, E. Yu.; Maksimova, N. K.; Chernikov, E. V.; Sergeichenko, N. V.; Rudov, F. V.

    2016-12-01

    The effect of hydrogen sulfide in the concentration range of 0-100 ppm on the characteristics of thin films of tin dioxide and tungsten trioxide obtained by the methods of magnetron deposition and modified with gold in the bulk and on the surface is studied. The impurities of antimony and nickel have been additionally introduced into the SnO2 bulk. An optimal operating temperature of sensors 350°C was determined, at which there is a satisfactory correlation between the values of the response to H2S and the response time. Degradation of the sensor characteristics is investigated in the long-term ( 0.5-1.5 years) tests at operating temperature and periodic exposure to hydrogen sulfide, as well as after conservation of samples in the laboratory air. It is shown that for the fabrication of H2S sensors, the most promising are thin nanocrystalline Au/WO3:Au films characterized by a linear concentration dependence of the response and high stability of parameters during exploitation.

  12. Electron microscopic and ion scattering studies of heteroepitaxial tin-doped indium oxide films

    NASA Astrophysics Data System (ADS)

    Kamei, Masayuki; Shigesato, Yuzo; Takaki, Satoru; Hayashi, Yasuo; Sasaki, Mikio; Haynes, Tony E.

    1994-08-01

    The microstructure of heteroepitaxial tin-doped indium oxide (ITO) films were studied in detail. The surface morphology of the heteroepitaxial ITO film consisted of square-shaped, in-plane oriented subgrains (˜300 Å) in contrast to that of the polycrystalline film (characteristic grain-subgrain structure). The subgrain boundaries were predominantly formed along the {110} planes in the ITO film and dislocations were observed primarily along the subgrain boundaries. Ion channeling measurements showed the dislocation density of this film to be approximately 3×1010/cm2, and the angular distribution of the ion channeling yield showed that the subgrains are aligned to within better than 0.3° (standard deviation).

  13. Optical response of mixed methylammonium lead iodide and formamidinium tin iodide perovskite thin films

    DOE PAGES

    Ghimire, Kiran; Zhao, Dewei; Yan, Yanfa; ...

    2017-07-13

    Here, mixed tin (Sn) and lead (Pb) based perovskite thin films have been prepared by solution processing combining methylammonium lead iodide (MAPbI 3) and formamidinium tin iodide (FASnI 3) precursors. Optical response in the form of complex dielectric function (ε = ε 1 + iε 2) spectra and absorption coefficient (α) spectra of (FASnI 3) 1-x(MAPbI 3) x based perovskite films have been extracted over a spectral range 0.74 to 5.89 eV using spectroscopic ellipsometry. Absorption band edge energy changes as a function of composition for films including FASnI 3, MAPbI 3, and mixed x = 0.20, 0.35, 0.40, andmore » 0.6 (FASnI 3) 1-x(MAPbI 3) x perovskites. (FASnI 3) 0.60(MAPbI 3) 0.4 is found to have the minimum absorption band edge energy near ~1.2 eV.« less

  14. Enhanced stability against bias-stress of metal-oxide thin film transistors deposited at elevated temperatures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fakhri, M.; Goerrn, P.; Riedl, T.

    2011-09-19

    Transparent zinc-tin-oxide (ZTO) thin film transistors (TFTs) have been prepared by DC magnetron sputtering. Compared to reference devices with a channel deposited at room temperature and subsequently annealing at 400 deg. C, a substantially enhanced stability against bias stress is evidenced for devices with in-situ substrate heating during deposition (400 deg. C). A reduced density of sub-gap defect states in TFT channels prepared with in-situ substrate heating is found. Concomitantly, a reduced sensitivity to the adsorption of ambient gases is evidenced for the in-situ heated devices. This finding is of particular importance for an application as driver electronics for organicmore » light emitting diode displays.« less

  15. Photoelectrochemical performance of W-doped BiVO4 thin films deposited by spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Holland, S. Keith; Dutter, Melissa R.; Lawrence, David J.; Reisner, Barbara A.; DeVore, Thomas C.

    2014-01-01

    The effects of tungsten doping and hydrogen annealing on the photoelectrochemical (PEC) performance of bismuth vanadate (BiVO4) photoanodes for solar water splitting were studied. Thin films of BiVO were deposited on indium tin oxide-coated glass slides by ultrasonic spray pyrolysis of an aqueous solution containing bismuth nitrate and vanadium oxysulfate. Tungsten doping was achieved by adding either silicotungstic acid (STA) or ammonium metatungstate (AMT) to the precursor. The 1.7- to 2.2-μm-thick films exhibited a highly porous microstructure. Undoped films that were reduced at 375°C in 3% H exhibited the largest photocurrent densities under 0.1 W cm-2 AM1.5 illumination, where photocurrent densities of up to 1.3 mA cm-2 at 0.5 V with respect to Ag/AgCl were achieved. Films doped with 1% or 5% (atomic percent) tungsten from either STA or AMT exhibited reduced PEC performance and greater sample-to-sample performance variations. Powder x-ray diffraction data indicated that the films continue to crystallize in the monoclinic polymorph at low doping levels but crystallize in the tetragonal scheelite structure at higher doping. It is surmised that the phase and morphology differences promoted by the addition of W during the deposition process reduced the PEC performance as measured by photovoltammetry.

  16. Effects of the polarizability and packing density of transparent oxide films on water vapor permeation.

    PubMed

    Koo, Won Hoe; Jeong, Soon Moon; Choi, Sang Hun; Kim, Woo Jin; Baik, Hong Koo; Lee, Sung Man; Lee, Se Jong

    2005-06-09

    The tin oxide and silicon oxide films have been deposited on polycarbonate substrates as gas barrier films, using a thermal evaporation and ion beam assisted deposition process. The oxide films deposited by ion beam assisted deposition show a much lower water vapor transmission rate than those by thermal evaporation. The tin oxide films show a similar water vapor transmission rate to the silicon oxide films in thermal evaporation but a lower water vapor transmission rate in IBAD. These results are related to the fact that the permeation of water vapor with a large dipole moment is affected by the chemistry of oxides and the packing density of the oxide films. The permeation mechanism of water vapor through the oxide films is discussed in terms of the chemical interaction with water vapor and the microstructure of the oxide films. The chemical interaction of water vapor with oxide films has been investigated by the refractive index from ellipsometry and the OH group peak from X-ray photoelectron spectroscopy, and the microstructure of the composite oxide films was characterized using atomic force microscopy and a transmission electron microscope. The activation energy for water vapor permeation through the oxide films has also been measured in relation to the permeation mechanism of water vapor. The diffusivity of water vapor for the tin oxide films has been calculated from the time lag plot, and its implications are discussed.

  17. Large-Grain Tin-Rich Perovskite Films for Efficient Solar Cells via Metal Alloying Technique.

    PubMed

    Tavakoli, Mohammad Mahdi; Zakeeruddin, Shaik Mohammed; Grätzel, Michael; Fan, Zhiyong

    2018-03-01

    Fast research progress on lead halide perovskite solar cells has been achieved in the past a few years. However, the presence of lead (Pb) in perovskite composition as a toxic element still remains a major issue for large-scale deployment. In this work, a novel and facile technique is presented to fabricate tin (Sn)-rich perovskite film using metal precursors and an alloying technique. Herein, the perovskite films are formed as a result of the reaction between Sn/Pb binary alloy metal precursors and methylammonium iodide (MAI) vapor in a chemical vapor deposition process carried out at 185 °C. It is found that in this approach the Pb/Sn precursors are first converted to (Pb/Sn)I 2 and further reaction with MAI vapor leads to the formation of perovskite films. By using Pb-Sn eutectic alloy, perovskite films with large grain sizes up to 5 µm can be grown directly from liquid phase metal. Consequently, using an alloying technique and this unique growth mechanism, a less-toxic and efficient perovskite solar cell with a power conversion efficiency (PCE) of 14.04% is demonstrated, while pure Sn and Pb perovskite solar cells prepared in this manner yield PCEs of 4.62% and 14.21%, respectively. It is found that this alloying technique can open up a new direction to further explore different alloy systems (binary or ternary alloys) with even lower melting point. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  18. Epigenetic lead, zinc, silver, antimony, tin, and gold veins in Boulder Basin, Blaine and Custer counties, Idaho; potential for economic tin mineralization

    USGS Publications Warehouse

    Ratchford, Michael E.

    2002-01-01

    Boulder Basin is in a northwest-trending belt of allochthonous Paleozoic rocks in the Boulder Mountains of central Idaho. Regional Tertiary extension resulted in widespread normal faulting and coeval emplacement of shallow-level intrusions and extrusive rocks of the Challis Volcanic Group. Epigenetic lead-zinc-silver-antimony-tin-gold vein deposits formed during Tertiary extension and are hosted within Paleozoic strata. The major orebodies are in the lower plate of the Boulder Basin thrust fault, in massive quartzite of the Middle Pennsylvanian to Lower Permian Wood River Formation. Anomalous concentrations of tin are present in the base-metal mineral assemblage of the Boulder Basin ore deposits. The tin-bearing veins in Boulder Basin are strikingly similar to Bolivian tin deposits. The deposit model for Bolivian tin deposits identifies buried tin porphyry below the tin-bearing vein system.

  19. 3D indium tin oxide electrodes by ultrasonic spray deposition for current collection applications

    NASA Astrophysics Data System (ADS)

    van den Ham, E. J.; Elen, K.; Bonneux, G.; Maino, G.; Notten, P. H. L.; Van Bael, M. K.; Hardy, A.

    2017-04-01

    Three dimensionally (3D) structured indium tin oxide (ITO) thin films are synthesized and characterized as a 3D electrode material for current collection applications. Using metal citrate chemistry in combination with ultrasonic spray deposition, a low cost wet-chemical method has been developed to achieve conformal ITO coatings on non-planar scaffolds. Although there is room for improvement with respect to the resistivity (9.9·10-3 Ω•cm, 220 nm thick planar films), high quality 3D structured coatings were shown to exhibit conductive properties based on ferrocene reactivity. In view of applications in Li-ion batteries, the electrochemical stability of the current collector was investigated, indicating that stability is guaranteed for voltages of 1.5 V and up (vs. Li+/Li). In addition, subsequent 3D coating of the ITO with WO3 as a negative electrode (battery) material confirmed the 3D ITO layer functions as a proper current collector. Using this approach, an over 4-fold capacity increase was booked for 3D structured WO3 in comparison to planar samples, confirming the current collecting capabilities of the 3D ITO coating. Therefore, the 3D ITO presented is considered as a highly interesting material for 3D battery applications and beyond.

  20. Growth, characterization and post-processing of inorganic and hybrid organic-inorganic thin films deposited using atomic and molecular layer deposition techniques

    NASA Astrophysics Data System (ADS)

    Abdulagatov, Aziz Ilmutdinovich

    Atomic layer deposition (ALD) and molecular layer deposition (MLD) are advanced thin film coating techniques developed for deposition of inorganic and hybrid organic-inorganic films respectively. Decreasing device dimensions and increasing aspect ratios in semiconductor processing has motivated developments in ALD. The beginning of this thesis will cover study of new ALD chemistry for high dielectric constant Y 2O3. In addition, the feasibility of conducting low temperature ALD of TiN and TiAlN is explored using highly reactive hydrazine as a new nitrogen source. Developments of these ALD processes are important for the electronics industry. As the search for new materials with more advanced properties continues, attention has shifted toward exploring the synthesis of hierarchically nanostructured thin films. Such complex architectures can provide novel functions important to the development of state of the art devices for the electronics industry, catalysis, energy conversion and memory storage as a few examples. Therefore, the main focus of this thesis is on the growth, characterization, and post-processing of ALD and MLD films for fabrication of novel composite (nanostructured) thin films. Novel composite materials are created by annealing amorphous ALD oxide alloys in air and by heat treatment of hybrid organic-inorganic MLD films in inert atmosphere (pyrolysis). The synthesis of porous TiO2 or Al2O3 supported V2O5 for enhanced surface area catalysis was achieved by the annealing of inorganic TiVxOy and AlV xOy ALD films in air. The interplay between phase separation, surface energy difference, crystallization, and melting temperature of individual oxides were studied for their control of film morphology. In other work, a class of novel metal oxide-graphitic carbon composite thin films was produced by pyrolysis of MLD hybrid organic-inorganic films. For example, annealing in argon of titania based hybrid films enabled fabrication of thin films of intimately

  1. Electrochemical Deposition Of Conductive Copolymers

    NASA Technical Reports Server (NTRS)

    Nagasubramanian, Ganesan; Distefano, Salvador; Liang, Ranty H.

    1991-01-01

    Experiments show electrically conductive films are deposited on glassy carbon or indium tin oxide substrates by electrochemical polymerization of N-{(3-trimethoxy silyl) propyl} pyrrole or copolymerization with pyrrole. Copolymers of monomer I and pyrrole exhibit desired electrical conductivity as well as desired adhesion and other mechanical properties. When fully developed, new copolymerization process useful in making surface films of selectable conductivity.

  2. Heteroepitaxial growth of tin-doped indium oxide films on single crystalline yttria stabilized zirconia substrates

    NASA Astrophysics Data System (ADS)

    Kamei, Masayuki; Yagami, Teruyuki; Takaki, Satoru; Shigesato, Yuzo

    1994-05-01

    Heteroepitaxial growth of tin-doped indium oxide (ITO) film was achieved for the first time by using single crystalline yttria stabilized zirconia (YSZ) as substrates. The epitaxial relationship between ITO film and YSZ substrate was ITO[100]∥YSZ[100]. By comparing the electrical properties of this epitaxial ITO film with that of a randomly oriented polycrystalline ITO film grown on a glass substrate, neither the large angle grain boundaries nor the crystalline orientation were revealed to be dominant in determining the carrier mobility in ITO films.

  3. Low-temperature growth and electronic structures of ambipolar Yb-doped zinc tin oxide transparent thin films

    NASA Astrophysics Data System (ADS)

    Oh, Seol Hee; Ferblantier, Gerald; Park, Young Sang; Schmerber, Guy; Dinia, Aziz; Slaoui, Abdelilah; Jo, William

    2018-05-01

    The compositional dependence of the crystal structure, optical transmittance, and surface electric properties of the zinc tin oxide (Zn-Sn-O, shortened ZTO) thin films were investigated. ZTO thin films with different compositional ratios were fabricated on glass and p-silicon wafers using radio frequency magnetron sputtering. The binding energy of amorphous ZTO thin films was examined by a X-ray photoelectron spectroscopy. The optical transmittance over 70% in the visible region for all the ZTO films was observed. The optical band gap of the ZTO films was changed as a result of the competition between the Burstein-Moss effect and renormalization. An electron concentration in the films and surface work function distribution were measured by a Hall measurement and Kelvin probe force microscopy, respectively. The mobility of the n- and p-type ZTO thin films have more than 130 cm2/V s and 15 cm2/V s, respectively. We finally constructed the band structure which contains band gap, work function, and band edges such as valence band maximum and conduction band minimum of ZTO thin films. The present study results suggest that the ZTO thin film is competitive compared with the indium tin oxide, which is a representative material of the transparent conducting oxides, regarding optoelectronic devices applications.

  4. Large ferroelectric polarization of TiN/Hf0.5Zr0.5O2/TiN capacitors due to stress-induced crystallization at low thermal budget

    NASA Astrophysics Data System (ADS)

    Kim, Si Joon; Narayan, Dushyant; Lee, Jae-Gil; Mohan, Jaidah; Lee, Joy S.; Lee, Jaebeom; Kim, Harrison S.; Byun, Young-Chul; Lucero, Antonio T.; Young, Chadwin D.; Summerfelt, Scott R.; San, Tamer; Colombo, Luigi; Kim, Jiyoung

    2017-12-01

    We report on atomic layer deposited Hf0.5Zr0.5O2 (HZO)-based capacitors which exhibit excellent ferroelectric (FE) characteristics featuring a large switching polarization (45 μC/cm2) and a low FE saturation voltage (˜1.5 V) as extracted from pulse write/read measurements. The large FE polarization in HZO is achieved by the formation of a non-centrosymmetric orthorhombic phase, which is enabled by the TiN top electrode (TE) having a thickness of at least 90 nm. The TiN films are deposited at room temperature and annealed at 400 °C in an inert environment for at least 1 min in a rapid thermal annealing system. The room-temperature deposited TiN TE acts as a tensile stressor on the HZO film during the annealing process. The stress-inducing TiN TE is shown to inhibit the formation of the monoclinic phase during HZO crystallization, forming an orthorhombic phase that generates a large FE polarization, even at low process temperatures.

  5. International strategic minerals inventory summary report; tin

    USGS Publications Warehouse

    Sutphin, D.M.; Sabin, A.E.; Reed, B.L.

    1990-01-01

    The International Strategic Minerals Inventory tin inventory contains records for 56 major tin deposits and districts in 21 countries. These countries accounted for 98 percent of the 10 million metric tons of tin produced in the period 1934-87. Tin is a good alloying metal and is generally nontoxic, and its chief uses are as tinplate for tin cans and as solder in electronics. The 56 locations consist of 39 lode deposits and 17 placers and contain almost 7.5 million metric tons of tin in identified economic resources (R1E) and another 1.5 million metric tons of tin in other resource categories. Most of these resources are in major deposits that have been known for over a hundred years. Lode deposits account for 44 percent of the R1E and 87 percent of the resources in other categories. Placer deposits make up the remainder. Low-income and middle-income countries, including Bolivia and Brazil and countries along the Southeast Asian Tin Belt such as Malaysia, Thailand, and Indonesia account for 91 percent of the R1E resources of tin and for 61 percent of resources in other categories. The United States has less than 0.05 percent of the world's tin R1E in major deposits. Available data suggest that the Soviet Union may have about 4 percent of resources in this category. The industrial market economy countries of the United States, Japan, Federal Republic of Germany, and the United Kingdom are major consumers of tin, whereas the major tin-producing countries generally consume little tin. The Soviet Union and China are both major producers and consumers of tin. At the end of World War II, the four largest tin-producing countries (Bolivia, the Belgian Congo (Zaire), Nigeria, and Malaysia) produced over 80 percent of the world's tin. In 1986, the portion of production from the four largest producers (Malaysia, Brazil, Soviet Union, Indonesia) declined to about 55 percent, while the price of tin rose from about $1,500 to $18,000 per metric ton. In response to tin shortages

  6. Thin Film Complementary Metal Oxide Semiconductor (CMOS) Device Using a Single-Step Deposition of the Channel Layer

    PubMed Central

    Nayak, Pradipta K.; Caraveo-Frescas, J. A.; Wang, Zhenwei; Hedhili, M. N.; Wang, Q. X.; Alshareef, H. N.

    2014-01-01

    We report, for the first time, the use of a single step deposition of semiconductor channel layer to simultaneously achieve both n- and p-type transport in transparent oxide thin film transistors (TFTs). This effect is achieved by controlling the concentration of hydroxyl groups (OH-groups) in the underlying gate dielectrics. The semiconducting tin oxide layer was deposited at room temperature, and the maximum device fabrication temperature was 350°C. Both n and p-type TFTs showed fairly comparable performance. A functional CMOS inverter was fabricated using this novel scheme, indicating the potential use of our approach for various practical applications. PMID:24728223

  7. Enhancement of the optical and electrical properties of ITO thin films deposited by electron beam evaporation technique

    NASA Astrophysics Data System (ADS)

    Ali, H. M.; Mohamed, H. A.; Mohamed, S. H.

    2005-08-01

    Indium tin oxide (ITO) is widely utilized in numerous industrial applications due to its unique combined properties of transparency to visible light and electrical conductivity. ITO films were deposited on glass substrates by an electron beam evaporation technique at room temperature from bulk samples, with different thicknesses. The film with 1500 Å thick was selected to perform annealing in the temperature range of 200 400 °C and annealing for varying times from 15 to 120 min at 400 °C. The X-ray diffraction of the films was analyzed in order to investigate its dependence on thickness, and annealing. Electrical and optical measurements were also carried out. Transmittance, optical energy gap, refractive index, carrier concentration, thermal emissivity and resistivity were investigated. It was found that the as-deposited films with different thicknesses were highly absorbing and have relatively poor electrical properties. The films become opaque with increasing the film thickness. After thermal annealing, the resistance decreases and a simultaneous variation in the optical transmission occurs. A transmittance value of 85.5% in the IR region and 82% in the visible region of the spectrum and a resistivity of 2.8 × 10-4 Ω Cm were obtained at annealing temperature of 400 °C for 120 min.

  8. Studies of the micromorphology of sputtered TiN thin films by autocorrelation techniques

    NASA Astrophysics Data System (ADS)

    Smagoń, Kamil; Stach, Sebastian; Ţălu, Ştefan; Arman, Ali; Achour, Amine; Luna, Carlos; Ghobadi, Nader; Mardani, Mohsen; Hafezi, Fatemeh; Ahmadpourian, Azin; Ganji, Mohsen; Grayeli Korpi, Alireza

    2017-12-01

    Autocorrelation techniques are crucial tools for the study of the micromorphology of surfaces: They provide the description of anisotropic properties and the identification of repeated patterns on the surface, facilitating the comparison of samples. In the present investigation, some fundamental concepts of these techniques including the autocorrelation function and autocorrelation length have been reviewed and applied in the study of titanium nitride thin films by atomic force microscopy (AFM). The studied samples were grown on glass substrates by reactive magnetron sputtering at different substrate temperatures (from 25 {}°C to 400 {}°C , and their micromorphology was studied by AFM. The obtained AFM data were analyzed using MountainsMap Premium software obtaining the correlation function, the structure of isotropy and the spatial parameters according to ISO 25178 and EUR 15178N. These studies indicated that the substrate temperature during the deposition process is an important parameter to modify the micromorphology of sputtered TiN thin films and to find optimized surface properties. For instance, the autocorrelation length exhibited a maximum value for the sample prepared at a substrate temperature of 300 {}°C , and the sample obtained at 400 {}°C presented a maximum angle of the direction of the surface structure.

  9. Fabricate heterojunction diode by using the modified spray pyrolysis method to deposit nickel-lithium oxide on indium tin oxide substrate.

    PubMed

    Wu, Chia-Ching; Yang, Cheng-Fu

    2013-06-12

    P-type lithium-doped nickel oxide (p-LNiO) thin films were deposited on an n-type indium tin oxide (ITO) glass substrate using the modified spray pyrolysis method (SPM), to fabricate a transparent p-n heterojunction diode. The structural, optical, and electrical properties of the p-LNiO and ITO thin films and the p-LNiO/n-ITO heterojunction diode were characterized by field emission scanning electron microscopy (FE-SEM), X-ray diffraction (XRD), UV-visible spectroscopy, Hall effect measurement, and current-voltage (I-V) measurements. The nonlinear and rectifying I-V properties confirmed that a heterojunction diode characteristic was successfully formed in the p-LNiO/n-ITO (p-n) structure. The I-V characteristic was dominated by space-charge-limited current (SCLC), and the Anderson model demonstrated that band alignment existed in the p-LNiO/n-ITO heterojunction diode.

  10. Real-Time Deposition Monitor for Ultrathin Conductive Films

    NASA Technical Reports Server (NTRS)

    Hines, Jacqueline

    2011-01-01

    A device has been developed that can be used for the real-time monitoring of ultrathin (2 or more) conductive films. The device responds in less than two microseconds, and can be used to monitor film depositions up to about 60 thick. Actual thickness monitoring capability will vary based on properties of the film being deposited. This is a single-use device, which, due to the very low device cost, can be disposable. Conventional quartz/crystal microbalance devices have proven inadequate to monitor the thickness of Pd films during deposition of ultrathin films for hydrogen sensor devices. When the deposited film is less than 100 , the QCM measurements are inadequate to allow monitoring of the ultrathin films being developed. Thus, an improved, high-sensitivity, real-time deposition monitor was needed to continue Pd film deposition development. The new deposition monitor utilizes a surface acoustic wave (SAW) device in a differential delay-line configuration to produce both a reference response and a response for the portion of the device on which the film is being deposited. Both responses are monitored simultaneously during deposition. The reference response remains unchanged, while the attenuation of the sensing path (where the film is being deposited) varies as the film thickness increases. This device utilizes the fact that on high-coupling piezoelectric substrates, the attenuation of an SAW undergoes a transition from low to very high, and back to low as the conductivity of a film on the device surface goes from nonconductive to highly conductive. Thus, the sensing path response starts with a low insertion loss, and as a conductive film is deposited, the film conductivity increases, causing the device insertion loss to increase dramatically (by up to 80 dB or more), and then with continued film thickness increases (and the corresponding conductivity increases), the device insertion loss goes back down to the low level at which it started. This provides a

  11. Investigation of TiN thin film oxidation depending on the substrate temperature at vacuum break

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Piallat, Fabien, E-mail: fabien.piallat@gmail.com; CEA, LETI, Campus Minatec, F-38054 Grenoble; LTM-CNRS, 17 rue des Martyrs, 38054 Grenoble

    2016-09-15

    Due to the reduction of the thickness of the layers used in the advanced technology nodes, there is a growing importance of the surface phenomena in the definition of the general properties of the materials. One of the least controlled and understood phenomenon is the oxidation of metals after deposition, at the vacuum break. In this study, the influence of the sample temperature at vacuum break on the oxidation level of TiN deposited by metalorganic chemical vapor deposition is investigated. TiN resistivity appears to be lower for samples which underwent vacuum break at high temperature. Using X-ray photoelectron spectrometry analysis,more » this change is correlated to the higher oxidation of the TiN layer. Moreover, angle resolved XPS analysis reveals that higher is the temperature at the vacuum break, higher is the surface oxidation of the sample. This surface oxidation is in turn limiting the diffusion of oxygen in the volume of the layer. Additionally, evolution of TiN layers resistivity was monitored in time and it shows that resistivity increases until a plateau is reached after about 10 days, with the lowest temperature at vacuum break resulting in the highest increase, i.e., the resistivity of the sample released to atmosphere at high temperature increased by a factor 1.7 whereas the resistivity of the sample cooled down under vacuum temperature increased by a factor 2.7.« less

  12. Tin resources of Brazil

    USGS Publications Warehouse

    White, Max Gregg

    1974-01-01

    Annual tin production in Brazil, most of it from cassiterite placer deposits in Rondonia Territory, amounts to about 4,000 metric tons (4,400 short tons) of concentrate containing 66 percent tin, much of which is consumed by Brazilian industry. Reserves of cassiterite concentrate in the placers of Rondonia district are estimated at about 160,000 (176,000 short tons) containing 66 percent tin. Extensive undiscovered resources of cassiterite possibly exist in southern Rondonia Territory and to the east of the Territory in northern Mato Grosso, southern Amazonas, and southern Para. Numerous occurrences have been reported in these regions and as far to the east as the headwaters of the Tapajos and the Xingo Rivers. Minor deposits or occurrences of cassiterite (or lode deposits about which there is only minimal information available) are located in Para, Amapa, Paraiba, Rio Grande do Norte, Ceara, Bahia, Minas Gerais, Goias, Sao Paulo, and Rio Grande do Sul. All the lode tin deposits are dated or enclosed in rocks that date as Precambrian B (900 to 1,300 m.y.).

  13. Composition and crystal structure of N doped TiO2 film deposited at different O2 flow rate by direct current sputtering.

    PubMed

    Ding, Wanyu; Ju, Dongying; Chai, Weiping

    2011-06-01

    N doped Ti02 films were deposited by direct current pulse magnetron sputtering system at room temperature. The influence of 02 flow rate on the crystal structure of deposited films was studied by Stylus profilometer, X-ray photoelectron spectroscopy, and X-ray diffractometer. The results indicate that the 02 flow rate strongly controls the growth behavior and crystal structure of N doped Ti02 film. It is found that N element mainly exists as substitutional doped state and the chemical stiochiometry is near to TiO1.68±0.06N0.11±0.01 for all film samples. N doped Ti02 film deposited with 2 sccm (standard-state cubic centimeter per minute) 02 flow rate is amorphous structure with high growth rate, which contains both anatase phase and rutile phase crystal nucleuses. In this case, the film displays the mix-phase of anatase and rutile after annealing treatment. While N doped Ti02 film deposited with 12 cm(3)/min 02 flow rate displays anatase phase before and after annealing treatment. And it should be noticed that no TiN phase appears for all samples before and after annealing treatment. Copyright © 2011 The Research Centre for Eco-Environmental Sciences, Chinese Academy of Sciences. Published by Elsevier B.V. All rights reserved.

  14. Optical and electrical properties of indium tin oxide films near their laser damage threshold [Electrical and optical properties of indium tin oxide films under multi-pulse laser irradiation at 1064 nm

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Yoo, Jae -Hyuck; Lange, Andrew; Bude, Jeff

    In this paper, we investigated whether the optical and electrical properties of indium tin oxide (ITO) films are degraded under laser irradiation below their laser ablation threshold. While performing multi-pulse laser damage experiments on a single ITO film (4.7 ns, 1064 nm, 10 Hz), we examined the optical and electrical properties in situ. A decrease in reflectance was observed prior to laser damage initiation. However, under sub-damage threshold irradiation, conductivity and reflectance of the film were maintained without measurable degradation. This indicates that ITO films in optoelectronic devices may be operated below their lifetime laser damage threshold without noticeable performancemore » degradation.« less

  15. Optical and electrical properties of indium tin oxide films near their laser damage threshold [Electrical and optical properties of indium tin oxide films under multi-pulse laser irradiation at 1064 nm

    DOE PAGES

    Yoo, Jae -Hyuck; Lange, Andrew; Bude, Jeff; ...

    2017-02-10

    In this paper, we investigated whether the optical and electrical properties of indium tin oxide (ITO) films are degraded under laser irradiation below their laser ablation threshold. While performing multi-pulse laser damage experiments on a single ITO film (4.7 ns, 1064 nm, 10 Hz), we examined the optical and electrical properties in situ. A decrease in reflectance was observed prior to laser damage initiation. However, under sub-damage threshold irradiation, conductivity and reflectance of the film were maintained without measurable degradation. This indicates that ITO films in optoelectronic devices may be operated below their lifetime laser damage threshold without noticeable performancemore » degradation.« less

  16. Mirrorlike pulsed laser deposited tungsten thin film.

    PubMed

    Mostako, A T T; Rao, C V S; Khare, Alika

    2011-01-01

    Mirrorlike tungsten thin films on stainless steel substrate deposited via pulsed laser deposition technique in vacuum (10(-5) Torr) is reported, which may find direct application as first mirror in fusion devices. The crystal structure of tungsten film is analyzed using x-ray diffraction pattern, surface morphology of the tungsten films is studied with scanning electron microscope and atomic force microscope. The film composition is identified using energy dispersive x-ray. The specular and diffuse reflectivities with respect to stainless steel substrate of the tungsten films are recorded with FTIR spectra. The thickness and the optical quality of pulsed laser deposition deposited films are tested via interferometric technique. The reflectivity is approaching about that of the bulk for the tungsten film of thickness ∼782 nm.

  17. Vis-Near-Infrared Photodetectors Based on Methyl Ammonium Lead Iodide Thin Films by Pulsed Laser Deposition

    NASA Astrophysics Data System (ADS)

    Patel, Nagabhushan; Dias, Sandra; Krupanidhi, S. B.

    2018-04-01

    Organic-inorganic hybrid perovskite materials are considered as promising candidates for emerging thin-film photodetectors. In this work, we discuss the application of the CH3NH3PbI3 thin films by pulsed laser deposition for photodetection applications. With this method, we obtained good perovskite film coverage on fluorine-doped tin oxide-coated substrates and observed wel- developed grains. The films showed no sign of degradation over several months of testing. We investigated the surface morphology and surface roughness of the films by field emission scanning electron microscopy and atomic force microscopy. The optical response of the films was studied using ultraviolet-visible and photoluminescence spectroscopy. We carried out a study on the solar and infrared photodetection of CH3NH3PbI3 thin films. The values of the responsivity, sensitivity, external quantum efficiency and specific detectivity under 1 sun illumination and 0.7 V bias were 105.4 A/W, 1.9, 2.38 × 104% and 1.5 × 1012 Jones, respectively.

  18. Effect of Cr doping on the structural, morphological, optical and electrical properties of indium tin oxide films

    NASA Astrophysics Data System (ADS)

    Mirzaee, Majid; Dolati, Abolghasem

    2015-03-01

    We report on the preparation and characterization of high-purity chromium (0.5-2.5 at.%)-doped indium tin oxide (ITO, In:Sn = 90:10) films deposited by sol-gel-mediated dip coating. The effects of different Cr-doping contents on structural, morphological, optical and electrical properties of the films were characterized by means of X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), field emission scanning electron microscopy (FESEM), UV-Vis spectroscopy and four-point probe methods. XRD showed high phase purity cubic In2O3 and indicated a contraction of the lattice with Cr doping. FESEM micrographs show that grain size decreased with increasing the Cr-doping content. A method to determine chromium species in the sample was developed through the decomposition of the Cr 2 p XPS spectrum in Cr6+ and Cr3+ standard spectra. Optical and electrical studies revealed that optimum opto-electronic properties, including minimum sheet resistance of 4,300 Ω/Sq and an average optical transmittance of 85 % in the visible region with a band gap of 3.421 eV, were achieved for the films doped with Cr-doping content of 2 at.%.

  19. Rapid pH change due to bacteriorhodopsin measured with a tin-oxide electrode.

    PubMed Central

    Robertson, B; Lukashev, E P

    1995-01-01

    The photocurrent transient generated by bacteriorhodopsin (bR) on a tin-oxide electrode is due to pH change and not to charge displacement as previously assumed. Films of either randomly oriented or highly oriented purple membranes were deposited on transparent electrodes made of tin-oxide-coated glass. The membranes contained either wild-type or D96N-mutant bR. When excited with yellow light through the glass, the bR pumps protons across the membrane. The result is a rapid local pH change as well as a charge displacement. Experiments with these films show that it is the pH change rather than the displacement that produces the current transient. The calibration for the transient pH measurement is given. The sensitivity of a tin-oxide electrode to a transient pH change is very much larger than its sensitivity to a steady-state pH change. PMID:7787036

  20. Reactive ion etching of indium-tin oxide films by CCl4-based Inductivity Coupled Plasma

    NASA Astrophysics Data System (ADS)

    Juneja, Sucheta; Poletayev, Sergey D.; Fomchenkov, Sergey; Khonina, Svetlana N.; Skidanov, Roman V.; Kazanskiy, Nikolay L.

    2016-08-01

    Indium tin oxide (ITO) films have been a subject of extensive studies in fabrication of micro-electronic devices for opto-electronic applications ranging from anti-reflection coatings to transparent contacts in photovoltaic devices. In this paper, a new and effective way of reactive ion etching of a conducting indium-tin oxide (ITO) film with Carbon tetrachloride (CCl4) has been investigated. CCl4 plasma containing an addition of gases mixture of dissociated argon and oxygen were used. Oxygen is added to increase the etchant percentage whereas argon was used for stabilization of plasma. The etching characteristics obtained with these gaseous mixtures were explained based on plasma etch chemistry and etching regime of ITO films. An etch rate as high as ∼20 nm/min can be achieved with a controlled process parameter such as power density, total flow rate, composition of reactive gases gas and pressure. Our Investigation represents some of the extensive work in this area.

  1. Surface modification of titanium nitride film by a picosecond Nd:YAG laser

    NASA Astrophysics Data System (ADS)

    Gakovic, B.; Trtica, M.; Batani, D.; Desai, T.; Panjan, P.; Vasiljevic-Radovic, D.

    2007-06-01

    The interaction of a picosecond Nd:YAG laser (wavelength 532 nm, pulse duration 40 ps) with a polycrystalline titanium nitride (TiN) film was studied. The TiN thin film was deposited by physical vapour deposition on a silicon substrate. The titanium nitride/silicon system was modified with an energy fluence from 0.2 to 5.9 J cm-2. Multi-pulse irradiation was performed in air by a focused laser beam. Surface modifications were analysed after 1 100 successive laser pulses. Depending on the laser pulse energy and pulse count, the following phenomena were observed: (i) increased surface roughness, (ii) titanium nitride film cracking, (iii) silicon substrate modification, (iv) film exfoliation and (v) laser-induced periodical surface structures on nano- (NPSS) and micro-dimensions (MPSS).

  2. The Southeast Asian Tin Belt

    NASA Astrophysics Data System (ADS)

    Schwartz, M. O.; Rajah, S. S.; Askury, A. K.; Putthapiban, P.; Djaswadi, S.

    1995-07-01

    The Southeast Asian Tin Belt is a north-south elongate zone 2800 km long and 400 km wide, extending from Burma (Myanmar) and Thailand to Peninsular Malaysia and the Indonesian Tin Islands. Altogether 9.6 million tonnes of tin, equivalent to 54% of the world's tin production is derived from this region. Most of the granitoids in the region can be grouped geographically into elongate provinces or belts, based on petrographic and geochronological features. - The Main Range Granitoid Province in western Peninsular Malaysia, southern Peninsular Thailand and central Thailand is almost entirely made up of biotite granite (184-230 Ma). Tin deposits associated with these granites contributed 55% of the historic tin production of Southeast Asia. - The Northern Granitoid Province in northern Thailand (0.1% of tin production) also has dominant biotite granite (200-269 Ma) but it is distinguished by abundant post-intrusion deformation. - The Eastern Granitoid Province extends from eastern Peninsular Malaysia to eastern Thailand. The Malaysian part is subdivided into the East Coast Belt (220-263 Ma), Boundary Range Belt (197-257 Ma) and Central Belt (79-219 Ma). The granitoids cover a wide compositional range from biotite granite to hornblende-biotite granite/granodiorite and diorite-gabbro. Tin deposits are associated with biotite granite in the East Coast Belt (3% of tin production). The granitoids in the other areas of the Eastern Granitoid Province are barren. - The Western Granitoid Province (22-149 Ma) in northern Peninsular Thailand, western Thailand and Burma has biotite granite and hornblende-biotite granite/granodiorite. Tin deposits are associated with biotite granite, which probably is the dominant phase (14% of tin production). The granitoids of the Indonesian Tin Islands (193-251 Ma) do not permit grouping into geographically distinct units. Main Range-type and Eastern Province-type plutons occur next to each other. Most of the tin deposits are associated with Main

  3. Differences observed in the surface morphology and microstructure of Ni-Fe-Cu ternary thin films electrochemically deposited at low and high applied current densities

    NASA Astrophysics Data System (ADS)

    Sarac, U.; Kaya, M.; Baykul, M. C.

    2016-10-01

    In this research, nanocrystalline Ni-Fe-Cu ternary thin films using electrochemical deposition technique were produced at low and high applied current densities onto Indium Tin Oxide (ITO) coated conducting glass substrates. Change of surface morphology and microstructural properties of the films were investigated. Energy dispersive X-ray spectroscopy (EDX) measurements showed that the Ni-Fe-Cu ternary thin films exhibit anomalous codeposition behaviour during the electrochemical deposition process. From the X-ray diffraction (XRD) analyses, it was revealed that there are two segregated phases such as Cu- rich and Ni-rich within the films. The crystallographic structure of the films was face-centered cubic (FCC). It was also observed that the film has lower lattice micro-strain and higher texture degree at high applied current density. Scanning electron microscopy (SEM) studies revealed that the films have rounded shape particles on the base part and cauliflower-like structures on the upper part. The film electrodeposited at high current density had considerably smaller rounded shape particles and cauliflower-like structures. From the atomic force microscopy (AFM) analyses, it was shown that the film deposited at high current density has smaller particle size and surface roughness than the film grown at low current density.

  4. Resistive switching characteristics of thermally oxidized TiN thin films

    NASA Astrophysics Data System (ADS)

    Biju, K. P.

    2018-04-01

    Resistive switching characteristics of thermally oxidized TiN thin films and mechanisms were investigated.XPS results indicates Ti-O content decreases with sputter etching and Ti 2p peak shift towards lower binding energy due to formation of Ti-O-N and Ti-N. Pt/TiO2/TiON/TiN stack exhibits both clockwise switching (CWS) and counter clockwise switching(CCWS) characteristic depending on polarity of the applied voltage. However the transition from CCWS to CWS is irreversible. Two stable switching modes with opposite switching polarity and different electrical characteristics are found to coexist in the same memory cell. Clockwise switching shows filamentary characteristics that lead to faster switching with excellent retention at high temperature. Counter-clockwise switching exhibits homogeneous conduction with slower switching and moderate retention. The field-induced switching in both CCWS and CWS might be due to inhomogeneous defect distribution due to thermal oxidation.

  5. Atomic-Layer-Deposition of Indium Oxide Nano-films for Thin-Film Transistors.

    PubMed

    Ma, Qian; Zheng, He-Mei; Shao, Yan; Zhu, Bao; Liu, Wen-Jun; Ding, Shi-Jin; Zhang, David Wei

    2018-01-09

    Atomic-layer-deposition (ALD) of In 2 O 3 nano-films has been investigated using cyclopentadienyl indium (InCp) and hydrogen peroxide (H 2 O 2 ) as precursors. The In 2 O 3 films can be deposited preferentially at relatively low temperatures of 160-200 °C, exhibiting a stable growth rate of 1.4-1.5 Å/cycle. The surface roughness of the deposited film increases gradually with deposition temperature, which is attributed to the enhanced crystallization of the film at a higher deposition temperature. As the deposition temperature increases from 150 to 200 °C, the optical band gap (E g ) of the deposited film rises from 3.42 to 3.75 eV. In addition, with the increase of deposition temperature, the atomic ratio of In to O in the as-deposited film gradually shifts towards that in the stoichiometric In 2 O 3 , and the carbon content also reduces by degrees. For 200 °C deposition temperature, the deposited film exhibits an In:O ratio of 1:1.36 and no carbon incorporation. Further, high-performance In 2 O 3 thin-film transistors with an Al 2 O 3 gate dielectric were achieved by post-annealing in air at 300 °C for appropriate time, demonstrating a field-effect mobility of 7.8 cm 2 /V⋅s, a subthreshold swing of 0.32 V/dec, and an on/off current ratio of 10 7 . This was ascribed to passivation of oxygen vacancies in the device channel.

  6. Photochemical metal organic deposition of metal oxides

    NASA Astrophysics Data System (ADS)

    Law, Wai Lung (Simon)

    This thesis pertains to the study of the deposition of metal oxide thin films via the process of Photochemical Metal Organic Deposition (PMOD). In this process, an amorphous metal organic precursor thin film is subjected to irradiation under ambient conditions. Fragmentation of the metal precursor results from the photoreaction, leading to the formation of metal oxide thin films in the presence of oxygen. The advantage of PMOD lies in its ability to perform lithography of metal oxide thin film without the application of photoresist. The metal organic precursor can be imaged directly by photolysis through a lithography mask under ambient conditions. Thus the PMOD process provides an attractive alternative to the conventional VLSI fabrication process. Metal carboxylates and metal acetylacetonates complexes were used as the precursors for PMOD process in this thesis. Transition metal carboxylate and metal acetylacetonate complexes have shown previously that when deposited as amorphous thin films, they will undergo fragmentation upon photolysis, leading to the formation of metal oxide thin films under ambient conditions. In this thesis, the formation of main group metal oxides of aluminum, indium and tin, as well as the formation of rare-earth metal oxides of cerium and europium by PMOD from its corresponding metal organic precursor will be presented. The nature of the photoreactions as well as the properties of the thin films deposited by PMOD will be investigated. Doped metal oxide thin films can also be prepared using the PMOD process. By mixing the metal precursors prior to deposition in the desired ratio, precursor films containing more than one metal precursor can be obtained. Mixed metal oxide thin films corresponding to the original metal ratio, in the precursor mixture, can be obtained upon photolysis under ambient conditions. In this thesis, the properties of doped metal oxide thin films of europium doped aluminum oxide as well as tin doped indium oxide thin

  7. Tailoring the structural and optical properties of TiN thin films by Ag ion implantation

    NASA Astrophysics Data System (ADS)

    Popović, M.; Novaković, M.; Rakočević, Z.; Bibić, N.

    2016-12-01

    Titanium nitride (TiN) thin films thickness of ∼260 nm prepared by dc reactive sputtering were irradiated with 200 keV silver (Ag) ions to the fluences ranging from 5 × 1015 ions/cm2 to 20 × 1015 ions/cm2. After implantation TiN layers were annealed 2 h at 700 °C in a vacuum. Ion irradiation-induced microstructural changes were examined by using Rutherford backscattering spectrometry, X-ray diffraction and transmission electron microscopy, while the surface topography was observed using atomic force microscopy. Spectroscopic ellipsometry was employed to get insights on the optical and electronic properties of TiN films with respect to their microstructure. The results showed that the irradiations lead to deformation of the lattice, increasing disorder and formation of new Ag phase. The optical results demonstrate the contribution of surface plasmon resonace (SPR) of Ag particles. SPR position shifted in the range of 354.3-476.9 nm when Ag ion fluence varied from 5 × 1015 ions/cm2 to 20 × 1015 ions/cm2. Shift in peak wavelength shows dependence on Ag particles concentration, suggesting that interaction between Ag particles dominate the surface plasmon resonance effect. Presence of Ag as second metal in the layer leads to overall decrease of optical resistivity of TiN.

  8. Vapor deposition routes to conformal polymer thin films

    PubMed Central

    Moni, Priya; Al-Obeidi, Ahmed

    2017-01-01

    Vapor phase syntheses, including parylene chemical vapor deposition (CVD) and initiated CVD, enable the deposition of conformal polymer thin films to benefit a diverse array of applications. This short review for nanotechnologists, including those new to vapor deposition methods, covers the basic theory in designing a conformal polymer film vapor deposition, sample preparation and imaging techniques to assess film conformality, and several applications that have benefited from vapor deposited, conformal polymer thin films. PMID:28487816

  9. Electrical transport properties in indium tin oxide films prepared by electron-beam evaporation

    NASA Astrophysics Data System (ADS)

    Liu, X. D.; Jiang, E. Y.; Zhang, D. X.

    2008-10-01

    Amorphous and polycrystalline indium tin oxide films have been prepared by electron-beam evaporation method. The amorphous films exhibit semiconductor behavior, while metallic conductivity is observed in the polycrystalline samples. The magnetoconductivities of the polycrystalline films are positive at low temperatures and can be well described by the theory of three-dimensional weak-localization effect. In addition, the electron phase-breaking rate is proportional to T3/2. Comparing the experimental results with theory, we find that the electron-electron scattering is the dominant destroyer of the constructive interference in the films. In addition, the Coulomb interaction is the main contribution to the nontrivial corrections for the electrical conductivity at low temperatures.

  10. Nanohardness and Residual Stress in TiN Coatings.

    PubMed

    Hernández, Luis Carlos; Ponce, Luis; Fundora, Abel; López, Enrique; Pérez, Eduardo

    2011-05-17

    TiN films were prepared by the Cathodic arc evaporation deposition method under different negative substrate bias. AFM image analyses show that the growth mode of biased coatings changes from 3D island to lateral when the negative bias potential is increased. Nanohardness of the thin films was measured by nanoindentation, and residual stress was determined using Grazing incidence X ray diffraction. The maximum value of residual stress is reached at -100 V substrate bias coinciding with the biggest values of adhesion and nanohardness. Nanoindentation measurement proves that the force-depth curve shifts due to residual stress. The experimental results demonstrate that nanohardness is seriously affected by the residual stress.

  11. The influence of Atomic Oxygen on the Figure of Merit of Indium Tin Oxide thin Films grown by reactive Dual Ion Beam Sputtering

    NASA Astrophysics Data System (ADS)

    Geerts, Wilhelmus; Simpson, Nelson; Woodall, Allen; Compton, Maclyn

    2014-03-01

    Indium Tin Oxide (ITO) is a transparent conducting oxide that is used in flat panel displays and optoelectronics. Highly conductive and transparent ITO films are normally produced by heating the substrate to 300 Celsius during deposition excluding plastics to be used as a substrate material. We investigated whether high quality ITO films can be sputtered at room temperature using atomic instead of molecular oxygen. The films were deposited by dual ion beam sputtering (DIBS). During deposition the substrate was exposed to a molecular or an atomic oxygen flux. Microscope glass slides and silicon wafers were used as substrates. A 29 nm thick SIO2 buffer layer was used. Optical properties were measured with a M2000 Woollam variable angle spectroscopic ellipsometer. Electrical properties were measured by linear four point probe using a Jandel 4pp setup employing silicon carbide electrodes, high input resistance, and Keithley low bias current buffer amplifiers. The figure of merit (FOM), i.e. the ratio of the conductivity and the average optical absorption coefficient (400-800 nm), was calculated from the optical and electric properties and appeared to be 1.2 to 5 times higher for the samples sputtered with atomic oxygen. The largest value obtained for the FOM was 0.08 reciprocal Ohms. The authors would like to thank the Research Corporation for Financial Support.

  12. Influence of anodizing conditions on generation of internal cracks in anodic porous tin oxide films grown in NaOH electrolyte

    NASA Astrophysics Data System (ADS)

    Zaraska, Leszek; Gawlak, Karolina; Gurgul, Magdalena; Dziurka, Magdalena; Nowak, Marlena; Gilek, Dominika; Sulka, Grzegorz D.

    2018-05-01

    Nanoporous tin oxide layers were synthesized via simple one-step anodic oxidation of a low-purity Sn foil (98.8%) in sodium hydroxide electrolyte. The process of pore formation at the early stage of anodization was discussed on the basis of concepts of oxygen bubble mould effect and viscous flow of oxide. The effect of anodizing conditions on the generation of internal cracks and fractures within the anodic film was investigated in detail. It was confirmed that crack-free tin oxide films can be obtained if the anodization is carried out at the potential of 4 V independently of the electrolyte concentration. On the other hand, the porous anodic film with a totally stacked internal morphology is obtained at the potential of 5 V in 0.1 M NaOH electrolyte. The generation of internal cracks and voids can be attributed to a much lower surface porosity and local trapping of O2 inside the pores of the oxide layer. However, increasing electrolyte concentration allows for obtaining less cracked porous films due to effective and uniform liberation of oxygen bubbles from the channels through completely open pore mouths. Furthermore, it was confirmed that uniformity of the anodic tin oxide layers can be significantly improved by vigorous electrolyte stirring. Finally, we observed that the addition of ethanol to the electrolyte can reduce anodic current density and the oxide growth rate. In consequence, less cracked anodic film can be formed even at the potential of 6 V. The generation of oxygen at the pore bottoms, together with the open pore mouths were found to be critical factors responsible for the anodic formation of crack-free porous tin oxide films.

  13. Smooth e-beam-deposited tin-doped indium oxide for III-nitride vertical-cavity surface-emitting laser intracavity contacts

    NASA Astrophysics Data System (ADS)

    Leonard, J. T.; Cohen, D. A.; Yonkee, B. P.; Farrell, R. M.; DenBaars, S. P.; Speck, J. S.; Nakamura, S.

    2015-10-01

    We carried out a series of simulations analyzing the dependence of mirror reflectance, threshold current density, and differential efficiency on the scattering loss caused by the roughness of tin-doped indium oxide (ITO) intracavity contacts for 405 nm flip-chip III-nitride vertical-cavity surface-emitting lasers (VCSELs). From these results, we determined that the ITO root-mean-square (RMS) roughness should be <1 nm to minimize scattering losses in VCSELs. Motivated by this requirement, we investigated the surface morphology and optoelectronic properties of electron-beam (e-beam) evaporated ITO films, as a function of substrate temperature and oxygen flow and pressure. The transparency and conductivity were seen to increase with increasing temperature. Decreasing the oxygen flow and pressure resulted in an increase in the transparency and resistivity. Neither the temperature, nor oxygen flow and pressure series on single-layer ITO films resulted in highly transparent and conductive films with <1 nm RMS roughness. To achieve <1 nm RMS roughness with good optoelectronic properties, a multi-layer ITO film was developed, utilizing a two-step temperature scheme. The optimized multi-layer ITO films had an RMS roughness of <1 nm, along with a high transparency (˜90% at 405 nm) and low resistivity (˜2 × 10-4 Ω-cm). This multi-layer ITO e-beam deposition technique is expected to prevent p-GaN plasma damage, typically observed in sputtered ITO films on p-GaN, while simultaneously reducing the threshold current density and increasing the differential efficiency of III-nitride VCSELs.

  14. Investigation of buried homojunctions in p-InP formed during sputter deposition of both indium tin oxide and indium oxide

    NASA Technical Reports Server (NTRS)

    Gessert, T. A.; Li, X.; Wanlass, M. W.; Nelson, A. J.; Coutts, T. J.

    1990-01-01

    While dc magnetron sputter deposition of indium tin oxide leads to the formation of a buried homojunction in single crystal p-type InP, the mechanism of type conversion of the InP surface is not apparent. In view of the recent achievement of nearly 17-percent global efficiencies for cells fabricated solely by sputter deposition of In2O3, it is presently surmised that tin may not be an essential element in type conversion. A variety of electrical and optical techniques are presently used to evaluate the changes at both indium tin oxide/InP and indium oxide/InP interfaces. Such mechanisms as the passivation of acceptors by hydrogen, and sputter damage, are found to occur simultaneously.

  15. Chemistry of Non-Equilibrium Film Deposition.

    DTIC Science & Technology

    1985-12-01

    titanium isopropoxide mixed with water solutions of lanthanum and lead nitrate. The gels were dehydrated, then fired to 600C to remove all organics...OW- ’so IRO $Va. ame Thin films; titanium dioxide; -PuZT,- ion beam deposition; annealing,’ trnmiso electron microscopy. 4 - . - S \\AISST 0A ZT *Can...Deposition....... . ... *.... .. ... .. ..... .. . .... 2 C. Nonequilibrium Physical Deposition.................... 3 1. Titanium Oxide Films

  16. Swift heavy ion induced topography changes of Tin oxide thin films

    NASA Astrophysics Data System (ADS)

    Jaiswal, Manoj K.; Kumar, Avesh; Kanjilal, D.; Mohanty, T.

    2012-12-01

    Monodisperse tin oxide nanocrystalline thin films are grown on silicon substrates by electron beam evaporation method followed by 100 MeV silver ion bombardment with varying ion fluence from 5 × 1011 ions cm-2 to 1 × 1013 ions cm-2 at constant ion flux. Enhancement of crystallinity of thin films with fluence is observed from glancing angle X-ray diffraction studies. Morphological studies by atomic force microscopy reveal the changes in grain size from 25 nm to 44 nm with variation in ion fluence. The effect of initial surface roughness and adatom mobility on topography is reported. In this work correlation between ion beam induced defect concentration with topography and grain size distribution is emphasized.

  17. Nanostructured tin oxide films: Physical synthesis, characterization, and gas sensing properties.

    PubMed

    Ingole, S M; Navale, S T; Navale, Y H; Bandgar, D K; Stadler, F J; Mane, R S; Ramgir, N S; Gupta, S K; Aswal, D K; Patil, V B

    2017-05-01

    Nanostructured tin oxide (SnO 2 ) films are synthesized using physical method i.e. thermal evaporation and are further characterized with X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscopy, transmission electron microscopy, and atomic force microscopy measurement techniques for confirming its structure and morphology. The chemiresistive properties of SnO 2 films are studied towards different oxidizing and reducing gases where these films have demonstrated considerable selectivity towards oxidizing nitrogen dioxide (NO 2 ) gas with a maximum response of 403% to 100ppm @200°C, and fast response and recovery times of 4s and 210s, respectively, than other test gases. In addition, SnO 2 films are enabling to detect as low as 1ppm NO 2 gas concentration @200°C with 23% response enhancement. Chemiresistive performances of SnO 2 films are carried out in the range of 1-100ppm and reported. Finally, plausible adsorption and desorption reaction mechanism of NO 2 gas molecules with SnO 2 film surface has been thoroughly discussed by means of an impedance spectroscopy analysis. Copyright © 2017 Elsevier Inc. All rights reserved.

  18. Deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1984-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or large planar surfaces.

  19. Selective etching of TiN over TaN and vice versa in chlorine-containing plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shin, Hyungjoo; Zhu Weiye; Liu Lei

    2013-05-15

    Selectivity of etching between physical vapor-deposited TiN and TaN was studied in chlorine-containing plasmas, under isotropic etching conditions. Etching rates for blanket films were measured in-situ using optical emission of the N{sub 2} (C{sup 3}{Pi}{sub u}{yields}B{sup 3}{Pi}{sub g}) bandhead at 337 nm to determine the etching time, and transmission electron microscopy to determine the starting film thickness. The etching selectivity in Cl{sub 2}/He or HCl/He plasmas was poor (<2:1). There was a window of very high selectivity of etching TiN over TaN by adding small amounts (<1%) of O{sub 2} in the Cl{sub 2}/He plasma. Reverse selectivity (10:1 of TaNmore » etching over TiN) was observed when adding small amounts of O{sub 2} to the HCl/He plasma. Results are explained on the basis of the volatility of plausible reaction products.« less

  20. Swift heavy ion induced modification in morphological and physico-chemical properties of tin oxide nanocomposites

    NASA Astrophysics Data System (ADS)

    Jaiswal, Manoj Kumar; Kanjilal, D.; Kumar, Rajesh

    2013-11-01

    Nanocomposite thin films of tin oxide (SnO2)/titanium oxide (TiO2) were grown on silicon (1 0 0) substrates by electron beam evaporation deposition technique using sintered nanocomposite pellet of SnO2/TiO2 in the percentage ratio of 95:5. Sintering of the nanocomposite pellet was done at 1300 °C for 24 h. The thicknesses of these films were measured to be 100 nm during deposition using piezo-sensor attached to the deposition chamber. TiO2 doped SnO2 nanocomposite films were irradiated by 100 MeV Au8+ ion beam at fluence range varying from 1 × 1011 ions/cm2 to 5 × 1013 ions/cm2 at Inter University Accelerator Center (IUAC), New Delhi, India. Chemical properties of pristine and ion irradiation modified thin films were characterized by Fourier Transform Infrared (FTIR) spectroscopy. FTIR peak at 610 cm-1 confirms the presence of O-Sn-O bridge of tin (IV) oxide signifying the composite nature of pristine and irradiated thin films. Atomic Force Microscope (AFM) in tapping mode was used to study the surface morphology and grain growth due to swift heavy ion irradiation at different fluencies. Grain size calculations obtained from sectional analysis of AFM images were compared with results obtained from Glancing Angle X-ray Diffraction (GAXRD) measurements using Scherrer’s formulae. Phase transformation due to irradiation was observed from Glancing Angle X-ray Diffraction (GAXRD) results. The prominent 2θ peaks observed in GAXRD spectrum are at 30.67°, 32.08°, 43.91°, 44.91° and 52.35° in the irradiated films.

  1. Physical Vapor Deposition of Thin Films

    NASA Astrophysics Data System (ADS)

    Mahan, John E.

    2000-01-01

    A unified treatment of the theories, data, and technologies underlying physical vapor deposition methods With electronic, optical, and magnetic coating technologies increasingly dominating manufacturing in the high-tech industries, there is a growing need for expertise in physical vapor deposition of thin films. This important new work provides researchers and engineers in this field with the information they need to tackle thin film processes in the real world. Presenting a cohesive, thoroughly developed treatment of both fundamental and applied topics, Physical Vapor Deposition of Thin Films incorporates many critical results from across the literature as it imparts a working knowledge of a variety of present-day techniques. Numerous worked examples, extensive references, and more than 100 illustrations and photographs accompany coverage of: * Thermal evaporation, sputtering, and pulsed laser deposition techniques * Key theories and phenomena, including the kinetic theory of gases, adsorption and condensation, high-vacuum pumping dynamics, and sputtering discharges * Trends in sputter yield data and a new simplified collisional model of sputter yield for pure element targets * Quantitative models for film deposition rate, thickness profiles, and thermalization of the sputtered beam

  2. Limits of carrier mobility in Sb-doped SnO{sub 2} conducting films deposited by reactive sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bissig, B., E-mail: Benjamin.bissig@empa.ch; Jäger, T.; Tiwari, A. N.

    2015-06-01

    Electron transport in Sb-doped SnO{sub 2} (ATO) films is studied to unveil the limited carrier mobility observed in sputtered films as compared to other deposition methods. Transparent and conductive ATO layers are deposited from metallic tin targets alloyed with antimony in oxygen atmosphere optimized for reactive sputtering. The carrier mobility decreases from 24 cm{sup 2} V{sup −1} s{sup −1} to 6 cm{sup 2} V{sup −1} s{sup −1} when increasing the doping level from 0 to 7 at. %, and the lowest resistivity of 1.8 × 10{sup −3} Ω cm corresponding to the mobility of 12 cm{sup 2} V{sup −1} s{sup −1}more » which is obtained for the 3 at. % Sb-doped ATO. Temperature-dependent Hall effect measurements and near-infrared reflectance measurements reveal that the carrier mobility in sputtered ATO is limited by ingrain scattering. In contrast, the mobility of unintentionally doped SnO{sub 2} films is determined mostly by the grain boundary scattering. Both limitations should arise from the sputtering process itself, which suffers from the high-energy-ion bombardment and yields polycrystalline films with small grain size.« less

  3. Adhesion Strength of TiN Coatings at Various Ion Etching Deposited on Tool Steels Using Cathodic Arc Pvd Technique

    NASA Astrophysics Data System (ADS)

    Ali, Mubarak; Hamzah, Esah; Ali, Nouman

    Titanium nitride (TiN) widely used as hard coating material was coated on tool steels, namely on high-speed steel (HSS) and D2 tool steel by physical vapor deposition method. The goal of this study was to examine the effect of ion etching with and without titanium (Ti) and chromium (Cr) on the adhesion strength of TiN coatings deposited on tool steels. From the scratch tester, it was observed that by increasing Ti ion etching showed an increase in adhesion strength of the deposited coatings. The coatings deposited with Cr ion etching showed poor adhesion compared with the coatings deposited with Ti ion etching. Scratch test measurements showed that the coating deposited with titanium ion etching for 16 min is the most stable coating and maintained even at the critical load of 66 N. The curve obtained via penetration depth along the scratch trace is linear in the case of HSS, whereas is slightly flexible in the case of D2 tool steel. The coatings deposited on HSS exhibit better adhesion compared with those on D2 tool steel.

  4. Thin Film Deposition Using Energetic Ions

    PubMed Central

    Manova, Darina; Gerlach, Jürgen W.; Mändl, Stephan

    2010-01-01

    One important recent trend in deposition technology is the continuous expansion of available processes towards higher ion assistance with the subsequent beneficial effects to film properties. Nowadays, a multitude of processes, including laser ablation and deposition, vacuum arc deposition, ion assisted deposition, high power impulse magnetron sputtering and plasma immersion ion implantation, are available. However, there are obstacles to overcome in all technologies, including line-of-sight processes, particle contaminations and low growth rates, which lead to ongoing process refinements and development of new methods. Concerning the deposited thin films, control of energetic ion bombardment leads to improved adhesion, reduced substrate temperatures, control of intrinsic stress within the films as well as adjustment of surface texture, phase formation and nanotopography. This review illustrates recent trends for both areas; plasma process and solid state surface processes. PMID:28883323

  5. Microscopically crumpled indium-tin-oxide thin films as compliant electrodes with tunable transmittance

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ong, Hui-Yng; School of Engineering, Nanyang Polytechnic, Singapore 569830; Shrestha, Milan

    2015-09-28

    Indium-tin-oxide (ITO) thin films are perceived to be stiff and brittle. This letter reports that crumpled ITO thin films on adhesive poly-acrylate dielectric elastomer can make compliant electrodes, sustaining compression of up to 25% × 25% equi-biaxial strain and unfolding. Its optical transmittance reduces with crumpling, but restored with unfolding. A dielectric elastomer actuator (DEA) using the 14.2% × 14.2% initially crumpled ITO thin-film electrodes is electrically activated to produce a 37% areal strain. Such electric unfolding turns the translucent DEA to be transparent, with transmittance increased from 39.14% to 52.08%. This transmittance tunability promises to make a low-cost smart privacy window.

  6. Atomic layer deposition of Al2O3 on V2O5 xerogel film for enhanced lithium-ion intercalation stability

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Liu, Dawei; Liu, Yanyi; Candelaria, Stephanie L.

    V2O5 xerogel films were fabricated by casting V2O5 sols onto fluorine-doped tin oxide glass substrates at room temperature. Five, ten and twenty atomic layers of Al2O3 were grown onto as-fabricated films respectively. The bare film and Al2O3-deposited films all exhibited hydrous V2O5 phase only. Electrochemical impedance spectroscopy study revealed increased surface charge-transfer resistance of V2O5 films as more Al2O3 atomic layers were deposited. Lithium-ion intercalation tests at 600 mAg_1 showed that bare V2O5 xerogel film possessed high initial discharge capacity of 219 mAhg_1 but suffered from severe capacity degradation, i.e., having only 136 mAhg_1 after 50 cycles. After deposition ofmore » ten atomic layers of Al2O3, the initial discharge capacity was 195 mAhg_1 but increased over cycles before stabilizing; after 50 cycles, the discharge capacity was as high as 225 mAhg_1. The noticeably improved cyclic stability of Al2O3-deposited V2O5 xerogel film could be attributed to the improved surface chemistry and enhanced mechanical strength. During repeated lithium-ion intercalation/de-intercalation, atomic layers of Al2O3 which were coated onto V2O5 surface could prevent V2O5 electrode dissolution into electrolyte by reducing direct contact between active electrode and electrolyte while at the same time acting as binder to maintain good mechanical contact between nanoparticles inside the film. VC 2012 American Vacuum Society.« less

  7. Pulsed deposition of silicate films

    NASA Astrophysics Data System (ADS)

    He, W.; Solanki, R.; Conley, J. F.; Ono, Y.

    2003-09-01

    A sequential pulsed process is utilized for deposition of nonstoichiometric silicate films without employing an oxidizing agent. The metal precursors were HfCl4, AlCl3, and ZrCl4, as well as Hf(NO3)4 and the silicon source was tris(tert-butoxy)silanol. Unlike atomic layer deposition, the growth per cycle was several monolayers thick, where the enhancement in growth was due to a catalytic reaction. The bulk and electrical properties of these films are similar to those of silicon dioxide. Silicon carbide devices coated with these films show good insulating characteristics.

  8. Vapor deposition of thin films

    DOEpatents

    Smith, David C.; Pattillo, Stevan G.; Laia, Jr., Joseph R.; Sattelberger, Alfred P.

    1992-01-01

    A highly pure thin metal film having a nanocrystalline structure and a process of preparing such highly pure thin metal films of, e.g., rhodium, iridium, molybdenum, tungsten, rhenium, platinum, or palladium by plasma assisted chemical vapor deposition of, e.g., rhodium(allyl).sub.3, iridium(allyl).sub.3, molybdenum(allyl).sub.4, tungsten(allyl).sub.4, rhenium(allyl).sub.4, platinum(allyl).sub.2, or palladium(allyl).sub.2 are disclosed. Additionally, a general process of reducing the carbon content of a metallic film prepared from one or more organometallic precursor compounds by plasma assisted chemical vapor deposition is disclosed.

  9. Facile and Rapid Growth of Nanostructured Ln-BTC Metal-Organic Framework Films by Electrophoretic Deposition for Explosives sensing in Gas and Cr 3+ Detection in Solution.

    PubMed

    Feng, Ji-Fei; Yang, Xue; Gao, Shui-Ying; Shi, Jianlin; Cao, Rong

    2017-12-19

    Until now, it has been a challenge to prepare lanthanide metal-organic framework films on traditional substrates, like zinc plate, indium oxide (ITO), and fluorine-doped tin oxide (FTO) glasses in a rapid and facile method. In this paper, continuous and dense Ln-BTC MOFs films on unmodified low-cost substrates have been rapidly and easily fabricated though the newly developed electrophoretic deposition (EPD) method in 5 min. Moreover, the as-prepared luminescent films were successfully used for the detection of nitrobenzene (NB), trinitrotoluene (TNT) in gas phases, as well as NB, Cr 3+ ions for detection in solution.

  10. Modifying the Casimir force between indium tin oxide film and Au sphere

    NASA Astrophysics Data System (ADS)

    Banishev, A. A.; Chang, C.-C.; Castillo-Garza, R.; Klimchitskaya, G. L.; Mostepanenko, V. M.; Mohideen, U.

    2012-01-01

    We present complete results of the experiment on measuring the Casimir force between an Au-coated sphere and an untreated or, alternatively, UV-treated indium tin oxide (ITO) film deposited on a quartz substrate. Measurements were performed using an atomic force microscope in a high vacuum chamber. The measurement system was calibrated electrostatically. Special analysis of the systematic deviations is performed, and respective corrections in the calibration parameters are introduced. The corrected parameters are free from anomalies discussed in the literature. The experimental data for the Casimir force from two measurement sets for both untreated and UV-treated samples are presented. The random, systematic, and total experimental errors are determined at a 95% confidence level. It is demonstrated that the UV treatment of an ITO plate results in a significant decrease in the magnitude of the Casimir force (from 21% to 35% depending on separation). However, ellipsometry measurements of the imaginary parts of dielectric permittivities of the untreated and UV-treated samples did not reveal any significant differences. The experimental data are compared with computations in the framework of the Lifshitz theory. It is found that the data for the untreated sample are in a very good agreement with theoretical results taking into account the free charge carriers in an ITO film. For the UV-treated sample the data exclude the theoretical results obtained with account of free charge carriers. These data are in very good agreement with computations disregarding the contribution of free carriers in the dielectric permittivity. According to the hypothetical explanation provided, this is caused by the phase transition of the ITO film from metallic to dielectric state caused by the UV treatment. Possible applications of the discovered phenomenon in nanotechnology are discussed.

  11. Continuous, highly flexible, and transparent graphene films by chemical vapor deposition for organic photovoltaics.

    PubMed

    Gomez De Arco, Lewis; Zhang, Yi; Schlenker, Cody W; Ryu, Koungmin; Thompson, Mark E; Zhou, Chongwu

    2010-05-25

    We report the implementation of continuous, highly flexible, and transparent graphene films obtained by chemical vapor deposition (CVD) as transparent conductive electrodes (TCE) in organic photovoltaic cells. Graphene films were synthesized by CVD, transferred to transparent substrates, and evaluated in organic solar cell heterojunctions (TCE/poly-3,4-ethylenedioxythiophene:poly styrenesulfonate (PEDOT:PSS)/copper phthalocyanine/fullerene/bathocuproine/aluminum). Key to our success is the continuous nature of the CVD graphene films, which led to minimal surface roughness ( approximately 0.9 nm) and offered sheet resistance down to 230 Omega/sq (at 72% transparency), much lower than stacked graphene flakes at similar transparency. In addition, solar cells with CVD graphene and indium tin oxide (ITO) electrodes were fabricated side-by-side on flexible polyethylene terephthalate (PET) substrates and were confirmed to offer comparable performance, with power conversion efficiencies (eta) of 1.18 and 1.27%, respectively. Furthermore, CVD graphene solar cells demonstrated outstanding capability to operate under bending conditions up to 138 degrees , whereas the ITO-based devices displayed cracks and irreversible failure under bending of 60 degrees . Our work indicates the great potential of CVD graphene films for flexible photovoltaic applications.

  12. Pilot-scale electron cyclotron resonance-metal organic chemical vapor deposition system for the preparation of large-area fluorine-doped SnO{sub 2} thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jeon, Bup Ju; Hudaya, Chairul; Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791

    2016-05-15

    The authors report the surface morphology, optical, electrical, thermal and humidity impacts, and electromagnetic interference properties of fluorine-doped tin oxide (SnO{sub 2}:F or “FTO”) thin films on a flexible polyethylene terephthalate (PET) substrate fabricated by a pilot-scale electron cyclotron resonance–metal organic chemical vapor deposition (PS ECR-MOCVD). The characteristics of large area FTO thin films were compared with a commercially available transparent conductive electrode made of tin-doped indium oxide (ITO), prepared with an identical film and PET thickness of 125 nm and 188 μm, respectively. The results revealed that the as-prepared FTO thin films exhibited comparable performances with the incumbent ITO films, includingmore » a high optical transmittance of 97% (substrate-subtracted), low electrical resistivity of about 5 × 10{sup −3} Ω cm, improved electrical and optical performances due to the external thermal and humidity impact, and an excellent shielding effectiveness of electromagnetic interference of nearly 2.3 dB. These excellent performances of the FTO thin films were strongly attributed to the design of the PS ECR-MOCVD, which enabled a uniform plasma environment resulting from a proper mixture of electromagnetic profiles and microwave power.« less

  13. Synthesis of tin oxide nanoparticle film by cathodic electrodeposition.

    PubMed

    Kim, Seok; Lee, Hochun; Park, Chang Min; Jung, Yongju

    2012-02-01

    Three-dimensional SnO2 nanoparticle films were deposited onto a copper substrate by cathodic electrodeposition in a nitric acid solution. A new formation mechanism for SnO2 films is proposed based on the oxidation of Sn2+ ion to Sn4+ ion by NO+ ion and the hydrolysis of Sn4+. The particle size of SnO2 was controlled by deposition potential. The SnO2 showed excellent charge capacity (729 mAh/g) at a 0.2 C rate and high rate capability (460 mAh/g) at a 5 C rate.

  14. Modification of the morphology and optical properties of SnS films using glancing angle deposition technique

    NASA Astrophysics Data System (ADS)

    Sazideh, M. R.; Dizaji, H. Rezagholipour; Ehsani, M. H.; Moghadam, R. Zarei

    2017-05-01

    Tin sulfide (SnS) films were prepared by thermal evaporation method using Glancing Angle Deposition (GLAD) technique at zero and different oblique incident flux angles (α = 45°, 55°, 65°, 75° and 85°). The physical properties of prepared films were systematically investigated. The X-ray diffraction analysis indicated that the film deposited at α = 0° formed as single phase with an orthorhombic structure. However, the layers became amorphous at α = 45°, 55°, 65°, 75° and 85°. Beside the appearance of amorphous feature in the film prepared at α higher than zero, Sn2S3 phase was also observed. The top and cross-sectional field emission scanning electron microscope (FESEM) images of the samples showed noticeable changes in the structure and morphology of individual nano-plates as a function of incident angle. The band gap and refractive index values of the films were calculated by optical transmission measurements. The optical band-gap values were observed to increase with increasing the incident flux angle. This can be due to presence of Sn2S3 phase observed in the samples produced at α values other than zero. The effective refractive index and porosity exhibit an opposite evolution as the incident angle α rises. At α = 85° the layers show a considerable change in effective refractive index (Δn = 1.7) at near-IR spectral range.

  15. Thin Film Technology of High-Critical-Temperature Superconducting Electronics.

    DTIC Science & Technology

    1985-12-11

    ANALISIS OF THIN-FILM SUPERCONDUCTORS J. Talvacchio, M. A. Janocko, J. R. Gavaler, and A...in the areas of substrate preparation, niobum nitride, nlobium-tin, and molybdenum-rhenium. AN INTEGRATED DEPOSITION AND ANALISI - FACILITT The four...mobility low (64). The voids are separating 1-3 nm clusters of dense deposit. At low deposition temperatures this microstructure will persist near

  16. A difference in using atomic layer deposition or physical vapour deposition TiN as electrode material in metal-insulator-metal and metal-insulator-silicon capacitors.

    PubMed

    Groenland, A W; Wolters, R A M; Kovalgin, A Y; Schmitz, J

    2011-09-01

    In this work, metal-insulator-metal (MIM) and metal-insulator-silicon (MIS) capacitors are studied using titanium nitride (TiN) as the electrode material. The effect of structural defects on the electrical properties on MIS and MIM capacitors is studied for various electrode configurations. In the MIM capacitors the bottom electrode is a patterned 100 nm TiN layer (called BE type 1), deposited via sputtering, while MIS capacitors have a flat bottom electrode (called BE type 2-silicon substrate). A high quality 50-100 nm thick SiO2 layer, made by inductively-coupled plasma CVD at 150 degrees C, is deposited as a dielectric on top of both types of bottom electrodes. BE type 1 (MIM) capacitors have a varying from low to high concentration of structural defects in the SiO2 layer. BE type 2 (MIS) capacitors have a low concentration of structural defects and are used as a reference. Two sets of each capacitor design are fabricated with the TiN top electrode deposited either via physical vapour deposition (PVD, i.e., sputtering) or atomic layer deposition (ALD). The MIM and MIS capacitors are electrically characterized in terms of the leakage current at an electric field of 0.1 MV/cm (I leak) and for different structural defect concentrations. It is shown that the structural defects only show up in the electrical characteristics of BE type 1 capacitors with an ALD TiN-based top electrode. This is due to the excellent step coverage of the ALD process. This work clearly demonstrates the sensitivity to process-induced structural defects, when ALD is used as a step in process integration of conductors on insulation materials.

  17. Pulsed Laser Deposition of High Temperature Protonic Films

    NASA Technical Reports Server (NTRS)

    Dynys, Fred W.; Berger, M. H.; Sayir, Ali

    2006-01-01

    Pulsed laser deposition has been used to fabricate nanostructured BaCe(0.85)Y(0.15)O3- sigma) films. Protonic conduction of fabricated BaCe(0.85)Y(0.15)O(3-sigma) films was compared to sintered BaCe(0.85)Y(0.15)O(3-sigma). Sintered samples and laser targets were prepared by sintering BaCe(0.85)Y(0.15)O(3-sigma) powders derived by solid state synthesis. Films 1 to 8 micron thick were deposited by KrF excimer laser on porous Al2O3 substrates. Thin films were fabricated at deposition temperatures of 700 to 950 C at O2 pressures up to 200 mTorr using laser pulse energies of 0.45 - 0.95 J. Fabricated films were characterized by X-ray diffraction, electron microscopy and electrical impedance spectroscopy. Single phase BaCe(0.85)Y(0.15)O(3-sigma) films with a columnar growth morphology are observed with preferred crystal growth along the [100] or [001] direction. Results indicate [100] growth dependence upon laser pulse energy. Electrical conductivity of bulk samples produced by solid state sintering and thin film samples were measured over a temperature range of 100 C to 900 C. Electrical conduction behavior was dependent upon film deposition temperature. Maximum conductivity occurs at deposition temperature of 900 oC; the electrical conductivity exceeds the sintered specimen. All other deposited films exhibit a lower electrical conductivity than the sintered specimen. Activation energy for electrical conduction showed dependence upon deposition temperature, it varied

  18. Thickness dependent optical properties of PEMA and (PEMA){sub 0.85}/(ZnO){sub 0.15} nanocomposite films deposited by spray pyrolysis technique on ITO substrate

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Thakur, Anjna, E-mail: anjna56@gmail.com; Thakur, Priya; Yadav, Kamlesh, E-mail: kamlesh.yadav001@gmail.com

    2016-05-06

    In this paper, poly (ethyl methacrylate) (PEMA) and (PEMA){sub 0.85}/(ZnO){sub 0.15} nanocomposite films for 2, 3, 4 and 5 minutes have been deposited by spray pyrolysis technique on indium tin oxide (ITO) coated substrate. The effect of thickness of the film on the morphological and optical properties of PEMA and (PEMA){sub 0.85}/(ZnO){sub 0.15} nanocomposite films are studied. The morphological and optical properties of pure PEMA and (PEMA){sub 0.85}/(ZnO){sub 0.15} nanocomposite films are compared. The field emission scanning electron microscopy (FESEM) shows that as the thickness of film increases, uniformity of films increases. It is found from UV-Visible spectra that themore » energy band gap decreases with increasing the deposition time and refractive index increases with increasing the thickness of the film. The band gap of the nanocomposites is found less than the pure polymer film and opposite trend is observed for refractive index. The optical absorption of PEMA/ZnO nanocomposite films is higher than pure PEMA film. The thickness of the nanocomposite film plays a significant role in the tunability of the optical properties.« less

  19. High Temperature - Thin Film Strain Gages Based on Alloys of Indium Tin Oxide

    NASA Technical Reports Server (NTRS)

    Gregory, Otto J.; Cooke, James D.; Bienkiewicz, Joseph M.

    1998-01-01

    A stable, high temperature strain gage based on reactively sputtered indium tin oxide (ITO) was demonstrated at temperatures up to 1050 C. These strain sensors exhibited relatively large, negative gage factors at room temperature and their piezoresistive response was both linear and reproducible when strained up to 700 micro-in/in. When cycled between compression and tension, these sensors also showed very little hysteresis, indicating excellent mechanical stability. Thin film strain gages based on selected ITO alloys withstood more than 50,000 strain cycles of +/- 500 micro-in/in during 180 hours of testing in air at 1000 C, with minimal drift at temperature. Drift rates as low as 0.0009%/hr at 1000 C were observed for ITO films that were annealed in nitrogen at 700 C prior to strain testing. These results compare favorably with state of the art 10 micro-m thick PdCr films deposited by NASA, where drift rates of 0.047%/hr at 1050 C were observed. Nitrogen annealing not only produced the lowest drift rates to date, but also produce the largest dynamic gage factors (G = 23.5). These wide bandgap, semiconductor strain sensors also exhibited moderately low temperature coefficients of resistance (TCR) at temperatures up to 1100 C, when tested in a nitrogen ambient. A TCR of +230 ppm/C over the temperature range 200 C < T < 500 C and a TCR of -469 ppm/C over the temperature range 600 C < T < 1100 C was observed for the films tested in nitrogen. However, the resistivity behavior changed considerably when the same films were tested in oxygen ambients. A TCR of -1560 ppm/C was obtained over the temperature range of 200 C < T < 1100 C. When similar films were protected with an overcoat or when ITO films were prepared with higher oxygen contents in the plasma, two distinct TCR's were observed. At T < 800 C, a linear TCR of -210 ppm/C was observed and at T > 800 C, a linear TCR of -2170 DDm/C was observed. The combination of a moderately low TCR and a relatively large gage

  20. Studies of Niobium Thin Film Produced by Energetic Vacuum Deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Genfa Wu; Anne-Marie Valente; H. Phillips

    2004-05-01

    An energetic vacuum deposition system has been used to study deposition energy effects on the properties of niobium thin films on copper and sapphire substrates. The absence of working gas avoids the gaseous inclusions commonly seen with sputtering deposition. A biased substrate holder controls the deposition energy. Transition temperature and residual resistivity ratio of the niobium thin films at several deposition energies are obtained together with surface morphology and crystal orientation measurements by AFM inspection, XRD and TEM analysis. The results show that niobium thin films on sapphire substrate exhibit the best cryogenic properties at deposition energy around 123 eV.more » The TEM analysis revealed that epitaxial growth of film was evident when deposition energy reaches 163 eV for sapphire substrate. Similarly, niobium thin film on copper substrate shows that film grows more oriented with higher deposition energy and grain size reaches the scale of the film thickness at the deposition energy around 153 eV.« less

  1. Chemical bath deposited and dip coating deposited CuS thin films - Structure, Raman spectroscopy and surface study

    NASA Astrophysics Data System (ADS)

    Tailor, Jiten P.; Khimani, Ankurkumar J.; Chaki, Sunil H.

    2018-05-01

    The crystal structure, Raman spectroscopy and surface microtopography study on as-deposited CuS thin films were carried out. Thin films deposited by two techniques of solution growth were studied. The thin films used in the present study were deposited by chemical bath deposition (CBD) and dip coating deposition techniques. The X-ray diffraction (XRD) analysis of both the as-deposited thin films showed that both the films possess covellite phase of CuS and hexagonal unit cell structure. The determined lattice parameters of both the films are in agreement with the standard JCPDS as well as reported data. The crystallite size determined by Scherrer's equation and Hall-Williamsons relation using XRD data for both the as-deposited thin films showed that the respective values were in agreement with each other. The ambient Raman spectroscopy of both the as-deposited thin films showed major emission peaks at 474 cm-1 and a minor emmision peaks at 265 cm-1. The observed Raman peaks matched with the covellite phase of CuS. The atomic force microscopy of both the as-deposited thin films surfaces showed dip coating thin film to be less rough compared to CBD deposited thin film. All the obtained results are presented and deliberated in details.

  2. Solution-Processed Gallium–Tin-Based Oxide Semiconductors for Thin-Film Transistors

    PubMed Central

    Zhang, Xue; Lee, Hyeonju; Kim, Jungwon; Kim, Eui-Jik; Park, Jaehoon

    2017-01-01

    We investigated the effects of gallium (Ga) and tin (Sn) compositions on the structural and chemical properties of Ga–Sn-mixed (Ga:Sn) oxide films and the electrical properties of Ga:Sn oxide thin-film transistors (TFTs). The thermogravimetric analysis results indicate that solution-processed oxide films can be produced via thermal annealing at 500 °C. The oxygen deficiency ratio in the Ga:Sn oxide film increased from 0.18 (Ga oxide) and 0.30 (Sn oxide) to 0.36, while the X-ray diffraction peaks corresponding to Sn oxide significantly reduced. The Ga:Sn oxide film exhibited smaller grains compared to the nanocrystalline Sn oxide film, while the Ga oxide film exhibited an amorphous morphology. We found that the electrical properties of TFTs significantly improve by mixing Ga and Sn. Here, the optimum weight ratio of the constituents in the mixture of Ga and Sn precursor sols was determined to be 1.0:0.9 (Ga precursor sol:Sn precursor sol) for application in the solution-processed Ga:Sn oxide TFTs. In addition, when the Ga(1.0):Sn(0.9) oxide film was thermally annealed at 900 °C, the field-effect mobility of the TFT was notably enhanced from 0.02 to 1.03 cm2/Vs. Therefore, the mixing concentration ratio and annealing temperature are crucial for the chemical and morphological properties of solution-processed Ga:Sn oxide films and for the TFT performance. PMID:29283408

  3. Deposition of conductive TiN shells on SiO2 nanoparticles with a fluidized bed ALD reactor

    NASA Astrophysics Data System (ADS)

    Didden, Arjen; Hillebrand, Philipp; Wollgarten, Markus; Dam, Bernard; van de Krol, Roel

    2016-02-01

    Conductive TiN shells have been deposited on SiO2 nanoparticles (10-20 nm primary particle size) with fluidized bed atomic layer deposition using TDMAT and NH3 as precursors. Analysis of the powders confirms that shell growth saturates at approximately 0.4 nm/cycle at TDMAT doses of >1.2 mmol/g of powder. TEM and XPS analysis showed that all particles were coated with homogeneous shells containing titanium. Due to the large specific surface area of the nanoparticles, the TiN shells rapidly oxidize upon exposure to air. Electrical measurements show that the partially oxidized shells are conducting, with apparent resistivity of approximately 11 kΩ cm. The resistivity of the powders is strongly influenced by the NH3 dose, with a smaller dose giving an order-of-magnitude higher resistivity.

  4. Transparent thin films of indium tin oxide: Morphology-optical investigations, inter dependence analyzes

    NASA Astrophysics Data System (ADS)

    Prepelita, P.; Filipescu, M.; Stavarache, I.; Garoi, F.; Craciun, D.

    2017-12-01

    Using a fast and eco-friendly deposition method, ITO thin films with different thicknesses (0.5 μm-0.7 μm) were deposited on glass substrates by radio frequency magnetron sputtering technique. A comparative analysis of these oxide films was then carried out. AFM investigations showed that the deposited films were smooth, uniform and having a surface roughness smaller than 10 nm. X-ray diffraction investigations showed that all samples were polycrystalline and the grain sizes of the films, corresponding to (222) cubic reflection, were found to increase with the increasing film thickness. The optical properties, evaluated by UV-VIS-NIR (190-3000 nm) spectrophotometer, evidenced that the obtained thin films were highly transparent, with a transmission coefficient between 90 and 96%, depending on the film thickness. Various methods (Swanepoel and Drude) were employed to appreciate the optimal behaviour of transparent oxide films, in determining the dielectric optical parameters and refractive index dispersion for ITO films exhibiting interference patterns in the optical transmission spectra. The electrical conductivity also increased as the film thickness increased.

  5. Performance and stress analysis of metal oxide films for CMOS-integrated gas sensors.

    PubMed

    Filipovic, Lado; Selberherr, Siegfried

    2015-03-25

    The integration of gas sensor components into smart phones, tablets and wrist watches will revolutionize the environmental health and safety industry by providing individuals the ability to detect harmful chemicals and pollutants in the environment using always-on hand-held or wearable devices. Metal oxide gas sensors rely on changes in their electrical conductance due to the interaction of the oxide with a surrounding gas. These sensors have been extensively studied in the hopes that they will provide full gas sensing functionality with CMOS integrability. The performance of several metal oxide materials, such as tin oxide (SnO2), zinc oxide (ZnO), indium oxide (In2O3) and indium-tin-oxide (ITO), are studied for the detection of various harmful or toxic cases. Due to the need for these films to be heated to temperatures between 250°C and 550°C during operation in order to increase their sensing functionality, a considerable degradation of the film can result. The stress generation during thin film deposition and the thermo-mechanical stress that arises during post-deposition cooling is analyzed through simulations. A tin oxide thin film is deposited using the efficient and economical spray pyrolysis technique, which involves three steps: the atomization of the precursor solution, the transport of the aerosol droplets towards the wafer and the decomposition of the precursor at or near the substrate resulting in film growth. The details of this technique and a simulation methodology are presented. The dependence of the deposition technique on the sensor performance is also discussed.

  6. Performance and Stress Analysis of Metal Oxide Films for CMOS-Integrated Gas Sensors

    PubMed Central

    Filipovic, Lado; Selberherr, Siegfried

    2015-01-01

    The integration of gas sensor components into smart phones, tablets and wrist watches will revolutionize the environmental health and safety industry by providing individuals the ability to detect harmful chemicals and pollutants in the environment using always-on hand-held or wearable devices. Metal oxide gas sensors rely on changes in their electrical conductance due to the interaction of the oxide with a surrounding gas. These sensors have been extensively studied in the hopes that they will provide full gas sensing functionality with CMOS integrability. The performance of several metal oxide materials, such as tin oxide (SnO2), zinc oxide (ZnO), indium oxide (In2O3) and indium-tin-oxide (ITO), are studied for the detection of various harmful or toxic cases. Due to the need for these films to be heated to temperatures between 250 °C and 550 °C during operation in order to increase their sensing functionality, a considerable degradation of the film can result. The stress generation during thin film deposition and the thermo-mechanical stress that arises during post-deposition cooling is analyzed through simulations. A tin oxide thin film is deposited using the efficient and economical spray pyrolysis technique, which involves three steps: the atomization of the precursor solution, the transport of the aerosol droplets towards the wafer and the decomposition of the precursor at or near the substrate resulting in film growth. The details of this technique and a simulation methodology are presented. The dependence of the deposition technique on the sensor performance is also discussed. PMID:25815445

  7. Retrieval analysis of titanium nitride (TiN) coated prosthetic femoral heads articulating with polyethylene.

    PubMed

    Łapaj, Łukasz; Wendland, Justyna; Markuszewski, Jacek; Mróz, Adrian; Wiśniewski, Tomasz

    2015-03-01

    Data regarding in vivo performance of titanium nitride (TiN) coated prosthetic femoral heads is scarce, and available studies of older generations of implants demonstrated coating wear in vivo. That is why we conducted a retrieval analysis of 11 femoral heads (articulating in vivo for 1-56 months) with TiN film formed using physical vapor deposition (PVD), to verify if coating failure is a problem in contemporary implants. Retrieved implants were examined using scanning electron microscope, coating roughness was evaluated with a contact profilometer and adhesion was tested using a Rockwell HRC test according to VDI 3824 guideline. Although no gross failure of the TiN coating was observed in our retrievals, all implants had defects typical for PVD coatings, such as pinholes, small titanium droplets and blisters with delaminated coating. In some heads the coating was contaminated with small niobium (Nb) droplets uniformly scattered on the entire surface of the film. Presence of Nb contamination was associated with an increased number and area of other types of defects and poorer coating adhesion. In one component, subjected to multiple dislocations we found severe delamination and cracking of the coating, increased roughness and the presence of third bodies. Our results indicate, that although wear of the coating is lower than seen in older generations of implants, inconsistent quality of the TiN film among different implants indicates the need for strict monitoring of the manufacturing process. Copyright © 2015 Elsevier Ltd. All rights reserved.

  8. Electrochemical mechanism of tin membrane electrodeposition under ultrasonic waves.

    PubMed

    Nan, Tianxiang; Yang, Jianguang; Chen, Bing

    2018-04-01

    Tin was electrodeposited from chloride solutions using a membrane cell under ultrasonic waves. Cyclic voltammetry (CV), linear sweep voltammetry (LSV), chronoamperometry (CHR), and chronopotentiometry were applied to investigate the electrochemical mechanism of tin electrodeposition under ultrasonic field. Chronoamperometry curves showed that the initial process of tin electrodeposition followed the diffusion controlled three-dimensional nucleation and grain growth mechanism. The analysis of the cyclic voltammetry and linear sweep voltammetry diagrams showed that the application of ultrasound can change the tin membrane electro-deposition reaction from diffusion to electrochemical control, and the optimum parameters for tin electrodeposition were H + concentration 3.5 mol·L -1 , temperature 35 °C and ultrasonic power 100 W. The coupling ultrasonic field played a role in refining the grain in this process. The growth of tin crystals showed no orientation preferential, and the tin deposition showed a tendency to form a regular network structure after ultrasonic coupling. While in the absence of ultrasonic coupling, the growth of tin crystals has a high preferential orientation, and the tin deposition showed a tendency to form tin whiskers. Ultrasonic coupling was more favorable for obtaining a more compact and smoother cathode tin layer. Copyright © 2017 Elsevier B.V. All rights reserved.

  9. Kinetic and microstructural study of titanium nitride deposited by laser chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Egland, Keith Maynard

    Titanium nitride (TiN) films were deposited onto Ti-6Al-4V substrates by laser chemical vapor deposition using a cw COsb2 laser and TiClsb4,\\ Nsb2, and Hsb2 reactant gases. In-situ laser induced fluorescence (LIF) and multi-wavelength pyrometry determined relative titanium gas phase atomic number density and deposition temperature, respectively. Deposited films were yellow to gold in color. Transmission electron microscopy on one sample revealed a face-centered cubic structure with a lattice parameter (0.4237 nm) expected for TiN. Auger electron spectroscopy found substoichiometric compositions with a N/Ti ratio between 0.7 and 0.9. Variables decreasing grain size (lower temperature, higher TiClsb4 input) decreased the N/Ti ratio. Higher Nsb2 input increased stoichiometry, while larger Hsb2 input decreased stoichiometry. The deposit substoichiometry is believed to be caused by diffusion of nitrogen through TiN grain boundaries to the titanium alloy substrate. The morphology starts as a dense polycrystalline structure evolving into a columnar structure having facets or nodules at the surface with crystallite sizes ranging from 10-1000 nm. TiClsb4 input had a inverse correlation with crystallite size, while Nsb2:Hsb2 ratio had minimal effect; the crystallite size (G) varied exponentially with temperature (T) for a given irradiation time, i.e., G = C exp (-28000/T), with constant C reflecting substrate roughness and gas composition. Microhardness tests revealed substrate contributions; nevertheless, films appeared to have a minimum hardness of 2000 Hsbv. The deposition apparent activation energy was calculated as 122 ± 9 kJ/mole using growth rates measured by film height and 117 ± 23 kJ/mole using growth rates measured by LIF signals. This puts the process in the surface kinetic growth regime over the temperature range 1370-1610 K. Above Nsb2 and Hsb2 levels of 1.25% and below TiClsb4 input of 4.5%, the growth rate has a half-order dependence on nitrogen and a

  10. Impact of Sodium Contamination in Tin Sulfide Thin-Film Solar Cells

    DOE PAGES

    Steinmann, Vera; Brandt, Riley E.; Chakraborty, Rupak; ...

    2016-02-12

    Empirical observations show that sodium(Na) is a benign contaminant in some thin-filmsolar cells. Here, we intentionally contaminate thermally evaporated tin sulfide (SnS)thin-films with sodium and measure the SnS absorber properties and solar cellcharacteristics. The carrier concentration increases from 2 × 10 16 cm -3 to 4.3 × 10 17 cm -3 in Na-doped SnSthin-films, when using a 13 nm NaCl seed layer, which is detrimental for SnS photovoltaic applications but could make Na-doped SnS an attractive candidate in thermoelectrics. We observed trends in carrier concentration and found that it is in good agreement with density functional theory calculations, which predictmore » an acceptor-type NaSn defect with low formation energy.« less

  11. Atomic layer deposition of a MoS₂ film.

    PubMed

    Tan, Lee Kheng; Liu, Bo; Teng, Jing Hua; Guo, Shifeng; Low, Hong Yee; Tan, Hui Ru; Chong, Christy Yuen Tung; Yang, Ren Bin; Loh, Kian Ping

    2014-09-21

    A mono- to multilayer thick MoS₂ film has been grown by using the atomic layer deposition (ALD) technique at 300 °C on a sapphire wafer. ALD provides precise control of the MoS₂ film thickness due to pulsed introduction of the reactants and self-limiting reactions of MoCl₅ and H₂S. A post-deposition annealing of the ALD-deposited monolayer film improves the crystallinity of the film, which is evident from the presence of triangle-shaped crystals that exhibit strong photoluminescence in the visible range.

  12. Lithium intercalation in sputter deposited antimony-doped tin oxide thin films: Evidence from electrochemical and optical measurements

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Montero, J., E-mail: jose.montero@angstrom.uu.se; Granqvist, C. G.; Niklasson, G. A.

    2014-04-21

    Transparent conducting oxides are used as transparent electrical contacts in a variety of applications, including in electrochromic smart windows. In the present work, we performed a study of transparent conducting antimony-doped tin oxide (ATO) thin films by chronopotentiometry in a Li{sup +}-containing electrolyte. The open circuit potential vs. Li was used to investigate ATO band lineups, such as those of the Fermi level and the ionization potential, as well as the dependence of these lineups on the preparation conditions for ATO. Evidence was found for Li{sup +} intercalation when a current pulse was set in a way so as tomore » drive ions from the electrolyte into the ATO lattice. Galvanostatic intermittent titration was then applied to determine the lithium diffusion coefficient within the ATO lattice. The electrochemical density of states of the conducting oxide was studied by means of the transient voltage recorded during the chronopotentiometry experiments. These measurements were possible because, as Li{sup +} intercalation took place, charge compensating electrons filled the lowest part of the conduction band in ATO. Furthermore, the charge insertion modified the optical properties of ATO according to the Drude model.« less

  13. Positron beam study of indium tin oxide films on GaN

    NASA Astrophysics Data System (ADS)

    Cheung, C. K.; Wang, R. X.; Beling, C. D.; Djurisic, A. B.; Fung, S.

    2007-02-01

    Variable energy Doppler broadening spectroscopy has been used to study open-volume defects formed during the fabrication of indium tin oxide (ITO) thin films grown by electron-beam evaporation on n-GaN. The films were prepared at room temperature, 200 and 300 °C without oxygen and at 200 °C under different oxygen partial pressures. The results show that at elevated growth temperatures the ITO has fewer open volume sites and grows with a more crystalline structure. High temperature growth, however, is not sufficient in itself to remove open volume defects at the ITO/GaN interface. Growth under elevated temperature and under partial pressure of oxygen is found to further reduce the vacancy type defects associated with the ITO film, thus improving the quality of the film. Oxygen partial pressures of 6 × 10-3 mbar and above are found to remove open volume defects associated with the ITO/GaN interface. The study suggests that, irrespective of growth temperature and oxygen partial pressure, there is only one type of defect in the ITO responsible for trapping positrons, which we tentatively attribute to the oxygen vacancy.

  14. Electrophoretic deposition of Cu2ZnSn(S0.5Se0.5)4 films using solvothermal synthesized nanoparticles

    NASA Astrophysics Data System (ADS)

    Badkoobehhezaveh, Amir Masoud; Abdizadeh, Hossein; Golobostanfard, Mohammad Reza

    2018-01-01

    In this paper, a simple, practical, and fast solvothermal route is presented for synthesizing the Cu2ZnSn(S0.5Se0.5)4 nanoparticles (CZTSSe). In this method, the precursors were dissolved in triethylenetetramine and placed in an autoclave at 240 °C for 1 h under controlled pressure and constant stirring. After washing the samples for several times with absolute ethanol, the obtained CZTSSe nanoparticles were successfully deposited on fluorine doped tin oxide substrates by convenient electrophoretic deposition (EPD) using colloidal nanoparticles. The most appropriate parameters for EPD of pre-synthesized CZTSSe nanoparticles which result in proper surface properties, controlled thickness, and high film quality are investigated by adjusting applied voltage, pH, and deposition time. X-ray diffraction pattern and Raman spectroscopy of the pre-synthesized nanoparticles show kesterite structure formation. The particle size of the CZTSSe nanoparticles is in the range of 100 to 400 nm and for some agglomerates, it is about 2 µm confirmed by scanning electron microscope. The deposited film with optimized parameter has acceptable quality without any crack in it with the thickness of about 4-5 µm. Energy-dispersive X-ray spectroscopy confirms that the chemical composition of the samples is in near stoichiometric Cu-poor and Zn-rich region, which guarantees the p-type character of the film. The diffuse reflectance spectroscopy also demonstrates that the optical band gap of the sample is about 1.2 eV.

  15. Anisotropic optical transmission of femtosecond laser induced periodic surface nanostructures on indium-tin-oxide films.

    PubMed

    Wang, Chih; Wang, Hsuan-I; Luo, Chih-Wei; Leu, Jihperng

    2012-09-03

    Two types of periodic nanostructures, self-organized nanodots and nanolines, were fabricated on the surfaces of indium-tin-oxide (ITO) films using femtosecond laser pulse irradiation. Multiple periodicities (approximately 800 nm and 400 nm) were clearly observed on the ITO films with nanodot and nanoline structures and were identified using two-dimensional Fourier transformation patterns. Both nanostructures show the anisotropic transmission characteristics in the visible range, which are strongly correlated with the geometry and the metallic content of the laser-induced nanostructures.

  16. Anisotropic optical transmission of femtosecond laser induced periodic surface nanostructures on indium-tin-oxide films

    PubMed Central

    Wang, Chih; Wang, Hsuan-I; Luo, Chih-Wei; Leu, Jihperng

    2012-01-01

    Two types of periodic nanostructures, self-organized nanodots and nanolines, were fabricated on the surfaces of indium-tin-oxide (ITO) films using femtosecond laser pulse irradiation. Multiple periodicities (approximately 800 nm and 400 nm) were clearly observed on the ITO films with nanodot and nanoline structures and were identified using two-dimensional Fourier transformation patterns. Both nanostructures show the anisotropic transmission characteristics in the visible range, which are strongly correlated with the geometry and the metallic content of the laser-induced nanostructures. PMID:23066167

  17. Physical vapor deposition and metalorganic chemical vapor deposition of yttria-stabilized zirconia thin films

    NASA Astrophysics Data System (ADS)

    Kaufman, David Y.

    Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle

  18. Structural, Optical and Electrical Properties of ITO Thin Films

    NASA Astrophysics Data System (ADS)

    Sofi, A. H.; Shah, M. A.; Asokan, K.

    2018-02-01

    Transparent and conductive thin films of indium tin oxide were fabricated on glass substrates by the thermal evaporation technique. Tin doped indium ingots with low tin content were evaporated in vacuum (1.33 × 10-7 kpa) followed by an oxidation for 15 min in the atmosphere in the temperature range of 600-700°C. The structure and phase purity, surface morphology, optical and electrical properties of thin films were studied by x-ray diffractometry and Raman spectroscopy, scanning electron microcopy and atomic force microscopy, UV-visible spectrometry and Hall measurements in the van der Pauw configuration. The x-ray diffraction study showed the formation of the cubical phase of polycrystalline thin films. The morphological analysis showed the formation of ginger like structures and the energy dispersive x-ray spectrum confirmed the presence of indium (In), tin (Sn) and oxygen (O) elements. Hall measurements confirmed n-type conductivity of films with low electrical resistivity ( ρ) ˜ 10-3 Ω cm and high carrier concentration ( n) ˜ 1020 cm-3. For prevalent scattering mechanisms in the films, experimental data was analyzed by calculating a mean free path ( L) using a highly degenerate electron gas model. Furthermore, to investigate the performance of the deposited films as a transparent conductive material, the optical figure of merit was obtained for all the samples.

  19. Physicochemical characterization of point defects in fluorine doped tin oxide films

    NASA Astrophysics Data System (ADS)

    Akkad, Fikry El; Joseph, Sudeep

    2012-07-01

    The physical and chemical properties of spray deposited FTO films are studied using FESEM, x-ray diffraction (XRD), x-ray photoelectron spectroscopy (XPS), electrical and optical measurements. The results of XRD measurements showed that the films are polycrystalline (grain size 20-50 nm) with Rutile structure and mixed preferred orientation along the (200) and (110) planes. An angular shift of the XRD peaks after F-doping is observed and interpreted as being due to the formation of substitutional fluorine defects (FO) in presence of high concentration of oxygen vacancies (VO) that are electrically neutral. The electrical neutrality of oxygen vacancies is supported by the observation that the electron concentration n is two orders of magnitude lower than the VO concentration calculated from chemical analyses using XPS measurements. It is shown that an agreement between XPS, XRD, and Hall effect results is possible provided that the degree of deviation from stoichiometry is calculated with the assumption that the major part of the bulk carbon content is involved in O-C bonds. High temperature thermal annealing is found to cause an increase in the FO concentration and a decrease in both n and VO concentrations with the increase of the annealing temperature. These results could be interpreted in terms of a high temperature chemical exchange reaction between the SnO2 matrix and a precipitated fluoride phase. In this reaction, fluorine is released to the matrix and Sn is trapped by the fluoride phase, thus creating substitutional fluorine FO and tin vacancy VSn defects. The enthalpy of this reaction is determined to be approximately 2.4 eV while the energy of formation of a VSn through the migration of SnSn host atom to the fluoride phase is approximately 0.45 eV.

  20. Fabrication of multilayer TiO{sub 2} thin films for dye-sensitized solar cells with high conversion efficiency by electrophoresis deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, Ho; Chen, Wei-An; Su, Hung-Ting

    2010-01-15

    This research coats a commercial TiO{sub 2} nanoparticle Degussa P25 with good roundness and size uniformity on an indium tin oxide (ITO) glass substrate and to be photoelectrical electrode by electrophoresis deposition. It combined with dye N719, electrolyte I{sup -}/ I{sub 3}{sup -} and counter-electrode of Pt layer to produce dye-sensitized solar cells (DSSCs). Through the electrophoretic technique, a multilayer film of an appropriate thickness is deposited in the suspension containing TiO{sub 2} nanoparticles and isopropanol. In this process, electric current, voltage, and the number of deposition cycles are well controlled to obtain a single TiO{sub 2} film of aroundmore » 3.3 {mu}m thick. Stacking is then performed to obtain a multilayer-typed TiO{sub 2} film of around 12 {mu}m thick. As the sintering temperature reaches 400 C, the prepared multilayer TiO{sub 2} film with a good compactness can increase the dye adsorption capability of the thin film and enhance its adsorption percentage. In addition, the heat treatment will transfer a portion of the rutile crystalline into the anatase crystalline, resulting in better material properties for DSSCs application. DSSCs produced are exposed to metal halide lamp and their energy conversion efficiency is measured. The I-V curve of the produced DSSCs shows that it has an excellent energy conversion efficiency of 6.9%. (author)« less

  1. Investigation of the poly[2-methoxy-5-(2'-ethyl-hexyloxy)-1,4-phenylene vinylene]/indium tin oxide interface using photoemission spectroscopy

    NASA Astrophysics Data System (ADS)

    Lägel, B.; Beerbom, M. M.; Doran, B. V.; Lägel, M.; Cascio, A.; Schlaf, R.

    2005-07-01

    The interface between the luminescent polymer poly [2-methoxy-5-(2'-ethyl-hexyloxy)-1,4-phenylene vinylene] (MEH-PPV) and sputter-cleaned indium tin oxide (ITO) was investigated using photoemission spectroscopy in combination with in situ thin film deposition. MEH-PPV was deposited in high vacuum directly from toluene solution on the ITO substrate using a home-built electrospray thin-film deposition system. The deposition was carried out in multiple steps without breaking the vacuum. In between deposition steps the sample was characterized with x-ray and ultraviolet photoemission spectroscopy. The evaluation of the spectra sequence allowed the determination of the orbital lineup (charge injection barriers) at the interface, as well as the MEH-PPV growth mode at the interface.

  2. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, A.R.; Auciello, O.

    1990-05-08

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams. 10 figs.

  3. Sputter deposition for multi-component thin films

    DOEpatents

    Krauss, Alan R.; Auciello, Orlando

    1990-01-01

    Ion beam sputter-induced deposition using a single ion beam and a multicomponent target is capable of reproducibly producing thin films of arbitrary composition, including those which are close to stoichiometry. Using a quartz crystal deposition monitor and a computer controlled, well-focused ion beam, this sputter-deposition approach is capable of producing metal oxide superconductors and semiconductors of the superlattice type such as GaAs-AlGaAs as well as layered metal/oxide/semiconductor/superconductor structures. By programming the dwell time for each target according to the known sputtering yield and desired layer thickness for each material, it is possible to deposit composite films from a well-controlled sub-monolayer up to thicknesses determined only by the available deposition time. In one embodiment, an ion beam is sequentially directed via a set of X-Y electrostatic deflection plates onto three or more different element or compound targets which are constituents of the desired film. In another embodiment, the ion beam is directed through an aperture in the deposition plate and is displaced under computer control to provide a high degree of control over the deposited layer. In yet another embodiment, a single fixed ion beam is directed onto a plurality of sputter targets in a sequential manner where the targets are each moved in alignment with the beam under computer control in forming a multilayer thin film. This controlled sputter-deposition approach may also be used with laser and electron beams.

  4. Spray pyrolysis deposition and photoelectrochemical properties of n-type BiOI nanoplatelet thin films.

    PubMed

    Hahn, Nathan T; Hoang, Son; Self, Jeffrey L; Mullins, C Buddie

    2012-09-25

    Bismuth oxy-iodide is a potentially interesting visible-light-active photocatalyst; yet there is little research regarding its photoelectrochemical properties. Herein we report the synthesis of BiOI nanoplatelet photoelectrodes by spray pyrolysis on fluorine-doped tin oxide substrates at various temperatures. The films exhibited n-type conductivity, most likely due to the presence of anion vacancies, and optimized films possessed incident photon conversion efficiencies of over 20% in the visible range for the oxidation of I(-) to I(3)(-) at 0.4 V vs Ag/AgCl in acetonitrile. Visible-light photons (λ > 420 nm) contributed approximately 75% of the overall photocurrent under AM1.5G illumination, illustrating their usefulness under solar light illumination. A deposition temperature of 260 °C was found to result in the best performance due to the balance of morphology, crystallinity, impurity levels, and optical absorption, leading to photocurrents of roughly 0.9 mA/cm(2) at 0.4 V vs Ag/AgCl. Although the films performed stably in acetonitrile, their performance decreased significantly upon extended exposure to water, which was apparently caused by a loss of surface iodine and subsequent formation of an insulating bismuth hydroxide layer.

  5. Fabrication of high crystalline SnS and SnS2 thin films, and their switching device characteristics

    NASA Astrophysics Data System (ADS)

    Choi, Hyeongsu; Lee, Jeongsu; Shin, Seokyoon; Lee, Juhyun; Lee, Seungjin; Park, Hyunwoo; Kwon, Sejin; Lee, Namgue; Bang, Minwook; Lee, Seung-Beck; Jeon, Hyeongtag

    2018-05-01

    Representative tin sulfide compounds, tin monosulfide (SnS) and tin disulfide (SnS2) are strong candidates for future nanoelectronic devices, based on non-toxicity, low cost, unique structures and optoelectronic properties. However, it is insufficient for synthesizing of tin sulfide thin films using vapor phase deposition method which is capable of fabricating reproducible device and securing high quality films, and their device characteristics. In this study, we obtained highly crystalline SnS thin films by atomic layer deposition and obtained highly crystalline SnS2 thin films by phase transition of the SnS thin films. The SnS thin film was transformed into SnS2 thin film by annealing at 450 °C for 1 h in H2S atmosphere. This phase transition was confirmed by x-ray diffractometer and x-ray photoelectron spectroscopy, and we studied the cause of the phase transition. We then compared the film characteristics of these two tin sulfide thin films and their switching device characteristics. SnS and SnS2 thin films had optical bandgaps of 1.35 and 2.70 eV, and absorption coefficients of about 105 and 104 cm‑1 in the visible region, respectively. In addition, SnS and SnS2 thin films exhibited p-type and n-type semiconductor characteristics. In the images of high resolution-transmission electron microscopy, SnS and SnS2 directly showed a highly crystalline orthorhombic and hexagonal layered structure. The field effect transistors of SnS and SnS2 thin films exhibited on–off drain current ratios of 8.8 and 2.1 × 103 and mobilities of 0.21 and 0.014 cm2 V‑1 s‑1, respectively. This difference in switching device characteristics mainly depends on the carrier concentration because it contributes to off-state conductance and mobility. The major carrier concentrations of the SnS and SnS2 thin films were 6.0 × 1016 and 8.7 × 1013 cm‑3, respectively, in this experiment.

  6. Deposition and characterization of ZnSe nanocrystalline thin films

    NASA Astrophysics Data System (ADS)

    Temel, Sinan; Gökmen, F. Özge; Yaman, Elif; Nebi, Murat

    2018-02-01

    ZnSe nanocrystalline thin films were deposited at different deposition times by using the Chemical Bath Deposition (CBD) technique. Effects of deposition time on structural, morphological and optical properties of the obtained thin films were characterized. X-ray diffraction (XRD) analysis was used to study the structural properties of ZnSe nanocrystalline thin films. It was found that ZnSe thin films have a cubic structure with a preferentially orientation of (111). The calculated average grain size value was about 28-30 nm. The surface morphology of these films was studied by the Field Emission Scanning Electron Microscope (FESEM). The surfaces of the thin films were occurred from small stacks and nano-sized particles. The band gap values of the ZnSe nanocrystalline thin films were determined by UV-Visible absorption spectrum and the band gap values were found to be between 2.65-2.86 eV.

  7. THz behavior of indium-tin-oxide films on p-Si substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Brown, E. R., E-mail: elliott.brown@wright.edu; Zhang, W-D., E-mail: wzzhang@fastmail.fm; Chen, H.

    2015-08-31

    This paper reports broadband THz free-space transmission measurements and modeling of indium-tin-oxide (ITO) thin films on p-doped Si substrates. Two such samples having ITO thickness of 50 and 100 nm, and DC sheet conductance 260 and 56 Ω/sq, respectively, were characterized between 0.2 and 1.2 THz using a frequency-domain spectrometer. The 50-nm-film sample displayed very flat transmittance over the 1-THz bandwidth, suggesting it is close to the critical THz sheet conductance that suppresses multi-pass interference in the substrate. An accurate transmission-line-based equivalent circuit is developed to explain the effect, and then used to show that the net reflectivity and absorptivity necessarilymore » oscillate with frequency. This has important implications for the use of thin-film metallic coupling layers on THz components and devices, such as detectors and sources. Consistent with previous reported results, the sheet conductance that best fits the THz transmittance data is roughly 50% higher than the DC values for both samples.« less

  8. Electrical properties of thin film transistors with zinc tin oxide channel layer

    NASA Astrophysics Data System (ADS)

    Hong, Seunghwan; Oh, Gyujin; Kim, Eun Kyu

    2017-10-01

    We have investigated thin film transistors (TFTs) with zinc tin oxide (ZTO) channel layer fabricated by using an ultra-high vacuum radio frequency sputter. ZTO thin films were grown at room temperature by co-sputtering of ZnO and SnO2, which applied power for SnO2 target was varied from 15 W to 90 W under a fixed sputtering power of 70 W for ZnO target. A post-annealing treatment to improve the film quality was done at temperature ranges from 300 to 600 °C by using the electrical furnace. The ZTO thin films showed good electrical and optical properties such as Hall mobility of more than 9 cm2/V·s, specific resistivity of about 2 × 102 Ω·cm, and optical transmittance of 85% in visible light region by optical bandgap of 3.3 eV. The ZTO-TFT with an excellent performance of channel mobility of 19.1 cm2/V·s and on-off ratio ( I on / I off ) of 104 was obtained from the films grown with SnO2 target power of 25 W and post-annealed at 450 °C. This result showed that ZTO film is promising on application to a high performance transparent TFTs.

  9. Atomic layer deposition of copper thin film and feasibility of deposition on inner walls of waveguides

    NASA Astrophysics Data System (ADS)

    Yuqing, XIONG; Hengjiao, GAO; Ni, REN; Zhongwei, LIU

    2018-03-01

    Copper thin films were deposited by plasma-enhanced atomic layer deposition at low temperature, using copper(I)-N,N‧-di-sec-butylacetamidinate as a precursor and hydrogen as a reductive gas. The influence of temperature, plasma power, mode of plasma, and pulse time, on the deposition rate of copper thin film, the purity of the film and the step coverage were studied. The feasibility of copper film deposition on the inner wall of a carbon fibre reinforced plastic waveguide with high aspect ratio was also studied. The morphology and composition of the thin film were studied by atomic force microscopy and x-ray photoelectron spectroscopy, respectively. The square resistance of the thin film was also tested by a four-probe technique. On the basis of on-line diagnosis, a growth mechanism of copper thin film was put forward, and it was considered that surface functional group played an important role in the process of nucleation and in determining the properties of thin films. A high density of plasma and high free-radical content were helpful for the deposition of copper thin films.

  10. The influences of target properties and deposition times on pulsed laser deposited hydroxyapatite films

    NASA Astrophysics Data System (ADS)

    Bao, Quanhe; Chen, Chuanzhong; Wang, Diangang; Liu, Junming

    2008-11-01

    Hydroxyapatite films were produced by pulsed laser deposition from three kinds of hydroxyapatite targets and with different deposition times. A JXA-8800R electron probe microanalyzer (EPMA) with a Link ISIS300 energy spectrum analyzer was used to give the secondary electron image (SE) and determine the element composition of the films. The phases of thin film were analyzed by a D/max-γc X-ray diffractometer (XRD). The Fourier-transform infrared spectroscopy (FT-IR) was used to characterize the hydroxyl, phosphate and other functional groups. The results show that deposited films were amorphous which mainly composed of droplet-like particles and vibration of PO 43- groups. With the target sintering temperature deposition times increasing, the density of droplets is decreased. While with deposition times increasing, the density of droplets is increased. With the target sintering temperature and deposition time increasing, the ratio of Ca/P is increasing and higher than that of theoretical value of HA.

  11. Low temperature improvement method on characteristics of Ba(Zr0.1Ti0.9)O3 thin films deposited on indium tin oxide/glass substrates

    NASA Astrophysics Data System (ADS)

    Chen, Kai-Huang; Chang, Ting-Chang; Chang, Guan-Chang; Hsu, Yung-En; Chen, Ying-Chung; Xu, Hong-Quan

    2010-04-01

    To improve the electrical properties of as-deposited BZ1T9 ferroelectric thin films, the supercritical carbon dioxide fluid (SCF) process were used by a low temperature treatment. In this study, the BZ1T9 ferroelectric thin films were post-treated by SCF process which mixed with propyl alcohol and pure H2O. After SCF process treatment, the remnant polarization increased in hysteresis curves, and the passivation of oxygen vacancy and defect in leakage current density curves were found. Additionally, the improvement qualities of as-deposited BZ1T9 thin films after SCF process treatment were carried out XPS, C- V, and J- E measurements.

  12. Residual stress in obliquely deposited MgF2 thin films.

    PubMed

    Jaing, Cheng-Chung; Liu, Ming-Chung; Lee, Cheng-Chung; Cho, Wen-Hao; Shen, Wei-Ting; Tang, Chien-Jen; Liao, Bo-Huei

    2008-05-01

    MgF(2) films with a columnar microstructure are obliquely deposited on glass substrates by resistive heating evaporation. The columnar angles of the films increases with the deposition angle. Anisotropic stress does not develop in the films with tilted columns. The residual stresses in the films depend on the deposition and columnar angles in a columnar microstructure.

  13. Deposition of hard elastic hydrogenated fullerenelike carbon films

    NASA Astrophysics Data System (ADS)

    Wang, Zhou; Zhang, Junyan

    2011-05-01

    Hydrogenated fullerenelike carbon (H-FLC) films, with high hardness of 41.7 ± 1.4 GPa and elastic recovery of ˜75.1%, have been uniformly deposited at low temperature by pulse direct current plasma enhanced chemical vapor deposition (pulse DC PECVD). The superior mechanical properties of the H-FLC films are attributed to the unique curvature and interconnection of graphitic basal planes. We propose the fullerenelike structures are formed in the far nonequilibrium pulse plasma environment and stabilized in the sequential fast quenching process. It is expected that the facile deposition of H-FLC films will promote the large-scale low-temperature preparation of engineering protective films for industrial applications.

  14. Laser-deposited thin films of biocompatible ceramic

    NASA Astrophysics Data System (ADS)

    Jelinek, Miroslav; Olsan, V.; Jastrabik, Lubomir; Dostalova, Tatjana; Himmlova, Lucia; Kadlec, Jaromir; Pospichal, M.; Simeckova, M.; Fotakis, Costas

    1995-03-01

    Thin films of biocompatible materials such as hydroxylapatite (HA) - Ca10 (PO4)6(OH)2 were deposited by laser ablation technique. The films of HA were created on Ti substrates by KrF laser. The layers were deposited in vacuum, in pure H2O vapors (pressure 2 X 10-3 mbar - 2 X 10-1 mbar), and in Ar/H2O vapor mixture. Influence of laser energy density ET (3 Jcm-2, 13 Jcm-2) and substrate temperature Tg (500 degree(s)C - 760 degree(s)C) on the film parameters was studied. Two different technological processes were used for HA target preparation. Films and targets were characterized by Rutherford backscattering analysis (RBS), particle induced x-ray emission (PIXE), x-ray diffraction (XRD), scanning electron microscopy (SEM) and by Knoop microhardness and scratch test. The best crystalline HA films were reached in the mixture of Ar/H2O. Higher Tg had to be used for such deposition. Higher Tg was also preferable from the point of film microhardness. Adhesion of films to the substrates in the range of tens of Newtons was measured. The preliminary results of in vitro experiments of films biotolerance and resorbability are also presented.

  15. Ion beam sputter deposited zinc telluride films

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1986-01-01

    Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter deposition are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq cm resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x 10 to the -6th/ohm cm for 300 angstrom film to 2.56 x 10 to the -1/ohm cm for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.

  16. Silicon carbide and other films and method of deposition

    NASA Technical Reports Server (NTRS)

    Mehregany, Mehran (Inventor); Zorman, Christian A. (Inventor); Fu, Xiao-An (Inventor); Dunning, Jeremy L. (Inventor)

    2007-01-01

    A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

  17. Silicon carbide and other films and method of deposition

    NASA Technical Reports Server (NTRS)

    Mehregany, Mehran (Inventor); Zorman, Christian A. (Inventor); Fu, Xiao-An (Inventor); Dunning, Jeremy (Inventor)

    2011-01-01

    A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

  18. Tin-dioxide nanocrystals as Er3+ luminescence sensitizers: Formation of glass-ceramic thin films and their characterization

    NASA Astrophysics Data System (ADS)

    Zur, Lidia; Tran, Lam Thi Ngoc; Meneghetti, Marcello; Tran, Van Thi Thanh; Lukowiak, Anna; Chiasera, Alessandro; Zonta, Daniele; Ferrari, Maurizio; Righini, Giancarlo C.

    2017-01-01

    Silica-tin dioxide thin films doped with Er3+ ions were fabricated and investigated. Different parameters such as heat-treatment temperatures, molar concentrations of SnO2 as well as Er3+ ions concentration were changed in order to obtain the best properties of presented thin films. Using several techniques, thin films were characterized and proved to be crack-free, water-free and smooth after a heat-treatment at 1200 °C. Aiming to application in optics, the transparency of thin films was also evidenced by transmission spectra. Based on the photoluminescence measurements, the mechanism of energy transfer from SnO2 nanocrystals to Er3+ ions was examined and discussed.

  19. Transparent electrical conducting films by activated reactive evaporation

    DOEpatents

    Bunshah, Rointan; Nath, Prem

    1982-01-01

    Process and apparatus for producing transparent electrical conducting thin films by activated reactive evaporation. Thin films of low melting point metals and alloys, such as indium oxide and indium oxide doped with tin, are produced by physical vapor deposition. The metal or alloy is vaporized by electrical resistance heating in a vacuum chamber, oxygen and an inert gas such as argon are introduced into the chamber, and vapor and gas are ionized by a beam of low energy electrons in a reaction zone between the resistance heater and the substrate. There is a reaction between the ionized oxygen and the metal vapor resulting in the metal oxide which deposits on the substrate as a thin film which is ready for use without requiring post deposition heat treatment.

  20. Influence of silicon oxide on the performance of TiN bottom electrode in phase change memory

    NASA Astrophysics Data System (ADS)

    Gao, Dan; Liu, Bo; Xu, Zhen; Wang, Heng; Xia, Yangyang; Wang, Lei; Zhu, Nanfei; Li, Ying; Zhan, Yipeng; Song, Zhitang; Feng, Songlin

    2016-10-01

    The stability of TiN which is the preferred bottom electrode contact (BEC) of phase change memory (PCM) due to its low thermal conductivity and suitable electrical conductivity, is very essential to the reliability of PCM devices. In this work, in order to investigate the effect of high aspect ratio process (HARP) SiO2 on the performance of TiN, both TiN/SiO2, TiN/SiN thin films and TiN BEC device structures are analyzed. By combining transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDS), we found that the TiN would be oxidized after the deposition of HARP SiO2 and there exist a thin ( 4 nm) oxidation interfacial layer between TiN and SiO2. Electrical measurements were performed on the 1R PCM test-key die with 7 nm and 10 nm BEC-only cells. The statistical initial resistances of BEC have wide distribution and it is confirmed that the non-uniform oxidation of TiN BEC affects the astringency of the resistance of TiN BEC. The experimental results help to optimize the process of TiN BEC, and SiN is recommended as a better choice as the linear layer.

  1. Oblique angle deposition-induced anisotropy in Co2FeAl films

    NASA Astrophysics Data System (ADS)

    Zhou, W.; Brock, J.; Khan, M.; Eid, K. F.

    2018-06-01

    A series of Co2FeAl Heusler alloy films, fabricated on Si/SiO2 substrates by magnetron sputtering-oblique angle deposition technique, have been investigated by magnetization and transport measurements. The morphology and magnetic anisotropy of the films strongly depended on the deposition angle. While the film deposited at zero degree (i.e. normal incidence) did not show any anisotropy, the films deposited at higher angles showed unusually strong in-plane anisotropy that increased with deposition angle. The enhanced anisotropy was well-reflected in the direction-dependent magnetization and the coercivity of the films that increased dramatically from 30 Oe to 490 Oe. In a similar vein, the electrical resistivity of the films also increased drastically, especially for deposition angles larger than 60°. These anisotropic effects and their relation to the morphology of the films are discussed.

  2. SnS thin films deposited by chemical bath deposition, dip coating and SILAR techniques

    NASA Astrophysics Data System (ADS)

    Chaki, Sunil H.; Chaudhary, Mahesh D.; Deshpande, M. P.

    2016-05-01

    The SnS thin films were synthesized by chemical bath deposition (CBD), dip coating and successive ionic layer adsorption and reaction (SILAR) techniques. In them, the CBD thin films were deposited at two temperatures: ambient and 70 °C. The energy dispersive analysis of X-rays (EDAX), X-ray diffraction (XRD), Raman spectroscopy, scanning electron microscopy (SEM) and optical spectroscopy techniques were used to characterize the thin films. The electrical transport properties studies on the as-deposited thin films were done by measuring the I-V characteristics, DC electrical resistivity variation with temperature and the room temperature Hall effect. The obtained results are deliberated in this paper.

  3. Structural, morphological, and optical properties of TiO2 thin films synthesized by the electro phoretic deposition technique.

    PubMed

    Ghrairi, Najla; Bouaicha, Mongi

    2012-07-01

    In this work, we report the structural, morphological, and optical properties of TiO2 thin films synthesized by the electro phoretic deposition technique. The TiO2 film was formed on a doped fluorine tin oxide (SnO2:F, i.e., FTO) layer and used as a photo electrode in a dye solar cell (DSC). Using spectroscopic ellipsometry measurements in the 200 to 800 nm wavelengths domain, we obtain a thickness of the TiO2 film in the range of 70 to 80 nm. Characterizations by X-ray diffraction and atomic force microscopy (AFM) show a polycrystalline film. In addition, AFM investigation shows no cracks in the formed layer. Using an ultraviolet-visible near-infrared spectrophotometer, we found that the transmittance of the TiO2 film in the visible domain reaches 75%. From the measured current-voltage or I-V characteristic under AM1.5 illumination of the formed DSC, we obtain an open circuit voltage Voc = 628 mV and a short circuit current Isc = 22.6 μA, where the surface of the formed cell is 3.14 cm2.

  4. Structural, morphological, and optical properties of TiO2 thin films synthesized by the electro phoretic deposition technique

    PubMed Central

    2012-01-01

    In this work, we report the structural, morphological, and optical properties of TiO2 thin films synthesized by the electro phoretic deposition technique. The TiO2 film was formed on a doped fluorine tin oxide (SnO2:F, i.e., FTO) layer and used as a photo electrode in a dye solar cell (DSC). Using spectroscopic ellipsometry measurements in the 200 to 800 nm wavelengths domain, we obtain a thickness of the TiO2 film in the range of 70 to 80 nm. Characterizations by X-ray diffraction and atomic force microscopy (AFM) show a polycrystalline film. In addition, AFM investigation shows no cracks in the formed layer. Using an ultraviolet–visible near-infrared spectrophotometer, we found that the transmittance of the TiO2 film in the visible domain reaches 75%. From the measured current–voltage or I-V characteristic under AM1.5 illumination of the formed DSC, we obtain an open circuit voltage Voc = 628 mV and a short circuit current Isc = 22.6 μA, where the surface of the formed cell is 3.14 cm2. PMID:22747886

  5. Synthesis And Characterization of Copper Zinc Tin Sulfide Nanoparticles And Thin Films

    NASA Astrophysics Data System (ADS)

    Khare, Ankur

    Copper zinc tin sulfide (Cu2ZnSnS4, or CZTS) is emerging as an alternative material to the present thin film solar cell technologies such as Cu(In,Ga)Se2 and CdTe. All the elements in CZTS are abundant, environmentally benign, and inexpensive. In addition, CZTS has a band gap of ˜1.5 eV, the ideal value for converting the maximum amount of energy from the solar spectrum into electricity. CZTS has a high absorption coefficient (>104 cm-1 in the visible region of the electromagnetic spectrum) and only a few micron thick layer of CZTS can absorb all the photons with energies above its band gap. CZT(S,Se) solar cells have already reached power conversion efficiencies >10%. One of the ways to improve upon the CZTS power conversion efficiency is by using CZTS quantum dots as the photoactive material, which can potentially achieve efficiencies greater than the present thin film technologies at a fraction of the cost. However, two requirements for quantum-dot solar cells have yet to be demonstrated. First, no report has shown quantum confinement in CZTS nanocrystals. Second, the syntheses to date have not provided a range of nanocrystal sizes, which is necessary not only for fundamental studies but also for multijunction photovoltaic architectures. We resolved these two issues by demonstrating a simple synthesis of CZTS, Cu2SnS3, and alloyed (Cu2SnS3) x(ZnS)y nanocrystals with diameters ranging from 2 to 7 nm from diethyldithiocarbamate complexes. As-synthesized nanocrystals were characterized using high resolution transmission electron microscopy, X-ray diffraction, Raman spectroscopy, and energy dispersive spectroscopy to confirm their phase purity. Nanocrystals of diameter less than 5 nm were found to exhibit a shift in their optical absorption spectra towards higher energy consistent with quantum confinement and previous theoretical predictions. Thin films from CZTS nanocrystals deposited on Mo-coated quartz substrates using drop casting were found to be continuous

  6. Electrical, optical and structural properties of FTO thin films fabricated by spray ultrasonic nebulizer technique from SnCl4 precursor

    NASA Astrophysics Data System (ADS)

    Lalasari, Latifa Hanum; Arini, Tri; Andriyah, Lia; Firdiyono, F.; Yuwono, Akhmad Herman

    2018-05-01

    Thin films of fluorine-doped tin oxide on glass were prepared by spray ultrasonic nebulizer technique from an economic anhydrous tin (IV) chloride (SnCl4) precursor. The effect of deposition time on the structural, electrical and optical properties of tin oxide thin films was investigated. This research a purpose to find an optimum deposition time during spray pyrolysis technique in order to produce FTO with the desired characteristics. For this purpose, soda lime glasses are heated at 350 °C on deposition time of 10, 15, 20 and 25 minutes. NH4F was doped at a ratio of 2 wt% in the SnCl4 precursor and methanol solvent. The results revealed that longer deposition times created decreased the electrical resistivity and optical transmittance of FTO layers. The highest optical transmittance was 84.808% and the lowest resistivity was 4.01×10-5 Ω.cm, obtained from FTO glass subjected to a 15-minute deposition time at deposition temperature of 350 °C. This is accordance to the TCO conductive glass requirements for the minimum resistivity value on scale 10-4 Ω.cm and optical transmittance value of 80-85%.

  7. One-step growth of thin film SnS with large grains using MOCVD.

    PubMed

    Clayton, Andrew J; Charbonneau, Cecile M E; Tsoi, Wing C; Siderfin, Peter J; Irvine, Stuart J C

    2018-01-01

    Thin film tin sulphide (SnS) films were produced with grain sizes greater than 1 μm using a one-step metal organic chemical vapour deposition process. Tin-doped indium oxide (ITO) was used as the substrate, having a similar work function to molybdenum typically used as the back contact, but with potential use of its transparency for bifacial illumination. Tetraethyltin and ditertiarybutylsulphide were used as precursors with process temperatures 430-470 °C to promote film growth with large grains. The film stoichiometry was controlled by varying the precursor partial pressure ratios and characterised with energy dispersive X-ray spectroscopy to optimise the SnS composition. X-ray diffraction and Raman spectroscopy were used to determine the phases that were present in the film and revealed that small amounts of ottemannite Sn 2 S 3 was present when SnS was deposited on to the ITO using optimised growth parameters. Interaction at the SnS/ITO interface to form Sn 2 S 3 was deduced to have resulted for all growth conditions.

  8. Thin-film cadmium telluride photovoltaic cells

    NASA Astrophysics Data System (ADS)

    Compaan, A. D.; Bohn, R. G.

    1994-09-01

    This report describes work to develop and optimize radio-frequency (RF) sputtering for the deposition of thin films of cadmium telluride (CdTe) and related semiconductors for thin-film solar cells. Pulsed laser physical vapor deposition was also used for exploratory work on these materials, especially where alloying or doping are involved, and for the deposition of cadmium chloride layers. The sputtering work utilized a 2-in diameter planar magnetron sputter gun. The film growth rate by RF sputtering was studied as a function of substrate temperature, gas pressure, and RF power. Complete solar cells were fabricated on tin-oxide-coated soda-lime glass substrates. Currently, work is being done to improve the open-circuit voltage by varying the CdTe-based absorber layer, and to improve the short-circuit current by modifying the CdS window layer.

  9. Synthesis and properties of ternary mixture of nickel/cobalt/tin oxides for supercapacitors

    NASA Astrophysics Data System (ADS)

    Ferreira, C. S.; Passos, R. R.; Pocrifka, L. A.

    2014-12-01

    The present study reports the synthesis and morphological, structural and electrochemical characterization of ternary oxides mixture containing nickel, cobalt and tin. The ternary oxide is synthesized by Pechini method with subsequent deposition onto a titanium substrate in a thin-film form. XRD and EDS analysis confirm the formation of ternary film with amorphous nature. SEM analysis show that cracks on the film favor the gain of the surface area that is an interesting feature for electrochemical capacitors. The ternary film is investigated in KOH electrolyte solution using cyclic voltammetry and charge-discharge study with a specific capacitance of 328 F g-1, and a capacitance retention of 86% over 600 cycles. The values of specific power and specific energy was 345.7 W kg-1 and 18.92 Wh kg-1, respectively.

  10. Chemically Deposited Thin-Film Solar Cell Materials

    NASA Technical Reports Server (NTRS)

    Raffaelle, R.; Junek, W.; Gorse, J.; Thompson, T.; Harris, J.; Hehemann, D.; Hepp, A.; Rybicki, G.

    2005-01-01

    We have been working on the development of thin film photovoltaic solar cell materials that can be produced entirely by wet chemical methods on low-cost flexible substrates. P-type copper indium diselenide (CIS) absorber layers have been deposited via electrochemical deposition. Similar techniques have also allowed us to incorporate both Ga and S into the CIS structure, in order to increase its optical bandgap. The ability to deposit similar absorber layers with a variety of bandgaps is essential to our efforts to develop a multi-junction thin-film solar cell. Chemical bath deposition methods were used to deposit a cadmium sulfide (CdS) buffer layers on our CIS-based absorber layers. Window contacts were made to these CdS/CIS junctions by the electrodeposition of zinc oxide (ZnO). Structural and elemental determinations of the individual ZnO, CdS and CIS-based films via transmission spectroscopy, x-ray diffraction, x-ray photoelectron spectroscopy and energy dispersive spectroscopy will be presented. The electrical characterization of the resulting devices will be discussed.

  11. Cadmium sulfide thin films growth by chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Hariech, S.; Aida, M. S.; Bougdira, J.; Belmahi, M.; Medjahdi, G.; Genève, D.; Attaf, N.; Rinnert, H.

    2018-03-01

    Cadmium sulfide (CdS) thin films have been prepared by a simple technique such as chemical bath deposition (CBD). A set of samples CdS were deposited on glass substrates by varying the bath temperature from 55 to 75 °C at fixed deposition time (25 min) in order to investigate the effect of deposition temperature on CdS films physical properties. The determination of growth activation energy suggests that at low temperature CdS film growth is governed by the release of Cd2+ ions in the solution. The structural characterization indicated that the CdS films structure is cubic or hexagonal with preferential orientation along the direction (111) or (002), respectively. The optical characterization indicated that the films have a fairly high transparency, which varies between 55% and 80% in the visible range of the optical spectrum, the refractive index varies from 1.85 to 2.5 and the optical gap value of which can reach 2.2 eV. It can be suggested that these properties make these films perfectly suitable for their use as window film in thin films based solar cells.

  12. Color properties of transparent and heat-reflecting MgF2-coated indium-tin-oxide films.

    PubMed

    Hamberg, I; Granqvist, C G

    1983-02-15

    The visual appearance of antireflection-coated transparent and heat-reflecting indium-tin-oxide (ITO) films on glass was studied by a colorimetric analysis in which the chromaticity coordinates for transmitted and reflected daylight were evaluated for various film thicknesses. A color purity of <1% in normal transmission and <10% in normal reflection could be achieved with ITO thicknesses in the 220-260- or 335-365-nm ranges and MgF2 thicknesses in the 90-105-nm range. These design criteria yield very efficient window coatings with high visual transmittance, low thermal emittance, and little or no perceived color.

  13. Pulsed laser deposition of niobium nitride thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Farha, Ashraf Hassan, E-mail: ahass006@odu.edu; Elsayed-Ali, Hani E., E-mail: helsayed@odu.edu; Applied Research Center, Jefferson National Accelerator Facility, Newport News, VA 23606

    2015-12-04

    Niobium nitride (NbN{sub x}) films were grown on Nb and Si(100) substrates using pulsed laser deposition. NbN{sub x} films were deposited on Nb substrates using PLD with a Q-switched Nd:YAG laser (λ = 1064 nm, ∼40 ns pulse width, and 10 Hz repetition rate) at different laser fluences, nitrogen background pressures and deposition substrate temperatures. When all the fabrication parameters are fixed, except for the laser fluence, the surface roughness, nitrogen content, and grain size increase with increasing laser fluence. Increasing nitrogen background pressure leads to a change in the phase structure of the NbN{sub x} films from mixed β-Nb{sub 2}N and cubicmore » δ-NbN phases to single hexagonal β-Nb{sub 2}N. The substrate temperature affects the preferred orientation of the crystal structure. The structural and electronic, properties of NbN{sub x} deposited on Si(100) were also investigated. The NbN{sub x} films exhibited a cubic δ-NbN with a strong (111) orientation. A correlation between surface morphology, electronic, and superconducting properties was found. The observations establish guidelines for adjusting the deposition parameters to achieve the desired NbN{sub x} film morphology and phase.« less

  14. The Effects of Zr Doping on the Optical, Electrical and Microstructural Properties of Thin ZnO Films Deposited by Atomic Layer Deposition

    PubMed Central

    Herodotou, Stephania; Treharne, Robert E.; Durose, Ken; Tatlock, Gordon J.; Potter, Richard J.

    2015-01-01

    Transparent conducting oxides (TCOs), with high optical transparency (≥85%) and low electrical resistivity (10−4 Ω·cm) are used in a wide variety of commercial devices. There is growing interest in replacing conventional TCOs such as indium tin oxide with lower cost, earth abundant materials. In the current study, we dope Zr into thin ZnO films grown by atomic layer deposition (ALD) to target properties of an efficient TCO. The effects of doping (0–10 at.% Zr) were investigated for ~100 nm thick films and the effect of thickness on the properties was investigated for 50–250 nm thick films. The addition of Zr4+ ions acting as electron donors showed reduced resistivity (1.44 × 10−3 Ω·cm), increased carrier density (3.81 × 1020 cm−3), and increased optical gap (3.5 eV) with 4.8 at.% doping. The increase of film thickness to 250 nm reduced the electron carrier/photon scattering leading to a further reduction of resistivity to 7.5 × 10−4 Ω·cm and an average optical transparency in the visible/near infrared (IR) range up to 91%. The improved n-type properties of ZnO: Zr films are promising for TCO applications after reaching the targets for high carrier density (>1020 cm−3), low resistivity in the order of 10−4 Ω·cm and high optical transparency (≥85%). PMID:28793633

  15. Improving crystallization and electron mobility of indium tin oxide by carbon dioxide and hydrogen dual-step plasma treatment

    NASA Astrophysics Data System (ADS)

    Wang, Fengyou; Du, Rongchi; Ren, Qianshang; Wei, Changchun; Zhao, Ying; Zhang, Xiaodan

    2017-12-01

    Obtaining high conductivity indium tin oxide (ITO) films simultaneously with a "soft-deposited" (low temperature, low ions bombardment) and cost-efficient deposition process are critical aspect for versatile photo-electronic devices application. Usually, the low-cost "soft-deposited" process could be achieved via evaporation technique, but with scarifying the conductivity of the films. Here, we show a CO2 and H2 two-step plasma (TSP) post-treatment applied to ITO films prepared by reactive thermal evaporation (RTE), allows to meet the special trade-off between the deposition techniques and the electrical properties. Upon treatment, an increase in electron concentration and electron mobility is observed, which subsequently resulting a low sheet resistivity. The mobility reaches high values of 80.9 cm2/Vs for the TSP treated ∼100 nm thickness samples. From a combination of X-ray photoelectron spectroscopy and opto-electronic measurements, it demonstrated that: during the TSP process, the first-step CO2 plasma treatment could promote the crystallinity of the RTE ITO films. While the electron traps density at grain boundaries of polycrystalline RTE ITO films could be passivated by hydrogen atom during the second-step H2 plasma treatment. These results inspired that the TSP treatment process has significant application prospects owing to the outstanding electrical properties enhancement for "soft-deposited" RTE ITO films.

  16. Substrate spacing and thin-film yield in chemical bath deposition of semiconductor thin films

    NASA Astrophysics Data System (ADS)

    Arias-Carbajal Reádigos, A.; García, V. M.; Gomezdaza, O.; Campos, J.; Nair, M. T. S.; Nair, P. K.

    2000-11-01

    Thin-film yield in the chemical bath deposition technique is studied as a function of separation between substrates in batch production. Based on a mathematical model, it is proposed and experimentally verified in the case of CdS thin films that the film thickness reaches an asymptotic maximum with increase in substrate separation. It is shown that at a separation less than 1 mm between substrates the yield, i.e. percentage in moles of a soluble cadmium salt deposited as a thin film of CdS, can exceed 50%. This behaviour is explained on the basis of the existence of a critical layer of solution near the substrate, within which the relevant ionic species have a higher probability of interacting with the thin-film layer than of contributing to precipitate formation. The critical layer depends on the solution composition and the temperature of the bath as well as the duration of deposition. An effective value for the critical layer thickness has been defined as half the substrate separation at which 90% of the maximum film thickness for the particular bath composition, bath temperature and duration of deposition is obtained. In the case of CdS thin films studied as an example, the critical layer is found to extend from 0.5 to 2.5 mm from the substrate surface, depending on the deposition conditions.

  17. Comparative study of ITO and TiN fabricated by low-temperature RF biased sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Simon, Daniel K., E-mail: daniel.simon@namlab.com; Schenk, Tony; Dirnstorfer, Ingo

    2016-03-15

    Radio frequency (RF) biasing induced by a second plasma source at the substrate is applied to low-temperature sputtering processes for indium tin oxide (ITO) and titanium nitride (TiN) thin films. Investigations on crystal structure and surface morphology show that RF-biased substrate plasma processes result in a changed growth regime with different grain sizes and orientations than those produced by processes without a substrate bias. The influence of the RF bias is shown comparatively for reactive RF-sputtered ITO and reactive direct-current-sputtered TiN. The ITO layers exhibit an improved electrical resistivity of 0.5 mΩ cm and an optical absorption coefficient of 0.5 × 10{sup 4 }cm{supmore » −1} without substrate heating. Room-temperature sputtered TiN layers are deposited that possess a resistivity (0.1 mΩ cm) of 3 orders of magnitude lower than, and a density (5.4 g/cm{sup 3}) up to 45% greater than, those obtained from layers grown using the standard process without a substrate plasma.« less

  18. Defect studies of thin ZnO films prepared by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Vlček, M.; Čížek, J.; Procházka, I.; Novotný, M.; Bulíř, J.; Lančok, J.; Anwand, W.; Brauer, G.; Mosnier, J.-P.

    2014-04-01

    Thin ZnO films were grown by pulsed laser deposition on four different substrates: sapphire (0 0 0 1), MgO (1 0 0), fused silica and nanocrystalline synthetic diamond. Defect studies by slow positron implantation spectroscopy (SPIS) revealed significantly higher concentration of defects in the studied films when compared to a bulk ZnO single crystal. The concentration of defects in the films deposited on single crystal sapphire and MgO substrates is higher than in the films deposited on amorphous fused silica substrate and nanocrystalline synthetic diamond. Furthermore, the effect of deposition temperature on film quality was investigated in ZnO films deposited on synthetic diamond substrates. Defect studies performed by SPIS revealed that the concentration of defects firstly decreases with increasing deposition temperature, but at too high deposition temperatures it increases again. The lowest concentration of defects was found in the film deposited at 450° C.

  19. UV laser deposition of metal films by photogenerated free radicals

    NASA Technical Reports Server (NTRS)

    Montgomery, R. K.; Mantei, T. D.

    1986-01-01

    A novel photochemical method for liquid-phase deposition of metal films is described. In the liquid phase deposition scheme, a metal containing compound and a metal-metal bonded carbonyl complex are dissolved together in a polar solvent and the mixture is irradiated using a UV laser. The optical arrangement consists of a HeCd laser which provides 7 mW of power at a wavelength of 325 nm in the TEM(OO) mode. The beam is attenuated and may be expanded to a diameter of 5-20 mm. Experiments with photochemical deposition of silver films onto glass and quartz substrates are described in detail. Mass spectrometric analysis of deposited silver films indicated a deposition rate of about 1 A/s at incident power levels of 0.01 W/sq cm. UV laser-induced copper and palladium films have also been obtained. A black and white photograph showing the silver Van Der Pauw pattern of a solution-deposited film is provided.

  20. Soap-film coating: High-speed deposition of multilayer nanofilms

    PubMed Central

    Zhang, Renyun; Andersson, Henrik A.; Andersson, Mattias; Andres, Britta; Edlund, Håkan; Edström, Per; Edvardsson, Sverker; Forsberg, Sven; Hummelgård, Magnus; Johansson, Niklas; Karlsson, Kristoffer; Nilsson, Hans-Erik; Norgren, Magnus; Olsen, Martin; Uesaka, Tetsu; Öhlund, Thomas; Olin, Håkan

    2013-01-01

    The coating of thin films is applied in numerous fields and many methods are employed for the deposition of these films. Some coating techniques may deposit films at high speed; for example, ordinary printing paper is coated with micrometre-thick layers of clay at a speed of tens of meters per second. However, to coat nanometre thin films at high speed, vacuum techniques are typically required, which increases the complexity of the process. Here, we report a simple wet chemical method for the high-speed coating of films with thicknesses at the nanometre level. This soap-film coating technique is based on forcing a substrate through a soap film that contains nanomaterials. Molecules and nanomaterials can be deposited at a thickness ranging from less than a monolayer to several layers at speeds up to meters per second. We believe that the soap-film coating method is potentially important for industrial-scale nanotechnology. PMID:23503102

  1. LPG sensing characteristics of electrospray deposited SnO2 nanoparticles

    NASA Astrophysics Data System (ADS)

    Gürbüz, Mevlüt; Günkaya, Göktuğ; Doğan, Aydın

    2014-11-01

    In this study, SnO2 films were fabricated on conductive substrate such as aluminum and platinum coated alumina using electro-spray deposition (ESD) method for gas sensor applications. Solution flow rate, coating time, substrate-nozzle distance and solid/alcohol ratio were studied to optimize SnO2 film structure. The morphology of the deposited films was characterized by stereo and scanning electron microscopy (SEM). The gas sensing properties of tin oxide films were investigated using liquid petroleum gas (LPG) for various lower explosive limit (LEL). The results obtained from microscopic analyses show that optimum SnO2 films were evaluated at flow rate of 0.05 ml/min, at distance of 6 cm, for 10 min deposition time, for 20 gSnO2/Lethanol ratio and at 7 kV DC electric field. By the results obtained from the gas sensing behavior, the sensitivity of the films was increased with operating temperature. The films showed better sensitivity for 20 LEL LPG concentration at 450 °C operating temperature.

  2. Ion beam and dual ion beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1994-11-01

    Ion beam sputter deposition (IBS) and dual ion beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. Optical properties ie refractive index and extinction coefficient of IBS films were determined in the 250 - 1100 nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n equals 2.06 at (lambda) equals 550 nm. Films deposited using DIBS ie deposition assisted by low energy Ar and O2 ions (Ea equals 0 - 300 eV) and low current density (Ji equals 0 - 40 (mu) A/cm2) showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy while composition of the film and contaminants were determined by Rutherford scattering spectroscopy. Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target while assisted deposition slightly increased the Ar content. Stress in the IBS deposited films was measured by the bending technique. IBS deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals 35 (mu) A/cm2. All

  3. Ion-beam and dual-ion-beam sputter deposition of tantalum oxide films

    NASA Astrophysics Data System (ADS)

    Cevro, Mirza; Carter, George

    1995-02-01

    Ion-beam sputter deposition (IBS) and dual-ion-beam sputter deposition (DIBS) of tantalum oxide films was investigated at room temperature and compared with similar films prepared by e-gun deposition. The optical properties, i.e., refractive index and extinction coefficient, of IBS films were determined in the 250- to 1100-nm range by transmission spectrophotometry and at (lambda) equals 632.8 nm by ellipsometry. They were found to be mainly sensitive to the partial pressure of oxygen used as a reactive gas in the deposition process. The maximum value of the refractive index of IBS deposited tantalum oxide films was n equals 2.15 at (lambda) equals 550 nm and the extinction coefficient of order k equals 2 X 10-4. Films deposited by e-gun deposition had refractive index n 2.06 at (lambda) equals 550 nm. Films deposited using DIBS, i.e., deposition assisted by low energy Ar and O2 ions (Ea equals 0 to 300 eV) and low current density (Ji equals 0 to 40 (mu) A/cm2), showed no improvement in the optical properties of the films. Preferential sputtering occurred at Ea(Ar) equals 300 eV and Ji equals 20 (mu) A/cm2 and slightly oxygen deficient films were formed. Different bonding states in the tantalum-oxide films were determined by x-ray spectroscopy, whereas composition of the film and contaminants were determined by Rutherford backscattering spectroscopy (RBS). Tantalum oxide films formed by IBS contained relatively high Ar content (approximately equals 2.5%) originating from the reflected argon neutrals from the sputtering target whereas assisted deposition slightly increased the Ar content. Stress in the IBS-deposited films was measured by the bending technique. IBS-deposited films showed compressive stress with a typical value of s equals 3.2 X 109 dyn/cm2. Films deposited by concurrent ion bombardment showed an increase in the stress as a function of applied current density. The maximum was s approximately equals 5.6 X 109 dyn/cm2 for Ea equals 300 eV and Ji equals

  4. Annealing dependence of residual stress and optical properties of TiO2 thin film deposited by different deposition methods.

    PubMed

    Chen, Hsi-Chao; Lee, Kuan-Shiang; Lee, Cheng-Chung

    2008-05-01

    Titanium oxide (TiO(2)) thin films were prepared by different deposition methods. The methods were E-gun evaporation with ion-assisted deposition (IAD), radio-frequency (RF) ion-beam sputtering, and direct current (DC) magnetron sputtering. Residual stress was released after annealing the films deposited by RF ion-beam or DC magnetron sputtering but not evaporation, and the extinction coefficient varied significantly. The surface roughness of the evaporated films exceeded that of both sputtered films. At the annealing temperature of 300 degrees C, anatase crystallization occurred in evaporated film but not in the RF ion-beam or DC magnetron-sputtered films. TiO(2) films deposited by sputtering were generally more stable during annealing than those deposited by evaporation.

  5. Precursors for the polymer-assisted deposition of films

    DOEpatents

    McCleskey, Thomas M.; Burrell, Anthony K.; Jia, Quanxi; Lin, Yuan

    2013-09-10

    A polymer assisted deposition process for deposition of metal oxide films is presented. The process includes solutions of one or more metal precursor and soluble polymers having binding properties for the one or more metal precursor. After a coating operation, the resultant coating is heated at high temperatures to yield metal oxide films. Such films can be epitaxial in structure and can be of optical quality. The process can be organic solvent-free.

  6. Switchable Super-Hydrophilic/Hydrophobic Indium Tin Oxide (ITO) Film Surfaces on Reactive Ion Etching (RIE) Textured Si Wafer.

    PubMed

    Kim, Hwa-Min; Litao, Yao; Kim, Bonghwan

    2015-11-01

    We have developed a surface texturing process for pyramidal surface features along with an indium tin oxide (ITO) coating process to fabricate super-hydrophilic conductive surfaces. The contact angle of a water droplet was less than 5 degrees, which means that an extremely high wettability is achievable on super-hydrophilic surfaces. We have also fabricated a super-hydrophobic conductive surface using an additional coating of polytetrafluoroethylene (PTFE) on the ITO layer coated on the textured Si surface; the ITO and PTFE films were deposited by using a conventional sputtering method. We found that a super-hydrophilic conductive surface is produced by ITO coated on the pyramidal Si surface (ITO/Si), with contact angles of approximately 0 degrees and a resistivity of 3 x 10(-4) Ω x cm. These values are highly dependent on the substrate temperature during the sputtering process. We also found that the super-hydrophobic conductive surface produced by the additional coating of PTFE on the pyramidal Si surface with an ITO layer (PTFE/ITO/Si) has a contact angle of almost 160 degrees and a resistivity of 3 x 10(-4) Ω x cm, with a reflectance lower than 9%. Therefore, these processes can be used to fabricate multifunctional features of ITO films for switchable super-hydrophilic and super-hydrophobic surfaces.

  7. Pulsed laser deposition of lithium niobate thin films

    NASA Astrophysics Data System (ADS)

    Canale, L.; Girault-Di Bin, C.; Cosset, F.; Bessaudou, A.; Celerier, A.; Decossas, J.-Louis; Vareille, J.-C.

    2000-12-01

    Pulsed laser deposition of Lithium Niobate thin films onto sapphire (0001) substrates is reported. Thin films composition and structure have been determined using Rutherford Backscattermg Spectroscopy (RBS) and X-ray diffraction ( XRD) experiments. The influe:nce of deposition parameters such as substrate temperature, oxygen pressure and target to substrate distance on the composition and the structure of the films has been studied. Deposition temperature is found to be an important parameter which enables us to grow LiNbO3 films without the Li deficient phase LiNb3O8. Nearly stoichiometric thin fihns have been obtained for an oxygen pressure of 0. 1 Ton and a substrate temperature of 800°C. Under optimized conditions the (001) preferential orientation of growth, suitable for most optical applications, has been obtained.

  8. Ultraviolet optical properties of aluminum fluoride thin films deposited by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hennessy, John, E-mail: john.j.hennessy@jpl.nasa.gov; Jewell, April D.; Balasubramanian, Kunjithapatham

    2016-01-15

    Aluminum fluoride (AlF{sub 3}) is a low refractive index material with promising optical applications for ultraviolet (UV) wavelengths. An atomic layer deposition process using trimethylaluminum and anhydrous hydrogen fluoride has been developed for the deposition of AlF{sub 3} at substrate temperatures between 100 and 200 °C. This low temperature process has resulted in thin films with UV-optical properties that have been characterized by ellipsometric and reflection/transmission measurements at wavelengths down to 200 nm. The optical loss for 93 nm thick films deposited at 100 °C was measured to be less than 0.2% from visible wavelengths down to 200 nm, and additional microstructural characterization demonstrates thatmore » the films are amorphous with moderate tensile stress of 42–105 MPa as deposited on silicon substrates. X-ray photoelectron spectroscopy analysis shows no signature of residual aluminum oxide components making these films good candidates for a variety of applications at even shorter UV wavelengths.« less

  9. Transparent electrical conducting films by activated reactive evaporation

    DOEpatents

    Bunshah, R.; Nath, P.

    1982-06-22

    Process and apparatus for producing transparent electrical conducting thin films by activated reactive evaporation is disclosed. Thin films of low melting point metals and alloys, such as indium oxide and indium oxide doped with tin, are produced by physical vapor deposition. The metal or alloy is vaporized by electrical resistance heating in a vacuum chamber, oxygen and an inert gas such as argon are introduced into the chamber, and vapor and gas are ionized by a beam of low energy electrons in a reaction zone between the resistance heater and the substrate. There is a reaction between the ionized oxygen and the metal vapor resulting in the metal oxide which deposits on the substrate as a thin film which is ready for use without requiring post deposition heat treatment. 1 fig.

  10. Organic Solar Cells Based on Electrodeposited Polyaniline Films

    NASA Astrophysics Data System (ADS)

    Inoue, Kei; Akiyama, Tsuyoshi; Suzuki, Atsushi; Oku, Takeo

    2012-04-01

    Polyaniline thin films as hole transporting layers were fabricated on transparent indium-tin-oxide electrodes by electrodeposition of aniline in an aqueous H2SO4 electrolyte solution. Emerald-green polyaniline films were obtained, which showed stable redox waves. A mixed solution of polythiophene and fullerene derivative was spin-coated onto the electrodeposited polyaniline film. After the modification of titanium oxide film on the surface of the polythiophene/fullerene layer, an aluminum electrode was fabricated by vacuum deposition. The obtained solar cells generated stable photocurrent and photovoltage under light illumination.

  11. Time and voltage dependences of nanoscale dielectric constant modulation on indium tin oxide films

    NASA Astrophysics Data System (ADS)

    Li, Liang; Hao, Haoyue; Zhao, Hua

    2017-01-01

    The modulation of indium tin oxide (ITO) films through surface charge accumulation plays an important role in many different applications. In order to elaborately study the modulation, we measured the dielectric constant of the modulated layer through examining the excitation of surface plasmon polaritons. Charges were pumped on the surfaces of ITO films through applying high voltage in appropriate directions. Experiments unveiled that the dielectric constant of the modulated layer had large variation along with the nanoscale charge accumulation. Corresponding numerical results were worked out through combining Drude model and Mayadas-Shatzkes model. Based on the above results, we deduced the time and voltage dependences of accumulated charge density, which revealed a long-time charge accumulation process.

  12. Fabrication of high crystalline SnS and SnS2 thin films, and their switching device characteristics.

    PubMed

    Choi, Hyeongsu; Lee, Jeongsu; Shin, Seokyoon; Lee, Juhyun; Lee, Seungjin; Park, Hyunwoo; Kwon, Sejin; Lee, Namgue; Bang, Minwook; Lee, Seung-Beck; Jeon, Hyeongtag

    2018-05-25

    Representative tin sulfide compounds, tin monosulfide (SnS) and tin disulfide (SnS 2 ) are strong candidates for future nanoelectronic devices, based on non-toxicity, low cost, unique structures and optoelectronic properties. However, it is insufficient for synthesizing of tin sulfide thin films using vapor phase deposition method which is capable of fabricating reproducible device and securing high quality films, and their device characteristics. In this study, we obtained highly crystalline SnS thin films by atomic layer deposition and obtained highly crystalline SnS 2 thin films by phase transition of the SnS thin films. The SnS thin film was transformed into SnS 2 thin film by annealing at 450 °C for 1 h in H 2 S atmosphere. This phase transition was confirmed by x-ray diffractometer and x-ray photoelectron spectroscopy, and we studied the cause of the phase transition. We then compared the film characteristics of these two tin sulfide thin films and their switching device characteristics. SnS and SnS 2 thin films had optical bandgaps of 1.35 and 2.70 eV, and absorption coefficients of about 10 5 and 10 4 cm -1 in the visible region, respectively. In addition, SnS and SnS 2 thin films exhibited p-type and n-type semiconductor characteristics. In the images of high resolution-transmission electron microscopy, SnS and SnS 2 directly showed a highly crystalline orthorhombic and hexagonal layered structure. The field effect transistors of SnS and SnS 2 thin films exhibited on-off drain current ratios of 8.8 and 2.1 × 10 3 and mobilities of 0.21 and 0.014 cm 2 V -1 s -1 , respectively. This difference in switching device characteristics mainly depends on the carrier concentration because it contributes to off-state conductance and mobility. The major carrier concentrations of the SnS and SnS 2 thin films were 6.0 × 10 16 and 8.7 × 10 13 cm -3 , respectively, in this experiment.

  13. Aerosol-Assisted Chemical Vapor Deposited Thin Films for Space Photovoltaics

    NASA Technical Reports Server (NTRS)

    Hepp, Aloysius F.; McNatt, Jeremiah; Dickman, John E.; Jin, Michael H.-C.; Banger, Kulbinder K.; Kelly, Christopher V.; AquinoGonzalez, Angel R.; Rockett, Angus A.

    2006-01-01

    Copper indium disulfide thin films were deposited via aerosol-assisted chemical vapor deposition using single source precursors. Processing and post-processing parameters were varied in order to modify morphology, stoichiometry, crystallography, electrical properties, and optical properties in order to optimize device-quality material. Growth at atmospheric pressure in a horizontal hot-wall reactor at 395 C yielded best device films. Placing the susceptor closer to the evaporation zone and flowing a more precursor-rich carrier gas through the reactor yielded shinier, smoother, denser-looking films. Growth of (112)-oriented films yielded more Cu-rich films with fewer secondary phases than growth of (204)/(220)-oriented films. Post-deposition sulfur-vapor annealing enhanced stoichiometry and crystallinity of the films. Photoluminescence studies revealed four major emission bands (1.45, 1.43, 1.37, and 1.32 eV) and a broad band associated with deep defects. The highest device efficiency for an aerosol-assisted chemical vapor deposited cell was 1.03 percent.

  14. Deposition of diamond-like films by ECR microwave plasma

    NASA Technical Reports Server (NTRS)

    Shing, Yuh-Han (Inventor); Pool, Frederick S. (Inventor)

    1995-01-01

    Hard amorphous hydrogenated carbon, diamond-like films are deposited using an electron cyclotron resonance microwave plasma with a separate radio frequency power bias applied to a substrate stage. The electron cyclotron resonance microwave plasma yields low deposition pressure and creates ion species otherwise unavailable. A magnetic mirror configuration extracts special ion species from a plasma chamber. Different levels of the radio frequency power bias accelerate the ion species of the ECR plasma impinging on a substrate to form different diamond-like films. During the deposition process, a sample stage is maintained at an ambient temperature of less than 100.degree. C. No external heating is applied to the sample stage. The deposition process enables diamond-like films to be deposited on heat-sensitive substrates.

  15. Deposition of adherent Ag-Ti duplex films on ceramics in a multiple-cathode sputter deposition system

    NASA Technical Reports Server (NTRS)

    Honecy, Frank S.

    1992-01-01

    The adhesion of Ag films deposited on oxide ceramics can be increased by first depositing intermediate films of active metals such as Ti. Such duplex coatings can be fabricated in a widely used three target sputter deposition system. It is shown here that the beneficial effect of the intermediate Ti film can be defeated by commonly used in situ target and substrate sputter cleaning procedures which result in Ag under the Ti. Auger electron spectroscopy and wear testing of the coatings are used to develop a cleaning strategy resulting in an adherent film system.

  16. Reaction mechanism of electrochemical-vapor deposition of yttria-stabilized zirconia film

    NASA Astrophysics Data System (ADS)

    Sasaki, Hirokazu; Yakawa, Chiori; Otoshi, Shoji; Suzuki, Minoru; Ippommatsu, Masamichi

    1993-10-01

    The reaction mechanism for electrochemical-vapor deposition of yttria-stabilized zirconia was studied. Yttria-stabilized zirconia films were deposited on porous La(Sr)MnOx using the electrochemical-vapor-deposition process. The distribution of yttria concentration through the film was investigated by means of secondary-ion-mass spectroscopy and x-ray microanalysis and found to be nearly constant. The deposition rate was approximately proportional to the minus two-thirds power of the film thickness, the one-third power of the partial pressure of ZrCl4/YCl3 mixed gas, and the two-thirds power of the product of the reaction temperature and the electronic conductivity of yttria-stabilized zirconia film. These experimental results were explained by a model for electron transport through the YSZ film and reaction between the surface oxygen and the metal chloride on the chloride side of the film, both of which affect the deposition rate. If the film thickness is very small, the deposition rate is thought to be controlled by the surface reaction step. On the other hand, if large, the electron transport step is rate controlling.

  17. Electrical properties of tin-doped zinc oxide nanostructures doped at different dopant concentrations

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Nasir, M. F., E-mail: babaibaik2002@yahoo.com; Zainol, M. N., E-mail: nizarzainol@yahoo.com; Hannas, M., E-mail: mhannas@gmail.com

    This project has been focused on the electrical and optical properties respectively on the effect of Tin doped zinc oxide (ZnO) thin films at different dopant concentrations. These thin films were doped with different Sn dopant concentrations at 1 at%, 2 at%, 3 at%, 4 at% and 5 at% was selected as the parameter to optimize the thin films quality while the annealing temperature is fixed 500 °C. Sn doped ZnO solutions were deposited onto the glass substrates using sol-gel spin coating method. This project was involved with three phases, which are thin films preparation, deposition and characterization. The thinmore » films were characterized using Current Voltage (I-V) measurement and ultraviolet-visible-near-infrared (UV-vis-NIR) spectrophotometer (Perkin Elmer Lambda 750) for electrical properties and optical properties. The electrical properties show that the resistivity is the lowest at 4 at% Sn doping concentration with the value 3.08 × 10{sup 3} Ωcm{sup −1}. The absorption coefficient spectrum obtained shows all films exhibit very low absorption in the visible (400-800 nm) and near infrared (NIR) (>800 nm) range but exhibit high absorption in the UV range.« less

  18. Studies of mist deposition for the formation of quantum dot CdSe films

    NASA Astrophysics Data System (ADS)

    Price, S. C.; Shanmugasundaram, K.; Ramani, S.; Zhu, T.; Zhang, F.; Xu, J.; Mohney, S. E.; Zhang, Q.; Kshirsagar, A.; Ruzyllo, J.

    2009-10-01

    Films of CdSe(ZnS) colloidal nanocrystalline quantum dots (NQDs) were deposited on bare silicon, glass and polymer coated silicon using mist deposition. This effort is a part of an exploratory investigation in which this deposition technique is studied for the first time as a method to form semiconductor NQD films. The process parameters, including deposition time, solution concentration and electric field, were varied to change the thickness of the deposited film. Blanket films and films deposited through a shadow mask were created to investigate the method's ability to pattern films during the deposition process. The differences between these deposition modes in terms of film morphology were observed. Overall, the results show that mist deposition of quantum dots is a viable method for creating thin, patterned quantum dot films using colloidal solution as the precursor. It is concluded that this technique shows very good promise for quantum dot (light emitting diode, LED) fabrication.

  19. Aerosol chemical vapor deposition of metal oxide films

    DOEpatents

    Ott, Kevin C.; Kodas, Toivo T.

    1994-01-01

    A process of preparing a film of a multicomponent metal oxide including: forming an aerosol from a solution comprised of a suitable solvent and at least two precursor compounds capable of volatilizing at temperatures lower than the decomposition temperature of said precursor compounds; passing said aerosol in combination with a suitable oxygen-containing carrier gas into a heated zone, said heated zone having a temperature sufficient to evaporate the solvent and volatilize said precursor compounds; and passing said volatilized precursor compounds against the surface of a substrate, said substrate having a sufficient temperature to decompose said volatilized precursor compounds whereby metal atoms contained within said volatilized precursor compounds are deposited as a metal oxide film upon the substrate is disclosed. In addition, a coated article comprising a multicomponent metal oxide film conforming to the surface of a substrate selected from the group consisting of silicon, magnesium oxide, yttrium-stabilized zirconium oxide, sapphire, or lanthanum gallate, said multicomponent metal oxide film characterized as having a substantially uniform thickness upon said FIELD OF THE INVENTION The present invention relates to the field of film coating deposition techniques, and more particularly to the deposition of multicomponent metal oxide films by aerosol chemical vapor deposition. This invention is the result of a contract with the Department of Energy (Contract No. W-7405-ENG-36).

  20. Ion beam sputter deposited zinc telluride films

    NASA Technical Reports Server (NTRS)

    Gulino, D. A.

    1985-01-01

    Zinc telluride is of interest as a potential electronic device material, particularly as one component in an amorphous superlattice, which is a new class of interesting and potentially useful materials. Some structural and electronic properties of ZnTe films deposited by argon ion beam sputter depoairion are described. Films (up to 3000 angstroms thick) were deposited from a ZnTe target. A beam energy of 1000 eV and a current density of 4 mA/sq. cm. resulted in deposition rates of approximately 70 angstroms/min. The optical band gap was found to be approximately 1.1 eV, indicating an amorphous structure, as compared to a literature value of 2.26 eV for crystalline material. Intrinsic stress measurements showed a thickness dependence, varying from tensile for thicknesses below 850 angstroms to compressive for larger thicknesses. Room temperature conductivity measurement also showed a thickness dependence, with values ranging from 1.86 x to to the -6/ohm. cm. for 300 angstrom film to 2.56 x 10 to the -1/ohm. cm. for a 2600 angstrom film. Measurement of the temperature dependence of the conductivity for these films showed complicated behavior which was thickness dependent. Thinner films showed at least two distinct temperature dependent conductivity mechanisms, as described by a Mott-type model. Thicker films showed only one principal conductivity mechanism, similar to what might be expected for a material with more crystalline character.

  1. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications.

    PubMed

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-17

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  2. Wrinkle-free graphene electrodes in zinc tin oxide thin-film transistors for large area applications

    NASA Astrophysics Data System (ADS)

    Lee, Se-Hee; Kim, Jae-Hee; Park, Byeong-Ju; Park, Jozeph; Kim, Hyun-Suk; Yoon, Soon-Gil

    2017-02-01

    Wrinkle-free graphene was used to form the source-drain electrodes in thin film transistors based on a zinc tin oxide (ZTO) semiconductor. A 10 nm thick titanium adhesion layer was applied prior to transferring a conductive graphene film on top of it by chemical detachment. The formation of an interlayer oxide between titanium and graphene allows the achievement of uniform surface roughness over the entire substrate area. The resulting devices were thermally treated in ambient air, and a substantial decrease in field effect mobility is observed with increasing annealing temperature. The increase in electrical resistivity of the graphene film at higher annealing temperatures may have some influence, however the growth of the oxide interlayer at the ZTO/Ti boundary is suggested to be most influential, thereby inducing relatively high contact resistance.

  3. Catalytic Palladium Film Deposited by Scalable Low-Temperature Aqueous Combustion.

    PubMed

    Voskanyan, Albert A; Li, Chi-Ying Vanessa; Chan, Kwong-Yu

    2017-09-27

    This article describes a novel method for depositing a dense, high quality palladium thin film via a one-step aqueous combustion process which can be easily scaled up. Film deposition of Pd from aqueous solutions by conventional chemical or electrochemical methods is inhibited by hydrogen embrittlement, thus resulting in a brittle palladium film. The method outlined in this work allows a direct aqueous solution deposition of a mirror-bright, durable Pd film on substrates including glass and glassy carbon. This simple procedure has many advantages including a very high deposition rate (>10 cm 2 min -1 ) and a relatively low deposition temperature (250 °C), which makes it suitable for large-scale industrial applications. Although preparation of various high-quality oxide films has been successfully accomplished via solution combustion synthesis (SCS) before, this article presents the first report on direct SCS production of a metallic film. The mechanism of Pd film formation is discussed with the identification of a complex formed between palladium nitrate and glycine at low temperature. The catalytic properties and stability of films are successfully tested in alcohol electrooxidation and electrochemical oxygen reduction reaction. It was observed that combustion deposited Pd film on a glassy carbon electrode showed excellent catalytic activity in ethanol oxidation without using any binder or additive. We also report for the first time the concept of a reusable "catalytic flask" as illustrated by the Suzuki-Miyaura cross-coupling reaction. The Pd film uniformly covers the inner walls of the flask and eliminates the catalyst separation step. We believe the innovative concept of a reusable catalytic flask is very promising and has the required features to become a commercial product in the future.

  4. Properties of SnO2 thin films deposited by chemical spray pyrolysis using different precursor solutions

    NASA Astrophysics Data System (ADS)

    Abdul-Hamead, Alaa A.

    2018-05-01

    In this article single and double nozzle (SN, DN) chemical spray pyrolysis techniques(CSP) proved that tin dioxide SnO2 thin film can be fabricated with different structures. SnO2 prepared from three different salts of tin with a concentration of 0.05 M, with thicknesses were about 0.2 ±0.02 µm. Microstructures inspections were achieved on films, beside optical transparency addition to the contact angle CA. The results show that films have tetragonal crystalline with different micro-structures, from sheet to rod and flower-like aggregates, by the variation of the used salts by DN more than SN, also the value of the CA of the prepared films varies with different structures, reaching its highest value for flower-like aggregates of about 130°. Finally, the optical transparency was different corresponding to the disparity in surfaces roughness and topography.

  5. Deposition Time Dependent Properties of Copper Tin Telluride (Cu₂SnTe₃) Nanoparticles for Solar Absorber Applications.

    PubMed

    Rakspun, Jariya; Tubtimtae, Auttasit; Vailikhit, Veeramol; Teesetsopon, Pichanan; Choopun, Supab

    2018-06-01

    We report the growth of copper tin telluride nanoparticles as an absorber layer using a chemical bath deposition (CBD) process for solar selective applications. The XRD results showed the phase of Cu2SnTe3 with a cubical structure. The larger-sized nanoparticles resulted with increased absorption properties and the optical band gap ranging from 1.93, 1.90, 1.58 and 1.56 eV for deposition times of 20-120 min, respectively. Then, the electrical properties of Cu2SnTe3 nanoparticles were also provided a higher current (~6-8 mA) with bias potential of zero.

  6. Deposition and characterization of aluminum magnesium boride thin film coatings

    NASA Astrophysics Data System (ADS)

    Tian, Yun

    Boron-rich borides are a special group of materials possessing complex structures typically comprised of B12 icosahedra. All of the boron-rich borides sharing this common structural unit exhibit a variety of exceptional physical and electrical properties. In this work, a new ternary boride compound AlMgB14, which has been extensively studied in bulk form due to its novel mechanical properties, was fabricated into thin film coatings by pulsed laser deposition (PLD) technology. The effect of processing conditions (laser operating modes, vacuum level, substrate temperature, and postannealing, etc.) on the composition, microstructure evolution, chemical bonding, and surface morphology of AlMgB14 thin film coatings has been investigated by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), atomic force microscopy (AFM) and Fourier transform infrared (FTIR) spectrometry; the mechanical, electrical, and optical properties of AlMgB14 thin films have been characterized by nanoindentation, four-point probe, van der Pauw Hall measurement, activation energy measurement, and UV-VIS-NIR spectrophotometer. Experimental results show that AlMgB14 films deposited in the temperature range of 300 K - 873 K are amorphous. Depositions under a low vacuum level (5 x 10-5 Torr) can introduce a significant amount of C and O impurities into AlMgB14 films and lead to a complex oxide glass structure. Orthorhombic AlMgB14 phase cannot be obtained by subsequent high temperature annealing. By contrast, the orthorhombic AlMgB 14 crystal structure can be attained via high temperature-annealing of AlMgB14 films deposited under a high vacuum level (< 3 x 10-6 Torr), accompanied by strong texture formation. Low vacuum level-as deposited AlMgB14 films have low hardness (10 GPa), but high vacuum level-as deposited AlMgB14 films exhibit an extremely high hardness (45 GPa - 51 GPa), and the higher deposition temperature results in still higher hardness

  7. Nucleation and growth of tin whiskers

    NASA Astrophysics Data System (ADS)

    Cheng, Jing; Vianco, Paul T.; Zhang, Bei; Li, James C. M.

    2011-06-01

    Pure tin film of one micron thick was evaporated onto a silicon substrate with chromium and nickel underlayers. The tinned silicon disk was bent by applying a dead load at the center and supported below around the edge to apply biaxial compressive stresses to the tin layer. After 180 C vacuum annealing for 1,2,4,6, and 8 weeks, tin whiskers/hillocks grew. A quantitative method revealed that the overall growth rate decreased with time with a tendency for saturation. A review of the literature showed in general, tin whisker growth has a nucleation period, a growth period and a period of saturation, very similar to recrystallization or phase transformation. In fact we found our data fit Avrami equation very well. This equation shows that the nucleation period was the first week.

  8. In-situ Observation of Cross-Sectional Microstructural Changes and Stress Distributions in Fracturing TiN Thin Film during Nanoindentation

    PubMed Central

    Zeilinger, Angelika; Todt, Juraj; Krywka, Christina; Müller, Martin; Ecker, Werner; Sartory, Bernhard; Meindlhumer, Michael; Stefenelli, Mario; Daniel, Rostislav; Mitterer, Christian; Keckes, Jozef

    2016-01-01

    Load-displacement curves measured during indentation experiments on thin films depend on non-homogeneous intrinsic film microstructure and residual stress gradients as well as on their changes during indenter penetration into the material. To date, microstructural changes and local stress concentrations resulting in plastic deformation and fracture were quantified exclusively using numerical models which suffer from poor knowledge of size dependent material properties and the unknown intrinsic gradients. Here, we report the first in-situ characterization of microstructural changes and multi-axial stress distributions in a wedge-indented 9 μm thick nanocrystalline TiN film volume performed using synchrotron cross-sectional X-ray nanodiffraction. During the indentation, needle-like TiN crystallites are tilted up to 15 degrees away from the indenter axis in the imprint area and strongly anisotropic diffraction peak broadening indicates strain variation within the X-ray nanoprobe caused by gradients of giant compressive stresses. The morphology of the multiaxial stress distributions with local concentrations up to −16.5 GPa correlate well with the observed fracture modes. The crack growth is influenced decisively by the film microstructure, especially by the micro- and nano-scopic interfaces. This novel experimental approach offers the capability to interpret indentation response and indenter imprint morphology of small graded nanostructured features. PMID:26947558

  9. In-situ Observation of Cross-Sectional Microstructural Changes and Stress Distributions in Fracturing TiN Thin Film during Nanoindentation.

    PubMed

    Zeilinger, Angelika; Todt, Juraj; Krywka, Christina; Müller, Martin; Ecker, Werner; Sartory, Bernhard; Meindlhumer, Michael; Stefenelli, Mario; Daniel, Rostislav; Mitterer, Christian; Keckes, Jozef

    2016-03-07

    Load-displacement curves measured during indentation experiments on thin films depend on non-homogeneous intrinsic film microstructure and residual stress gradients as well as on their changes during indenter penetration into the material. To date, microstructural changes and local stress concentrations resulting in plastic deformation and fracture were quantified exclusively using numerical models which suffer from poor knowledge of size dependent material properties and the unknown intrinsic gradients. Here, we report the first in-situ characterization of microstructural changes and multi-axial stress distributions in a wedge-indented 9 μm thick nanocrystalline TiN film volume performed using synchrotron cross-sectional X-ray nanodiffraction. During the indentation, needle-like TiN crystallites are tilted up to 15 degrees away from the indenter axis in the imprint area and strongly anisotropic diffraction peak broadening indicates strain variation within the X-ray nanoprobe caused by gradients of giant compressive stresses. The morphology of the multiaxial stress distributions with local concentrations up to -16.5 GPa correlate well with the observed fracture modes. The crack growth is influenced decisively by the film microstructure, especially by the micro- and nano-scopic interfaces. This novel experimental approach offers the capability to interpret indentation response and indenter imprint morphology of small graded nanostructured features.

  10. Nanomechanical properties of platinum thin films synthesized by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mamun, M.A.; Gu, D.; Baumgart, H.

    2015-03-01

    The nanomechanical properties of Pt thin films grown on Si (100) using atomic layer deposition (ALD) were investigated using nanoindentation. Recently, atomic layer deposition (ALD) has successfully demonstrated the capability to deposit ultra-thin films of platinum (Pt). Using (methylcyclopentadienyl) trimethylplatinum (MeCpPtMe3) as chemical platinum precursor and oxygen (O2) as the oxidizing agent, the ALD synthesis of Pt can be achieved with high conformity and excellent film uniformity. The ALD process window for Pt films was experimentally established in the temperature range between 270 °C and 320 °C, where the sheet conductance was constant over that temperature range, indicating stable ALDmore » Pt film growth rate. ALD growth of Pt films exhibits very poor nucleation and adhesion characteristics on bare Si surfaces when the native oxide was removed by 2% HF etch. Pt adhesion improves for thermally oxidized Si wafers and for Si wafers covered with native oxide. Three ALD Pt films deposited at 800, 900, and 1000 ALD deposition cycles were tested for the structural and mechanical properties. Additionally, the sample with 900 ALD deposition cycles was further annealed in forming gas (95% N2 and 5% H2) at 450 °C for 30 min in order to passivate dangling bonds in the grain boundaries of the polycrystalline Pt film. Cross-sectional transmission electron microscopy (TEM), X-ray diffraction (XRD), atomic force microscopy (AFM), and scanning electron microscope (SEM) were employed to characterize the films' surface structure and morphology. Nanoindentation technique was used to evaluate the hardness and modulus of the ALD Pt films of various film thicknesses. The results indicate that the films depict comparable hardness and modulus results; however, the 800 and 1000 ALD deposition cycles films without forming gas annealing experienced significant amount of pileup, whereas the 900 ALD deposition cycles sample annealed in forming gas resulted in a smaller

  11. Characteristics of ITO films with oxygen plasma treatment for thin film solar cell applications

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Park, Yong Seob; Kim, Eungkwon; Hong, Byungyou

    2013-12-15

    Graphical abstract: The effect of O{sub 2} plasma treatment on the surface and the work function of ITO films. - Highlights: • ITO films were prepared on the glass substrate by RF magnetron sputtering method. • Effects of O{sub 2} plasma treatment on the properties of ITO films were investigated. • The work function of ITO film was changed from 4.67 to 5.66 eV by plasma treatment. - Abstract: The influence of oxygen plasma treatment on the electro-optical and structural properties of indium-tin-oxide films deposited by radio frequency magnetron sputtering method were investigated. The films were exposed at different O{submore » 2} plasma powers and for various durations by using the plasma enhanced chemical vapor deposition (PECVD) system. The resistivity of the ITO films was almost constant, regardless of the plasma treatment conditions. Although the optical transmittance of ITO films was little changed by the plasma power, the prolonged treatment slightly increased the transmittance. The work function of ITO film was changed from 4.67 eV to 5.66 eV at the plasma treatment conditions of 300 W and 60 min.« less

  12. Ultrashort pulse laser deposition of thin films

    DOEpatents

    Perry, Michael D.; Banks, Paul S.; Stuart, Brent C.

    2002-01-01

    Short pulse PLD is a viable technique of producing high quality films with properties very close to that of crystalline diamond. The plasma generated using femtosecond lasers is composed of single atom ions with no clusters producing films with high Sp.sup.3 /Sp.sup.2 ratios. Using a high average power femtosecond laser system, the present invention dramatically increases deposition rates to up to 25 .mu.m/hr (which exceeds many CVD processes) while growing particulate-free films. In the present invention, deposition rates is a function of laser wavelength, laser fluence, laser spot size, and target/substrate separation. The relevant laser parameters are shown to ensure particulate-free growth, and characterizations of the films grown are made using several diagnostic techniques including electron energy loss spectroscopy (EELS) and Raman spectroscopy.

  13. Particle formation in SiOx film deposition by low frequency plasma enhanced chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Yamaguchi, Tomoyo; Sakamoto, Naoshi; Shimozuma, Mitsuo; Yoshino, Masaki; Tagashira, Hiroaki

    1998-01-01

    Dust particle formation dynamics in the process of SiOx film deposition from a SiH4 and N2O gas mixture by a low frequency plasma enhanced chemical vapor deposition have been investigated using scanning electron microscopy and laser light scattering. The deposited films are confirmed to be SiOx from the measurements of Auger electron spectroscopy, x-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy. It is observed by scanning electron microscopy that particles are deposited on Si substrate at the plasma power frequency f=5 kHz and above both with and without substrate heating (400 °C), while no particle is deposited below f=1 kHz. Moreover, the laser light scattering indicates that particles are generated at the plasma power frequency of f=3 kHz and above in the gas phase, and that they are not generated in the gas phase at below f=3 kHz. Properties (the refractive index, resistivity, and Vickers hardness) of the films with particles are inferior to those of the films without particles. This article has revealed experimentally the effect of plasma power frequency on SiOx particle formation and makes a contribution to the explication of the particle formation mechanism. We suggest that high-quality film deposition with the low frequency plasma enhanced chemical vapor deposition method is attained at f=1 kHz or less without substrate heating.

  14. Transparent and Flexible Zinc Tin Oxide Thin Film Transistors and Inverters using Low-pressure Oxygen Annealing Process

    NASA Astrophysics Data System (ADS)

    Lee, Kimoon; Kim, Yong-Hoon; Kim, Jiwan; Oh, Min Suk

    2018-05-01

    We report on the transparent and flexible enhancement-load inverters which consist of zinc tin oxide (ZTO) thin film transistors (TFTs) fabricated at low process temperature. To control the electrical characteristics of oxide TFTs by oxygen vacancies, we applied low-pressure oxygen rapid thermal annealing (RTA) process to our devices. When we annealed the ZTO TFTs in oxygen ambient of 2 Torr, they showed better electrical characteristics than those of the devices annealed in the air ambient of 760 Torr. To realize oxide thin film transistor and simple inverter circuits on flexible substrate, we annealed the devices in O2 of 2 Torr at 150° C and could achieve the decent electrical properties. When we used transparent conductive oxide electrodes such as indium zinc oxide (IZO) and indium tin oxide (ITO), our transparent and flexible inverter showed the total transmittance of 68% in the visible range and the voltage gain of 5. And the transition voltage in voltage transfer curve was located well within the range of operation voltage.

  15. Recent progress of obliquely deposited thin films for industrial applications

    NASA Astrophysics Data System (ADS)

    Suzuki, Motofumi; Itoh, Tadayoshi; Taga, Yasunori

    1999-06-01

    More than 10 years ago, birefringent films of metal oxides were formed by oblique vapor deposition and investigated with a view of their application to optical retardation plates. The retardation function of the films was explained in terms of the birefringence caused by the characteristic anisotropic nanostructure inside the films. These films are now classified in the genre of the so-called sculptured thin films. However, the birefringent films thus prepared are not yet industrialized even now due to the crucial lack of the durability and the yield of products. In this review paper, we describe the present status of application process of the retardation films to the information systems such as compact disc and digital versatile disc devices with a special emphasis on the uniformity of retardation properties in a large area and the stability of the optical properties of the obliquely deposited thin films. Finally, further challenges for wide application of the obliquely deposited thin films are also discussed.

  16. Atomic force microscopy study on topography of films produced by ion-based techniques

    NASA Astrophysics Data System (ADS)

    Wang, X.; Liu, X. H.; Zou, S. C.; Martin, P. J.; Bendavid, A.

    1996-09-01

    The evolution of surface morphologies of films prepared by ion-based deposition techniques has been investigated by atomic force microscopy. Two deposition processes, filtered arc deposition (FAD) and ion-beam-assisted deposition, where low-energy (<100 eV) ion irradiation and high-energy (several tens of keV) ion-beam bombardment concurrent with film growth were involved, respectively, have been employed to prepare TiN and Al films. Comparative studies on the effect of energetic ions on the development of topography have been performed between the low-ion-energy regime and high-ion-energy regime. In addition, the relationship between topography and mechanical properties of thin films has been revealed, by involving thin films prepared by thermal evaporation deposition (TED), where almost all depositing particles are neutral. In the images of the TED TiN and Al films, a large number of porous and deep boundaries between columnar grains was observed, suggesting a very rough and loose surface. In contrast, the FAD films exhibited much denser surface morphologies, although still columnar. The root-mean-square roughness of the FAD films was less than 1 Å. Hardness test and optical parameter measurement indicated that the FAD films were much harder and, in the case of optical films, much more transparent than the TED films, which was considered to arise from the denser surface morphologies rather than crystallization of the films. The high density and super smoothness of the FAD films, and the resultant mechanical and optical properties superior to those of the TED films, were attributed to the enhancement of surface migration of the deposited adatoms in the FAD process, which could provide intensive low-energy ion irradiation during film growth. As for topography modification by high-energy ion-beam bombardment concurrent with film growth, in addition to the increase of surface diffusion due to elastic collision and thermal spikes, physical sputtering must be

  17. Large-area SnO{sub 2}: F thin films by offline APCVD

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Yan; Wu, Yucheng, E-mail: ycwu@hfut.edu.cn; Qin, Yongqiang

    2011-08-15

    Highlights: {yields} Large-area (1245 mm x 635 mm) FTO thin films were successfully deposited by offline APCVD process. {yields} The as-prepared FTO thin films with sheet resistance 8-11 {Omega}/{open_square} and direct transmittance more than 83% exhibited better than that of the online ones. {yields} The maximum quantum efficiency of the solar cells based on offline FTO substrate was 0.750 at wavelength 540 nm. {yields} The power of the solar modules using the offline FTO as glass substrates was 51.639 W, higher than that of the modules based on the online ones. -- Abstract: In this paper, we reported the successfulmore » preparation of fluorine-doped tin oxide (FTO) thin films on large-area glass substrates (1245 mm x 635 mm x 3 mm) by self-designed offline atmospheric pressure chemical vapor deposition (APCVD) process. The FTO thin films were achieved through a combinatorial chemistry approach using tin tetrachloride, water and oxygen as precursors and Freon (F-152, C2H4F2) as dopant. The deposited films were characterized for crystallinity, morphology (roughness) and sheet resistance to aid optimization of materials suitable for solar cells. We got the FTO thin films with sheet resistance 8-11 {Omega}/{open_square} and direct transmittance more than 83%. X-ray diffraction (XRD) characterization suggested that the as-prepared FTO films were composed of multicrystal, with the average crystal size 200-300 nm and good crystallinity. Further more, the field emission scanning electron microscope (FESEM) images showed that the films were produced with good surface morphology (haze). Selected samples were used for manufacturing tandem amorphous silicon (a-Si:H) thin film solar cells and modules by plasma enhanced chemical vapor deposition (PECVD). Compared with commercially available FTO thin films coated by online chemical vapor deposition, our FTO coatings show excellent performance resulting in a high quantum efficiency yield for a-Si:H solar cells and ideal open

  18. Deposition and characterization of molybdenum thin films using dc-plasma magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khan, Majid, E-mail: majids@hotmail.com; Islam, Mohammad, E-mail: mohammad.islam@gmail.com

    2013-12-15

    Molebdenum (Mo) thin films were deposited on well-cleaned soda-lime glass substrates using DC-plasma magnetron sputtering. In the design of experiment deposition was optimized for maximum beneficial characteristics by monitoring effect of process variables such as deposition power (100–200 W). Their electrical, structural and morphological properties were analyzed to study the effect of these variables. The electrical resistivity of Mo thin films could be reduced by increasing deposition power. Within the range of analyzed deposition power, Mo thin films showed a mono crystalline nature and the crystallites were found to have an orientation along [110] direction. The surface morphology of thinmore » films showed that a highly dense micro structure has been obtained. The surface roughness of films increased with deposition power. The adhesion of Mo thin films could be improved by increasing the deposition power. Atomic force microscopy was used for the topographical study of the films and to determine the roughness of the films. X-ray diffractrometer and scanning electron microscopy analysis were used to investigate the crystallinity and surface morphology of the films. Hall effect measurement system was used to find resistivity, carrier mobility and carrier density of deposited films. The adhesion test was performed using scotch hatch tape adhesion test. Mo thin films prepared at deposition power of 200 W, substrate temperature of 23°C and Ar pressure of 0.0123 mbar exhibited a mono crystalline structure with an orientation along (110) direction, thickness of ∼550 nm and electrical resistivity value of 0.57 × 10{sup −4} Ω cm.« less

  19. Microwave plasma CVD of NANO structured tin/carbon composites

    DOEpatents

    Marcinek, Marek [Warszawa, PL; Kostecki, Robert [Lafayette, CA

    2012-07-17

    A method for forming a graphitic tin-carbon composite at low temperatures is described. The method involves using microwave radiation to produce a neutral gas plasma in a reactor cell. At least one organo tin precursor material in the reactor cell forms a tin-carbon film on a supporting substrate disposed in the cell under influence of the plasma. The three dimensional carbon matrix material with embedded tin nanoparticles can be used as an electrode in lithium-ion batteries.

  20. Magneto-transport and magneto-optical studies on SnO2 transparent semiconducting thin films alloyed with Mn over a wide range of concentration

    NASA Astrophysics Data System (ADS)

    Bagheri Mohagheghi, M. M.; Tabatabai Yazdi, Sh.; Mousavi, M.

    2018-03-01

    In this work, Mn-alloyed tin oxide transparent thin films with different Mn concentrations up to 60 at% were prepared via the spray pyrolysis method, and their structural, magneto-optical, and magneto-transport properties were studied. The results show that all the deposited films are polycrystalline with the tetragonal rutile structure. The unit cell volume of Sn1- x Mn x O2 films was found to be minimum at the Mn concentration of x = 0.15 indicating to two different mechanisms for Mn addition in the crystal lattice of tin oxide. For the films with Mn concentrations less than 15%, substitutional doping is the working mechanism, while for more Mn concentrations, interstitial one is predominant. A critical Mn concentration about that observed for the structural properties of the films (i.e., x = 0.15) was revealed for their magnetoresistance and magneto-optical properties, as well. This suggests a correlation between the structural and magnetic behaviors of the deposited SnO2:Mn films.

  1. High rate chemical vapor deposition of carbon films using fluorinated gases

    DOEpatents

    Stafford, Byron L.; Tracy, C. Edwin; Benson, David K.; Nelson, Arthur J.

    1993-01-01

    A high rate, low-temperature deposition of amorphous carbon films is produced by PE-CVD in the presence of a fluorinated or other halide gas. The deposition can be performed at less than 100.degree. C., including ambient room temperature, with a radio frequency plasma assisted chemical vapor deposition process. With less than 6.5 atomic percent fluorine incorporated into the amorphous carbon film, the characteristics of the carbon film, including index of refraction, mass density, optical clarity, and chemical resistance are within fifteen percent (15%) of those characteristics for pure amorphous carbon films, but the deposition rates are high.

  2. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J.R.; Tracy, C.E.; King, D.E.; Stanley, J.T.

    1994-09-13

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp[sup 3]-bonded diamond-type carbon films, comprises: (a) providing a volatile hydrocarbon gas/H[sub 2] reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and (b) directing a concentrated solar flux of from about 40 to about 60 watts/cm[sup 2] through said reactant mixture to produce substrate temperatures of about 750 C to about 950 C to activate deposition of the film on said substrate. 11 figs.

  3. Solar-induced chemical vapor deposition of diamond-type carbon films

    DOEpatents

    Pitts, J. Roland; Tracy, C. Edwin; King, David E.; Stanley, James T.

    1994-01-01

    An improved chemical vapor deposition method for depositing transparent continuous coatings of sp.sup.3 -bonded diamond-type carbon films, comprising: a) providing a volatile hydrocarbon gas/H.sub.2 reactant mixture in a cold wall vacuum/chemical vapor deposition chamber containing a suitable substrate for said films, at pressure of about 1 to 50 Torr; and b) directing a concentrated solar flux of from about 40 to about 60 watts/cm.sup.2 through said reactant mixture to produce substrate temperatures of about 750.degree. C. to about 950.degree. C. to activate deposition of the film on said substrate.

  4. Methods for making deposited films with improved microstructures

    DOEpatents

    Patten, James W.; Moss, Ronald W.; McClanahan, Edwin D.

    1982-01-01

    Methods for improving microstructures of line-of-sight deposited films are described. Columnar growth defects ordinarily produced by geometrical shadowing during deposition of such films are eliminated without resorting to post-deposition thermal or mechanical treatments. The native, as-deposited coating qualities, including homogeneity, fine grain size, and high coating-to-substrate adherence, can thus be retained. The preferred method includes the steps of emitting material from a source toward a substrate to deposit a coating non-uniformly on the substrate surface, removing a portion of the coating uniformly over the surface, again depositing material onto the surface, but from a different direction, and repeating the foregoing steps. The quality of line-of-sight deposited films such as those produced by sputtering, progressively deteriorates as the angle of incidence between the flux and the surface becomes increasingly acute. Depositing non-uniformly, so that the coating becomes progressively thinner as quality deteriorates, followed by uniformly removing some of the coating, such as by resputtering, eliminates the poor quality portions, leaving only high quality portions of the coating. Subsequently sputtering from a different direction applies a high quality coating to other regions of the surface. Such steps can be performed either simultaneously or sequentially to apply coatings of a uniformly high quality, closed microstructure to three-dimensional or larger planar surfaces.

  5. Iron films deposited on porous alumina substrates

    NASA Astrophysics Data System (ADS)

    Yamada, Yasuhiro; Tanabe, Kenichi; Nishida, Naoki; Kobayashi, Yoshio

    2016-12-01

    Iron films were deposited on porous alumina substrates using an arc plasma gun. The pore sizes (120 - 250 nm) of the substrates were controlled by changing the temperature during the anodic oxidation of aluminum plates. Iron atoms penetrated into pores with diameters of less than 160 nm, and were stabilized by forming γ-Fe, whereas α-Fe was produced as a flat plane covering the pores. For porous alumina substrates with pore sizes larger than 200 nm, the deposited iron films contained many defects and the resulting α-Fe had smaller hyperfine magnetic fields. In addition, only a very small amount of γ-Fe was obtained. It was demonstrated that the composition and structure of an iron film can be affected by the surface morphology of the porous alumina substrate on which the film is grown.

  6. Filtration-wet transferred transparent conducting films of mm long carbon nanotubes grown using water-assisted chemical vapor deposition.

    PubMed

    Patole, Shashikant P; Shin, Dong Wook; Fugetsu, Bunshi; Yoo, Ji-Beom

    2013-11-01

    Transparent conducting films (TCF) made up from carbon nanotubes (CNTs) have a tremendous potential in replacing the indium tin oxide films. Compare to single wall CNTs multiwall CNTs are more metallic and are more suitable candidate for the TCF. In this letter we report the use of selectively grown mm-scale, few-wall, vertically aligned CNTs for the fabrication of TCF. Water-assisted chemical vapor deposition was used to grow the mm-scale CNTs within short growth time. A special post-growth water-vapor treatment allowed us to remove the catalyst-free CNT forest very easily from the substrate and use it for the further process. A filtration-wet transfer process was used to form the TCF. The TCF shows sheet resistance of 228 omega/sq. at 72% transparency (at 550 nm). The ratio of optical conductivity to dc conductivity was observed in between 0.21 to 0.25 for below 80% transmission.

  7. Influence of N2 partial pressure on structural and microhardness properties of TiN/ZrN multilayers deposited by Ar/N2 vacuum arc discharge

    NASA Astrophysics Data System (ADS)

    Naddaf, M.; Abdallah, B.; Ahmad, M.; A-Kharroub, M.

    2016-08-01

    The influence of N2 partial pressure on structural, mechanical and wetting properties of multilayered TiN/ZrN thin films deposited on silicon substrates by vacuum arc discharge of (N2 + Ar) gas mixtures is investigated. X-ray diffraction (XRD) results show that the average texturing coefficient of (1 1 1) orientation and the grain size of both TiN and ZrN individual layers increase with increasing the N2 partial pressure. The Rutherford back scattering (RBS) measurements and analysis reveal that incorporation of the nitrogen in the film increases with increasing the N2 partial pressure and both TiN and ZrN individual layers have a nitrogen over-stoichiometry for N2 partial pressure ⩾50%. The change in the film micro-hardness is correlated to the changes in crystallographic texture, grain size, stoichiometry and the residual stress in the film as a function of the N2 partial pressure. In particular, stoichiometry of ZrN and TiN individual is found to play the vital role in determining the multilayer hardness. The multilayer film deposited at N2 partial pressure of 25% has the best stoichiometric ratio of both TiN and ZrN layers and the highest micro-hardness of about 32 GPa. In addition, water contact angle (WCA) measurements and analysis show a decrease in the work of adhesion on increasing the N2 partial pressure.

  8. Scale-Up of the Electrodeposition of ZnO/Eosin Y Hybrid Thin Films for the Fabrication of Flexible Dye-Sensitized Solar Cell Modules.

    PubMed

    Bittner, Florian; Oekermann, Torsten; Wark, Michael

    2018-02-02

    The low-temperature fabrication of flexible ZnO photo-anodes for dye-sensitized solar cells (DSSCs) by templated electrochemical deposition of films was performed in an enlarged and technical simplified deposition setup to demonstrate the feasibility of the scale-up of the deposition process. After extraction of eosin Y (EY) from the initially deposited ZnO/EY hybrid films, mesoporous ZnO films with an area of about 40 cm² were reproducibly obtained on fluorine doped tin oxide (FTO)-glass as well as flexible indium tin oxide (ITO)-polyethylenterephthalate (PET) substrates. With a film thickness of up to 9 µm and a high specific surface area of up to about 77 m²·cm -3 the ZnO films on the flexible substrates show suitable properties for DSSCs. Operative flexible DSSC modules proved the suitability of the ZnO films for use as DSSC photo-anodes. Under a low light intensity of about 0.007 sun these modules achieved decent performance parameters with conversion efficiencies of up to 2.58%. With rising light intensity the performance parameters deteriorated, leading to conversion efficiencies below 1% at light intensities above 0.5 sun. The poor performance of the modules under high light intensities can be attributed to their high series resistances.

  9. Scale-Up of the Electrodeposition of ZnO/Eosin Y Hybrid Thin Films for the Fabrication of Flexible Dye-Sensitized Solar Cell Modules

    PubMed Central

    Oekermann, Torsten

    2018-01-01

    The low-temperature fabrication of flexible ZnO photo-anodes for dye-sensitized solar cells (DSSCs) by templated electrochemical deposition of films was performed in an enlarged and technical simplified deposition setup to demonstrate the feasibility of the scale-up of the deposition process. After extraction of eosin Y (EY) from the initially deposited ZnO/EY hybrid films, mesoporous ZnO films with an area of about 40 cm2 were reproducibly obtained on fluorine doped tin oxide (FTO)-glass as well as flexible indium tin oxide (ITO)–polyethylenterephthalate (PET) substrates. With a film thickness of up to 9 µm and a high specific surface area of up to about 77 m2·cm−3 the ZnO films on the flexible substrates show suitable properties for DSSCs. Operative flexible DSSC modules proved the suitability of the ZnO films for use as DSSC photo-anodes. Under a low light intensity of about 0.007 sun these modules achieved decent performance parameters with conversion efficiencies of up to 2.58%. With rising light intensity the performance parameters deteriorated, leading to conversion efficiencies below 1% at light intensities above 0.5 sun. The poor performance of the modules under high light intensities can be attributed to their high series resistances. PMID:29393910

  10. Reentrant resistive behavior and dimensional crossover in disordered superconducting TiN films

    DOE PAGES

    Postolova, Svetlana V.; Mironov, Alexey Yu.; Baklanov, Mikhail R.; ...

    2017-05-11

    A reentrant temperature dependence of the normal state resistance often referred to as the N-shaped temperature dependence, is omnipresent in disordered superconductors – ranging from high-temperature cuprates to ultrathin superconducting films – that experience superconductor-to-insulator transition. Yet, despite the ubiquity of this phenomenon its origin still remains a subject of debate. Here we investigate strongly disordered superconducting TiN films and demonstrate universality of the reentrant behavior. We offer a quantitative description of the N-shaped resistance curve. We show that upon cooling down the resistance first decreases linearly with temperature and then passes through the minimum that marks the 3D-2D crossovermore » in the system. In the 2D temperature range the resistance first grows with decreasing temperature due to quantum contributions and eventually drops to zero as the system falls into a superconducting state. As a result, our findings demonstrate the prime importance of disorder in dimensional crossover effects.« less

  11. Reentrant Resistive Behavior and Dimensional Crossover in Disordered Superconducting TiN Films.

    PubMed

    Postolova, Svetlana V; Mironov, Alexey Yu; Baklanov, Mikhail R; Vinokur, Valerii M; Baturina, Tatyana I

    2017-05-11

    A reentrant temperature dependence of the normal state resistance often referred to as the N-shaped temperature dependence, is omnipresent in disordered superconductors - ranging from high-temperature cuprates to ultrathin superconducting films - that experience superconductor-to-insulator transition. Yet, despite the ubiquity of this phenomenon its origin still remains a subject of debate. Here we investigate strongly disordered superconducting TiN films and demonstrate universality of the reentrant behavior. We offer a quantitative description of the N-shaped resistance curve. We show that upon cooling down the resistance first decreases linearly with temperature and then passes through the minimum that marks the 3D-2D crossover in the system. In the 2D temperature range the resistance first grows with decreasing temperature due to quantum contributions and eventually drops to zero as the system falls into a superconducting state. Our findings demonstrate the prime importance of disorder in dimensional crossover effects.

  12. Short review on chemical bath deposition of thin film and characterization

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Mugle, Dhananjay, E-mail: dhananjayforu@gmail.com; Jadhav, Ghanshyam, E-mail: ghjadhav@rediffmail.com

    2016-05-06

    This reviews the theory of early growth of the thin film using chemical deposition methods. In particular, it critically reviews the chemical bath deposition (CBD) method for preparation of thin films. The different techniques used for characterizations of the chemically films such as X-ray diffractometer (XRD), Scanning electron microscopy (SEM), Transmission electron microscopy (TEM), Electrical conductivity and Energy Dispersive Spectroscopy (EDS) are discussed. Survey shows the physical and chemical properties solely depend upon the time of deposition, temperature of deposition.

  13. Formation of hydrothermal tin deposits: Raman spectroscopic evidence for an important role of aqueous Sn(IV) species

    NASA Astrophysics Data System (ADS)

    Schmidt, Christian

    2018-01-01

    The speciation of tin and the solubility of cassiterite in H2O + HCl were determined at temperatures to 600 °C using in situ Raman spectroscopy. In addition, information on the fluid-melt partition of Sn was obtained at 700 °C and indicated a preference of the fluid only at HCl concentrations that are much higher than in fluids exsolved from natural felsic melts. Dissolution of cassiterite generally resulted in formation of Sn(IV) species unless reduced conditions were generated by hydrogen permeation or carbohydrates in the starting material. The prevalent aqueous Sn(IV) species was [SnCl4(H2O)2]0, with additional [SnCl3(H2O)3]+ and [SnCl5(H2O)]-. The only detectable Sn(II) species was very likely [Sn(II)Cl3]-. Cassiterite solubility increased with HCl concentration and was generally high in H2O+HCl fluids, with no strong dependencies on temperature, pressure, or the oxidation state of tin in the fluid. The Sn(IV) concentrations at 500 and 600 °C determined from the integrated ν1[Sn(IV)sbnd Cl] band intensity are in good agreement with literature data on the cassiterite solubility in H2O + HCl at oxygen fugacities along the hematite-magnetite buffer. The combined results from previous experimental studies and this study demonstrate that HCl molality is a crucial parameter for hydrothermal mobilization and transport of tin and for cassiterite precipitation, and that pH, pressure and temperature are less important. Current models on hydrothermal tin deposit formation need to be augmented to include Sn(IV)sbnd Cl complexes as significant tin-transporting species. Irrespective of the oxidation state of tin in the fluid, cassiterite precipitates due to reaction of the hydrothermal fluid with the wall rock (greisen or skarn formation), dilution (mixing with meteoric water) or a decrease in the HCl activity in the aqueous liquid by boiling. A redox reaction is only required for tin transported as Sn(II) to be converted to Sn(IV).

  14. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, III, Jerome J.; Halpern, Bret L.

    1993-01-01

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures.

  15. Microwave plasma assisted supersonic gas jet deposition of thin film materials

    DOEpatents

    Schmitt, J.J. III; Halpern, B.L.

    1993-10-26

    An apparatus for fabricating thin film materials utilizing high speed gas dynamics relies on supersonic free jets of carrier gas to transport depositing vapor species generated in a microwave discharge to the surface of a prepared substrate where the vapor deposits to form a thin film. The present invention generates high rates of deposition and thin films of unforeseen high quality at low temperatures. 5 figures.

  16. Film growth kinetics and electric field patterning during electrospray deposition of block copolymer thin films

    NASA Astrophysics Data System (ADS)

    Toth, Kristof; Hu, Hanqiong; Choo, Youngwoo; Loewenberg, Michael; Osuji, Chinedum

    The delivery of sub-micron droplets of dilute polymer solutions to a heated substrate by electrospray deposition (ESD) enables precisely controlled and continuous growth of block copolymer (BCP) thin films. Here we explore patterned deposition of BCP films by spatially varying the electric field at the substrate using an underlying charged grid, as well as film growth kinetics. Numerical analysis was performed to examine pattern fidelity by considering the trajectories of charged droplets during flight through imposed periodic field variations in the vicinity of the substrate. Our work uncovered an unexpected modality for improving the resolution of the patterning process via stronger field focusing through the use of a second oppositely charged grid beneath a primary focusing array, with an increase in highly localized droplet deposition on the intersecting nodes of the grid. Substrate coverage kinetics are considered for homopolymer deposition in the context of simple kinetic models incorporating temperature and molecular weight dependence of diffusivity. By contrast, film coverage kinetics for block copolymer depositions are additionally convoluted with preferential wetting and thickness-periodicity commensurability effects. NSF GRFP.

  17. Self-limiting atomic layer deposition of conformal nanostructured silver films

    NASA Astrophysics Data System (ADS)

    Golrokhi, Zahra; Chalker, Sophia; Sutcliffe, Christopher J.; Potter, Richard J.

    2016-02-01

    The controlled deposition of ultra-thin conformal silver nanoparticle films is of interest for applications including anti-microbial surfaces, plasmonics, catalysts and sensors. While numerous techniques can produce silver nanoparticles, few are able to produce highly conformal coatings on high aspect ratio surfaces, together with sub-nanometre control and scalability. Here we develop a self-limiting atomic layer deposition (ALD) process for the deposition of conformal metallic silver nanoparticle films. The films have been deposited using direct liquid injection ALD with ((hexafluoroacetylacetonato)silver(I)(1,5-cyclooctadiene)) and propan-1-ol. An ALD temperature window between 123 and 128 °C is identified and within this range self-limiting growth is confirmed with a mass deposition rate of ∼17.5 ng/cm2/cycle. The effects of temperature, precursor dose, co-reactant dose and cycle number on the deposition rate and on the properties of the films have been systematically investigated. Under self-limiting conditions, films are metallic silver with a nano-textured surface topography and nanoparticle size is dependent on the number of ALD cycles. The ALD reaction mechanisms have been elucidated using in-situ quartz crystal microbalance (QCM) measurements, showing chemisorption of the silver precursor, followed by heterogeneous catalytic dehydrogenation of the alcohol to form metallic silver and an aldehyde.

  18. CuInS2 Films Deposited by Aerosol-Assisted Chemical Vapor Deposition Using Ternary Single-Source Precursors

    NASA Technical Reports Server (NTRS)

    Jin, Michael; Banger, Kal; Harris, Jerry; Hepp, Aloysius

    2003-01-01

    Polycrystalline CuInS2 films were deposited by aerosol-assisted chemical vapor deposition using both solid and liquid ternary single-source precursors (SSPs) which were prepared in-house. Films with either (112) or (204/220) preferred orientation, had a chalcopyrite structure, and (112)-oriented films contained more copper than (204/220)-oriented films. The preferred orientation of the film is likely related to the decomposition and reaction kinetics associated with the molecular structure of the precursors at the substrate. Interestingly, the (204/220)-oriented films were always In-rich and were accompanied by a secondary phase. From the results of post-growth annealing, etching experiments, and Raman spectroscopic data, the secondary phase was identified as an In-rich compound. On the contrary, (112)-oriented films were always obtained with a minimal amount of the secondary phase, and had a maximum grain size of about 0.5 micron. Electrical and optical properties of all the films grown were characterized. They all showed p-type conduction with an electrical resistivity between 0.1 and 30 Omega-cm, and an optical band gap of approximately 1.46 eV +/- 0.02, as deposited. The material properties of deposited films revealed this methodology of using SSPs for fabricating chalcopyrite-based solar cells to be highly promising.

  19. Fabrication of nanostructure by physical vapor deposition with glancing angle deposition technique and its applications

    NASA Astrophysics Data System (ADS)

    Horprathum, M.; Eiamchai, P.; Kaewkhao, J.; Chananonnawathorn, C.; Patthanasettakul, V.; Limwichean, S.; Nuntawong, N.; Chindaudom, P.

    2014-09-01

    A nanostructural thin film is one of the highly exploiting research areas particularly in applications in sensor, photocatalytic, and solar-cell technologies. In the past two decades, the integration of glancing-angle deposition (GLAD) technique to physical vapor deposition (PVD) process has gained significant attention for well-controlled multidimensional nanomorphologies because of fast, simple, cost-effective, and mass-production capability. The performance and functional properties of the coated thin films generally depend upon their nanostructural compositions, i.e., large aspect ratio, controllable porosity, and shape. Such structural platforms make the fabricated thin films very practical for several realistic applications. We therefore present morphological and nanostructural properties of various deposited materials, which included metals, i.e., silver (Ag), and oxide compounds, i.e., tungsten oxide (WO3), titanium dioxide (TiO2), and indium tin oxide (ITO). Different PVD techniques based on DC magnetron sputtering and electron-beam evaporation, both with the integrated GLAD component, were discussed. We further explore engineered nanostructures which enable controls of optical, electrical, and mechanical properties. These improvements led to several practical applications in surface-enhanced Raman, smart windows, gas sensors, self-cleaning materials and transparent conductive oxides (TCO).

  20. Deposition of thermal and hot-wire chemical vapor deposition copper thin films on patterned substrates.

    PubMed

    Papadimitropoulos, G; Davazoglou, D

    2011-09-01

    In this work we study the hot-wire chemical vapor deposition (HWCVD) of copper films on blanket and patterned substrates at high filament temperatures. A vertical chemical vapor deposition reactor was used in which the chemical reactions were assisted by a tungsten filament heated at 650 degrees C. Hexafluoroacetylacetonate Cu(I) trimethylvinylsilane (CupraSelect) vapors were used, directly injected into the reactor with the aid of a liquid injection system using N2 as carrier gas. Copper thin films grown also by thermal and hot-wire CVD. The substrates used were oxidized silicon wafers on which trenches with dimensions of the order of 500 nm were formed and subsequently covered with LPCVD W. HWCVD copper thin films grown at filament temperature of 650 degrees C showed higher growth rates compared to the thermally ones. They also exhibited higher resistivities than thermal and HWCVD films grown at lower filament temperatures. Thermally grown Cu films have very uniform deposition leading to full coverage of the patterned substrates while the HWCVD films exhibited a tendency to vertical growth, thereby creating gaps and incomplete step coverage.

  1. Cadmium sulphide (CdS) thin films deposited by chemical bath deposition (CBD) and dip coating techniques—a comparative study

    NASA Astrophysics Data System (ADS)

    Khimani, Ankurkumar J.; Chaki, Sunil H.; Malek, Tasmira J.; Tailor, Jiten P.; Chauhan, Sanjaysinh M.; Deshpande, M. P.

    2018-03-01

    The CdS thin films were deposited on glass slide substrates by Chemical Bath Deposition and dip coating techniques. The films thickness variation with deposition time showed maximum films deposition at 35 min for both the films. The energy dispersive analysis of x-ray showed both the films to be stoichiometric. The x-ray diffraction analysis confirmed the films possess hexagonal crystal structure. The transmission electron, scanning electron and optical microscopy study showed the films deposition to be uniform. The selected area electron diffraction exhibited ring patterns stating the films to be polycrystalline in nature. The atomic force microscopy images showed surface formed of spherical grains, hills and valleys. The recorded optical absorbance spectra analysis revealed the films possess direct optical bandgap having values of 2.25 eV for CBD and 2.40 eV for dip coating. The refractive index (η), extinction coefficient (k), complex dielectric constant (ε) and optical conductivity (σ 0) variation with wavelength showed maximum photon absorption till the respective wavelengths corresponding to the optical bandgap energy values. The recorded photoluminescence spectra showed two emission peaks. All the obtained results have been discussed in details.

  2. Low Temperature, Selective Atomic Layer Deposition of Nickel Metal Thin Films.

    PubMed

    Kerrigan, Marissa M; Klesko, Joseph P; Blakeney, Kyle J; Winter, Charles H

    2018-04-25

    We report the growth of nickel metal films by atomic layer deposition (ALD) employing bis(1,4-di- tert-butyl-1,3-diazadienyl)nickel and tert-butylamine as the precursors. A range of metal and insulating substrates were explored. An initial deposition study was carried out on platinum substrates. Deposition temperatures ranged from 160 to 220 °C. Saturation plots demonstrated self-limited growth for both precursors, with a growth rate of 0.60 Å/cycle. A plot of growth rate versus substrate temperature showed an ALD window from 180 to 195 °C. Crystalline nickel metal was observed by X-ray diffraction for a 60 nm thick film deposited at 180 °C. Films with thicknesses of 18 and 60 nm grown at 180 °C showed low root mean square roughnesses (<2.5% of thicknesses) by atomic force microscopy. X-ray photoelectron spectroscopies of 18 and 60 nm thick films deposited on platinum at 180 °C revealed ionizations consistent with nickel metal after sputtering with argon ions. The nickel content in the films was >97%, with low levels of carbon, nitrogen, and oxygen. Films deposited on ruthenium substrates displayed lower growth rates than those observed on platinum substrates. On copper substrates, discontinuous island growth was observed at ≤1000 cycles. Film growth was not observed on insulating substrates under any conditions. The new nickel metal ALD procedure gives inherently selective deposition on ruthenium and platinum from 160 to 220 °C.

  3. Petrogenesis of Cretaceous volcanic-intrusive complex from the giant Yanbei tin deposit, South China: Implication for multiple magma sources, tin mineralization, and geodynamic setting

    NASA Astrophysics Data System (ADS)

    Li, Qian; Zhao, Kui-Dong; Lai, Pan-Chen; Jiang, Shao-Yong; Chen, Wei

    2018-01-01

    The giant Yanbei tin ore deposit is the largest porphyry-type tin deposit in South China. The orebodies are hosted by the granite porphyry in the central part of the Yanbei volcanic basin in southern Jiangxi Province. The Yanbei volcanic-intrusive complex mainly consists of dacitic-rhyolitic volcanic rocks, granite, granite porphyry and diabase dikes. In previous papers, the granite porphyry was considered as subvolcanic rocks, which came from the same single magma chamber with the volcanic rocks. In this study, zircon U-Pb ages and Hf isotope data, as well as whole-rock geochemical and Sr-Nd isotopic compositions of different magmatic units in the Yanbei complex are reported. Geochronologic results show that various magmatic units have different formation ages. The dacite yielded a zircon U-Pb age of 143 ± 1 Ma, and the granite porphyry has the emplacement age of 138 ± 1 Ma. Diabase dikes which represented the final stage of magmatism, yielded a zircon U-Pb age of 128 ± 1 Ma. Distinctive whole rock Sr-Nd and zircon Hf isotopic compositions suggest that these magmatic units were derived from different magma sources. The volcanic rocks were mainly derived from the partial melting of Paleoproterozoic metasedimentary rocks without additions of mantle-derived magma. The granite porphyry has an A-type geochemical affinity, and was derived from remelting of Paleo-Mesoproterozoic crustal source with involvement of a subordinate mantle-derived magma. The granite porphyry is also a typical stanniferous granite with high F (4070-6090 ppm) and Sn (7-39 ppm) contents. It underwent strongly crystal fractionation of plagioclase, K-feldspar, and accessory minerals (like apatite, Fe-Ti oxides), which may contribute to the tin mineralization. The diabase was derived by partial melting of enriched lithospheric mantle which had been metasomatised by slab-derived fluids. The change of magmatic sources reflected an increasing extensional tectonic environment, perhaps induced by slab

  4. Metalorganic Chemical Vapor Deposition of Ruthenium-Doped Diamond like Carbon Films

    NASA Technical Reports Server (NTRS)

    Sunkara, M. K.; Ueno, M.; Lian, G.; Dickey, E. C.

    2001-01-01

    We investigated metalorganic precursor deposition using a Microwave Electron Cyclotron Resonance (ECR) plasma for depositing metal-doped diamondlike carbon films. Specifically, the deposition of ruthenium doped diamondlike carbon films was investigated using the decomposition of a novel ruthenium precursor, Bis(ethylcyclopentadienyl)-ruthenium (Ru(C5H4C2H5)2). The ruthenium precursor was introduced close to the substrate stage. The substrate was independently biased using an applied RF power. Films were characterized using Fourier Transform Infrared Spectroscopy (FTIR), Transmission Electron Microscopy (TEM) and Four Point Probe. The conductivity of the films deposited using ruthenium precursor showed strong dependency on the deposition parameters such as pressure. Ruthenium doped sample showed the presence of diamond crystallites with an average size of approx. 3 nm while un-doped diamondlike carbon sample showed the presence of diamond crystallites with an average size of 11 nm. TEM results showed that ruthenium was atomically dispersed within the amorphous carbon network in the films.

  5. Electric-field-ratio profiling at the Silsilah tin-bearing greisen deposit, Kingdom of Saudi Arabia

    USGS Publications Warehouse

    Kamilli, R.J.; Zablocki, C.J.

    1993-01-01

    Buried, possibly mineralized granite cupolas at the Silsilah tin deposit in Saudi Arabia have been successfully located using a closely spaced electric-field-ratio profiling technique. In this study electrical fields at 27 and 270 Hz across grounded electrodes spaced 50m apart were measured along six traverses. The technique allowed the authors to identify and distinguish among unroofed granite cupolas, cupolas with their aplite-pegmatite apical contact zones intact, strong and weak greisens, dikes, faults, and pervasively argillized rocks. -from Authors

  6. Plasma-deposited amorphous hydrogenated carbon films and their tribological properties

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Pouch, John J.; Alterovitz, Samuel A.

    1989-01-01

    Recent work on the properties of diamondlike carbon films and their dependence on preparation conditions are reviewed. The results of the study indicate that plasma deposition enables one to deposit a variety of amorphous hydrogenated carbon (a-C:H ) films exhibiting more diamondlike behavior to more graphitic behavior. The plasma-deposited a-C:H can be effectively used as hard, wear-resistant, and protective lubricating films on ceramic materials such as Si(sub 3)N(sub 4) under a variety of environmental conditions such as moist air, dry nitrogrn, and vacuum.

  7. Formation of diamond nanoparticle thin films by electrophoretic deposition

    NASA Astrophysics Data System (ADS)

    Goto, Yosuke; Ohishi, Fujio; Tanaka, Kuniaki; Usui, Hiroaki

    2016-03-01

    Thin films of diamond nanoparticles were prepared by electrophoretic deposition (EPD) using 0.5 wt % dispersions in water, ethanol, and 2-propanol. The film growth rate increased with increasing voltage applied to the electrodes. However, an excessive increase in voltage caused the degradation of film morphology. The optimum voltage was 4 V with an electrode separation of 5 mm. The film growth rate was higher in organic solvents than in water. The deposited film had a smooth surface with an average surface roughness comparable to the size of primary particles of the source material. It is notable that the EPD films had a considerably higher physical stability than spin-coated and cast films. The stability was further improved by thermally annealing the films. IR analysis revealed that the diamond nanoparticles have carboxy and amino groups on their surfaces. It is considered that the stability of the EPD films originate from a chemical reaction between these functional groups.

  8. Electrowetting on plasma-deposited fluorocarbon hydrophobic films for biofluid transport in microfluidics

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bayiati, P.; Tserepi, A.; Petrou, P. S.

    2007-05-15

    The present work focuses on the plasma deposition of fluorocarbon (FC) films on surfaces and the electrostatic control of their wettability (electrowetting). Such films can be employed for actuation of fluid transport in microfluidic devices, when deposited over patterned electrodes. Here, the deposition was performed using C{sub 4}F{sub 8} and the plasma parameters that permit the creation of films with optimized properties desirable for electrowetting were established. The wettability of the plasma-deposited surfaces was characterized by means of contact angle measurements (in the static and dynamic mode). The thickness of the deposited films was probed in situ by means ofmore » spectroscopic ellipsometry, while the surface roughness was provided by atomic force microscopy. These plasma-deposited FC films in combination with silicon nitride, a material of high dielectric constant, were used to create a dielectric structure that requires reduced voltages for successful electrowetting. Electrowetting experiments using protein solutions were conducted on such optimized dielectric structures and were compared with similar structures bearing commercial spin-coated Teflon registered amorphous fluoropolymer (AF) film as the hydrophobic top layer. Our results show that plasma-deposited FC films have desirable electrowetting behavior and minimal protein adsorption, a requirement for successful transport of biological solutions in 'digital' microfluidics.« less

  9. Silicon nitride films deposited with an electron beam created plasma

    NASA Technical Reports Server (NTRS)

    Bishop, D. C.; Emery, K. A.; Rocca, J. J.; Thompson, L. R.; Zamani, H.; Collins, G. J.

    1984-01-01

    The electron beam assisted chemical vapor deposition (EBCVD) of silicon nitride films using NH3, N2, and SiH4 as the reactant gases is reported. The films have been deposited on aluminum, SiO2, and polysilicon film substrates as well as on crystalline silicon substrates. The range of experimental conditions under which silicon nitrides have been deposited includes substrate temperatures from 50 to 400 C, electron beam currents of 2-40 mA, electron beam energies of 1-5 keV, total ambient pressures of 0.1-0.4 Torr, and NH3/SiH4 mass flow ratios of 1-80. The physical, electrical, and chemical properties of the EBCVD films are discussed.

  10. Sputtering Deposition of Sn-Mo-Based Composite Anode for Thin-Film Li-Ion Batteries

    NASA Astrophysics Data System (ADS)

    Mani Chandran, T.; Balaji, S.

    2016-06-01

    The role of electrochemically inactive molybdenum in alleviating the anomalous volume expansion of tin anode upon charge-discharge cycling has been investigated. Tin-molybdenum thin-film composite anodes for Li-ion batteries were prepared using a direct-current sputtering method from a tin metal target incorporating molybdenum element. Results of structural and compositional analyses confirmed the presence of tin and molybdenum. The elemental ratio obtained from energy-dispersive x-ray spectroscopy confirmed the feasibility of tailoring the thin-film composition by varying the ratio of metallic elements present in the sputtering target. Scanning electron micrographs of the samples revealed the occurrence of flower-like open morphology with Mo inclusion in a Sn matrix. The gravimetric discharge capacity for pure Sn, Sn-rich, and Mo-rich samples was 733 mAh g-1, 572 mAh g-1, and 439 mAh g-1, respectively, with capacity retention after 50 cycles of 22%, 61%, and 74%, respectively. Mo inclusion reduced the surface resistivity of the Sn anode after the initial charge-discharge cycle. The charge-transfer resistance after the first cycle for pure Sn, Sn-rich, and Mo-rich samples was 17.395 Ω, 5.345 Ω, and 2.865 Ω, respectively. The lithium-ion diffusion coefficient also increased from 8.68 × 10-8 cm2S-1 for the pure Sn sample to 2.98 × 10-5 cm2S-1 for the Mo-rich sample.

  11. Ion plating technique improves thin film deposition

    NASA Technical Reports Server (NTRS)

    Mattox, D. M.

    1968-01-01

    Ion plating technique keeps the substrate surface clean until the film is deposited, allows extensive diffusion and chemical reaction, and joins insoluble or incompatible materials. The technique involves the deposition of ions on the substrate surface while it is being bombarded with inert gas ions.

  12. Improving the optoelectronic properties of titanium-doped indium tin oxide thin films

    NASA Astrophysics Data System (ADS)

    Taha, Hatem; Jiang, Zhong-Tao; Henry, David J.; Amri, Amun; Yin, Chun-Yang; Mahbubur Rahman, M.

    2017-06-01

    The focus of this study is on a sol-gel method combined with spin-coating to prepare high-quality transparent conducting oxide (TCO) films. The structural, morphological, optical and electrical properties of sol-gel-derived pure and Ti-doped indium tin oxide (ITO) thin films were studied as a function of the concentration of the Ti (i.e. 0 at%, 2 at% and 4 at%) and annealing temperatures (150 °C-600 °C). FESEM measurements indicate that all the films are ˜350 nm thick. XRD analysis confirmed the cubic bixbyite structure of the polycrystalline indium oxide phase for all of the thin films. Increasing the Ti ratio, as well as the annealing temperature, improved the crystallinity of the films. Highly crystalline structures were obtained at 500 °C, with average grain sizes of about 50, 65 and 80 nm for Ti doping of 0 at%, 2 at% and 4 at%, respectively. The electrical and optical properties improved as the annealing temperature increased, with an enlarged electronic energy band gap and an optical absorption edge below 280 nm. In particular, the optical transmittance and electrical resistivity of the samples with a 4 at% Ti content improved from 87% and 7.10 × 10-4 Ω.cm to 92% and 1.6 × 10-4 Ω.cm, respectively. The conductivity, especially for the annealing temperature at 150 °C, is acceptable for many applications such as flexible electronics. These results demonstrate that unlike the more expensive and complex vacuum sputtering process, high-quality Ti-doped ITO films can be achieved by fast processing, simple wet-chemistry, and easy doping level control with the possibility of producing films with high scalability.

  13. Effect of electron-beam deposition process variables on the film characteristics of the CrOx films

    NASA Astrophysics Data System (ADS)

    Chiu, Po-kai; Liao, Yi-Ting; Tsai, Hung-Yin; Chiang, Donyau

    2018-02-01

    The film characteristics and optical properties of the chromium oxide films on the glass substrates prepared by electron-beam deposition with different process variables were investigated. The process variables included are the various oxygen flow rates, the different applied substrate temperatures, and the preparation process in Ar or O2 surrounding environment with and without ion-assisted deposition. The optical constants of the deposited films are determined from the reflectance and transmittance measurements obtained using a spectrophotometer with wavelengths ranging from 350 nm to 2000 nm. The microstructures of the films were examined by the XRD, SEM, and XPS. The electrical conductivity was measured by a four-point probe instrument. The resulting microstructures of all the prepared films are amorphous and the features of the films are dense, uniform and no pillar structure is observed. The refractive index of deposited films decrease with oxygen flow rate increase within studied wavelengths and the extinction coefficients have the same trend in wavelengths of UV/Vis ranges. Increasing substrate temperature to 200 oC results in increase of both refractive index and extinction coefficient, but substrate temperatures below 150 oC show negligible effect on optical constants. The optical and electrical properties in the prepared CrOx films are illustrated by the analyzed XPS results, which decompose the enveloped curve of chromium electron energy status into the constituents of metal Cr, oxides CrO2 and Cr2O3. The relative occupied area contributed from metal Cr and area contributed from the other oxides can express the concentration ratio of free electron to covalent bonds in deposited films and the ratio is applied to explain the film characteristics, including the optical constants and sheet resistance.

  14. Large lattice mismatch effects on the epitaxial growth and magnetic properties of FePt films

    NASA Astrophysics Data System (ADS)

    Deng, Jinyu; Dong, Kaifeng; Yang, Ping; Peng, Yingguo; Ju, Ganping; Hu, Jiangfeng; Chow, Gan Moog; Chen, Jingsheng

    2018-01-01

    Heteroepitaxial film growth is crucial for magnetic and electronic devices. In this work, we reported the effects of the large lattice mismatch and film thickness on the epitaxial growth and magnetic properties of FePt films on ZrxTi1-xN (0 0 1) intermediate layer. FePt films with different thickness were deposited on ZrTiN intermediate layers with various doping concentration of TiN in ZrN. The increase in doping concentration of TiN caused a decrease in the lattice parameters of ZrTiN intermediate layer. It was found that (0 0 1) epitaxy of FePt 10 nm films was only achieved on ZrTiN intermediate layer when the TiN composition was ≥25 vol%, while (0 0 1) texture of 5 nm films was achieved on ZrTiN intermediate layer with a minimum of 50 vol% TiN composition. The in-plane lattice constants of FePt and Zr0.70Ti0.30N (25 vol% TiN) were 3.870 Å and 4.476 Å, respectively, which resulted in a lattice mismatch as large as 15.7%. These large lattice mismatch heterostructures adopted 7/6 domain matching epitaxy. The magneto-crystalline anisotropy of FePt films was improved with the increase in lattice mismatch. Intrinsic magnetic properties were extrapolated for FePt (30 nm)/Zr0.70Ti0.30N (30 nm)/TaN (30 nm)/MgO, and the Ms(0 K) and K1(0 K) were 1042 emu/cc and 5.10 × 107 erg/cc, respectively, which is comparable to that of bulk L10 FePt.

  15. Thin-film preparation by back-surface irradiation pulsed laser deposition using metal powder targets

    NASA Astrophysics Data System (ADS)

    Kawasaki, Hiroharu; Ohshima, Tamiko; Yagyu, Yoshihito; Ihara, Takeshi; Yamauchi, Makiko; Suda, Yoshiaki

    2017-01-01

    Several kinds of functional thin films were deposited using a new thin-film preparation method named the back-surface irradiation pulsed laser deposition (BIPLD) method. In this BIPLD method, powder targets were used as the film source placed on a transparent target holder, and then a visible-wavelength pulsed laser was irradiated from the holder side to the substrate. Using this new method, titanium oxide and boron nitride thin films were deposited on the silicon substrate. Surface scanning electron microscopy (SEM) images suggest that all of the thin films were deposited on the substrate with some large droplets irrespective of the kind of target used. The deposition rate of the films prepared by using this method was calculated from film thickness and deposition time to be much lower than that of the films prepared by conventional PLD. X-ray diffraction (XRD) measurement results suggest that rutile and anatase TiO2 crystal peaks were formed for the films prepared using the TiO2 rutile powder target. Crystal peaks of hexagonal boron nitride were observed for the films prepared using the boron nitride powder target. The crystallinity of the prepared films was changed by annealing after deposition.

  16. Apparatus for laser assisted thin film deposition

    DOEpatents

    Warner, B.E.; McLean, W. II

    1996-02-13

    A pulsed laser deposition apparatus uses fiber optics to deliver visible output beams. One or more optical fibers are coupled to one or more laser sources, and delivers visible output beams to a single chamber, to multiple targets in the chamber or to multiple chambers. The laser can run uninterrupted if one of the deposition chambers ceases to operate because other chambers can continue their laser deposition processes. The laser source can be positioned at a remote location relative to the deposition chamber. The use of fiber optics permits multi-plexing. A pulsed visible laser beam is directed at a generally non-perpendicular angle upon the target in the chamber, generating a plume of ions and energetic neutral species. A portion of the plume is deposited on a substrate as a thin film. A pulsed visible output beam with a high pulse repetition frequency is used. The high pulse repetition frequency is greater than 500 Hz, and more preferably, greater than about 1000 Hz. Diamond-like-carbon (DLC) is one of the thin films produced using the apparatus. 9 figs.

  17. Apparatus for laser assisted thin film deposition

    DOEpatents

    Warner, Bruce E.; McLean, II, William

    1996-01-01

    A pulsed laser deposition apparatus uses fiber optics to deliver visible output beams. One or more optical fibers are coupled to one or more laser sources, and delivers visible output beams to a single chamber, to multiple targets in the chamber or to multiple chambers. The laser can run uninterrupted if one of the deposition chambers ceases to operate because other chambers can continue their laser deposition processes. The laser source can be positioned at a remote location relative to the deposition chamber. The use of fiber optics permits multi-plexing. A pulsed visible laser beam is directed at a generally non-perpendicular angle upon the target in the chamber, generating a plume of ions and energetic neutral species. A portion of the plume is deposited on a substrate as a thin film. A pulsed visible output beam with a high pulse repetition frequency is used. The high pulse repetition frequency is greater than 500 Hz, and more preferably, greater than about 1000 Hz. Diamond-like-carbon (DLC) is one of the thin films produced using the apparatus.

  18. Generation of laser-induced periodic surface structures in indium-tin-oxide thin films and two-photon lithography of ma-N photoresist by sub-15 femtosecond laser microscopy for liquid crystal cell application

    NASA Astrophysics Data System (ADS)

    Klötzer, Madlen; Afshar, Maziar; Feili, Dara; Seidel, Helmut; König, Karsten; Straub, Martin

    2015-03-01

    Indium-tin-oxide (ITO) is a widely used electrode material for liquid crystal cell applications because of its transparency in the visible spectral range and its high electrical conductivity. Important examples of applications are displays and optical phase modulators. We report on subwavelength periodic structuring and precise laser cutting of 150 nm thick indium-tin-oxide films on glass substrates, which were deposited by magnetron reactive DC-sputtering from an indiumtin target in a low-pressure oxygen atmosphere. In order to obtain nanostructured electrodes laser-induced periodic surface structures with a period of approximately 100 nm were generated using tightly focused high-repetition rate sub-15 femtosecond pulsed Ti:sapphire laser light, which was scanned across the sample by galvanometric mirrors. Three-dimensional spacers were produced by multiphoton photopolymerization in ma-N 2410 negative-tone photoresist spin-coated on top of the ITO layers. The nanostructured electrodes were aligned in parallel to set up an electrically switchable nematic liquid crystal cell.

  19. Interlayer utilization (including metal borides) for subsequent deposition of NSD films via microwave plasma CVD on 316 and 440C stainless steels

    NASA Astrophysics Data System (ADS)

    Ballinger, Jared

    Diamond thin films have promising applications in numerous fields due to the extreme properties of diamonds in conjunction with the surface enhancement of thin films. Biomedical applications are numerous including temporary implants and various dental and surgical instruments. The unique combination of properties offered by nanostructured diamond films that make it such an attractive surface coating include extreme hardness, low obtainable surface roughness, excellent thermal conductivity, and chemical inertness. Regrettably, numerous problems exist when attempting to coat stainless steel with diamond generating a readily delaminated film: outward diffusion of iron to the surface, inward diffusion of carbon limiting necessary surface carbon precursor, and the mismatch between the coefficients of thermal expansion yielding substantial residual stress. While some exotic methods have been attempted to overcome these hindrances, the most common approach is the use of an intermediate layer between the stainless steel substrate and the diamond thin film. In this research, both 316 stainless steel disks and 440C stainless steel ball bearings were tested with interlayers including discrete coatings and graded, diffusion-based surface enhancements. Titanium nitride and thermochemical diffusion boride interlayers were both examined for their effectiveness at allowing for the growth of continuous and adherent diamond films. Titanium nitride interlayers were deposited by cathodic arc vacuum deposition on 440C bearings. Lower temperature diamond processing resulted in improved surface coverage after cooling, but ultimately, both continuity and adhesion of the nanostructured diamond films were unacceptable. The ability to grow quality diamond films on TiN interlayers is in agreement with previous work on iron and low alloy steel substrates, and the similarly seen inadequate adhesion strength is partially a consequence of the lacking establishment of an interfacial carbide phase

  20. Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sharma, P.; Bond, J.; Westmore, T.

    1995-12-01

    Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less

  1. Semiconductor gas sensor based on tin oxide nanorods prepared by plasma-enhanced chemical vapor deposition with postplasma treatment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huang Hui; Tan, O.K.; Lee, Y.C.

    2005-10-17

    SnO{sub 2} thin films were deposited by radio-frequency inductively coupled plasma-enhanced chemical vapor deposition. Postplasma treatments were used to modify the microstructure of the as-deposited SnO{sub 2} thin films. Uniform nanorods with dimension of null-set 7x100 nm were observed in the plasma-treated films. After plasma treatments, the optimal operating temperature of the plasma-treated SnO{sub 2} thin films decreased by 80 deg. C, while the gas sensitivity increased eightfold. The enhanced gas sensing properties of the plasma-treated SnO{sub 2} thin film were believed to result from the large surface-to-volume ratio of the nanorods' tiny grain size in the scale comparable tomore » the space-charge length and its unique microstructure of SnO{sub 2} nanorods rooted in SnO{sub 2} thin films.« less

  2. Growth, structure and stability of sputter-deposited MoS2 thin films.

    PubMed

    Kaindl, Reinhard; Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS 2 ) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS 2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS 2 films by magnetron sputtering. MoS 2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO 2 /Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS 2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS 2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS 2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS 2 thin films are discussed. A potential application for such conductive nanostructured MoS 2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS 2 films.

  3. Growth, structure and stability of sputter-deposited MoS2 thin films

    PubMed Central

    Bayer, Bernhard C; Resel, Roland; Müller, Thomas; Skakalova, Viera; Habler, Gerlinde; Abart, Rainer; Cherevan, Alexey S; Eder, Dominik; Blatter, Maxime; Fischer, Fabian; Meyer, Jannik C; Polyushkin, Dmitry K; Waldhauser, Wolfgang

    2017-01-01

    Molybdenum disulphide (MoS2) thin films have received increasing interest as device-active layers in low-dimensional electronics and also as novel catalysts in electrochemical processes such as the hydrogen evolution reaction (HER) in electrochemical water splitting. For both types of applications, industrially scalable fabrication methods with good control over the MoS2 film properties are crucial. Here, we investigate scalable physical vapour deposition (PVD) of MoS2 films by magnetron sputtering. MoS2 films with thicknesses from ≈10 to ≈1000 nm were deposited on SiO2/Si and reticulated vitreous carbon (RVC) substrates. Samples deposited at room temperature (RT) and at 400 °C were compared. The deposited MoS2 was characterized by macro- and microscopic X-ray, electron beam and light scattering, scanning and spectroscopic methods as well as electrical device characterization. We find that room-temperature-deposited MoS2 films are amorphous, of smooth surface morphology and easily degraded upon moderate laser-induced annealing in ambient conditions. In contrast, films deposited at 400 °C are nano-crystalline, show a nano-grained surface morphology and are comparatively stable against laser-induced degradation. Interestingly, results from electrical transport measurements indicate an unexpected metallic-like conduction character of the studied PVD MoS2 films, independent of deposition temperature. Possible reasons for these unusual electrical properties of our PVD MoS2 thin films are discussed. A potential application for such conductive nanostructured MoS2 films could be as catalytically active electrodes in (photo-)electrocatalysis and initial electrochemical measurements suggest directions for future work on our PVD MoS2 films. PMID:28685112

  4. Bulk heterojunction perovskite solar cells based on room temperature deposited hole-blocking layer: Suppressed hysteresis and flexible photovoltaic application

    NASA Astrophysics Data System (ADS)

    Chen, Zhiliang; Yang, Guang; Zheng, Xiaolu; Lei, Hongwei; Chen, Cong; Ma, Junjie; Wang, Hao; Fang, Guojia

    2017-05-01

    Perovskite solar cells have developed rapidly in recent years as the third generation solar cells. In spite of the great improvement achieved, there still exist some issues such as undesired hysteresis and indispensable high temperature process. In this work, bulk heterojunction perovskite-phenyl-C61-butyric acid methyl ester solar cells have been prepared to diminish hysteresis using a facile two step spin-coating method. Furthermore, high quality tin oxide films are fabricated using pulse laser deposition technique at room temperature without any annealing procedure. The as fabricated tin oxide film is successfully applied in bulk heterojunction perovskite solar cells as a hole blocking layer. Bulk heterojunction devices based on room temperature tin oxide exhibit almost hysteresis-free characteristics with power conversion efficiency of 17.29% and 14.0% on rigid and flexible substrates, respectively.

  5. Chemical vapor deposition of silicon, silicon dioxide, titanium and ferroelectric thin films

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    Various silicon-based thin films (such as epitaxial, polycrystalline and amorphous silicon thin films, silicon dioxide thin films and silicon nitride thin films), titanium thin film and various ferroelectric thin films (such as BaTiO3 and PbTiO3 thin films) play critical roles in the manufacture of microelectronics circuits. For the past few years, there have been tremendous interests to search for cheap, safe and easy-to-use methods to develop those thin films with high quality and good step coverage. Silane is a critical chemical reagent widely used to deposit silicon-based thin films. Despite its wide use, silane is a dangerous material. It is pyrophoric, extremely flammable and may explode from heat, shock and/or friction. Because of the nature of silane, serious safety issues have been raised concerning the use, transportation, and storage of compressed gas cylinders of silane. Therefore it is desired to develop safer ways to deposit silicon-based films. In chapter III, I present the results of our research in the following fields: (1) Silane generator, (2) Substitutes of silane for deposition of silicon and silicon dioxide thin films, (3) Substitutes of silane for silicon dioxide thin film deposition. In chapter IV, hydropyridine is introduced as a new ligand for use in constructing precursors for chemical vapor deposition. Detachement of hydropyridine occurs by a low-temperature reaction leaving hydrogen in place of the hydropyridine ligands. Hydropyridine ligands can be attached to a variety of elements, including main group metals, such as aluminum and antimony, transition metals, such as titanium and tantalum, semiconductors such as silicon, and non-metals such as phosphorus and arsenic. In this study, hydropyridine-containing titanium compounds were synthesized and used as chemical vapor deposition precursors for deposition of titanium containing thin films. Some other titanium compounds were also studied for comparison. In chapter V, Chemical Vapor

  6. Induced nano-scale self-formed metal-oxide interlayer in amorphous silicon tin oxide thin film transistors.

    PubMed

    Liu, Xianzhe; Xu, Hua; Ning, Honglong; Lu, Kuankuan; Zhang, Hongke; Zhang, Xiaochen; Yao, Rihui; Fang, Zhiqiang; Lu, Xubing; Peng, Junbiao

    2018-03-07

    Amorphous Silicon-Tin-Oxide thin film transistors (a-STO TFTs) with Mo source/drain electrodes were fabricated. The introduction of a ~8 nm MoO x interlayer between Mo electrodes and a-STO improved the electron injection in a-STO TFT. Mo adjacent to the a-STO semiconductor mainly gets oxygen atoms from the oxygen-rich surface of a-STO film to form MoO x interlayer. The self-formed MoO x interlayer acting as an efficient interface modification layer could conduce to the stepwise internal transport barrier formation while blocking Mo atoms diffuse into a-STO layer, which would contribute to the formation of ohmic contact between Mo and a-STO film. It can effectively improve device performance, reduce cost and save energy for the realization of large-area display with high resolution in future.

  7. Structural studies of ZnO nanostructures by varying the deposition parameters

    NASA Astrophysics Data System (ADS)

    Yunus, S. H. A.; Sahdan, M. Z.; Ichimura, M.; Supee, A.; Rahim, S.

    2017-01-01

    The effect of Zinc Oxide (ZnO) thin film on the growth of ZnO nanorods (NRs) was investigated. The structures of ZnO NRs were synthesized by chemical bath deposition (CBD) method in aqueous solution of N2O6Zn.6H2O and C6H12N4 at 90°C of deposition temperature. One of the ZnO NRs samples was deposited on a ZnO seed layer coated on a glass substrate to investigate the properties of ZnO NRs without receiving effect of other materials. Next, for diode application, the ZnO NRs was deposited on tin monosulfide (SnS) coated on indium-tin-oxide (ITO) coated glass substrate (SnS/ITO). The next, the ZnO structural properties were studied from surface morphology, X-ray diffractometer (XRD) spectra, and chemical composition by using field emission scanning electron microscope (FESEM), XRD and energy dispersive X-ray Spectroscopy (EDX). The growth of ZnO NRs on ZnO seed layer was investigated by ZnO seed layer condition while the growth of ZnO NRs on SnS/ITO was investigated by deposition time and deposition temperature parameters. From FESEM images, aligned ZnO NRs were obtained, and the diameters of ZnO NRs were 0.024-3.94 µm. The SnS thin film was affected by the diameter of ZnO NRs which are the ZnO NRs grow on SnS thin films has a larger diameter compared to ZnO NRs grow on ZnO seed layer. Besides that, all of ZnO peaks observed from XRD corresponding to the wurzite structure and preferentially oriented along the c-axis. In addition, EDX shows a high composition of zinc (Zn) and oxygen (O) signals, which indicated that the NRs are indeed made up of Zn and O.

  8. Microenergetic Shock Initiation Studies on Deposited Films of PETN

    NASA Astrophysics Data System (ADS)

    Tappan, Alexander S.; Wixom, Ryan R.; Trott, Wayne M.; Long, Gregory T.; Knepper, Robert; Brundage, Aaron L.; Jones, David A.

    2009-06-01

    Films of the high explosive PETN (pentaerythritol tetranitrate) up to 500-μm thick have been deposited through physical vapor deposition, with the intent of creating well-defined samples for shock-initiation studies. PETN films were characterized with surface profilometry, scanning electron microscopy, x-ray diffraction, and focused ion beam nanotomography. These high-density films were subjected to strong shocks in both the in-plane and out-of-plane orientations. Initiation behavior was monitored with high-speed framing and streak camera photography. Direct initiation with a donor explosive (either RDX with binder, or CL-20 with binder) was possible in both orientations, but with the addition of a thin aluminum buffer plate (in-plane configuration only), initiation proved to be difficult due to the attenuated shock and the high density of the PETN films. Mesoscale models of microenergetic samples were created using the shock physics code CTH and compared with experimental results. The results of these experiments will be discussed in the context of small sample geometry, deposited film morphology, and density.

  9. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2017-06-27

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves electrochemically exchanging a mediating element on a substrate with a noble metal film by alternatingly sweeping potential in forward and reverse directions for a predetermined number of times in an electrochemical cell. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis.

  10. The thickness effect of pre-deposited catalyst film on carbon nanotube growth by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Wei, Y. Y.; Eres, Gyula; Lowndes, Douglas H.

    2001-03-01

    Chemical vapor deposition (CVD) of multi wall carbon nanotubes (MWCNTs) was realized on a substrate with a layer of iron film used as a catalyst. The catalyst film was pre-deposited in an electron-gun evaporator equipped with a movable shutter which partially blocks the beam during the evaporation process to produce a catalyst film with a continuously changing thickness from 0 to 60 nm. This technique creates a growth environment in which the film thickness is the only variable and eliminates sample-to-sample variations, enabling a systematic study of the thickness effect of the catalyst film on CNT growth. After the deposition of the catalyst film, the sample was immediately transferred into a CVD chamber where CNT growth was performed. Using Acetylene (C_2H_2) as a carbon-source gas, at the substrate temperature of around 700^oC, MWCNTs preferentially grow as a dense mat on the thin regions of the catalyst film. Moreover, beyond a certain critical film thickness no tubes were observed. The critical film thickness for CNT growth was found to increase with substrate temperature from 640^oC to 800^oC. There appears to be no strong correlation between the film thickness and the diameter of the tubes. At the substrate temperature of over 900^oC, the deposited carbon formed graphite sheets surrounding the catalyst particles and no CNTs were observed. A plot of the critical thickness of the catalyst film where CNTs start to grow as a function of the substrate temperature has obtained, which can be served as a reference for selecting the growth parameter in MWCNT growth. The significance of these experimental trends is discussed within the framework of the diffusion model for MWCNT growth.

  11. Stability study of solution-processed zinc tin oxide thin-film transistors

    NASA Astrophysics Data System (ADS)

    Zhang, Xue; Ndabakuranye, Jean Pierre; Kim, Dong Wook; Choi, Jong Sun; Park, Jaehoon

    2015-11-01

    In this study, the environmental dependence of the electrical stability of solution-processed n-channel zinc tin oxide (ZTO) thin-film transistors (TFTs) is reported. Under a prolonged negative gate bias stress, a negative shift in threshold voltage occurs in atmospheric air, whereas a negligible positive shift in threshold voltage occurs under vacuum. In the positive bias-stress experiments, a positive shift in threshold voltage was invariably observed both in atmospheric air and under vacuum. In this study, the negative gate-bias-stress-induced instability in atmospheric air is explained through an internal potential in the ZTO semiconductor, which can be generated owing to the interplay between H2O molecules and majority carrier electrons at the surface of the ZTO film. The positive bias-stress-induced instability is ascribed to electron-trapping phenomenon in and around the TFT channel region, which can be further augmented in the presence of air O2 molecules. These results suggest that the interaction between majority carriers and air molecules will have crucial implications for a reliable operation of solution-processed ZTO TFTs. [Figure not available: see fulltext.

  12. Partially Ionized Beam Deposition of Silicon-Dioxide and Aluminum Thin Films - Defects Generation.

    NASA Astrophysics Data System (ADS)

    Wong, Justin Wai-Chow

    1987-09-01

    Detect formation in SiO_2 and Al thin films and interfaces were studied using a partially ionized beam (PIB) deposition technique. The evaporated species (the deposition material) were partially ionized to give an ion/atom ratio of <=q0.1% and the substrate was biased at 0-5kV during the deposition. The results suggest that due to the ion bombardment, stoichiometric SiO_2 films can be deposited at a low substrate temperature (~300 ^circC) and low oxygen pressure (<=q10^{-4} Torr). Such deposition cannot be achieved using conventional evaporation-deposition techniques. However, traps and mobile ions were observed in the oxide and local melt-down was observed when a sufficiently high electric field was applied to the film. For the PIB Al deposition on the Si substrate, stable Al/Si Schottky contact was formed when the substrate bias was <=q1kV. For a substrate bias of 2.5kV, the capacitance of the Al/Si interface increased dramatically. A model of self-ion implantation with a p-n junction created by the Al^+ ion implantation was proposed and tested to explain the increase of the interface capacitance. Several deep level states at the Al/Si interface were observed using Deep Level Transient Spectroscopy (DLTS) technique when the film was deposited at a bias of 3kV. The PIB Al films deposited on the Si substrate showed unusually strong electromigration resistance under high current density operation. This phenomenon was explained by the highly oriented microstructure of the Al films created by the self-ion bombardment during deposition. These findings show that PIB has potential applications in a number of areas, including low temperature thin film deposition, and epitaxial growth of thin films in the microelectronics thin film industry.

  13. The effects of changing deposition conditions on the similarity of sputter-deposited fluorocarbon thin films to bulk PTFE

    NASA Astrophysics Data System (ADS)

    Zandona, Philip

    Solid lubrication of space-borne mechanical components is essential to their survival and the continued human exploration of space. Recent discoveries have shown that PTFE when blended with alumina nanofillers exhibits greatly improved physical performance properties, with wear rates being reduced by several orders of magnitude. The bulk processes used to produce the PTFE-alumina blends are limiting. Co-sputter deposition of PTFE and a filler material overcomes several of these limitations by enabling the reduction of particle size to the atomic level and also by allowing for the even coating of the solid lubricant on relatively large areas and components. The goal of this study was to establish a baseline performance of the sputtered PTFE films as compared to the bulk material, and to establish deposition conditions that would result in the most bulk-like film possible. In order to coax change in the structure of the sputtered films, sputtering power and deposition temperature were increased independently. Further, post-deposition annealing was applied to half of the deposited film in an attempt to affect change in the film structure. Complications in the characterization process due to increasing film thickness were also examined. Bulk-like metrics for characterization processes the included Fourier transform infrared spectroscopy (FTIR), X-ray spectroscopy (XPS), nanoindentation via atomic force microscopy, and contact angle of water on surface measurements were established. The results of the study revealed that increasing sputtering power and deposition temperature resulted in an increase in the similarity between the fluorocarbon films and the bulk PTFE, at a cost of affecting the potential of the film thicknesses, either by affecting the deposition process directly, or by decreasing the longevity of the sputtering targets.

  14. Tin-gallium-oxide-based UV-C detectors

    NASA Astrophysics Data System (ADS)

    Mukhopadhyay, Partha; Toporkov, Mykyta; Schoenfeld, Winston V.

    2018-02-01

    The emergence of conductive gallium oxide single crystal substrates offers the potential for vertical Schottky detectors operating in the UV-C spectral region. We report here on our recent work in the development of Tin Gallium oxide (TGO) thin film metal-semiconductor-metal (MSM) and Schottky detectors using plasma-assisted molecular beam epitaxy on c plane sapphire and bulk Ga2O3 substrates. Tin alloying of gallium oxide thin films was found to systematically reduce the optical band gap of the compound, providing tunability in the UV-C spectral region. Tin concentration in the TGO epilayers was found to be highly dependent on growth conditions, and Ga flux in particular. First attempts to demonstrate vertical Schottky photodetectors using TGO epilayers on bulk n-type Ga2O3 substrates were successful. Resultant devices showed strong photoresponse to UV-C light with peak responsivities clearly red shifted in comparison to Ga2O3 homoepitaxial Schottky detectors due to TGO alloying.

  15. Deposition of single and layered amorphous fluorocarbon films by C8F18 PECVD

    NASA Astrophysics Data System (ADS)

    Yamauchi, Tatsuya; Mizuno, Kouichiro; Sugawara, Hirotake

    2008-10-01

    Amorphous fluorocarbon films were deposited by plasma-enhanced chemical vapor deposition (PECVD) using C8F18 in closed system at C8F18 pressures 0.1--0.3 Torr, deposition times 1--30 min and plasma powers 20--200 W@. The layered films were composed by repeated PECVD processes. We compared `two-layered' and `intermittently deposited' films, which were made by the PECVD, respectively, with and without renewal of the gas after the deposition of the first layer. The interlayer boundary was observed in the layered films, and that of the intermittently deposited films showed a tendency to be clearer when the deposition time until the interruption of the PECVD was shorter. The film thickness increased linearly in the beginning of the PECVD and it turned down after 10--15 min, that was similar between the single and intermittently deposited films. It was considered that large precursors made at a low decomposition degree of C8F18 contributed to the film deposition in the early phase and that the downturn was due to the development of the C8F18 decomposition. This explanation on the deposition mechanism agrees qualitatively with our experimental data of pressure change and optical emission spectra during the deposition. This work is supported by Grant-in-Aid from Japan Society for the Promotion of Science.

  16. Post-annealing-free, room temperature processed nanocrystalline indium tin oxide thin films for plastic electronics

    NASA Astrophysics Data System (ADS)

    Nyoung Jang, Jin; Jong Lee, You; Jang, YunSung; Yun, JangWon; Yi, Seungjun; Hong, MunPyo

    2016-06-01

    In this study, we confirm that bombardment by high energy negative oxygen ions (NOIs) is the key origin of electro-optical property degradations in indium tin oxide (ITO) thin films formed by conventional plasma sputtering processes. To minimize the bombardment effect of NOIs, which are generated on the surface of the ITO targets and accelerated by the cathode sheath potential on the magnetron sputter gun (MSG), we introduce a magnetic field shielded sputtering (MFSS) system composed of a permanent magnetic array between the MSG and the substrate holder to block the arrival of energetic NOIs. The MFSS processed ITO thin films reveal a novel nanocrystal imbedded polymorphous structure, and present not only superior electro-optical characteristics but also higher gas diffusion barrier properties. To the best of our knowledge, no gas diffusion barrier composed of a single inorganic thin film formed by conventional plasma sputtering processes achieves such a low moisture permeability.

  17. Enhancement of the electrical characteristics of thin-film transistors with indium-zinc-tin oxide/Ag/indium-zinc-tin oxide multilayer electrodes

    NASA Astrophysics Data System (ADS)

    Oh, Dohyun; Yun, Dong Yeol; Cho, Woon-Jo; Kim, Tae Whan

    2014-08-01

    Transparent indium-zinc-tin oxide (IZTO)-based thin-film transistors (TFTs) with IZTO/Ag/IZTO multilayer electrodes were fabricated on glass substrates using a tilted dual-target radio-frequency magnetron sputtering system. The IZTO TFTs with IZTO/Ag/IZTO multilayer electrodes exhibited a high optical transmittance in a visible region. The threshold voltage, the mobility, and the on/off-current ratio of the TFTs with IZTO/Ag/IZTO multilayer electrodes were enhanced in comparison with those of the TFTs with ITO electrodes. The source/drain contact resistance of the IZTO TFTs with IZTO/Ag/IZTO multilayer electrodes was smaller than that of the IZTO TFTs with ITO electrodes, resulting in enhancement of their electrical characteristics.

  18. Capillary assisted deposition of carbon nanotube film for strain sensing

    NASA Astrophysics Data System (ADS)

    Li, Zida; Xue, Xufeng; Lin, Feng; Wang, Yize; Ward, Kevin; Fu, Jianping

    2017-10-01

    Advances in stretchable electronics offer the possibility of developing skin-like motion sensors. Carbon nanotubes (CNTs), owing to their superior electrical properties, have great potential for applications in such sensors. In this paper, we report a method for deposition and patterning of CNTs on soft, elastic polydimethylsiloxane (PDMS) substrates using capillary action. Micropillar arrays were generated on PDMS surfaces before treatment with plasma to render them hydrophilic. Capillary force enabled by the micropillar array spreads CNT solution evenly on PDMS surfaces. Solvent evaporation leaves a uniform deposition and patterning of CNTs on PDMS surfaces. We studied the effect of the CNT concentration and micropillar gap size on CNT coating uniformity, film conductivity, and piezoresistivity. Leveraging the piezoresistivity of deposited CNT films, we further designed and characterized a device for the contraction force measurement. Our capillary assisted deposition method of CNT films showed great application potential in fabrication of flexible CNT thin films for strain sensing.

  19. Fracture Analysis of MWCNT/Epoxy Nanocomposite Film Deposited on Aluminum Substrate.

    PubMed

    Her, Shiuh-Chuan; Chien, Pao-Chu

    2017-04-13

    Multi-walled carbon nanotube (MWCNT) reinforced epoxy films were deposited on an aluminum substrate by a hot-pressing process. Three-point bending tests were performed to determine the Young's modulus of MWCNT reinforced nanocomposite films. Compared to the neat epoxy film, nanocomposite film with 1 wt % of MWCNT exhibits an increase of 21% in the Young's modulus. Four-point-bending tests were conducted to investigate the fracture toughness of the MWCNT/epoxy nanocomposite film deposited on an aluminum substrate with interfacial cracks. Based on the Euler-Bernoulli beam theory, the strain energy in a film/substrate composite beam is derived. The difference of strain energy before and after the propagation of the interfacial crack are calculated, leading to the determination of the strain energy release rate. Experimental test results show that the fracture toughness of the nanocomposite film deposited on the aluminum substrate increases with the increase in the MWCNT content.

  20. Effects of argon addition on a-CNx film deposition by hot carbon filament chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Watanabe, Yoshihisa; Aono, Masami; Yamazaki, Ayumi; Kitazawa, Nobuaki; Nakamura, Yoshikazu

    2002-07-01

    Using a carbon filament which supplies carbon and heat, amorphous carbon nitride (a-CNx) films were prepared on Si (100) substrates by hot filament chemical vapor deposition. Deposition was performed in a low-pressure atmosphere of pure nitrogen and a gas mixture of nitrogen and argon. Effects of argon additions to the nitrogen atmosphere on the film microstructure and interface composition between the film and substrate were studied by field-emission scanning electron microscopy (FESEM) and x-ray photoelectron spectroscopy (XPS). FESEM observations reveal that the film prepared in a pure nitrogen atmosphere has uniform nucleation and a densely packed columnar pieces structure. The film prepared in the nitrogen and argon gas mixture exhibits preferential nucleation and a tapered structure with macroscopic voids. Depth analyses using XPS reveal that the film prepared in pure nitrogen possesses a broad interface, which includes silicon carbide as well as a-CNx, whereas a sharp interface is discerned in the film prepared in the mixed nitrogen and argon gas. We observed that silicon carbide formation is suppressed by an argon addition to the nitrogen atmosphere during deposition. copyright 2002 American Vacuum Society.

  1. Ag films deposited on Si and Ti: How the film-substrate interaction influences the nanoscale film morphology

    NASA Astrophysics Data System (ADS)

    Ruffino, F.; Torrisi, V.

    2017-11-01

    Submicron-thick Ag films were sputter deposited, at room temperature, on Si, covered by the native SiO2 layer, and on Ti, covered by the native TiO2 layer, under normal and oblique deposition angle. The aim of this work was to study the morphological differences in the grown Ag films on the two substrates when fixed all the other deposition parameters. In fact, the surface diffusivity of the Ag adatoms is different on the two substrates (higher on the SiO2 surface) due to the different Ag-SiO2 and Ag-TiO2 atomic interactions. So, the effect of the adatoms surface diffusivity, as determined by the adatoms-substrate interaction, on the final film morphology was analyzed. To this end, microscopic analyses were used to study the morphology of the grown Ag films. Even if the homologous temperature prescribes that the Ag film grows on both substrates in the zone I described by the structure zone model some significant differences are observed on the basis of the supporting substrate. In the normal incidence condition, on the SiO2/Si surface a dense close-packed Ag film exhibiting a smooth surface is obtained, while on the TiO2/Ti surface a more columnar film morphology is formed. In the oblique incidence condition the columnar morphology for the Ag film occurs both on SiO2/Si and TiO2/Ti but a higher porous columnar film is obtained on TiO2/Ti due to the lower Ag diffusivity. These results indicate that the adatoms diffusivity on the substrate as determined by the adatom-surface interaction (in addition to the substrate temperature) strongly determines the final film nanostructure.

  2. Assessment of Reproducibility of Laser Electrospray Mass Spectrometry using Electrospray Deposition of Analyte

    NASA Astrophysics Data System (ADS)

    Sistani, Habiballah; Karki, Santosh; Archer, Jieutonne J.; Shi, Fengjian; Levis, Robert J.

    2017-05-01

    A nonresonant, femtosecond (fs) laser is employed to desorb samples of Victoria blue deposited on stainless steel or indium tin oxide (ITO) slides using either electrospray deposition (ESD) or dried droplet deposition. The use of ESD resulted in uniform films of Victoria blue whereas the dried droplet method resulted in the formation of a ring pattern of the dye. Laser electrospray mass spectrometry (LEMS) measurements of the ESD-prepared films on either substrate were similar and revealed lower average relative standard deviations for measurements within-film (20.9%) and between-films (8.7%) in comparison to dried droplet (75.5% and 40.2%, respectively). The mass spectral response for ESD samples on both substrates was linear (R2 > 0.99), enabling quantitative measurements over the selected range of 7.0 × 10-11 to 2.8 × 10-9 mol, as opposed to the dried droplet samples where quantitation was not possible (R2 = 0.56). The limit of detection was measured to be 210 fmol.

  3. Structural and morphological properties of ITO thin films grown by magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Ghorannevis, Z.; Akbarnejad, E.; Ghoranneviss, M.

    2015-10-01

    Physical properties of transparent and conducting indium tin oxide (ITO) thin films grown by radiofrequency (RF) magnetron sputtering are studied systematically by changing deposition time. The X-ray diffraction (XRD) data indicate polycrystalline thin films with grain orientations predominantly along the (2 2 2) and (4 0 0) directions. From atomic force microscopy (AFM) it is found that by increasing the deposition time, the roughness of the film increases. Scanning electron microscopy (SEM) images show a network of a high-porosity interconnected nanoparticles, which approximately have a pore size ranging between 20 and 30 nm. Optical measurements suggest an average transmission of 80 % for the ITO films. Sheet resistances are investigated using four-point probes, which imply that by increasing the film thickness the resistivities of the films decrease to 2.43 × 10-5 Ω cm.

  4. Dye sensitized solar cell applications of CdTiO3-TiO2 composite thin films deposited from single molecular complex

    NASA Astrophysics Data System (ADS)

    Ehsan, Muhammad Ali; Khaledi, Hamid; Pandikumar, Alagarsamy; Huang, Nay Ming; Arifin, Zainudin; Mazhar, Muhammad

    2015-10-01

    A heterobimetallic complex [Cd2Ti4(μ-O)6(TFA)8(THF)6]·1.5THF (1) (TFA=trifluoroacetato, THF=tetrahydrofuran) comprising of Cd:Ti (1:2) ratio was synthesized by a chemical reaction of cadmium (II) acetate with titanium (IV) isopropoxide and triflouroacetic acid in THF. The stoichiometry of (1) was recognized by single crystal X-ray diffraction, spectroscopic and elemental analyses. Thermal studies revealed that (1) neatly decomposes at 450 °C to furnish 1:1 ratio of cadmium titanate:titania composite oxides material. The thin films of CdTiO3-TiO2 composite oxides were deposited at 550 °C on fluorine doped tin oxide coated conducting glass substrate in air ambient. The micro-structure, crystallinity, phase identification and chemical composition of microspherical architectured CdTiO3-TiO2 composite thin film have been determined by scanning electron microscopy, X-ray diffraction, Raman spectroscopy and energy dispersive X-ray analysis. The scope of composite thin film having band gap of 3.1 eV was explored as photoanode for dye-sensitized solar cell application.

  5. The influence of visible light on transparent zinc tin oxide thin film transistors

    NASA Astrophysics Data System (ADS)

    Görrn, P.; Lehnhardt, M.; Riedl, T.; Kowalsky, W.

    2007-11-01

    The characteristics of transparent zinc tin oxide thin film transistors (TTFTs) upon illumination with visible light are reported. Generally, a reversible decrease of threshold voltage Vth, saturation field effect mobility μsat, and an increase of the off current are found. The time scale of the recovery in the dark is governed by the persistent photoconductivity in the semiconductor. Devices with tuned [Zn]:[Sn] ratio show a shift of Vth of less 2V upon illumination at 5mW/cm2 (brightness >30000cd/m2) throughout the visible spectrum. These results demonstrate TTFTs which are candidates as pixel drivers in transparent active-matrix organic light emitting diode displays.

  6. Properties of RF sputtered cadmium telluride (CdTe) thin films: Influence of deposition pressure

    NASA Astrophysics Data System (ADS)

    Kulkarni, R. R.; Pawbake, A. S.; Waykar, R. G.; Rondiya, S. R.; Jadhavar, A. A.; Pandharkar, S. M.; Karpe, S. D.; Diwate, K. D.; Jadkar, S. R.

    2016-04-01

    Influence of deposition pressure on structural, morphology, electrical and optical properties of CdTe thin films deposited at low substrate temperature (100°C) by RF magnetron sputtering was investigated. The formation of CdTe was confirmed by low angle XRD and Raman spectroscopy. The low angle XRD analysis revealed that the CdTe films have zinc blende (cubic) structure with crystallites having preferred orientation in (111) direction. Raman spectra show the longitudinal optical (LO) phonon mode peak ˜ 165.4 cm-1 suggesting high quality CdTe film were obtained over the entire range of deposition pressure studied. Scanning electron microscopy analysis showed that films are smooth, homogenous, and crack-free with no evidence of voids. The EDAX data revealed that CdTe films deposited at low deposition pressure are high-quality stoichiometric. However, for all deposition pressures, films are rich in Cd relative to Te. The UV-Visible spectroscopy analysis show the blue shift in absorption edge with increasing the deposition pressure while the band gap show decreasing trend. The highest electrical conductivity was obtained for the film deposited at deposition pressure 1 Pa which indicates that the optimized deposition pressure for our sputtering unit is 1 Pa. Based on the experimental results, these CdTe films can be useful for the application in the flexible solar cells and other opto-electronic devices.

  7. Radio-frequency oxygen-plasma-enhanced pulsed laser deposition of IGZO films

    NASA Astrophysics Data System (ADS)

    Chou, Chia-Man; Lai, Chih-Chang; Chang, Chih-Wei; Wen, Kai-Shin; Hsiao, Vincent K. S.

    2017-07-01

    We demonstrate the crystalline structures, optical transmittance, surface and cross-sectional morphologies, chemical compositions, and electrical properties of indium gallium zinc oxide (IGZO)-based thin films deposited on glass and silicon substrates through pulsed laser deposition (PLD) incorporated with radio-frequency (r.f.)-generated oxygen plasma. The plasma-enhanced pulsed laser deposition (PEPLD)-based IGZO thin films exhibited a c-axis-aligned crystalline (CAAC) structure, which was attributed to the increase in Zn-O under high oxygen vapor pressure (150 mTorr). High oxygen vapor pressure (150 mTorr) and low r.f. power (10 W) are the optimal deposition conditions for fabricating IGZO thin films with improved electrical properties.

  8. Chemical vapor deposition reactor. [providing uniform film thickness

    NASA Technical Reports Server (NTRS)

    Chern, S. S.; Maserjian, J. (Inventor)

    1977-01-01

    An improved chemical vapor deposition reactor is characterized by a vapor deposition chamber configured to substantially eliminate non-uniformities in films deposited on substrates by control of gas flow and removing gas phase reaction materials from the chamber. Uniformity in the thickness of films is produced by having reactive gases injected through multiple jets which are placed at uniformally distributed locations. Gas phase reaction materials are removed through an exhaust chimney which is positioned above the centrally located, heated pad or platform on which substrates are placed. A baffle is situated above the heated platform below the mouth of the chimney to prevent downdraft dispersion and scattering of gas phase reactant materials.

  9. Deposition of zinc sulfide thin films by chemical bath process

    NASA Astrophysics Data System (ADS)

    Oladeji, Isaiah O.; Chow, Lee

    1996-11-01

    Deposition of high quality zinc sulfide (ZnS) thin film over a large area is required if it is to be effectively used in electroluminescent devices, solar cells, and other optoelectronic devices. Of all deposition techniques, chemical bath deposition (CBD) is the least costly technique that meets the above requirements. Recently it is found that the growth of ZnS film, of thickness less than 100 nm in a single dip, by CBD is facilitated by the use of ammonia and hydrazine as complexing agents. Here we report that the thickness of the deposited ZnS film can be increased if ammonium salt is used as a buffer. We also present an analytical study to explain our results and to further understand the ZnS growth process in CBD.

  10. Structural characterization of ZnO thin films grown on various substrates by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Novotný, M.; Čížek, J.; Kužel, R.; Bulíř, J.; Lančok, J.; Connolly, J.; McCarthy, E.; Krishnamurthy, S.; Mosnier, J.-P.; Anwand, W.; Brauer, G.

    2012-06-01

    ZnO thin films were grown by pulsed laser deposition on three different substrates: sapphire (0 0 0 1), MgO (1 0 0) and fused silica (FS). The structure and morphology of the films were characterized by x-ray diffraction and scanning electron microscopy and defect studies were carried out using slow positron implantation spectroscopy (SPIS). Films deposited on all substrates studied in this work exhibit the wurtzite ZnO structure and are characterized by an average crystallite size of 20-100 nm. However, strong differences in the microstructure of films deposited on various substrates were found. The ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit local epitaxy, i.e. a well-defined relation between film crystallites and the substrate. Domains with different orientation relationships with the substrate were found in both films. On the other hand, the film deposited on the FS substrate exhibits fibre texture with random lateral orientation of crystallites. Extremely high compressive in-plane stress of σ ˜ 14 GPa was determined in the film deposited on the MgO substrate, while the film deposited on sapphire is virtually stress-free, and the film deposited on the FS substrate exhibits a tensile in-plane stress of σ ˜ 0.9 GPa. SPIS investigations revealed that the concentration of open-volume defects in the ZnO films is substantially higher than that in a bulk ZnO single crystal. Moreover, the ZnO films deposited on MgO and sapphire single-crystalline substrates exhibit a significantly higher density of defects than the film deposited on the amorphous FS substrate.

  11. Effect of fluorine doping on highly transparent conductive spray deposited nanocrystalline tin oxide thin films

    NASA Astrophysics Data System (ADS)

    Moholkar, A. V.; Pawar, S. M.; Rajpure, K. Y.; Bhosale, C. H.; Kim, J. H.

    2009-09-01

    The undoped and fluorine doped thin films are synthesized by using cost-effective spray pyrolysis technique. The dependence of optical, structural and electrical properties of SnO 2 films, on the concentration of fluorine is reported. Optical absorption, X-ray diffraction, scanning electron microscope (SEM) and Hall effect studies have been performed on SnO 2:F (FTO) films coated on glass substrates. The film thickness varies from 800 to 1572 nm. X-ray diffraction pattern reveals the presence of cassiterite structure with (2 0 0) preferential orientation for FTO films. The crystallite size varies from 35 to 66 nm. SEM and AFM study reveals the surface of FTO to be made of nanocrystalline particles. The electrical study reveals that the films are degenerate and exhibit n-type electrical conductivity. The 20 wt% F doped film has a minimum resistivity of 3.8 × 10 -4 Ω cm, carrier density of 24.9 × 10 20 cm -3 and mobility of 6.59 cm 2 V -1 s -1. The sprayed FTO film having minimum resistance of 3.42 Ω/cm 2, highest figure of merit of 6.18 × 10 -2 Ω -1 at 550 nm and 96% IR reflectivity suggest, these films are useful as conducting layers in electrochromic and photovoltaic devices and also as the passive counter electrode.

  12. Sputtering characteristics, crystal structures, and transparent conductive properties of TiOxNy films deposited on α-Al2O3(0 0 0 1) and glass substrates

    NASA Astrophysics Data System (ADS)

    Akazawa, Housei

    2012-12-01

    Adding N2 gas during reactive sputtering of a Ti target prevented the target surface from being severely poisoned by oxygen atoms and sustained a high deposition rate for titanium oxynitride films under metal-mode-like sputtering conditions. With progress in the degree of oxidization, films deposited onto a glass substrate varied from TiO1-xNx having a face-centered cubic (fcc) structure to TiO2-xNx having an anatase structure. Titanium oxynitride films deposited on an Al2O3(0 0 0 1) substrate were epitaxial with major orientations toward the (1 1 1) and (2 0 0) directions for fcc-TiO1-xNx and (1 1 2) for anatase-TiO2-xNx. Intermediately oxidized films between TiO1-xNx and TiO2-xNx were amorphous on the glass substrate but crystallized into a Magneli phase, TinO(N)2n-1, on the Al2O3(0 0 0 1) substrate. Partially substituting oxygen in TiO2 with nitrogen as well as continuously irradiating the growing film surface with a Xe plasma stream preferentially formed anatase rather than rutile. However, the occupation of anion sites with enough oxygen rather than nitrogen was the required condition for anatase crystals to form. The transparent conductive properties of epitaxial TiO2-xNx films on Al2O3(0 0 0 1) were superior to those of microcrystalline films on the glass substrate. Since resistivity and optical transmittance of TiOxNy films vary continuously with changing N2 flow rate, their transparent conductive properties can be controlled more easily than TiOx. Nb5+ ions could be doped as donors in TiO2-xNx anatase crystals.

  13. Effect of growth time on the structure, morphology and optical properties of hydrothermally synthesized TiO2 nanorod thin films

    NASA Astrophysics Data System (ADS)

    Mohapatra, A. K.; Nayak, J.

    2018-05-01

    Titanium dioxide (TiO2) nanorod thin films were deposited on fluorine doped tin oxide coated glass substrates by a single step rapid hydrothermal process. The concentration of the precursor, the temperature of the reaction mixture were optimized in order to enhance the rate of deposition. Unlike the previously reported hydrothermal treatment for 24 - 48 h, the deposition of well aligned titanium dioxide nanorods was achieved in a short time such as 3 - 8 h. The crystal structure of the films were investigated by X-rays diffraction. The morphology of the nanorod films were studied with scanning electron microscopy. The optical properties were studied by photoluminescence spectroscopy.

  14. Fabrication and characterization of highly transparent and conductive indium tin oxide films made with different solution-based methods

    NASA Astrophysics Data System (ADS)

    Xia, N.; Gerhardt, R. A.

    2016-11-01

    Solution-based fabrication methods can greatly reduce the cost and broaden the applications of transparent conducting oxides films, such as indium tin oxide (ITO) films. In this paper, we report on ITO films fabricated by spin coating methods on glass substrates with two different ITO sources: (1) a commercial ITO nanopowder water dispersion and (2) a sol-gel ITO solution. A simple and fast air annealing process was used to treat as-coated ITO films on a controlled temperature hot plate. Thermogravimetric analysis and x-ray diffraction showed that highly crystalline ITO films were formed after the annealing steps. The final ITO films had a good combination of optical properties and electrical properties, especially for films made from five layers of sol-gel ITO (92.66% transmittance and 8.7 × 10-3 Ω cm resistivity). The surface morphology and conducting network on the ITO films were characterized by non-contact and current atomic force microscopy. It was found that conducting paths were only partially connected for the nanoparticle ITO dispersion films, whereas the sol-gel ITO films had a more uniformly distributed conducting network on the surface. We also used the sol-gel ITO films to fabricate a simple liquid crystal display (LCD) device to demonstrate the excellent properties of our films.

  15. Effect of residual gas on structural, electrical and mechanical properties of niobium films deposited by magnetron sputtering deposition

    NASA Astrophysics Data System (ADS)

    Wang, Lanruo; Zhong, Yuan; Li, Jinjin; Cao, Wenhui; Zhong, Qing; Wang, Xueshen; Li, Xu

    2018-04-01

    Magnetron sputtering is an important method in the superconducting thin films deposition. The residual gas inside the vacuum chamber will directly affect the quality of the superconducting films. In this paper, niobium films are deposited by magnetron sputtering under different chamber residual gas conditions. The influence of baking and sputtering process on residual gas are studied as well. Surface morphology, electrical and mechanical properties of the films are analysed. The residual gas analysis result before the sputtering process could be regarded as a reference condition to achieve high quality superconducting thin films.

  16. Vacuum and low oxygen pressure influence on BaFe12O19 film deposited by pulse laser deposition

    NASA Astrophysics Data System (ADS)

    Kumar, Pawan; Gaur, Anurag; Choudhary, R. J.

    2018-05-01

    BaFe12O19 hexaferrite thin films are deposited on Si (111) substrate by the pulse laser deposition (PLD) technique in high vacuum 10-6 Torr and low oxygen pressure (10 mTorr) at 650°C substrate temperature. The effects of high vacuum and low pressure on magnetic and optical properties are studied. These films are characterized by the x-ray diffractometer (XRD), SQUID-VSM magnetometer, and Photo-luminescence spectroscopy. XRD pattern reveals that the BaFe12O19 film well formed in both environments without any impurity pick. High magnetic saturazation 317 emu/cm3 and coercivity 130 Oe are observed for the film deposited in vacuum. Photoluminescence emission spectrum of BaFe12O19 film reveals that the higher intensity emission peak at ˜372 nm under the excitation wavelength of 270 nm is observed for the film grown in vacuum.

  17. Preventing kinetic roughening in physical vapor-phase-deposited films.

    PubMed

    Vasco, E; Polop, C; Sacedón, J L

    2008-01-11

    The growth kinetics of the mostly used physical vapor-phase deposition techniques -molecular beam epitaxy, sputtering, flash evaporation, and pulsed laser deposition-is investigated by rate equations with the aim of testing their suitability for the preparation of ultraflat ultrathin films. The techniques are studied in regard to the roughness and morphology during early stages of growth. We demonstrate that pulsed laser deposition is the best technique for preparing the flattest films due to two key features [use of (i) a supersaturated pulsed flux of (ii) hyperthermal species] that promote a kinetically limited Ostwald ripening mechanism.

  18. Properties of NiO thin films deposited by intermittent spray pyrolysis process

    NASA Astrophysics Data System (ADS)

    Reguig, B. A.; Khelil, A.; Cattin, L.; Morsli, M.; Bernède, J. C.

    2007-02-01

    NiO thin films have been grown on glass substrates by intermittent spray pyrolysis deposition of NiCl 2·6H 2O diluted in distilled water, using a simple "perfume atomizer". The effect of the solution molarity on their properties was studied and compared to those of NiO thin films deposited with a classical spray system. It is shown that NiO thin films crystallized in the NiO structure are achieved after deposition. Whatever the precursor molarity, the grain size is around 25-30 nm. The crystallites are preferentially oriented along the (1 1 1) direction. All the films are p-type. However, the thickness and the conductivity of the NiO films depend on the precursor contraction. By comparison with the properties of films deposited by classical spray technique, it is shown that the critical precursor concentration, which induces strong thin films properties perturbations, is higher when a perfume atomizer is used. This broader stability domain can be attributed to better chlorides decomposition during the rest time used in the perfume atomizer technique.

  19. Effect of Magnesium and Osteoblast Cell Presence on Hydroxyapatite Formation on (Ti,Mg)N Thin Film Coatings

    NASA Astrophysics Data System (ADS)

    Onder, Sakip; Calikoglu-Koyuncu, Ayse Ceren; Torun Kose, Gamze; Kazmanli, Kursat; Kok, Fatma Nese; Urgen, Mustafa

    2017-07-01

    TiN and (Ti,Mg)N thin film coatings were deposited on Ti substrates by an arc-physical vapor deposition technique. The effect of cell presence on hydroxyapatite (HA) formation was investigated using surfaces with four different Mg contents (0, 8.1, 11.31, and 28.49 at.%). Accelerated corrosion above 10 at.% Mg had a negative effect on the performance in terms of both cell proliferation and mineralization. In the absence of cells, Mg-free TiN coatings and low-Mg (8.1 at.%)-doped (Ti,Mg)N surfaces led to an early HA deposition (after 7 days and 14 days, respectively) in cell culture medium (DMEM), but the crystallinity was low. More crystalline HA structures were obtained in the presence of the cells. HA deposits with an ideal Ca/P ratio were obtained at least a week earlier, at day 14, in TiN and low-Mg (8.1 at.%)-doped (Ti,Mg)N compared with that of high-Mg-containing surfaces (>10 at.%). A thicker mineralized matrix was formed on low-Mg (8.1 at.%)-doped (Ti,Mg)N relative to that of the TiN sample. Low-Mg doping (<10 at.%) into TiN coatings resulted in better cell proliferation and thicker mineralized matrix formation, so it could be a promising alternative for hard tissue applications.

  20. Nanostructure iron-silicon thin film deposition using plasma focus device

    NASA Astrophysics Data System (ADS)

    Kotb, M.; Saudy, A. H.; Hassaballa, S.; Eloker, M. M.

    2013-03-01

    The presented study in this paper reports the deposition of nano-structure iron-silicon thin film on a glass substrate using 3.3 KJ Mather-type plasma focus device. The iron-silicon powder was put on the top of hollow copper anode electrode. The deposition was done under different experimental conditions such as numbers of electric discharge shots and angular position of substrate. The film samples were exposed to energetic argon ions generated by plasma focus device at different distances from the top of the central electrode. The exposed samples were then analyzed for their structure and optical properties using X-ray diffraction (XRD) and UV-visible spectroscopy. The structure of iron-silicon thin films deposited using plasma focus device depends on the distance from the anode, the number of focus deposition shots and the angular position of the sample

  1. Photoluminescence and anti-deliquesce of cesium iodide and its sodium-doped films deposited by thermal evaporation at high deposition rates

    NASA Astrophysics Data System (ADS)

    Hsu, Jin-Cherng; Chiang, Yueh-Sheng; Ma, Yu-Sheng

    2013-03-01

    Cesium iodide (CsI) and sodium iodide (NaI) are good scintillators due to their high luminescence efficiency. These alkali halides can be excited by ultra-violet or by ionizing radiation. In this study, CsI and its Na-doped films about 8 μm thick were deposited by thermal evaporation boat without heating substrates at high deposition rates of 30, 50, 70, 90, and 110 nm/sec, respectively. The as-deposited films were sequentially deposited a silicon dioxide film to protect from deliquesce. And, the films were also post-annealed in vacuum at 150, 200, 250, and 300 °C, respectively. We calculated the packing densities of the samples according to the measurements of Fourier transform infrared spectroscopy (FTIR) and observed the luminescence properties by photoluminescence (PL) system. The surfaces and cross sections of the films were investigated by scanning electron microscope (SEM). From the above measurements we can find the optimal deposition rate of 90 nm/sec and post-annealing temperature of 250 °C in vacuum for the asdeposited cesium iodide and its sodium-doped films.

  2. Properties of spray-deposited liquid-phase exfoliated graphene films

    NASA Astrophysics Data System (ADS)

    Sales, Maria Gabriela C.; Dela Vega, Ma. Shanlene D. C.; Vasquez, Magdaleno R., Jr.

    2018-01-01

    In this study, we demonstrate the feasibility of spray-depositing exfoliated graphene on flexible polyimide (PI) and rigid (soda lime glass) substrates for optoelectronic applications. The water contact angles of the substrates increased by 13% (for PI) and 49% (for glass) when the surfaces are pretreated with hexamethyldisiloxane, which significantly improved the adhesion of the films. Raman spectral analyses confirmed a minimum of 15 and a maximum of 23 layers of exfoliated graphene deposited on the substrates. After deposition, the films were exposed to 13.56 MHz radio-frequency plasma containing an admixture of argon and nitrogen gases. Plasma treatment modified the electrical properties with a response analogous to that of a rectifier. A 39% increase in transmittance in the visible region was also observed especially for glass substrates after plasma treatment without a significant change in film electrical conductivity.

  3. Friction and wear of plasma-deposited diamond films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa; Wu, Richard L. C.; Garscadden, Alan; Barnes, Paul N.; Jackson, Howard E.

    1993-01-01

    Reciprocating sliding friction experiments in humid air and in dry nitrogen and unidirectional sliding friction experiments in ultrahigh vacuum were conducted with a natural diamond pin in contact with microwave-plasma-deposited diamond films. Diamond films with a surface roughness (R rms) ranging from 15 to 160 nm were produced by microwave-plasma-assisted chemical vapor deposition. In humid air and in dry nitrogen, abrasion occurred when the diamond pin made grooves in the surfaces of diamond films, and thus the initial coefficients of friction increased with increasing initial surface roughness. The equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. In vacuum the friction for diamond films contacting a diamond pin arose primarily from adhesion between the sliding surfaces. In these cases, the initial and equilibrium coefficients of friction were independent of the initial surface roughness of the diamond films. The equilibrium coefficients of friction were 0.02 to 0.04 in humid air and in dry nitrogen, but 1.5 to 1.8 in vacuum. The wear factor of the diamond films depended on the initial surface roughness, regardless of environment; it increased with increasing initial surface roughness. The wear factors were considerably higher in vacuum than in humid air and in dry nitrogen.

  4. Deposition and characterization of vanadium oxide based thin films for MOS device applications

    NASA Astrophysics Data System (ADS)

    Rakshit, Abhishek; Biswas, Debaleen; Chakraborty, Supratic

    2018-04-01

    Vanadium Oxide films are deposited on Si (100) substrate by reactive RF-sputtering of a pure Vanadium metallic target in an Argon-Oxygen plasma environment. The ratio of partial pressures of Argon to Oxygen in the sputtering-chamber is varied by controlling their respective flow rates and the resultant oxide films are obtained. MOS Capacitor based devices are then fabricated using the deposited oxide films. High frequency Capacitance-Voltage (C-V) and gate current-gate voltage (I-V) measurements reveal a significant dependence of electrical characteristics of the deposited films on their sputtering deposition parameters mainly, the relative content of Argon/Oxygen in the plasma chamber. A noteworthy change in the electrical properties is observed for the films deposited under higher relative oxygen content in the plasma atmosphere. Our results show that reactive sputtering serves as an indispensable deposition-setup for fabricating vanadium oxide based MOS devices tailor-made for Non-Volatile Memory (NVM) applications.

  5. Deposition And Characterization Of Ultra Thin Diamond Like Carbon Films

    NASA Astrophysics Data System (ADS)

    Tomcik, B.

    2010-07-01

    Amorphous hydrogenated and/or nitrogenated carbon films, a-C:H/a-C:N, in overall thickness up to 2 nm are materials of choice as a mechanical and corrosion protection layer of the magnetic media in modern hard disk drive disks. In order to obtain high density and void-free films the sputtering technology has been replaced by different plasma and ion beam deposition techniques. Hydrocarbon gas precursors, like C2H2 or CH4 with H2 and N2 as reactive gases are commonly used in Kaufman DC ion and RF plasma beam sources. Optimum incident energy of carbon ions, C+, is up to 100 eV while the typical ion current densities during the film formation are in the mA/cm2 range. Other carbon deposition techniques, like filtered cathodic arc, still suffer from co-deposition of fine nanosized carbon clusters (nano dust) and their improvements are moving toward arc excitation in the kHz and MHz frequency range. Non-destructive film analysis like μ-Raman optical spectroscopy, spectroscopic ellipsometry, FTIR and optical surface analysis are mainly used in the carbon film characterization. Due to extreme low film thicknesses the surface enhanced Raman spectroscopy (SERS) with pre-deposited layer of Au can reduce the signal collection time and minimize photon-induced damage during the spectra acquisition. Standard approach in the μ-Raman film evaluation is the measurement of the position (shift) and area of D and G-peaks under the deconvoluted overall carbon spectrum. Also, a slope of the carbon spectrum in the 1000-2000 cm-1 wavenumber range is used as a measure of the hydrogen intake within a film. Diamond like carbon (DLC) film should possess elasticity and self-healing properties during the occasional crash of the read-write head flying only couple of nanometers above the spinning film. Film corrosion protection capabilities are mostly evaluated by electrochemical tests, potentio-dynamic and linear polarization method and by business environmental method. Corrosion mechanism

  6. Process-structure-property relationships of micron thick gadolinium oxide films deposited by reactive electron beam-physical vapor deposition (EB-PVD)

    NASA Astrophysics Data System (ADS)

    Grave, Daniel A.

    Gadolinium oxide (Gd2O3) is an attractive material for solid state neutron detection due to gadolinium's high thermal neutron capture cross section. Development of neutron detectors based on Gd2 O3 requires sufficiently thick films to ensure neutron absorption. In this dissertation work, the process-structure-property relationships of micron thick Gd2O3 films deposited by reactive electron-beam physical vapor deposition (EB-PVD) were studied. Through a systematic design of experiments, fundamental studies were conducted to determine the effects of processing conditions such as deposition temperature, oxygen flow rate, deposition rate, and substrate material on Gd2O3 film crystallographic phase, texture, morphology, grain size, density, and surface roughness. Films deposited at high rates (> 5 A/s) were examined via x-ray diffraction (XRD) and Raman spectroscopy. Quantitative phase volume calculations were performed via a Rietveld refinement technique. All films deposited at high rates were found to be fully monoclinic or mixed cubic/monoclinic phase. Generally, increased deposition temperature and increased oxygen flow resulted in increased cubic phase volume. As film thickness increased, monoclinic phase volume increased. Grazing incidence x-ray diffraction (GIXRD) depth profiling analysis showed that cubic phase was only present under large incidence angle (large penetration depth) measurements, and after a certain point, only monoclinic phase was grown. This was confirmed by transmission electron microscopy (TEM) analysis with selected area diffraction (SAD). Based on this information, a large compressive stress was hypothesized to cause the formation of the monoclinic phase and this hypothesis was confirmed by demonstrating the existence of a stress induced phase transition. An experiment was designed to introduce compressive stress into the Gd2O 3 films via ion beam assisted deposition (IBAD). This allowed for systematic increase in compressive stress while

  7. Photoactive lead oxide thin films by spray pyrolysis

    NASA Astrophysics Data System (ADS)

    Bhagat, Dharini; Waldiya, Manmohansingh; Mukhopadhyay, Indrajit

    2018-05-01

    We report the synthesis of photoactive lead monoxide thin films on fluorine doped tin oxide substrate by cost effective spray pyrolysis technique using aqueous solution of lead acetate trihydrate. Influence of substrate temperature on the structural and optical properties of thin films was studied. Polymorph of lead monoxide, litharge (α-PbO), was obtained when the substrate temperature was kept constant at 360 °C. XRD analysis revealed that the deposits were tetragonal structured with preferred orientation along 002 plane. Band gap value was found to be 1.93ev from diffuse reflectance spectra.

  8. Morphogenesis of nanostructures in glancing angle deposition of metal thin film coatings

    NASA Astrophysics Data System (ADS)

    Brown, Timothy James

    Atomic vapors condensed onto solid surfaces form a remarkable category of condensed matter materials, the so-called thin films, with a myriad of compositions, morphological structures, and properties. The dynamic process of atomic condensation exhibits self-assembled pattern formation, producing morphologies with atomic-scale three- dimensional structures of seemingly limitless variety. This study attempts to shed new light on the dynamical growth processes of thin film deposition by analyzing in detail a previously unreported specific distinct emergent structure, a crystalline triangular-shaped spike that grows within copper and silver thin films. I explored the deposition parameters that lead to the growth of these unique structures, referred to as "nanospikes", fabricating approximately 55 thin films and used scanning electron microscopy and x-ray diffraction analysis. The variation of parameters include: vapor incidence angle, film thickness, substrate temperature, deposition rate, deposition material, substrate, and source-to-substrate distance. Microscopy analysis reveals that the silver and copper films deposited at glancing vapor incidence angles, 80 degrees and greater, have a high degree of branching interconnectivity between adjacent inclined nanorods. Diffraction analysis reveals that the vapor incidence angle influences the sub-populations of crystallites in the films, producing two different [110] crystal texture orientations. I hypothesize that the growth of nanospikes from nanorods is initiated by the stochastic arrival of vapor atoms and photons emitted from the deposition source at small diameter nanorods, and then driven by localized heating from vapor condensation and photon absorption. Restricted heat flow due to nanoscale thermal conduction maintains an elevated local temperature at the nanorod, enhancing adatom diffusion and enabling fast epitaxial crystal growth, leading to the formation and growth of nanospikes. Electron microscopy and x

  9. Low temperature deposition of polycrystalline silicon thin films on a flexible polymer substrate by hot wire chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Lee, Sang-hoon; Jung, Jae-soo; Lee, Sung-soo; Lee, Sung-bo; Hwang, Nong-moon

    2016-11-01

    For the applications such as flexible displays and solar cells, the direct deposition of crystalline silicon films on a flexible polymer substrate has been a great issue. Here, we investigated the direct deposition of polycrystalline silicon films on a polyimide film at the substrate temperature of 200 °C. The low temperature deposition of crystalline silicon on a flexible substrate has been successfully made based on two ideas. One is that the Si-Cl-H system has a retrograde solubility of silicon in the gas phase near the substrate temperature. The other is the new concept of non-classical crystallization, where films grow by the building block of nanoparticles formed in the gas phase during hot-wire chemical vapor deposition (HWCVD). The total amount of precipitation of silicon nanoparticles decreased with increasing HCl concentration. By adding HCl, the amount and the size of silicon nanoparticles were reduced remarkably, which is related with the low temperature deposition of silicon films of highly crystalline fraction with a very thin amorphous incubation layer. The dark conductivity of the intrinsic film prepared at the flow rate ratio of RHCl=[HCl]/[SiH4]=3.61 was 1.84×10-6 Scm-1 at room temperature. The Hall mobility of the n-type silicon film prepared at RHCl=3.61 was 5.72 cm2 V-1s-1. These electrical properties of silicon films are high enough and could be used in flexible electric devices.

  10. Structural and gasochromic properties of WO3 films prepared by reactive sputtering deposition

    NASA Astrophysics Data System (ADS)

    Yamamoto, S.; Hakoda, T.; Miyashita, A.; Yoshikawa, M.

    2015-02-01

    The effects of deposition temperature and film thickness on the structural and gasochromic properties of tungsten trioxide (WO3) films used for the optical detection of diluted cyclohexane gas have been investigated. The WO3 films were prepared on SiO2 substrates by magnetron sputtering, with the deposition temperature ranging from 300 to 550 °C in an Ar and O2 gas mixture. The films were characterized by scanning electron microscopy (SEM), x-ray diffraction (XRD), and Rutherford backscattering spectroscopy (RBS). The gasochromic properties of the WO3 films, coated with a catalytic Pt layer, were examined by exposing them to up to 5% cyclohexane in N2 gas. It was found that (001)-oriented monoclinic WO3 films, with a columnar structure, grew at deposition temperatures between 400 and 450 °C. Furthermore, (010)-oriented WO3 films were preferably formed at deposition temperatures higher than 500 °C. The gasochromic characterization of the Pt/WO3 films revealed that (001)-oriented WO3 films, with cauliflower-like surface morphology, were appropriate for the optical detection of cyclohexane gas.

  11. Nanostructuring and texturing of pulsed laser deposited hydroxyapatite thin films

    NASA Astrophysics Data System (ADS)

    Kim, Hyunbin; Catledge, Shane; Vohra, Yogesh; Camata, Renato; Lacefield, William

    2003-03-01

    Hydroxyapatite (HA) [Ca_10(PO_4)_6(OH)_2] is commonly deposited onto orthopedic and dental metallic implants to speed up bone formation around devices, allowing earlier stabilization in a patient. Pulsed laser deposition (PLD) is a suitable means of placing thin HA films on these implants because of its control over stoichiometry, crystallinity, and nanostructure. These characteristics determine the mechanical properties of the films that must be optimized to improve the performance of load-bearing implants and other devices that undergo bone insertion. We have used PLD to produce nanostructured and preferentially oriented HA films and evaluated their mechanical properties. Pure, highly crystalline HA films on Ti-6Al-4V substrates were obtained using a KrF excimer laser (248nm) with energy density of 4-8 J/cm^2 and deposition temperature of 500-700^rcC. Scanning electron and atomic force microscopies reveal that our careful manipulation of energy density and substrate temperature has led to films made up of HA grains in the nanometer scale. Broadening of x-ray diffraction peaks as a function of deposition temperature suggests it may be possible to control the film nanostructure to a great extent. X-ray diffraction also shows that as the laser energy density is increased in the 4-8 J/cm^2 range, the hexagonal HA films become preferentially oriented along the c-axis perpendicular to the substrate. Texture, nanostructure, and phase make-up all significantly influence the mechanical properties. We will discuss how each of these factors affects hardness and Young's modulus of the HA films as measured by nanoindentation.

  12. A Two-Step Absorber Deposition Approach To Overcome Shunt Losses in Thin-Film Solar Cells: Using Tin Sulfide as a Proof-of-Concept Material System

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Steinmann, Vera; Chakraborty, Rupak; Rekemeyer, Paul H.

    2016-08-31

    As novel absorber materials are developed and screened for their photovoltaic (PV) properties, the challenge remains to reproducibly test promising candidates for high-performing PV devices. Many early-stage devices are prone to device shunting due to pinholes in the absorber layer, producing 'false-negative' results. Here, we demonstrate a device engineering solution toward a robust device architecture, using a two-step absorber deposition approach. We use tin sulfide (SnS) as a test absorber material. The SnS bulk is processed at high temperature (400 degrees C) to stimulate grain growth, followed by a much thinner, low-temperature (200 degrees C) absorber deposition. At a lowermore » process temperature, the thin absorber overlayer contains significantly smaller, densely packed grains, which are likely to provide a continuous coating and fill pinholes in the underlying absorber bulk. We compare this two-step approach to the more standard approach of using a semi-insulating buffer layer directly on top of the annealed absorber bulk, and we demonstrate a more than 3.5x superior shunt resistance Rsh with smaller standard error ..sigma..Rsh. Electron-beam-induced current (EBIC) measurements indicate a lower density of pinholes in the SnS absorber bulk when using the two-step absorber deposition approach. We correlate those findings to improvements in the device performance and device performance reproducibility.« less

  13. Vanadium dioxide film protected with an atomic-layer-deposited Al{sub 2}O{sub 3} thin film

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wang, Xiao; Cao, Yunzhen, E-mail: yzhcao@mail.sic.ac.cn; Yang, Chao

    2016-01-15

    A VO{sub 2} film exposed to ambient air is prone to oxidation, which will degrade its thermochromic properties. In this work, the authors deposited an ultrathin Al{sub 2}O{sub 3} film with atomic layer deposition (ALD) to protect the underlying VO{sub 2} film from degradation, and then studied the morphology and crystalline structure of the films. To assess the protectiveness of the Al{sub 2}O{sub 3} capping layer, the authors performed a heating test and a damp heating test. An ultrathin 5-nm-thick ALD Al{sub 2}O{sub 3} film was sufficient to protect the underlying VO{sub 2} film heated at 350 °C. However, in amore » humid environment at prolonged durations, a thicker ALD Al{sub 2}O{sub 3} film (15 nm) was required to protect the VO{sub 2}. The authors also deposited and studied a TiO{sub 2}/Al{sub 2}O{sub 3} bilayer, which significantly improved the protectiveness of the Al{sub 2}O{sub 3} film in a humid environment.« less

  14. Deposition of a-C/B films from o-carborane and trimethyl boron precursors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Geddes, J.B.; Getty, W.D.

    1996-12-31

    Vacuum wall deposition of a-B/C films has had tremendous positive impact on the performance of tokamak fusion reactors. In this work, precursor vapor and helium carrier gas have been used to create a plasma using a novel plasma source. Either trimethyl boron (TMB) or sublimed vapor from o-carborane solid can be used as deposition precursors. The plasma operates in a pressure range of 5 to 15 mTorr and typical flow rates are 5 sccm He plus 0.5-1 sccm o-carborane or TMB vapor. The film deposition rate ranges from less than 100 {angstrom}/minute to over 1,000 {angstrom}/minute. Microwave power levels rangemore » from 300--400 W at 2.45 GHz. The temperature and bias of the substrate can be varied, and the temperature of the substrate is recorded during deposition. The films have been analyzed using XPS. The atomic composition of the films has been measured. The o-carborane films have a much higher boron concentration than those deposited from TMB. The chemical bond characteristics of the different species have also been examined for each type of film. The thickness of the films is measured by profilometry, and this is combined with measurements of the film area and weight to calculate the film density. X-ray diffraction analysis has been performed; no evidence of any crystalline structure was found. Films with a thickness of a few thousand {angstrom} are routinely obtained. Deposition rates were 350 {angstrom}/minute on average.« less

  15. Underpotential deposition-mediated layer-by-layer growth of thin films

    DOEpatents

    Wang, Jia Xu; Adzic, Radoslav R.

    2015-05-19

    A method of depositing contiguous, conformal submonolayer-to-multilayer thin films with atomic-level control is described. The process involves the use of underpotential deposition of a first element to mediate the growth of a second material by overpotential deposition. Deposition occurs between a potential positive to the bulk deposition potential for the mediating element where a full monolayer of mediating element forms, and a potential which is less than, or only slightly greater than, the bulk deposition potential of the material to be deposited. By cycling the applied voltage between the bulk deposition potential for the mediating element and the material to be deposited, repeated desorption/adsorption of the mediating element during each potential cycle can be used to precisely control film growth on a layer-by-layer basis. This process is especially suitable for the formation of a catalytically active layer on core-shell particles for use in energy conversion devices such as fuel cells.

  16. Filtered pulsed cathodic arc deposition of fullerene-like carbon and carbon nitride films

    NASA Astrophysics Data System (ADS)

    Tucker, Mark D.; Czigány, Zsolt; Broitman, Esteban; Näslund, Lars-Åke; Hultman, Lars; Rosen, Johanna

    2014-04-01

    Carbon and carbon nitride films (CNx, 0 ≤ x ≤ 0.26) were deposited by filtered pulsed cathodic arc and were investigated using transmission electron microscopy and X-ray photoelectron spectroscopy. A "fullerene-like" (FL) structure of ordered graphitic planes, similar to that of magnetron sputtered FL-CNx films, was observed in films deposited at 175 °C and above, with N2 pressures of 0 and 0.5 mTorr. Higher substrate temperatures and significant nitrogen incorporation are required to produce similar FL structure by sputtering, which may, at least in part, be explained by the high ion charge states and ion energies characteristic of arc deposition. A gradual transition from majority sp3-hybridized films to sp2 films was observed with increasing substrate temperature. High elastic recovery, an attractive characteristic mechanical property of FL-CNx films, is evident in arc-deposited films both with and without nitrogen content, and both with and without FL structure.

  17. Effect of annealing on structural, optical, and electrical properties of nickel (Ni)/indium tin oxide (ITO) nanostructures prepared by RF magnetron sputtering

    NASA Astrophysics Data System (ADS)

    Sobri, M.; Shuhaimi, A.; Hakim, K. M.; Ganesh, V.; Mamat, M. H.; Mazwan, M.; Najwa, S.; Ameera, N.; Yusnizam, Y.; Rusop, M.

    2014-06-01

    Nickel (Ni)/indium tin oxide (ITO) nanostructures were deposited on glass and silicon (1 1 1) substrates by RF magnetron sputtering using nickel and ITO (In-Sn, 90-10%) targets. The post-deposition annealing has been performed for Ni/ITO films in air. The effect of annealing temperature on the electrical, optical and structural properties of ITO films was studied. We found the appearance of (6 2 2) peak in addition to (4 0 0) and (2 2 2) major peaks, which indicates an enhancement of the film crystallinity at high temperature annealing of 650 °C. The samples show higher transmittance of more than 90% at 470 nm after annealing which is suitable for blue light emitting diode (LED) application. The optical energy bandgap is shifted from 3.51 to 3.65 eV for the Ni/ITO film after annealing at 650 °C. In addition, increasing the annealing temperature improves the film electrical properties. The resistivity value decreases from 3.77 × 10-5 Ω cm to 1.09 × 10-6 Ω cm upon increasing annealing temperature.

  18. The Effect of Film Thickness on the Gas Sensing Properties of Ultra-Thin TiO₂ Films Deposited by Atomic Layer Deposition.

    PubMed

    Wilson, Rachel L; Simion, Cristian Eugen; Blackman, Christopher S; Carmalt, Claire J; Stanoiu, Adelina; Di Maggio, Francesco; Covington, James A

    2018-03-01

    Analyte sensitivity for gas sensors based on semiconducting metal oxides should be highly dependent on the film thickness, particularly when that thickness is on the order of the Debye length. This thickness dependence has previously been demonstrated for SnO₂ and inferred for TiO₂. In this paper, TiO₂ thin films have been prepared by Atomic Layer Deposition (ALD) using titanium isopropoxide and water as precursors. The deposition process was performed on standard alumina gas sensor platforms and microscope slides (for analysis purposes), at a temperature of 200 °C. The TiO₂ films were exposed to different concentrations of CO, CH₄, NO₂, NH₃ and SO₂ to evaluate their gas sensitivities. These experiments showed that the TiO₂ film thickness played a dominant role within the conduction mechanism and the pattern of response for the electrical resistance towards CH₄ and NH₃ exposure indicated typical n -type semiconducting behavior. The effect of relative humidity on the gas sensitivity has also been demonstrated.

  19. Nanomechanical and nanotribological properties of Nb substituted TiN thin films

    NASA Astrophysics Data System (ADS)

    Krishna, M. Ghanashyam; Vasu, K.; Padmanabhan, K. A.

    2012-06-01

    Nanomechanical and nanotribological properties of Ti1-xNbxN (0≤x≤1) thin films were investigated as a function x. The films were deposited onto polycrystalline nuclear grade 316LN stainless steel (SS) substrate by radio frequency magnetron sputtering in 100% N2 plasma. The hardness and Young's modulus increased while the friction coefficient and wear volume decreased with increasing Nb substitution. The highest hardness achieved was 31GPa for x=0.77. At the same Nb concentration, the friction coefficient was 0.15 and the elastic recovery was 60%.

  20. Fundamental tribological properties of ion-beam-deposited boron nitride films

    NASA Technical Reports Server (NTRS)

    Miyoshi, Kazuhisa

    1989-01-01

    The adhesion, friction, and micromechanical properties of ion-beam-deposited boron nitride (BN) films are reviewed. The BN films are examined in contact with BN metals and other harder materials. For simplicity of discussion, the tribological properties of concern in the processes are separated into two parts. First, the pull-off force (adhesion) and the shear force required to break the interfacial junctions between contacting surfaces are discussed. The effects of surface films, hardness of metals, and temperature on tribological response with respect to adhesion and friction are considered. The second part deals with the abrasion of the BN films. Elastic, plastic, and fracture behavior of the BN films in solid-state contact are discussed. The scratch technique of determining the critical load needed to fracture interfacial adhesive bonds of BN films deposited on substrates is also addressed.

  1. Fundamental tribological properties of ion-beam-deposited boron nitride films

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.

    1990-01-01

    The adhesion, friction, and micromechanical properties of ion-beam-deposited boron nitride (BN) films are reviewed. The BN films are examined in contact with BN metals and other harder materials. For simplicity of discussion, the tribological properties of concern in the processes are separated into two parts. First, the pull-off force (adhesion) and the shear force required to break the interfacial junctions between contacting surfaces are discussed. The effects of surface films, hardness of metals, and temperature on tribological response with respect to adhesion and friction are considered. The second part deals with the abrasion of the BN films. Elastic, plastic, and fracture behavior of the BN films in solid-state contact are discussed. The scratch technique of determining the critical load needed to fracture interfacial adhesive bonds of BN films deposited on substrates is also addressed.

  2. Impact of deposition-rate fluctuations on thin-film thickness and uniformity

    DOE PAGES

    Oliver, Joli B.

    2016-11-04

    Variations in deposition rate are superimposed on a thin-film–deposition model with planetary rotation to determine the impact on film thickness. Variations in magnitude and frequency of the fluctuations relative to the speed of planetary revolution lead to thickness errors and uniformity variations up to 3%. Sufficiently rapid oscillations in the deposition rate have a negligible impact, while slow oscillations are found to be problematic, leading to changes in the nominal film thickness. Finally, superimposing noise as random fluctuations in the deposition rate has a negligible impact, confirming the importance of any underlying harmonic oscillations in deposition rate or source operation.

  3. Interfacial development of electrophoretically deposited graphene oxide films on Al alloys

    DOE PAGES

    Jin, Sumin; Dickerson, James H.; Pham, Viet Hung; ...

    2015-07-28

    Adhesion between film and substrate is critical for electronic device and coating applications. Interfacial development between electrophoretically deposited graphene oxide films on Al 1100 and Al 5052 alloys were investigated using FT-IR and XPS depth profiling techniques. Obtained results suggest metal ion permeation from the substrates into deposited graphene oxide films. The interface between the films and the substrates were primarily composed of Al-O-C bonds from oxygenated defects on graphene oxide plane rather than expected Al-C formation. Films heat treated at 150 °C had change in microstructure and peak shifts in XPS spectra suggesting change in chemical structure of bondsmore » between the films and the substrates.« less

  4. Vacuum-deposited, nonpolymeric flexible organic light-emitting devices.

    PubMed

    Gu, G; Burrows, P E; Venkatesh, S; Forrest, S R; Thompson, M E

    1997-02-01

    We demonstrate mechanically flexible, organic light-emitting devices (OLED's) based on the nonpolymetric thin-film materials tris-(8-hydroxyquinoline) aluminum (Alq(3)) and N, N(?) -diphenyl- N, N(?) -bis(3-methylphenyl)1- 1(?) biphenyl-4, 4(?) diamine (TPD). The single heterostructure is vacuum deposited upon a transparent, lightweight, thin plastic substrate precoated with a transparent, conducting indium tin oxide thin film. The flexible OLED performance is comparable with that of conventional OLED's deposited upon glass substrates and does not deteriorate after repeated bending. The large-area (~1 - cm>(2)) devices can be bent without failure even after a permanent fold occurs if they are on the convex substrate surface or over a bend radius of ~0.5>cm if they are on the concave surface. Such devices are useful for ultralightweight, flexible, and comfortable full-color flat panel displays.

  5. Metal-organic chemical vapor deposition of aluminum oxide thin films via pyrolysis of dimethylaluminum isopropoxide

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Schmidt, Benjamin W.; Sweet, William J. III; Rogers, Bridget R.

    2010-03-15

    Metal-organic chemical vapor deposited aluminum oxide films were produced via pyrolysis of dimethylaluminum isopropoxide in a high vacuum reaction chamber in the 417-659 deg. C temperature range. Deposited films contained aluminum, oxygen, and carbon, and the carbon-to-aluminum ratio increased with increased deposition temperature. Aluminum-carbon bonding was observed in films deposited at 659 deg. C by x-ray photoelectron spectroscopy, but not in films deposited at 417 deg. C. The apparent activation energy in the surface reaction controlled regime was 91 kJ/mol. The O/Al and C/Al ratios in the deposited films were greater and less than, respectively, the ratios predicted by themore » stoichiometry of the precursor. Flux analysis of the deposition process suggested that the observed film stoichiometries could be explained by the participation of oxygen-containing background gases present in the reactor at its base pressure.« less

  6. Low temperature deposition of nanocrystalline silicon carbide films by plasma enhanced chemical vapor deposition and their structural and optical characterization

    NASA Astrophysics Data System (ADS)

    Rajagopalan, T.; Wang, X.; Lahlouh, B.; Ramkumar, C.; Dutta, Partha; Gangopadhyay, S.

    2003-10-01

    Nanocrystalline silicon carbide (SiC) thin films were deposited by plasma enhanced chemical vapor deposition technique at different deposition temperatures (Td) ranging from 80 to 575 °C and different gas flow ratios (GFRs). While diethylsilane was used as the source for the preparation of SiC films, hydrogen, argon and helium were used as dilution gases in different concentrations. The effects of Td, GFR and dilution gases on the structural and optical properties of these films were investigated using high resolution transmission electron microscope (HRTEM), micro-Raman, Fourier transform infrared (FTIR) and ultraviolet-visible optical absorption techniques. Detailed analysis of the FTIR spectra indicates the onset of formation of SiC nanocrystals embedded in the amorphous matrix of the films deposited at a temperature of 300 °C. The degree of crystallization increases with increasing Td and the crystalline fraction (fc) is 65%±2.2% at 575 °C. The fc is the highest for the films deposited with hydrogen dilution in comparison with the films deposited with argon and helium at the same Td. The Raman spectra also confirm the occurrence of crystallization in these films. The HRTEM measurements confirm the existence of nanocrystallites in the amorphous matrix with a wide variation in the crystallite size from 2 to 10 nm. These results are in reasonable agreement with the FTIR and the micro-Raman analysis. The variation of refractive index (n) with Td is found to be quite consistent with the structural evolution of these films. The films deposited with high dilution of H2 have large band gap (Eg) and these values vary from 2.6 to 4.47 eV as Td is increased from 80 to 575 °C. The size dependent shift in the Eg value has also been investigated using effective mass approximation. Thus, the observed large band gap is attributed to the presence of nanocrystallites in the films.

  7. Metal-insulator transition in tin doped indium oxide (ITO) thin films: Quantum correction to the electrical conductivity

    NASA Astrophysics Data System (ADS)

    Kaushik, Deepak Kumar; Kumar, K. Uday; Subrahmanyam, A.

    2017-01-01

    Tin doped indium oxide (ITO) thin films are being used extensively as transparent conductors in several applications. In the present communication, we report the electrical transport in DC magnetron sputtered ITO thin films (prepared at 300 K and subsequently annealed at 673 K in vacuum for 60 minutes) in low temperatures (25-300 K). The low temperature Hall effect and resistivity measurements reveal that the ITO thin films are moderately dis-ordered (kFl˜1; kF is the Fermi wave vector and l is the electron mean free path) and degenerate semiconductors. The transport of charge carriers (electrons) in these disordered ITO thin films takes place via the de-localized states. The disorder effects lead to the well-known `metal-insulator transition' (MIT) which is observed at 110 K in these ITO thin films. The MIT in ITO thin films is explained by the quantum correction to the conductivity (QCC); this approach is based on the inclusion of quantum-mechanical interference effects in Boltzmann's expression of the conductivity of the disordered systems. The insulating behaviour observed in ITO thin films below the MIT temperature is attributed to the combined effect of the weak localization and the electron-electron interactions.

  8. High growth rate homoepitaxial diamond film deposition at high temperatures by microwave plasma-assisted chemical vapor deposition

    NASA Technical Reports Server (NTRS)

    Vohra, Yogesh K. (Inventor); McCauley, Thomas S. (Inventor)

    1997-01-01

    The deposition of high quality diamond films at high linear growth rates and substrate temperatures for microwave-plasma chemical vapor deposition is disclosed. The linear growth rate achieved for this process is generally greater than 50 .mu.m/hr for high quality films, as compared to rates of less than 5 .mu.m/hr generally reported for MPCVD processes.

  9. Picosecond laser fabrication of nanostructures on ITO film surface assisted by pre-deposited Au film

    NASA Astrophysics Data System (ADS)

    Yang, H. Z.; Jiang, G. D.; Wang, W. J.; Mei, X. S.; Pan, A. F.; Zhai, Z. Y.

    2017-10-01

    With greater optical penetration depth and lower ablation threshold fluence, it is difficult to directly fabricate large scales of laser-induced periodic surface structures (LIPSSs) on indium-tin-oxide (ITO) films. This study proposed an approach to obtain optimized LIPSSs by sputtering an Au thin film on the ITO film surface. The concept behind the proposal is that the upper layer of the thin Au film can cause surface energy aggregation, inducing the initial ripple structures. The ripples deepened and become clear with lower energy due to optical trapping. The effective mechanism of Au film was analyzed and verified by a series of experiments. Linear sweep, parallel to the laser polarization direction, was performed using a Nd:VAN laser system with 10-ps Q-switched pulse, at a central wavelength of 532 nm, with a repetition rate of 1 kHz. The complete and clear features of the nanostructures, obtained with the periods of approximately 320 nm, were observed on ITO films with proper laser fluence and scanning speed. The depth of ripples was varying in the range of 15-65 nm with clear and coherent ITO films. The preferred efficiency of fabricating nanostructures and the excellent results were obtained at a scanning speed of 2.5 mm/s and a fluence of 0.189 J/cm2. In this way, the ablation and shedding of ITO films was successfully avoided. Thus, the proposed technique can be considered to be a promising method for the laser machining of special nonmetal films.

  10. Silicon nanomembranes as a means to evaluate stress evolution in deposited thin films

    Treesearch

    Anna M. Clausen; Deborah M. Paskiewicz; Alireza Sadeghirad; Joseph Jakes; Donald E. Savage; Donald S. Stone; Feng Liu; Max G. Lagally

    2014-01-01

    Thin-film deposition on ultra-thin substrates poses unique challenges because of the potential for a dynamic response to the film stress during deposition. While theoretical studies have investigated film stress related changes in bulk substrates, little has been done to learn how stress might evolve in a film growing on a compliant substrate. We use silicon...

  11. Synthesis and tribological properties of diamond-like carbon films by electrochemical anode deposition

    NASA Astrophysics Data System (ADS)

    Li, Yang; Zhang, GuiFeng; Hou, XiaoDuo; Deng, DeWei

    2012-06-01

    Diamond-like carbon films (DLC) are deposited on Ti substrate by electrochemical anodic deposition at room temperature in pure methanol solution using a pulsed DC voltage at a range from 200 V to 2000 V. Raman spectroscopy analysis of the films reveals two broaden characteristic absorption peaks centred at ˜1350 cm-1 and 1580 cm-1, relating to D- and G-band of typical DLC films, respectively. A broad peak centred at 1325-1330 cm-1 is observed when an applied potential is 1200 V, which can confirm that the deposited films contained diamond structure phase. Tribological properties of the coated Ti substrates have been measured by means of a ball-on-plate wear test machine. A related growth mechanism of DLC films by the anodic deposition mode has also been discussed.

  12. Antimony sulfide thin films prepared by laser assisted chemical bath deposition

    NASA Astrophysics Data System (ADS)

    Shaji, S.; Garcia, L. V.; Loredo, S. L.; Krishnan, B.; Aguilar Martinez, J. A.; Das Roy, T. K.; Avellaneda, D. A.

    2017-01-01

    Antimony sulfide (Sb2S3) thin films were prepared by laser assisted chemical bath deposition (LACBD) technique. These thin films were deposited on glass substrates from a chemical bath containing antimony chloride, acetone and sodium thiosulfate under various conditions of normal chemical bath deposition (CBD) as well as in-situ irradiation of the chemical bath using a continuous laser of 532 nm wavelength. Structure, composition, morphology, optical and electrical properties of the Sb2S3 thin films produced by normal CBD and LACBD were analyzed by X-Ray diffraction (XRD), Raman Spectroscopy, Atomic force microscopy (AFM), X-Ray photoelectron spectroscopy (XPS), UV-vis spectroscopy and Photoconductivity. The results showed that LACBD is an effective synthesis technique to obtain Sb2S3 thin films for optoelectronic applications.

  13. All-nanoparticle self-assembly ZnO/TiO₂ heterojunction thin films with remarkably enhanced photoelectrochemical activity.

    PubMed

    Yuan, Sujun; Mu, Jiuke; Mao, Ruiyi; Li, Yaogang; Zhang, Qinghong; Wang, Hongzhi

    2014-04-23

    The multilaminated ZnO/TiO2 heterojunction films were successfully deposited on conductive substrates including fluorine-doped tin oxide (FTO) glass and flexible indium tin oxide coated poly(ethylene terephthalate) via the layer-by-layer (LBL) self assembly method from the oxide colloids without using any polyelectrolytes. The positively charged ZnO nanoparticles and the negatively charged TiO2 nanoparticles were directly used as the components in the consecutive deposition process to prepare the heterojunction thin films by varying the thicknesses. Moreover, the crystal growth of both oxides could be efficiently inhibited by the good connection between ZnO and TiO2 nanoparticles even after calcination at 500 °C, especially for ZnO which was able to keep the crystallite size under 25 nm. The as-prepared films were used as the working electrodes in the three-electrode photoelectrochemical cells. Because the well-contacted nanoscale heterojunctions were formed during the LBL self-assembling process, the ZnO/TiO2 all-nanoparticle films deposited on both substrates showed remarkably enhanced photoelectrochemical properties compared to that of the well-established TiO2 LBL thin films with similar thicknesses. The photocurrent response collected from the ZnO/TiO2 electrode on the FTO glass substrate was about five times higher than that collected from the TiO2 electrode. Owing to the absence of the insulating layer of dried polyelectrolytes, the ZnO/TiO2 all-nanoparticle heterojunction films were expected to be used in the photoelectrochemical device before calcination.

  14. Indium tin oxide thin film strain gages for use at elevated temperatures

    NASA Astrophysics Data System (ADS)

    Luo, Qing

    A robust ceramic thin film strain gage based on indium-tin-oxide (ITO) has been developed for static and dynamic strain measurements in advanced propulsion systems at temperatures up to 1400°C. These thin film sensors are ideally suited for in-situ strain measurement in harsh environments such as those encountered in the hot sections of gas turbine engines. A novel self-compensation scheme was developed using thin film platinum resistors placed in series with the active strain element (ITO) to minimize the thermal effect of strain or apparent strain. A mathematical model as well as design rules were developed for the self-compensated circuitry using this approach and close agreement between the model and actual static strain results has been achieved. High frequency dynamic strain tests were performed at temperatures up to 500°C and at frequencies up to 2000Hz to simulate conditions that would be encountered during engine vibration fatigue. The results indicated that the sensors could survive extreme test conditions while maintaining sensitivity. A reversible change in sign of the piezoresistive response from -G to +G was observed in the vicinity of 950°C, suggesting that the change carrier responsible for conduction in the ITO gage had been converted from a net "n-carrier" to a net "p-carrier" semiconductor. Electron spectroscopy for chemical analysis (ESCA) of the ITO films suggested they experienced an interfacial reaction with the Al2O3 substrate at 1400°C. It is likely that oxygen uptake from the substrate is responsible for stabilizing the ITO films to elevated temperatures through the interfacial reaction. Thermo gravimetric analysis of ITO films on alumina at elevated temperatures showed no sublimation of ITO films at temperature up to 1400°C. The surface morphology of ITO films heated to 800, 1200 and 1400°C were also evaluated by atomic force microscopy (AFM). A linear current-voltage (I--V) characteristic indicated that the contact interface

  15. Low-Temperature Wafer-Scale Deposition of Continuous 2D SnS2 Films.

    PubMed

    Mattinen, Miika; King, Peter J; Khriachtchev, Leonid; Meinander, Kristoffer; Gibbon, James T; Dhanak, Vin R; Räisänen, Jyrki; Ritala, Mikko; Leskelä, Markku

    2018-04-19

    Semiconducting 2D materials, such as SnS 2 , hold immense potential for many applications ranging from electronics to catalysis. However, deposition of few-layer SnS 2 films has remained a great challenge. Herein, continuous wafer-scale 2D SnS 2 films with accurately controlled thickness (2 to 10 monolayers) are realized by combining a new atomic layer deposition process with low-temperature (250 °C) postdeposition annealing. Uniform coating of large-area and 3D substrates is demonstrated owing to the unique self-limiting growth mechanism of atomic layer deposition. Detailed characterization confirms the 1T-type crystal structure and composition, smoothness, and continuity of the SnS 2 films. A two-stage deposition process is also introduced to improve the texture of the films. Successful deposition of continuous, high-quality SnS 2 films at low temperatures constitutes a crucial step toward various applications of 2D semiconductors. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  16. In situ electric properties of Ag films deposited on rough substrates

    NASA Astrophysics Data System (ADS)

    Zhou, Hong; Yu, Sen-Jiang; Zhang, Yong-Ju; Chen, Miao-Gen; Jiao, Zhi-Wei; Si, Ping-Zhan

    2013-01-01

    Silver (Ag) films have been deposited on rough substrates (including frosted glass and silicone grease), and for comparison on flat glass, by DC-magnetron sputtering, and their sheet resistances measured in situ during deposition. It is found that the growth of Ag films proceeds through three distinct stages: discontinuous, semi-continuous, and continuous regimes. The sheet resistance on rough substrates jumps in the vicinity of the percolation threshold, whereas the resistance on flat substrates decreases monotonically during deposition. The abnormal in situ electric properties on rough substrates are well explained based on the differences of the growth mechanism and microstructure of Ag films on different substrates.

  17. Thin films deposited by femtosecond pulsed laser ablation of tungsten carbide

    NASA Astrophysics Data System (ADS)

    De Bonis, A.; Teghil, R.; Santagata, A.; Galasso, A.; Rau, J. V.

    2012-09-01

    Ultra-short Pulsed Laser Deposition has been applied to the production of thin films from a tungsten carbide target. The gaseous phase obtained by the laser ablation shows a very weak primary plume, in contrast with a very strong secondary one. The deposited films, investigated by Scanning Electron Microscopy, Atomic Force Microscopy, X-Ray Photoelectron Spectroscopy and X-Ray Diffraction, present a mixture of WC and other phases with lower carbon content. All films are amorphous, independently from the substrate temperature. The characteristics of the deposits have been explained in terms of thermal evaporation and cooling rate of molten particles ejected from the target.

  18. Pulsed laser deposition of YBCO films on ISD MgO buffered metal tapes

    NASA Astrophysics Data System (ADS)

    Ma, B.; Li, M.; Koritala, R. E.; Fisher, B. L.; Markowitz, A. R.; Erck, R. A.; Baurceanu, R.; Dorris, S. E.; Miller, D. J.; Balachandran, U.

    2003-04-01

    Biaxially textured magnesium oxide (MgO) films deposited by inclined-substrate deposition (ISD) are desirable for rapid production of high-quality template layers for YBCO-coated conductors. High-quality YBCO films were grown on ISD MgO buffered metallic substrates by pulsed laser deposition (PLD). Columnar grains with a roof-tile surface structure were observed in the ISD MgO films. X-ray pole figure analysis revealed that the (002) planes of the ISD MgO films are tilted at an angle from the substrate normal. A small full-width at half maximum (FWHM) of approx9° was observed in the phi-scan for ISD MgO films deposited at an inclination angle of 55°. In-plane texture in the ISD MgO films developed in the first approx0.5 mum from the substrate surface, and then stabilized with further increases in film thickness. Yttria-stabilized zirconia and ceria buffer layers were deposited on the ISD MgO grown on metallic substrates prior to the deposition of YBCO by PLD. YBCO films with the c-axis parallel to the substrate normal have a unique orientation relationship with the ISD MgO films. An orientation relationship of YBCOlangle100rangleparallelMgOlangle111rangle and YBCOlangle010rangleparallelMgOlangle110rangle was measured by x-ray pole figure analyses and confirmed by transmission electron microscopy. A Tc of 91 K with a sharp transition and transport Jc of 5.5 × 105 A cm-2 at 77 K in self-field were measured on a YBCO film that was 0.46 mum thick, 4 mm wide and 10 mm long.

  19. A new approach to the deposition of nanostructured biocatalytic films

    NASA Astrophysics Data System (ADS)

    Troitsky, V. I.; Berzina, T. S.; Pastorino, L.; Bernasconi, E.; Nicolini, C.

    2003-06-01

    In the present work, monolayer engineering was used to fabricate biocatalytic nanostructured thin films based on the enzyme penicillin G acylase. The biocatalytic films with enhanced characteristics were produced by the deposition of alternate-layer assemblies with a predetermined structure using a combination of Langmuir-Blodgett and adsorption techniques. The value of enzyme activity and the level of protein detachment were measured in dependence on the variation of film composition and on the sequence of layer alternation. As a result, highly active and stable structures were found, which could be promising candidates for practical applications. The method of modification of the deposition method to provide continuous film formation on large-area supports is discussed.

  20. Microstructure of thermally grown and deposited alumina films probed with positrons

    NASA Astrophysics Data System (ADS)

    Somieski, Bertram; Hulett, Lester D.; Xu, Jun; Pint, Bruce A.; Tortorelli, Peter F.; Nielsen, Bent; Asoka-Kumar, Palakkal; Suzuki, Ryoichi; Ohdaira, Toshiyuki

    1999-03-01

    Aluminum oxide films used for corrosion protection of iron and nickel aluminides were generated by substrate oxidation as well as plasma and physical vapor depositions. The films grown by oxidation were crystalline. The others were amorphous. Defect structures of the films were studied by positron spectroscopy techniques. Lifetimes of the positrons, and Doppler broadening of the γ photons generated by their annihilation, were measured as functions of the energies with which they were injected. In this manner, densities and sizes of the defects were determined as functions of depths from the outer surfaces of the films. Alumina films generated by oxidation had high densities of open volume defects, mainly consisting of a few aggregated vacancies. In the outer regions of the films the structures of the defects did not depend on substrate compositions. Positron lifetime measurements, and the S and W parameters extracted from Doppler broadening spectra, showed uniform distributions of defects in the crystalline Al2O3 films grown on nickel aluminide substrates, but these data indicated intermediate layers of higher defect contents at the film/substrate interfaces of oxides grown on iron aluminide substrates. Amorphous films generated by plasma and physical vapor deposition had much larger open volume defects, which caused the average lifetimes of the injected positrons to be significantly longer. The plasma deposited film exhibited a high density of large cavities.

  1. AFM investigation and optical band gap study of chemically deposited PbS thin films

    NASA Astrophysics Data System (ADS)

    Zaman, S.; Mansoor, M.; Abubakar; Asim, M. M.

    2016-08-01

    The interest into deposition of nanocrystalline PbS thin films, the potential of designing and tailoring both the topographical features and the band gap energy (Eg) by controlling growth parameters, has significant technological importance. Nanocrystalline thin films of lead sulfide were grown onto glass substrates by chemical bath deposition (CBD) method. The experiments were carried out by varying deposition temperature. We report on the modification of structural and optical properties as a function of deposition temperature. The morphological changes of the films were analyzed by using SEM and AFM. AFM was also used to calculate average roughness of the films. XRD spectra indicated preferred growth of cubic phase of PbS films in (200) direction with increasing deposition time. Optical properties have been studied by UV-Spectrophotometer. From the diffused reflectance spectra we have calculated the optical Eg shift from 0.649-0.636 eV with increasing deposition time.

  2. Electrolytically deposited Cadmium Selenide Films for Photovoltaic Applications

    NASA Astrophysics Data System (ADS)

    Dervos, C. T.; Palaiologopoulou, M. D.

    2012-10-01

    CdSe films were electrodeposited on pure nickel substrates. The nickel substrate was polished to a mirror finish by Al2O3 paste, etched in 10% HCl solution for 40 s and rinsed thoroughly by de-ionized water. The deposition bath contained solutions with excessive Cd2+ (0.2M) from CdSO4 and small amounts of SeO2 (1x10-3 M). The pH of the bath was adjusted to a value of 2.2 at RT by adding 10% H2SO4. The bath was first thermostated at the required temperature, which varied from 55°C to 65°C. Plating was accomplished at deposition potential 1000 mV (vs. Hg/Hg2SO4). The films formed had a uniform thickness and it was found to be approximately 2.0 μm thick (for 20 min electrodeposition process. The produced CdSe films were characterized by X-Ray diffraction and SEM. The induced semiconductor doping effect by thermal annealing in pure dry nitrogen gas was also investigated. Gold contacts were placed on top of the CdSe films, either by evaporation, or mechanically. Depending on the deposition parameters the electrical characteristics of the Ni/CdSe/Au structures may exhibit rectification properties. The optical excitation of the structure was investigated for various CdSe thicknesses.

  3. Physical property improvement of IZTO thin films using a hafnia buffer layer

    NASA Astrophysics Data System (ADS)

    Park, Jong-Chan; Kang, Seong-Jun; Choi, Byeong-Gyun; Yoon, Yung-Sup

    2018-01-01

    Hafnia (HfO2) has excellent mechanical and chemical stability, good transmittance, high dielectric constant, and radiation resistance property; thus, it can prevent impurities from permeating into the depositing films. So, we deposited hafnia films with various thicknesses in the range of 0-60 nm on polyethylene naphthalate (PEN) substrates before depositing indium-zinc-tin oxide (IZTO) thin films on them using RF magnetron sputtering, and their structural, morphological, optical, and electrical properties were evaluated. All IZTO thin films were successfully deposited without cracks or pinholes and had amorphous structures. As the thickness of the hafnia film increased to 30 nm, the overall properties improved; a surface roughness of 2.216 nm, transmittance of 82.59% at 550 nm, resistivity of 5.66 × 10-4 Ω cm, sheet resistance of 23.60 Ω/sq, and figure of merit of 6.26 × 10-3 Ω-1 were realized. These results indicate that the structure and materials studied in this research are suitable for application in flexible transparent electronic devices such as organic light emitting diodes, liquid crystal displays, touch panels, and solar cells.

  4. Sputter deposition of indium tin oxide onto zinc pthalocyanine: Chemical and electronic properties of the interface studied by photoelectron spectroscopy

    NASA Astrophysics Data System (ADS)

    Gassmann, Jürgen; Brötz, Joachim; Klein, Andreas

    2012-02-01

    The interface chemistry and the energy band alignment at the interface formed during sputter deposition of transparent conducting indium tin oxide (ITO) onto the organic semiconductor zinc phtalocyanine (ZnPc), which is important for inverted, transparent, and stacked organic light emitting diodes, is studied by in situ photoelectron spectroscopy (XPS and UPS). ITO was sputtered at room temperature and a low power density with a face to face arrangement of the target and substrate. With these deposition conditions, no chemical reaction and a low barrier height for charge injection at this interface are observed. The barrier height is comparable to those observed for the reverse deposition sequence, which also confirms the absence of sputter damage.

  5. Stripe domains and magnetoresistance in thermally deposited nickel films

    NASA Astrophysics Data System (ADS)

    Sparks, P. D.; Stern, N. P.; Snowden, D. S.; Kappus, B. A.; Checkelsky, J. G.; Harberger, S. S.; Fusello, A. M.; Eckert, J. C.

    2004-05-01

    We report a study of the domain structure and magnetoresistance of thermally deposited nickel films. For films thicker than 17nm, we observe striped domains with period varying with film thickness as a power law with exponent 0.21+/-0.02 up to 120nm thickness. There is a negative magnetoresistance for fields out of the plane.

  6. Magnetic properties of LCMO deposited films

    NASA Astrophysics Data System (ADS)

    Park, Seung-Iel; Jeong, Kwang Ho; Cho, Young Suk; Kim, Chul Sung

    2002-04-01

    La-Ca-Mn-O films were deposited with various thickness (500, 1000 and 1500°C) by RF-magnetron sputtering at 700°C and by the spin coating of sol-gel method at 400°C on LaAlO 3(1 0 0) and Si(1 0 0) single-crystal substrates. The crystal structure and chemical composition of the film grown by RF sputtering method were orthorhombic and La 0.89Ca 0.11MnO 3, respectively, while the film prepared by sol-gel spin coating was cubic with La 0.7Ca 0.3MnO 3. The temperature dependence of the resistance for the film grown by RF sputtering method with the thickness of 1000°C shows that a semiconductor-metal transition occurs at 242 K. The relative maximum magnetoresistance is about 273% at 226 K.

  7. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon.

    PubMed

    Ben Slama, Sonia; Hajji, Messaoud; Ezzaouia, Hatem

    2012-08-17

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications.

  8. Crystallization of amorphous silicon thin films deposited by PECVD on nickel-metalized porous silicon

    PubMed Central

    2012-01-01

    Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750°C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications. PMID:22901341

  9. Polymer-assisted aqueous deposition of metal oxide films

    DOEpatents

    Li, DeQuan [Los Alamos, NM; Jia, Quanxi [Los Alamos, NM

    2003-07-08

    An organic solvent-free process for deposition of metal oxide thin films is presented. The process includes aqueous solutions of necessary metal precursors and an aqueous solution of a water-soluble polymer. After a coating operation, the resultant coating is fired at high temperatures to yield optical quality metal oxide thin films.

  10. (abstract) Optical Scattering and Surface Microroughness of Ion Beam Deposited Au and Pt Thin Films

    NASA Technical Reports Server (NTRS)

    Al-Jumaily, Ghanim A.; Raouf, Nasrat A.; Edlou, Samad M.; Simons, John C.

    1994-01-01

    Thin films of gold and platinum have been deposited onto superpolished fused silica substrates using thermal evaporation, ion assisted deposition (IAD), and ion assisted sputtering. The influence of ion beam flux, thin film material, and deposition rate on the films microroughness have been investigated. Short range surface microroughness of the films has been examined using scanning tunneling microscopy (STM) and atomic force microscopy (AFM). Long range surface microroughness has been characterized using an angle resolved optical scatterometer. Results indicate that ion beam deposited coatings have improved microstructure over thermally evaporated films.

  11. Silver-tin alloys and amalgams: electrochemical considerations.

    PubMed

    Mueller, H J

    1980-01-01

    The corrosion potential and anodic polarization profiles of a representative number of silver-tin alloys and their corresponding amalgams in a physiological solution were determined and compared to their microstructures. For the alloys with tin-content greater than 27%(wt) and for all amalgams, the corrosion process is related to the attack of free tin for the alloys and to the gamma-2 tin for the amalgams. The gamma-2 concentration in the amalgams increases with an increase in tin-content. For alloys with tin-content less than 27%, the corrosion process is even more restricted than for the process observed with pure silver. From a developed theory based upon the potential-time and polarization results, association of the O2 reduction process on a SnO cathodic film to an intermediate specie of H2O2 is made. The rate of H2O2 decomposition on a SnO surface in a four electron process is thought to control the O2 reduction overvoltage. The O2 reduction overvoltage decreases with increases in the silver-content of the amalgam, particularily seen with the 8 and 12% tin compositions. Due to the polarization induced corrosion process, a phase with high silver and high mercury concentrations was observed over the unreacted particles.

  12. Deposition and characterization of silicon thin-films by aluminum-induced crystallization

    NASA Astrophysics Data System (ADS)

    Ebil, Ozgenc

    Polycrystalline silicon (poly-Si) as a thin-film solar cell material could have major advantages compared to non-silicon thin-film technologies. In theory, thin-film poly-Si may retain the performance and stability of c-Si while taking advantage of established manufacturing techniques. However, poly-Si films deposited onto foreign substrates at low temperatures typically have an average grain size of 10--50 nm. Such a grain structure presents a potential problem for device performance since it introduces an excessive number of grain boundaries which, if left unpassivated, lead to poor solar cell properties. Therefore, for optimum device performance, the grain size of the poly-Si film should be at least comparable to the thickness of the films. For this project, the objectives were the deposition of poly-Si thin-films with 2--5 mum grain size on glass substrates using in-situ and conventional aluminum-induced crystallization (AIC) and the development of a model for AIC process. In-situ AIC experiments were performed using Hot-Wire Chemical Vapor Deposition (HWCVD) both above and below the eutectic temperature (577°C) of Si-Al binary system. Conventional AIC experiments were performed using a-Si layers deposited on aluminum coated glass substrates by Electron-beam deposition, Plasma Enhanced Chemical Vapor Deposition (PECVD) and HWCVD. Continuous poly-Si films with an average grain size of 10 mum on glass substrates were achieved by both in-situ and conventional aluminum-induced crystallization of Si below eutectic temperature. The grain size was determined by three factors; the grain structure of Al layer, the nature of the interfacial oxide, and crystallization temperature. The interface oxide was found to be crucial for AIC process but not necessary for crystallization itself. The characterization of interfacial oxide layer formed on Al films revealed a bilayer structure containing Al2O3 and Al(OH)3 . The effective activation energy for AIC process was determined

  13. Characteristics of TiO2/ZnO bilayer film towards pH sensitivity prepared by different spin coating deposition process

    NASA Astrophysics Data System (ADS)

    Rahman, Rohanieza Abdul; Zulkefle, Muhammad Al Hadi; Abdullah, Wan Fazlida Hanim; Rusop, M.; Herman, Sukreen Hana

    2016-07-01

    In this study, titanium dioxide (TiO2) and zinc oxide (ZnO) bilayer film for pH sensing application will be presented. TiO2/ZnO bilayer film with different speed of spin-coating process was deposited on Indium Tin Oxide (ITO), prepared by sol-gel method. This fabricated bilayer film was used as sensing membrane for Extended Gate Field-Effect Transistor (EGFET) for pH sensing application. Experimental results indicated that the sensor is able to detect the sensitivity towards pH buffer solution. In order to obtained the result, sensitivity measurement was done by using the EGFET setup equipment with constant-current (100 µA) and constant-voltage (0.3 V) biasing interfacing circuit. TiO2/ZnO bilayer film which the working electrode, act as the pH-sensitive membrane was connected to a commercial metal-oxide semiconductor FET (MOSFET). This MOSFET then was connected to the interfacing circuit. The sensitivity of the TiO2 thin film towards pH buffer solution was measured by dipping the sensing membrane in pH4, pH7 and pH10 buffer solution. These thin films were characterized by using Field Emission Scanning Electron Microscope (FESEM) to obtain the surface morphology of the composite bilayer films. In addition, I-V measurement was done in order to determine the electrical properties of the bilayer films. According to the result obtained in this experiment, bilayer film that spin at 4000 rpm, gave highest sensitivity which is 52.1 mV/pH. Relating the I-V characteristic of the thin films and sensitivity, the sensing membrane with higher conductivity gave better sensitivity.

  14. Indium oxide-based transparent conductive films deposited by reactive sputtering using alloy targets

    NASA Astrophysics Data System (ADS)

    Miyazaki, Yusuke; Maruyama, Eri; Jia, Junjun; Machinaga, Hironobu; Shigesato, Yuzo

    2017-04-01

    High-quality transparent conductive oxide (TCO) films, Sn-doped In2O3 (ITO) and In2O3-ZnO (IZO), were successfully deposited on either synthetic silica or polyethylene terephthalate (PET) substrates in the “transition region” by reactive dc magnetron sputtering using In-Zn and In-Sn alloy targets, respectively, with a specially designed plasma emission feedback system. The composition, crystallinity, surface morphology, and electrical and optical properties of the films were analyzed. All of the IZO films were amorphous, whereas the ITO films were polycrystalline over a wide range of deposition conditions. The minimum resistivities of the IZO and ITO films deposited on the heated PET substrates at 150 °C were 3.3 × 10-4 and 5.4 × 10-4 Ω·cm, respectively. By applying rf bias to unheated PET substrates, ITO films with a resistivity of 4.4 × 10-4 Ω·cm were deposited at a dc self-bias voltage of -60 V.

  15. Efficient photovoltaic heterojunctions of indium tin oxides on silicon

    NASA Technical Reports Server (NTRS)

    Dubow, J. B.; Sites, J. R.; Burk, D. E.

    1976-01-01

    Heterojunction diodes of indium tin oxide films sputtered on to p-silicon using ion-beam techniques display significant photovoltaic effects when exposed to sunlight. Galvanomagnetic and optical measurements confirm that the oxide films are highly degenerate transparent semiconductors. At a tin oxide concentration of 10%, an open-circuit voltage of 0.51 V was observed along with a short-circuit current of 32 mA/sq cm, a fill factor of 0.70, and a conversion efficiency of 12%. As the concentration was raised to 70%, the voltage remained steady, the current fell to 27 mA/sq cm, and the fill factor fell to 0.60

  16. Comparison of the properties of Pb thin films deposited on Nb substrate using thermal evaporation and pulsed laser deposition techniques

    NASA Astrophysics Data System (ADS)

    Perrone, A.; Gontad, F.; Lorusso, A.; Di Giulio, M.; Broitman, E.; Ferrario, M.

    2013-11-01

    Pb thin films were prepared at room temperature and in high vacuum by thermal evaporation and pulsed laser deposition techniques. Films deposited by both the techniques were investigated by scanning electron microscopy to determine their surface topology. The structure of the films was studied by X-ray diffraction in θ-2θ geometry. The photoelectron performances in terms of quantum efficiency were deduced by a high vacuum photodiode cell before and after laser cleaning procedures. Relatively high quantum efficiency (>10-5) was obtained for all the deposited films, comparable to that of corresponding bulk. Finally, film to substrate adhesion was also evaluated using the Daimler-Benz Rockwell-C adhesion test method. Weak and strong points of these two competitive techniques are illustrated and discussed.

  17. Filtered pulsed cathodic arc deposition of fullerene-like carbon and carbon nitride films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tucker, Mark D., E-mail: martu@ifm.liu.se; Broitman, Esteban; Näslund, Lars-Åke

    Carbon and carbon nitride films (CN{sub x}, 0 ≤ x ≤ 0.26) were deposited by filtered pulsed cathodic arc and were investigated using transmission electron microscopy and X-ray photoelectron spectroscopy. A “fullerene-like” (FL) structure of ordered graphitic planes, similar to that of magnetron sputtered FL-CN{sub x} films, was observed in films deposited at 175 °C and above, with N{sub 2} pressures of 0 and 0.5 mTorr. Higher substrate temperatures and significant nitrogen incorporation are required to produce similar FL structure by sputtering, which may, at least in part, be explained by the high ion charge states and ion energies characteristic of arc deposition. A gradualmore » transition from majority sp{sup 3}-hybridized films to sp{sup 2} films was observed with increasing substrate temperature. High elastic recovery, an attractive characteristic mechanical property of FL-CN{sub x} films, is evident in arc-deposited films both with and without nitrogen content, and both with and without FL structure.« less

  18. Effects of local film properties on the nucleation and growth of tin whiskers and hillocks

    NASA Astrophysics Data System (ADS)

    Sarobol, Pylin

    Whiskers and hillocks grow spontaneously on Pb-free Sn electrodeposited films as a response to thin film stresses. Stress relaxation occurs by atom deposition to specific grain boundaries in the plane of the film, with hillocks being formed when grain boundary migration accompanies growth out of the plane of the film. The implication for whisker formation in electronics is serious: whiskers can grow to be millimeters long, sometimes causing short circuiting between adjacent components and, thereby, posing serious electrical reliability risks. In order to develop more effective whisker mitigation strategies, a predictive physics-based model has been needed. A growth model is developed, based on grain boundary faceting, localized Coble creep, as well as grain boundary sliding for whiskers, and grain boundary sliding with shear induced grain boundary migration for hillocks. In this model of whisker formation, two mechanisms are important: accretion of atoms by Coble creep on grain boundary planes normal to the growth direction inducing a grain boundary shear and grain boundary sliding in the direction of whisker growth. The model accurately captures the importance of the geometry of "surface grains"---shallow grains on film surfaces whose depths are significantly less than their in-plane grain sizes. A critical factor in the analysis is the ratio of the grain boundary sliding coefficient to the in-plane film compressive stress. If the accretion-induced shear stresses are not coupled to grain boundary motion and sliding occurs, a whisker forms. If the shear stress is coupled to grain boundary migration, a hillock forms. Based on this model, long whiskers grow from shallow surface grains with easy grain boundary sliding in the direction of growth. Other observed growth morphologies will be discussed in light of our model. Additional insights into the preferred sites for whisker and hillock growth were developed based on elastic anisotropy, local film microstructure

  19. Near-failure detonation behavior of vapor-deposited hexanitrostilbene (HNS) films

    NASA Astrophysics Data System (ADS)

    Knepper, Robert; Wixom, Ryan R.; Marquez, Michael P.; Tappan, Alexander S.

    2017-01-01

    Hexanitrostilbene (HNS) films were deposited onto polycarbonate substrates using vacuum thermal sublimation. The deposition conditions were varied in order to alter porosity in the films, and the resulting microstructures were quantified by analyzing ion-polished cross-sections using scanning electron microscopy. The effects of these changes in microstructure on detonation velocity and the critical thickness needed to sustain detonation were determined. The polycarbonate substrates also acted as recording plates for detonation experiments, and films near the critical thickness displayed distinct patterns in the dent tracks that indicate instabilities in the detonation front when approaching failure conditions.

  20. Deposition of functional nanoparticle thin films by resonant infrared laser ablation.

    NASA Astrophysics Data System (ADS)

    Haglund, Richard; Johnson, Stephen; Park, Hee K.; Appavoo, Kannatessen

    2008-03-01

    We have deposited thin films containing functional nanoparticles, using tunable infrared light from a picosecond free-electron laser (FEL). Thin films of the green light-emitting molecule Alq3 were first deposited by resonant infrared laser ablation at 6.68 μm, targeting the C=C ring mode of the Alq3. TiO2 nanoparticles 50-100 nm diameter were then suspended in a water matrix, frozen, and transferred by resonant infrared laser ablation at 2.94 μm through a shadow mask onto the Alq3 film. Photoluminescence was substantially enhanced in the regions of the film covered by the TiO2 nanoparticles. In a second experiment, gold nanoparticles with diameters in the range of 50-100 nm were suspended in the conducting polymer and anti-static coating material PEDOT:PSS, which was diluted by mixing with N-methyl pyrrolidinone (NMP). The gold nanoparticle concentration was 8-10% by weight. The mixture was frozen and then ablated by tuning the FEL to 3.47 μm, the C-H stretch mode of NMP. Optical spectroscopy of the thin film deposited by resonant infrared laser ablation exhibited the surface-plasmon resonance characteristic of the Au nanoparticles. These experiments illustrate the versatility of matrix-assisted resonant infrared laser ablation as a technique for depositing thin films containing functionalized nanoparticles.

  1. Impact of thickness on the structural properties of high tin content GeSn layers

    NASA Astrophysics Data System (ADS)

    Aubin, J.; Hartmann, J. M.; Gassenq, A.; Milord, L.; Pauc, N.; Reboud, V.; Calvo, V.

    2017-09-01

    We have grown various thicknesses of GeSn layers in a 200 mm industrial Reduced Pressure - Chemical Vapor Deposition cluster tool using digermane (Ge2H6) and tin tetrachloride (SnCl4). The growth pressure (100 Torr) and the F(Ge2H6)/F(SnCl4) mass-flow ratio were kept constant, and incorporation of tin in the range of 10-15% was achieved with a reduction in temperature: 325 °C for 10% to 301 °C for 15% of Sn. The layers were grown on 2.5 μm thick Ge Strain Relaxed Buffers, themselves on Si(0 0 1) substrates. We used X-ray Diffraction, Atomic Force Microscopy, Raman spectroscopy and Scanning Electron Microscopy to measure the Sn concentration, the strain state, the surface roughness and thickness as a function of growth duration. A dramatic degradation of the film was seen when the Sn concentration and layer thickness were too high resulting in rough/milky surfaces and significant Sn segregation.

  2. Pulsed laser deposition of functionalized Mg-Al layered double hydroxide thin films

    NASA Astrophysics Data System (ADS)

    Vlad, A.; Birjega, R.; Tirca, I.; Matei, A.; Mardare, C. C.; Hassel, A. W.; Nedelcea, A.; Dinescu, M.; Zavoianu, R.

    2018-02-01

    In this paper, magnesium-aluminium layered double hydroxide (LDH) has been functionalized with sodium dodecyl sulfate (DS) and deposited as thin film by pulsed laser deposition (PLD). Mg, Al-LDH powders were prepared by co-precipitation and used as reference material. Intercalation of DS as an anionic surfactant into the LDHs host layers has been prepared in two ways: co-precipitation (P) and reconstruction (R). DS intercalation occurred in LDH powder via both preparation methods. The films deposited via PLD, in particular at 532 and 1064 nm, preserve the organic intercalated layered structure of the targets prepared from these powders. The results reveal the ability of proposed deposition technique to produce functional composite organo-modified LDHs thin films.

  3. Influence of solution deposition rate on properties of V2O5 thin films deposited by spray pyrolysis technique

    NASA Astrophysics Data System (ADS)

    Abd-Alghafour, N. M.; Ahmed, Naser M.; Hassan, Zai; Mohammad, Sabah M.

    2016-07-01

    Vanadium oxide (V2O5) thin films were deposited on glass substrates by using a cost-efficient spray pyrolysis technique. The films were grown at 350° through thermal decomposition of VCl3 in deionized water with different solution spray rates. The high resolution X-ray diffraction results revealed the formation of nanocrystalline films having orthorhombic structures with preferential orientation along (101) direction. The spray rate influenced the surface morphology and crystallite size of the films. The crystallite size was found to increase whereas the micro-strain was decreased by increasing the spray deposition rates. The increase in crystallite size and decrease in the macrostrain resulted in an improvement in the films' crystallinity. The UV-Visible spectroscopy analysis indicated that the average transmittance of all films lies in the range 75-80 %. The band gap of V2O5 film was decreased from 2.65 to 2.46 eV with increase of the spray deposition rate from 5 ml/min to 10 ml/min. first, second, and third level headings (first level heading).

  4. Mechanical and physicochemical properties of AlN thin films obtained by pulsed laser deposition

    NASA Astrophysics Data System (ADS)

    Cibert, C.; Tétard, F.; Djemia, P.; Champeaux, C.; Catherinot, A.; Tétard, D.

    2004-10-01

    AlN thin films have been deposited on Si(100) substrates by a pulsed laser deposition method. The deposition parameters (pressure, temperature, purity of target) play an important role in the mechanical and physicochemical properties. The films have been characterized using X-ray diffraction, atomic force microscopy, Brillouin light scattering, Fourier transform infrared spectroscopy and wettability testing. With a high purity target of AlN and a temperature deposition of 750 ∘C, the measured Rayleigh wave velocity is close to the one previously determined for AlN films grown at high temperature by metal-organic chemical vapour deposition. Growth of nanocrystalline AlN at low temperature and of AlN film with good crystallinity for samples deposited at higher temperature is confirmed by infrared spectroscopy, as it was by atomic force microscopy, in agreement with X-ray diffraction results. A high hydrophobicity has been measured with zero polar contribution for the surface energy. These results confirm that films made by pulsed laser deposition of pure AlN at relatively low temperature have good prospects for microelectromechanical systems applications.

  5. Dense nanocrystalline yttrium iron garnet films formed at room temperature by aerosol deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Johnson, Scooter D., E-mail: scooter.johnson@nrl.navy.mil; Glaser, Evan R.; Cheng, Shu-Fan

    Highlights: • We deposit yttrium iron garnet films at room temperature using aerosol deposition. • Films are 96% of theoretical density for yttrium iron garnet. • We report magnetic and structural properties post-deposition and post-annealing. • Low-temperature annealing decreases the FMR linewidth. • We discuss features of the FMR spectra at each anneal temperature. - Abstract: We have employed aerosol deposition to form polycrystalline yttrium iron garnet (YIG) films on sapphire at room temperature that are 90–96% dense. We characterize the structural and dynamic magnetic properties of the dense films using scanning electron microscopy, X-ray diffraction, and ferromagnetic resonance techniques.more » We find that the as-deposited films are pure single-phase YIG formed of compact polycrystallites ∼20 nm in size. The ferromagnetic resonance mode occurs at 2829 G with a linewidth of 308 G. We perform a series of successive anneals up to 1000 °C on a film to explore heat treatment on the ferromagnetic resonance linewidth. We find the narrowest linewidth of 98 G occurs after a 750 °C anneal.« less

  6. Wear of tin coating and Al-Si alloy substrate against carburized steel under mixed lubrication

    NASA Astrophysics Data System (ADS)

    Wang, Q.; Cheng, H. S.; Fine, M. E.

    1994-04-01

    Tin coatings on Al-Si alloys are widely used in the automotive industries. The soft tin coating and the harder substrate alloy form a tribological system with the advantages of low friction and reasonably high load-bearing capacity. Wear tests of tin coated Al-Si Z332 alloy in conformal contact against carburized 1016 steel have been carried out under mixed lubrications with SAE 10W30 oil to study the wear mechanisms. Two major wear mechanisms, uniform wear of the tin coating due to micro-plowing and spall pitting related to the substrate are found to contribute to the bearing material loss when the fluid lubrication film is relatively thick (Lambda about 1.6). Under conditions of thinner films (Lambda approximately = 0.8), some local coating debonding occurs. The pitting and local coating debounding are closely related to fracture in the substrate. The bonding between silicon and tin seems to be weaker than between aluminum and tin. During wear, oxidation occurs.

  7. Adhesion, friction, and wear of plasma-deposited thin silicon nitride films at temperatures to 700 C

    NASA Technical Reports Server (NTRS)

    Miyoshi, K.; Pouch, J. J.; Alterovitz, S. A.; Pantic, D. M.; Johnson, G. A.

    1988-01-01

    The adhesion, friction, and wear behavior of silicon nitride films deposited by low- and high-frequency plasmas (30 kHz and 13.56 MHz) at various temperatures to 700 C in vacuum were examined. The results of the investigation indicated that the Si/N ratios were much greater for the films deposited at 13.56 MHz than for those deposited at 30 kHz. Amorphous silicon was present in both low- and high-frequency plasma-deposited silicon nitride films. However, more amorphous silicon occurred in the films deposited at 13.56 MHz than in those deposited at 30 kHz. Temperature significantly influenced adhesion, friction, and wear of the silicon nitride films. Wear occurred in the contact area at high temperature. The wear correlated with the increase in adhesion and friction for the low- and high-frequency plasma-deposited films above 600 and 500 C, respectively. The low- and high-frequency plasma-deposited thin silicon nitride films exhibited a capability for lubrication (low adhesion and friction) in vacuum at temperatures to 500 and 400 C, respectively.

  8. Annealing induced reorientation of crystallites in Sn doped ZnO films

    NASA Astrophysics Data System (ADS)

    Ravichandran, K.; Vasanthi, M.; Thirumurugan, K.; Sakthivel, B.; Karthika, K.

    2014-11-01

    Tin doped ZnO thin films were prepared by employing a simplified spray pyrolysis technique using a perfume atomizer and subsequently annealed under different temperatures from 350 °C to 500 °C in steps of 50 °C. The structural, optical, electrical, photoluminescence and surface morphological properties of the as-deposited films were studied and compared with that of the annealed films. The X-ray diffraction studies showed that as-deposited film exhibits preferential orientation along the (0 0 2) plane and it changes in favour of (1 0 0) plane after annealing. The increase in crystallite size due to annealing is explained on the basis of Ostwald ripening effect. It is found that the optical transmittance and band gap increases with increase in annealing temperature. A slight decrease in resistivity caused by annealing is discussed in correlation with annealing induced defect modifications and surface morphology.

  9. Liquid phase deposition synthesis of hexagonal molybdenum trioxide thin films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Deki, Shigehito; Beleke, Alexis Bienvenu; Kotani, Yuki

    2009-09-15

    Hexagonal molybdenum trioxide thin films with good crystallinity and high purity have been fabricated by the liquid phase deposition (LPD) technique using molybdic acid (H{sub 2}MoO{sub 4}) dissolved in 2.82% hydrofluoric acid (HF) and H{sub 3}BO{sub 3} as precursors. The crystal was found to belong to a hexagonal hydrate system MoO{sub 3}.nH{sub 2}O (napprox0.56). The unit cell lattice parameters are a=10.651 A, c=3.725 A and V=365.997 A{sup 3}. Scanning electron microscope (SEM) images of the as-deposited samples showed well-shaped hexagonal rods nuclei that grew and where the amount increased with increase in reaction time. X-ray photon electron spectroscopy (XPS) spectramore » showed a Gaussian shape of the doublet of Mo 3d core level, indicating the presence of Mo{sup 6+} oxidation state in the deposited films. The deposited films exhibited an electrochromic behavior by lithium intercalation and deintercalation, which resulted in coloration and bleaching of the film. Upon dehydration at about 450 deg. C, the hexagonal MoO{sub 3}.nH{sub 2}O was transformed into the thermodynamically stable orthorhombic phase. - Abstract: SEM photograph of typical h-MoO{sub 3}.nH{sub 2}O thin film nuclei obtained after 36 h at 40 deg. C by the LPD method. Display Omitted« less

  10. Organic Thin Films Deposited by Emulsion-Based, Resonant Infrared, Matrix-Assisted Pulsed Laser Evaporation: Fundamentals and Applications

    NASA Astrophysics Data System (ADS)

    Ge, Wangyao

    Thin film deposition techniques are indispensable to the development of modern technologies as thin film based optical coatings, optoelectronic devices, sensors, and biological implants are the building blocks of many complicated technologies, and their performance heavily depends on the applied deposition technique. Particularly, the emergence of novel solution-processed materials, such as soft organic molecules, inorganic compounds and colloidal nanoparticles, facilitates the development of flexible and printed electronics that are inexpensive, light weight, green and smart, and these thin film devices represent future trends for new technologies. One appealing feature of solution-processed materials is that they can be deposited into thin films using solution-processed deposition techniques that are straightforward, inexpensive, high throughput and advantageous to industrialize thin film based devices. However, solution-processed techniques rely on wet deposition, which has limitations in certain applications, such as multi-layered film deposition of similar materials and blended film deposition of dissimilar materials. These limitations cannot be addressed by traditional, vacuum-based deposition techniques because these dry approaches are often too energetic and can degrade soft materials, such as polymers, such that the performance of resulting thin film based devices is compromised. The work presented in this dissertation explores a novel thin film deposition technique, namely emulsion-based, resonant infrared, matrix-assisted pulsed laser evaporation (RIR-MAPLE), which combines characteristics of wet and dry deposition techniques for solution-processed materials. Previous studies have demonstrated the feasibility of emulsion-based RIR-MAPLE to deposit uniform and continuous organic, nanoparticle and blended films, as well as hetero-structures that otherwise are difficult to achieve. However, fundamental understanding of the growth mechanisms that govern

  11. Thermal Vapor Deposition and Characterization of Polymer-Ceramic Nanoparticle Thin Films and Capacitors

    NASA Astrophysics Data System (ADS)

    Iwagoshi, Joel A.

    Research on alternative energies has become an area of increased interest due to economic and environmental concerns. Green energy sources, such as ocean, wind, and solar power, are subject to predictable and unpredictable generation intermittencies which cause instability in the electrical grid. This problem could be solved through the use of short term energy storage devices. Capacitors made from composite polymer:nanoparticle thin films have been shown to be an economically viable option. Through thermal vapor deposition, we fabricated dielectric thin films composed of the polymer polyvinylidine fluoride (PVDF) and the ceramic nanoparticle titanium dioxide (TiO2). Fully understanding the deposition process required an investigation of electrode and dielectric film deposition. Film composition can be controlled by the mass ratio of PVDF:TiO2 prior to deposition. An analysis of the relationship between the ratio of PVDF:TiO2 before and after deposition will improve our understanding of this novel deposition method. X-ray photoelectron spectroscopy and energy dispersive x-ray spectroscopy were used to analyze film atomic concentrations. The results indicate a broad distribution of deposited TiO2 concentrations with the highest deposited amount at an initial mass concentration of 17% TiO2. The nanoparticle dispersion throughout the film is analyzed through atomic force microscopy and energy dispersive x-ray spectroscopy. Images from these two techniques confirm uniform TiO2 dispersion with cluster size less than 300 nm. These results, combined with spectroscopic analysis, verify control over the deposition process. Capacitors were fabricated using gold parallel plates with PVDF:TiO 2 dielectrics. These capacitors were analyzed using the atomic force microscope and a capacohmeter. Atomic force microscope images confirm that our gold films are acceptably smooth. Preliminary capacohmeter measurements indicate capacitance values of 6 nF and break down voltages of 2.4 V

  12. Effect of sputtering power on the growth of Ru films deposited by magnetron sputtering

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jhanwar, Prachi, E-mail: prachijhanwar87@gmail.com; Department of Electronics, Banasthali University-304022, Rajasthan; Kumar, Arvind

    2016-04-13

    Ruthenium is deposited by DC magnetron sputtering at different powers and is characterized. The effect of sputtering power on the electrical and structural properties of the film is investigated experimentally. High resolution X-ray diffraction is used to characterize the microstructure of Ru films deposited on SiO{sub 2} surface. The peak (002) is more sharp and intense with full width at half maximum (FWHM) of 0.37° at 250W. The grain size increases with increase in sputtering power improving the crystallinity of the film. The film deposited at high sputtering power also showed lower resistivity (12.40 µΩ-cm) and higher mobility (4.82 cm{sup 2}/V.s) asmore » compared to the film deposited at low power. The surface morphology of the film is studied by atomic force microscopy (AFM).« less

  13. Room-temperature processed tin oxide thin film as effective hole blocking layer for planar perovskite solar cells

    NASA Astrophysics Data System (ADS)

    Tao, Hong; Ma, Zhibin; Yang, Guang; Wang, Haoning; Long, Hao; Zhao, Hongyang; Qin, Pingli; Fang, Guojia

    2018-03-01

    Tin oxide (SnO2) film with high mobility and good transmittance has been reported as a promising semiconductor material for high performance perovskite solar cells (PSCs). In this study, ultrathin SnO2 film synthesized by radio frequency magnetron sputtering (RFMS) method at room temperature was employed as hole blocking layer for planar PSCs. The room-temperature sputtered SnO2 film not only shows favourable energy band structure but also improves the surface topography of fluorine doped SnO2 (FTO) substrate and perovskite (CH3NH3PbI3) layer. Thus, this SnO2 hole blocking layer can efficiently promote electron transport and suppress carrier recombination. Furthermore, the best efficiency of 13.68% was obtained for planar PSC with SnO2 hole blocking layer prepared at room temperature. This research highlights the room-temperature preparation process of hole blocking layer in PSC and has a certain reference significance for the usage of flexible and low-cost substrates.

  14. [Influence of deposition time on chromatics during nitrogen-doped diamond like carbon coating on pure titanium].

    PubMed

    Yin, Lu; Yao, Jiang-wu; Xu, De-wen

    2010-10-01

    The aim of this study was to observed the influence of deposition time on chromatics during nitrogen-doped diamond like carbon coating (N-DLC) on pure titanium by multi impulse are plasma plating machine. Applying multi impulse are plasma plating machine to produce TiN coatings on pure titanium in nitrogen atmosphere, then filming with nitrogen-doped DLC on TiN in methane (10-80 min in every 5 min). The colors of N-DLC were evaluated in the CIE1976 L*a*b* uniform color scale and Mussell notation. The surface morphology of every specimen was analyzed using scanning electron microscope (SEM) and X-ray photoelectron spectroscopy (XPS). When changing the time of N-DLC coating deposition, N-DLC surface showed different color. Golden yellow was presented when deposition time was 30 min. SEM showed that crystallization was found in N-DLC coatings, the structure changed from stable to clutter by varying the deposition time. The chromatics of N-DLC coatings on pure titanium could get golden yellow when deposition time was 30 min, then the crystallized structure was stable.

  15. Effect of sputtering parameters on optical and electrical properties of ITO films on PET substrates

    NASA Astrophysics Data System (ADS)

    Tseng, Kun-San; Lo, Yu-Lung

    2013-11-01

    The optical and electrical properties of indium tin oxide (ITO) thin films deposited on flexible polyethylene terephthalate (PET) substrates using a DC magnetron sputtering technique are investigated as a function of the deposition time, the argon flow rate and the target-substrate distance. It is found that all of the ITO films contain a high fraction of amorphous phase. The volume fraction of crystallite precipitates in the amorphous host increases with an increasing deposition time or a reducing argon flow rate. The deposition time and argon flow rate have higher effects on the optical transparency of the ITO films than the target-substrate distance has. Increasing film thickness is not the only reason for the transmittance reduced. It is found that an increase of the extinction coefficient by increasing deposition time or an increase of the refractive index by decreasing argon flow rate also reduces the transmittance of thin film. For a constant deposition time, the resistivity of the ITO films reduces with a reducing argon flow rate or a reducing target-substrate distance. For a constant argon flow rate, a critical value of the deposition time exists at which both the resistivity and the effect of the target-substrate distance are minimized. Finally, it is concluded that the film resistivity has low sensitivity to the target-substrate distance if the best deposition conditions which mostly attain the lowest resistivity are matched.

  16. Ion beam deposition of in situ superconducting Y-Ba-Cu-O films

    NASA Astrophysics Data System (ADS)

    Klein, J. D.; Yen, A.; Clauson, S. L.

    1990-01-01

    Oriented superconducting YBa2Cu3O7 thin films were deposited on yttria-stabilized zirconia substrates by ion beam sputtering of a nonstoichiometric oxide target. The films exhibited zero-resistance critical temperatures as high as 80.5 K without post-deposition anneals. Both the deposition rate and the c lattice parameter data displayed two distinct regimes of dependence on the beam power of the ion source. Low-power sputtering yielded films with large c dimensions and low Tc's. Higher power sputtering produced a continuous decrease in the c lattice parameter and an increase in critical temperatures.

  17. Effect of Zinc Oxide Film Deposition Position on the Characteristics of Zinc Oxide Thin Film Transistors Fabricated by Low-Temperature Magnetron Sputtering

    NASA Astrophysics Data System (ADS)

    Takechi, Kazushige; Nakata, Mitsuru; Eguchi, Toshimasa; Otsuki, Shigeyoshi; Yamaguchi, Hirotaka; Kaneko, Setsuo

    2008-09-01

    We report on the effect of zinc oxide (ZnO) film deposition position on the characteristics of ZnO thin-film transistors (TFTs) fabricated by magnetron sputtering with no intentional heating of the substrate. We evaluate the properties of ZnO (channel semiconductor) films deposited at various positions with respect to the target position. We show that the film deposition at a position off-centered from the target results in good TFT characteristics. This might be due to the fact that the off-centered deposition position is effective for suppressing the effect of energetic negative ions in the plasma.

  18. Influence of sputtering power on the optical properties of ITO thin films

    NASA Astrophysics Data System (ADS)

    K, Aijo John; Kumar, Vineetha V.; M, Deepak; T, Manju

    2014-10-01

    Tin doped indium oxide films are widely used in transparent conducting coatings such as flat panel displays, crystal displays and in optical devices such as solar cells and organic light emitting diodes due to the high electrical resistivity and optical transparency in the visible region of solar spectrum. The deposition parameters have a commendable influence on the optical and electrical properties of the thin films. In this study, ITO thin films were prepared by RF magnetron sputtering. The properties of the films prepared under varying sputtering power were compared using UV- visible spectrophotometry. Effect of sputtering power on the energy band gap, absorption coefficient and refractive index are investigated.

  19. Molecular layer deposition of alucone films using trimethylaluminum and hydroquinone

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Choudhury, Devika; Sarkar, Shaibal K., E-mail: shaibal.sarkar@iitb.ac.in; Mahuli, Neha

    2015-01-01

    A hybrid organic–inorganic polymer film grown by molecular layer deposition (MLD) is demonstrated here. Sequential exposures of trimethylaluminum [Al(CH{sub 3}){sub 3}] and hydroquinone [C{sub 6}H{sub 4}(OH){sub 2}] are used to deposit the polymeric films, which is a representative of a class of aluminum oxide polymers known as “alucones.” In-situ quartz crystal microbalance (QCM) studies are employed to determine the growth characteristics. An average growth rate of 4.1 Å per cycle at 150 °C is obtained by QCM and subsequently verified with x-ray reflectivity measurements. Surface chemistry during each MLD-half cycle is studied in depth by in-situ Fourier transform infrared (FTIR) vibrationmore » spectroscopy. Self limiting nature of the reaction is confirmed from both QCM and FTIR measurements. The conformal nature of the deposit, typical for atomic layer deposition and MLD, is verified with transmission electron microscopy imaging. Secondary ion mass spectroscopy measurements confirm the uniform elemental distribution along the depth of the films.« less

  20. Influence of Sn doping on structural, optical and electrical properties of ZnO thin films prepared by cost effective sol-gel process.

    PubMed

    Vishwas, M; Narasimha Rao, K; Arjuna Gowda, K V; Chakradhar, R P S

    2012-09-01

    Tin (Sn) doped zinc oxide (ZnO) thin films were synthesized by sol-gel spin coating method using zinc acetate di-hydrate and tin chloride di-hydrate as the precursor materials. The films were deposited on glass and silicon substrates and annealed at different temperatures in air ambient. The agglomeration of grains was observed by the addition of Sn in ZnO film with an average grain size of 60 nm. The optical properties of the films were studied using UV-VIS-NIR spectrophotometer. The optical band gap energies were estimated at different concentrations of Sn. The MOS capacitors were fabricated using Sn doped ZnO films. The capacitance-voltage (C-V), dissipation vs. voltage (D-V) and current-voltage (I-V) characteristics were studied and the electrical resistivity and dielectric constant were estimated. The porosity and surface area of the films were increased with the doping of Sn which makes these films suitable for opto-electronic applications. Copyright © 2012 Elsevier B.V. All rights reserved.