In Situ deposition of YBCO high-T(sub c) superconducting thin films by MOCVD and PE-MOCVD
NASA Technical Reports Server (NTRS)
Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P.; Gallois, B.; Kear, B.
1990-01-01
Metalorganic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T( sub c) greater than 90 K and Jc approx. 10 to the 4th power A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metalorganic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.
In-situ deposition of YBCO high-Tc superconducting thin films by MOCVD and PE-MOCVD
NASA Technical Reports Server (NTRS)
Zhao, J.; Noh, D. W.; Chern, C.; Li, Y. Q.; Norris, P. E.; Kear, B.; Gallois, B.
1991-01-01
Metal-Organic Chemical Vapor Deposition (MOCVD) offers the advantages of a high degree of compositional control, adaptability for large scale production, and the potential for low temperature fabrication. The capability of operating at high oxygen partial pressure is particularly suitable for in situ formation of high temperature superconducting (HTSC) films. Yttrium barium copper oxide (YBCO) thin films having a sharp zero-resistance transition with T(sub c) greater than 90 K and J(sub c) of approximately 10(exp 4) A on YSZ have been prepared, in situ, at a substrate temperature of about 800 C. Moreover, the ability to form oxide films at low temperature is very desirable for device applications of HTSC materials. Such a process would permit the deposition of high quality HTSC films with a smooth surface on a variety of substrates. Highly c-axis oriented, dense, scratch resistant, superconducting YBCO thin films with mirror-like surfaces have been prepared, in situ, at a reduced substrate temperature as low as 570 C by a remote microwave-plasma enhanced metal-organic chemical vapor deposition (PE-MOCVD) process. Nitrous oxide was used as a reactant gas to generate active oxidizing species. This process, for the first time, allows the formation of YBCO thin films with the orthorhombic superconducting phase in the as-deposited state. The as-deposited films grown by PE-MOCVD show attainment of zero resistance at 72 K with a transition width of about 5 K. MOCVD was carried out in a commercial production scale reactor with the capability of uniform deposition over 100 sq cm per growth run. Preliminary results indicate that PE-MOCVD is a very attractive thin film deposition process for superconducting device technology.
NASA Astrophysics Data System (ADS)
Bather, Wayne Anthony
The metalorganic chemical vapor deposition (MOCVD) growth of compound semiconductors has become important in producing many high performance electronic and optoelectronic devices from the wide bandgaps III-V nitrides, for example, aluminum nitride (AlN). A systematic theoretical and experimental investigation of the chemistry and mass transport process in a MOCVD system can yield predictive models of the deposition process. The chemistries and fluid dynamics of the MOCVD growth of AlN in a vertical reactor is analyzed and characterized in order to parameterize and model the deposition process. A Fourier Transform Infrared (FTIR) spectroscopic study of the predeposition reactions between trimethylaluminum (TMAl) and ammonia (NHsb3) is carried out in a static gas cell to examine the primary homogeneous gas phase reactions, pyrolysis of the reactants, and adduct formation, possibly accompanied by elimination reactions. A series of reactions, based on laboratory studies and literature review, is then proposed to model the deposition process. All pertinent kinetic, thermochemical, and transport properties were obtained. Utilizing a mass transport model, we performed computational fluid dynamics calculations using the FLUENT software package. We determined temperature, velocity, and concentration profiles, along with deposition rates inside the experimental vertical CVD reactor in the Howard University Material Science Research Center of Excellence. Experimental deposition rate data were found to be in good agreement with those predicted from the simulations, thus validating the proposed model. The control of the homogeneous gas phase reaction leading to the formation and subsequent decomposition of the adduct is critical to the formation of device-grade AlN films. Many basic processes occurring during MOCVD of AlN are still not completely understood, and none of the detailed surface reaction mechanisms are known.
Novel approach to investigation of semiconductor MOCVD by microreactor technology
NASA Astrophysics Data System (ADS)
Konakov, S. A.; Krzhizhanovskaya, V. V.
2017-11-01
Metal-Organic Chemical Vapour Deposition is a very complex technology that requires further investigation and optimization. We propose to apply microreactors to (1) replace multiple expensive time-consuming macroscale experiments by just one microreactor deposition with many points on one substrate; (2) to derive chemical reaction rates from individual deposition profiles using theoretical analytical solution. In this paper we also present the analytical solution of a simplified equation describing the deposition rate dependency on temperature. It allows to solve an inverse problem and to obtain detailed information about chemical reaction mechanism of MOCVD process.
NASA Astrophysics Data System (ADS)
Menou, Nicolas; Funakubo, Hiroshi
2007-12-01
(111)-textured Pb(Zr0.4Ti0.6)O3 films (thickness of ˜120nm) were deposited on (111)-oriented SrRuO3 bottom electrodes by pulse metal organic chemical vapor deposition (MOCVD). PZT single phase was evidenced over a large range of Pb precursor input rate into the MOCVD chamber. In this process window, the good control of the (111) texture of PZT films was confirmed. It is shown that the control of both the composition and orientation of PZT films leads to reproducible electric properties (Pr, Vc, resistance to fatigue) across the process window. Furthermore, the impact of the top electrode chemical nature, elaboration process, and annealing process upon the electric properties was studied systematically.
Low-temperature MOCVD deposition of Bi2Te3 thin films using Et2BiTeEt as single source precursor
NASA Astrophysics Data System (ADS)
Bendt, Georg; Gassa, Sanae; Rieger, Felix; Jooss, Christian; Schulz, Stephan
2018-05-01
Et2BiTeEt was used as single source precursor for the deposition of Bi2Te3 thin films on Si(1 0 0) substrates by metal organic chemical vapor deposition (MOCVD) at very low substrate temperatures. Stoichiometric and crystalline Bi2Te3 films were grown at 230 °C, which is approximately 100 °C lower compared to conventional MOCVD processes using one metal organic precursors for each element. The Bi2Te3 films were characterized using scanning electron microscopy, high-resolution transmission electron microscopy and X-ray diffraction. The elemental composition of the films, which was determined by energy-dispersive X-ray spectroscopy and X-ray photoelectron spectroscopy, was found to be strongly dependent of the substrate temperature.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Swain, Basudev, E-mail: Swain@iae.re.kr; Mishra, Chinmayee; Lee, Chan Gi
2015-07-15
Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga{sub 0.97}N{sub 0.9}O{sub 0.09} is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga{sub 0.97}N{sub 0.9}O{sub 0.09} of the MOCVD dust is leached at the optimum condition. Subsequently, the leachmore » residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4 M HCl, 100 °C and pulp density of 100 kg/m{sup 3,} respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. - Highlights: • Waste MOCVD dust is treated through mechanochemical leaching. • GaN is hardly leached, and converted to NaGaO{sub 2} through ball milling and annealing. • Process for gallium recovery from waste MOCVD dust has been developed. • Thermal analysis and phase properties of GaN to Ga{sub 2}O{sub 3} and GaN to NaGaO{sub 2} is revealed. • Solid-state chemistry involved in this process is reported.« less
Growth and characterization of CdS buffer layers by CBD and MOCVD
DOE Office of Scientific and Technical Information (OSTI.GOV)
Morrone, A.A.; Huang, C.; Li, S.S.
1999-03-01
Thin film CdS has been widely used in thin-film photovoltaic devices. The most efficient Cu(In,&hthinsp;Ga)Se{sub 2} (CIGS) solar cells reported to date utilized a thin CdS buffer layer prepared by a reactive solution growth technique known as chemical bath deposition (CBD). Considerable effort has been directed to better understand the role and find a replacement for the CBD CdS process in CIGS-based solar cells. We reported a low temperature ({approximately}150&hthinsp;{degree}C) Metalorganic Chemical Vapor Deposition (MOCVD) CdS thin film buffer layer process for CIGS absorbers. Many prior studies have reported that CBD CdS contains a mixture of crystal structures. Recent investigationsmore » of CBD CdS thin films by ellipsometry suggested a multilayer structure. In this study we compare CdS thin films prepared by CBD and MOCVD and the effects of annealing. TED and XRD are used to characterize the crystal structure, the film microstructure is studied by HRTEM, and the optical properties are studied by Raman and spectrophotometry. All of these characterization techniques reveal superior crystalline film quality for CdS films grown by MOCVD compared to those grown by CBD. Dual Beam Optical Modulation (DBOM) studies showed that the MOCVD and CBD CdS buffer layer processes have nearly the same effect on CIGS absorbers when combined with a cadmium partial electrolyte aqueous dip. {copyright} {ital 1999 American Institute of Physics.}« less
Gaalas/Gaas Solar Cell Process Study
NASA Technical Reports Server (NTRS)
Almgren, D. W.; Csigi, K. I.
1980-01-01
Available information on liquid phase, vapor phase (including chemical vapor deposition) and molecular beam epitaxy growth procedures that could be used to fabricate single crystal, heteroface, (AlGa) As/GaAs solar cells, for space applications is summarized. A comparison of the basic cost elements of the epitaxy growth processes shows that the current infinite melt LPE process has the lower cost per cell for an annual production rate of 10,000 cells. The metal organic chemical vapor deposition (MO-CVD) process has the potential for low cost production of solar cells but there is currently a significant uncertainty in process yield, i.e., the fraction of active material in the input gas stream that ends up in the cell. Additional work is needed to optimize and document the process parameters for the MO-CVD process.
In2Ga2ZnO7 oxide semiconductor based charge trap device for NAND flash memory.
Hwang, Eun Suk; Kim, Jun Shik; Jeon, Seok Min; Lee, Seung Jun; Jang, Younjin; Cho, Deok-Yong; Hwang, Cheol Seong
2018-04-01
The programming characteristics of charge trap flash memory device adopting amorphous In 2 Ga 2 ZnO 7 (a-IGZO) oxide semiconductors as channel layer were evaluated. Metal-organic chemical vapor deposition (MOCVD) and RF-sputtering processes were used to grow a 45 nm thick a-IGZO layer on a 20 nm thick SiO 2 (blocking oxide)/p ++ -Si (control gate) substrate, where 3 nm thick atomic layer deposited Al 2 O 3 (tunneling oxide) and 5 nm thick low-pressure CVD Si 3 N 4 (charge trap) layers were intervened between the a-IGZO and substrate. Despite the identical stoichiometry and other physicochemical properties of the MOCVD and sputtered a-IGZO, a much faster programming speed of MOCVD a-IGZO was observed. A comparable amount of oxygen vacancies was found in both MOCVD and sputtered a-IGZO, confirmed by x-ray photoelectron spectroscopy and bias-illumination-instability test measurements. Ultraviolet photoelectron spectroscopy analysis revealed a higher Fermi level (E F ) of the MOCVD a-IGZO (∼0.3 eV) film than that of the sputtered a-IGZO, which could be ascribed to the higher hydrogen concentration in the MOCVD a-IGZO film. Since the programming in a flash memory device is governed by the tunneling of electrons from the channel to charge trapping layer, the faster programming performance could be the result of a higher E F of MOCVD a-IGZO.
In2Ga2ZnO7 oxide semiconductor based charge trap device for NAND flash memory
NASA Astrophysics Data System (ADS)
Hwang, Eun Suk; Kim, Jun Shik; Jeon, Seok Min; Lee, Seung Jun; Jang, Younjin; Cho, Deok-Yong; Hwang, Cheol Seong
2018-04-01
The programming characteristics of charge trap flash memory device adopting amorphous In2Ga2ZnO7 (a-IGZO) oxide semiconductors as channel layer were evaluated. Metal-organic chemical vapor deposition (MOCVD) and RF-sputtering processes were used to grow a 45 nm thick a-IGZO layer on a 20 nm thick SiO2 (blocking oxide)/p++-Si (control gate) substrate, where 3 nm thick atomic layer deposited Al2O3 (tunneling oxide) and 5 nm thick low-pressure CVD Si3N4 (charge trap) layers were intervened between the a-IGZO and substrate. Despite the identical stoichiometry and other physicochemical properties of the MOCVD and sputtered a-IGZO, a much faster programming speed of MOCVD a-IGZO was observed. A comparable amount of oxygen vacancies was found in both MOCVD and sputtered a-IGZO, confirmed by x-ray photoelectron spectroscopy and bias-illumination-instability test measurements. Ultraviolet photoelectron spectroscopy analysis revealed a higher Fermi level (E F) of the MOCVD a-IGZO (∼0.3 eV) film than that of the sputtered a-IGZO, which could be ascribed to the higher hydrogen concentration in the MOCVD a-IGZO film. Since the programming in a flash memory device is governed by the tunneling of electrons from the channel to charge trapping layer, the faster programming performance could be the result of a higher E F of MOCVD a-IGZO.
Swain, Basudev; Mishra, Chinmayee; Lee, Chan Gi; Park, Kyung-Soo; Lee, Kun-Jae
2015-07-01
Dust generated during metal organic vapor deposition (MOCVD) process of GaN based semiconductor power device industry contains significant amounts of gallium and indium. These semiconductor power device industry wastes contain gallium as GaN and Ga0.97N0.9O0.09 is a concern for the environment which can add value through recycling. In the present study, this waste is recycled through mechanochemical oxidation and leaching. For quantitative recovery of gallium, two different mechanochemical oxidation leaching process flow sheets are proposed. In one process, first the Ga0.97N0.9O0.09 of the MOCVD dust is leached at the optimum condition. Subsequently, the leach residue is mechanochemically treated, followed by oxidative annealing and finally re-leached. In the second process, the MOCVD waste dust is mechanochemically treated, followed by oxidative annealing and finally leached. Both of these treatment processes are competitive with each other, appropriate for gallium leaching and treatment of the waste MOCVD dust. Without mechanochemical oxidation, 40.11 and 1.86 w/w% of gallium and Indium are leached using 4M HCl, 100°C and pulp density of 100 kg/m(3,) respectively. After mechanochemical oxidation, both these processes achieved 90 w/w% of gallium and 1.86 w/w% of indium leaching at their optimum condition. Copyright © 2015 Elsevier Inc. All rights reserved.
NASA Technical Reports Server (NTRS)
Lewis, C. R.; Ford, C. W.; Werthen, J. G.
1984-01-01
Magnesium has been substituted for zinc in GaAs and Ga(0.75)In(0.25)As solar cells grown by metalorganic chemical vapor deposition (MOCVD). Bis(cyclopentadienyl)magnesium (Cp2Mg) is used as the MOCVD transport agent for Mg. Full retention of excellent material quality and efficient cell performance results. The substitution of Mg for Zn would enhance the abruptness and reproducibility of doping profiles, and facilitate high temperature processing and operation, due to the much lower diffusion coefficient of Mg, relative to Zn, in these materials.
MOCVD of HfO2 and ZrO2 high-k gate dielectrics for InAlN/AlN/GaN MOS-HEMTs
NASA Astrophysics Data System (ADS)
Abermann, S.; Pozzovivo, G.; Kuzmik, J.; Strasser, G.; Pogany, D.; Carlin, J.-F.; Grandjean, N.; Bertagnolli, E.
2007-12-01
We apply metal organic chemical vapour deposition (MOCVD) of HfO2 and of ZrO2 from β-diketonate precursors to grow high-k gate dielectrics for InAlN/AlN/GaN metal oxide semiconductor (MOS)-high electron mobility transistors (HEMTs). High-k oxides of about 12 nm-14 nm are deposited for the MOS-HEMTs incorporating Ni/Au gates, whereas as a reference, Ni-contact-based 'conventional' Schottky-barrier (SB)-HEMTs are processed. The processed dielectrics decrease the gate current leakage of the HEMTs by about four orders of magnitude if compared with the SB-gated HEMTs and show superior device characteristics in terms of IDS and breakdown.
Multilayer porous structures of HVPE and MOCVD grown GaN for photonic applications
NASA Astrophysics Data System (ADS)
Braniste, T.; Ciers, Joachim; Monaico, Ed.; Martin, D.; Carlin, J.-F.; Ursaki, V. V.; Sergentu, V. V.; Tiginyanu, I. M.; Grandjean, N.
2017-02-01
In this paper we report on a comparative study of electrochemical processes for the preparation of multilayer porous structures in hydride vapor phase epitaxy (HVPE) and metal organic chemical vapor phase deposition (MOCVD) grown GaN. It was found that in HVPE-grown GaN, multilayer porous structures are obtained due to self-organization processes leading to a fine modulation of doping during the crystal growth. However, these processes are not totally under control. Multilayer porous structures with a controlled design have been produced by optimizing the technological process of electrochemical etching in MOCVD-grown samples, consisting of five pairs of thin layers with alternating-doping profiles. The samples have been characterized by SEM imaging, photoluminescence spectroscopy, and micro-reflectivity measurements, accompanied by transfer matrix analysis and simulations by a method developed for the calculation of optical reflection spectra. We demonstrate the applicability of the produced structures for the design of Bragg reflectors.
NASA Astrophysics Data System (ADS)
Krumdieck, Susan Pran
Several years ago, a method for depositing ceramic coatings called the Pulsed-MOCVD system was developed by the Raj group at Cornell University in association with Dr. Harvey Berger and Sono-Tek Corporation. The process was used to produce epitaxial thin films of TiO2 on sapphire substrates under conditions of low pressure, relatively high temperature, and very low growth rate. The system came to CU-Boulder when Professor Raj moved here in 1997. It is quite a simple technique and has several advantages over typical CVD systems. The purpose of this dissertation is two-fold; (1) understand the chemical processes, thermodynamics, and kinetics of the Pulsed-MOCVD technique, and (2) determine the possible applications by studying the film structure and morphology over the entire range of deposition conditions. Polycrystalline coatings of ceramic materials were deposited on nickel in the low-pressure, cold-wall reactor from metalorganic precursors, titanium isopropoxide, and a mixture of zirconium isopropoxide and yttria isopropoxide. The process utilized pulsed liquid injection of a dilute precursor solution with atomization by ultrasonic nozzle. Thin films (less than 1mum) with fine-grained microstructure and thick coatings (up to 1mum) with columnar-microstructure were deposited on heated metal substrates by thermal decomposition of a single liquid precursor. The influence of each of the primary deposition parameters, substrate temperature, total flow rate, and precursor concentration on growth rate, conversion efficiency and morphology were investigated. The operating conditions were determined for kinetic, mass transfer, and evaporation process control regimes. Kinetic controlled deposition was found to produce equiaxed morphology while mass transfer controlled deposition produced columnar morphology. A kinetic model of the deposition process was developed and compared to data for deposition of TiO2 from Ti(OC3H7) 4 precursor. The results demonstrate that growth rate and morphology over the range of process operating conditions would make the Pulsed-MOCVD system suitable for application of thermal barrier coatings, electrical insulating layers, corrosion protection coatings, and the electrolyte layers in solid oxide fuel cells.
NASA Astrophysics Data System (ADS)
Selvamanickam, V.; Lee, H. G.; Li, Y.; Xiong, X.; Qiao, Y.; Reeves, J.; Xie, Y.; Knoll, A.; Lenseth, K.
2003-10-01
SuperPower has been scaling up YBa 2Cu 3O x-based second-generation superconducting tapes by techniques such as pulsed laser deposition (PLD) using industrial laser and metal organic chemical vapor deposition (MOCVD). Both techniques offer advantage of high deposition rates, which is important for high throughput. Using highly-polished substrates produced in a reel-to-reel polishing facility and buffer layers deposited in a pilot ion beam assisted deposition facility, meter-long second-generation high temperature superconductor tapes have been produced. 100 A class, meter-long coated conductor tapes have been reproducibly demonstrated in this work by both MOCVD and PLD. The best results to date are 148 A over 1.06 m by MOCVD and 135 A over 1.1 m by PLD using industrial laser.
Process in manufacturing high efficiency AlGaAs/GaAs solar cells by MO-CVD
NASA Technical Reports Server (NTRS)
Yeh, Y. C. M.; Chang, K. I.; Tandon, J.
1984-01-01
Manufacturing technology for mass producing high efficiency GaAs solar cells is discussed. A progress using a high throughput MO-CVD reactor to produce high efficiency GaAs solar cells is discussed. Thickness and doping concentration uniformity of metal oxide chemical vapor deposition (MO-CVD) GaAs and AlGaAs layer growth are discussed. In addition, new tooling designs are given which increase the throughput of solar cell processing. To date, 2cm x 2cm AlGaAs/GaAs solar cells with efficiency up to 16.5% were produced. In order to meet throughput goals for mass producing GaAs solar cells, a large MO-CVD system (Cambridge Instrument Model MR-200) with a susceptor which was initially capable of processing 20 wafers (up to 75 mm diameter) during a single growth run was installed. In the MR-200, the sequencing of the gases and the heating power are controlled by a microprocessor-based programmable control console. Hence, operator errors can be reduced, leading to a more reproducible production sequence.
RF plasma MOCVD of Y2O3 thin films: Effect of RF self-bias on the substrates during deposition
NASA Astrophysics Data System (ADS)
Chopade, S. S.; Barve, S. A.; Thulasi Raman, K. H.; Chand, N.; Deo, M. N.; Biswas, A.; Rai, Sanjay; Lodha, G. S.; Rao, G. M.; Patil, D. S.
2013-11-01
Yttrium oxide (Y2O3) thin films have been deposited by radio frequency plasma assisted metal organic chemical vapor deposition (MOCVD) process using (2,2,6,6-tetramethyl-3,5-heptanedionate) yttrium (commonly known as Y(thd)3) precursor in a plasma of argon and oxygen gases at a substrate temperature of 350 °C. The films have been deposited under influence of varying RF self-bias (-50 V to -175 V) on silicon, quartz, stainless steel and tantalum substrates. The deposited coatings are characterized by glancing angle X-ray diffraction (GIXRD), Fourier transform infrared spectroscopy (FTIR), X-ray photoelectron spectroscopy (XPS), spectroscopic ellipsometry and scanning electron microscopy (SEM). GIXRD and FTIR results indicate deposition of Y2O3 (BCC structure) in all cases. However, XPS results indicate nonstoichiometric cubic phase deposition on the surface of deposited films. The degree of nonstoichiometry varies with bias during deposition. Ellipsometry results indicate that the refractive index for the deposited films is varying from 1.70 to 1.83 that is typical for Y2O3. All films are transparent in the investigated wavelength range 300-1200 nm. SEM results indicate that the microstructure of the films is changing with applied bias. Results indicate that it is possible to deposit single phase cubic Y2O3 thin films at low substrate temperature by RF plasma MOCVD process. RF self-bias that decides about the energy of impinging ions on the substrates plays an important role in controlling the texture of deposited Y2O3 films on the substrates. Results indicate that to control the structure of films and its texture, it is important to control the bias on the substrate during deposition. The films deposited at high bias level show degradation in the crystallinity and reduction of thickness.
Methods and systems for fabricating high quality superconducting tapes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Majkic, Goran; Selvamanickam, Venkat
An MOCVD system fabricates high quality superconductor tapes with variable thicknesses. The MOCVD system can include a gas flow chamber between two parallel channels in a housing. A substrate tape is heated and then passed through the MOCVD housing such that the gas flow is perpendicular to the tape's surface. Precursors are injected into the gas flow for deposition on the substrate tape. In this way, superconductor tapes can be fabricated with variable thicknesses, uniform precursor deposition, and high critical current densities.
NASA Astrophysics Data System (ADS)
Consiglio, Steven P.
To continue the rapid progress of the semiconductor industry as described by Moore's Law, the feasibility of new material systems for front end of the line (FEOL) process technologies needs to be investigated, since the currently employed polysilicon/SiO2-based transistor system is reaching its fundamental scaling limits. Revolutionary breakthroughs in complementary-metal-oxide-semiconductor (CMOS) technology were recently announced by Intel Corporation and International Business Machines Corporation (IBM), with both organizations revealing significant progress in the implementation of hafnium-based high-k dielectrics along with metal gates. This announcement was heralded by Gordon Moore as "...the biggest change in transistor technology since the introduction of polysilicon gate MOS transistors in the late 1960s." Accordingly, the study described herein focuses on the growth of Hf-based dielectrics and Hf-based metal gates using chemical vapor-based deposition methods, specifically metallorganic chemical vapor deposition (MOCVD) and atomic layer deposition (ALD). A family of Hf source complexes that has received much attention recently due to their desirable properties for implementation in wafer scale manufacturing is the Hf dialkylamide precursors. These precursors are room temperature liquids and possess sufficient volatility and desirable decomposition characteristics for both MOCVD and ALD processing. Another benefit of using these sources is the existence of chemically compatible Si dialkylamide sources as co-precursors for use in Hf silicate growth. The first part of this study investigates properties of MOCVD-deposited HfO2 and HfSixOy using dimethylamido Hf and Si precursor sources using a customized MOCVD reactor. The second part of this study involves a study of wet and dry surface pre-treatments for ALD growth of HfO2 using tetrakis(ethylmethylamido)hafnium in a wafer scale manufacturing environment. The third part of this study is an investigation of the properties of conductive HfN grown via plasma-assisted atomic layer deposition (PA-ALD) using tetrakis(ethylmethylamido)hafnium on a modified commercially available wafer processing tool. Key properties of these materials for use as gate stack replacement materials are addressed and future directions for further characterization and novel material investigations are proposed.
Indium arsenide-on-SOI MOSFETs with extreme lattice mismatch
NASA Astrophysics Data System (ADS)
Wu, Bin
Both molecular beam epitaxy (MBE) and metal organic chemical vapor deposition (MOCVD) have been used to explore the growth of InAs on Si. Despite 11.6% lattice mismatch, planar InAs structures have been observed by scanning electron microscopy (SEM) when nucleating using MBE on patterned submicron Si-on-insulator (SOI) islands. Planar structures of size as large as 500 x 500 nm 2 and lines of width 200 nm and length a few microns have been observed. MOCVD growth of InAs also generates single grain structures on Si islands when the size is reduced to 100 x 100 nm2. By choosing SOI as the growth template, selective growth is enabled by MOCVD. Post-growth pattern-then-anneal process, in which MOCVD InAs is deposited onto unpatterned SOI followed with patterning and annealing of InAs-on-Si structure, is found to change the relative lattice parameters of encapsulated 17/5 nm InAs/Si island. Observed from transmission electron diffraction (TED) patterns, the lattice mismatch of 17/5 nm InAs/Si island reduces from 11.2 to 4.2% after being annealed at 800°C for 30 minutes. High-k Al2O3 dielectrics have been deposited by both electron-beam-enabled physical vapor deposition (PVD) and atomic layer deposition (ALD). Films from both techniques show leakage currents on the order of 10-9A/cm2, at ˜1 MV/cm electric field, breakdown field > ˜6 MV/cm, and dielectric constant > 6, comparable to those of reported ALD prior arts by Groner. The first MOSFETs with extreme lattice mismatch InAs-on-SOI channels using PVD Al2O3 as the gate dielectric are characterized. Channel recess was used to improve the gate control of the drain current.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Ebert, Jon Llyod
This Small Business Innovative Research (SBIR) Phase I project will demonstrate the feasibility of an innovative temperature control technology for Metal-Organic Chemical Vapor Deposition (MOCVD) process used in the fabrication of Multi-Quantum Well (MQW) LEDs. The proposed control technology has the strong potential to improve both throughput and performance quality of the manufactured LED. The color of the light emitted by an LED is a strong function of the substrate temperature during the deposition process. Hence, accurate temperature control of the MOCVD process is essential for ensuring that the LED performance matches the design specification. The Gallium Nitride (GaN) epitaxymore » process involves depositing multiple layers at different temperatures. Much of the recipe time is spent ramping from one process temperature to another, adding significant overhead to the production time. To increase throughput, the process temperature must transition over a range of several hundred degrees Centigrade many times with as little overshoot and undershoot as possible, in the face of several sources of process disturbance such as changing emissivities. Any throughput increase achieved by faster ramping must also satisfy the constraint of strict temperature uniformity across the carrier so that yield is not affected. SC Solutions is a leading supplier of embedded real-time temperature control technology for MOCVD systems used in LED manufacturing. SC’s Multiple Input Multiple Output (MIMO) temperature controllers use physics-based models to achieve the performance demanded by our customers. However, to meet DOE’s ambitious goals of cost reduction of LED products, a new generation of temperature controllers has to be developed. SC believes that the proposed control technology will be made feasible by the confluence of mathematical formulation as a convex optimization problem, new efficient and scalable algorithms, and the increase in computational power available for real-time control.« less
DOE Office of Scientific and Technical Information (OSTI.GOV)
Aoki, Takeshi, E-mail: aokit@sc.sumitomo-chem.co.jp; Fukuhara, Noboru; Osada, Takenori
2015-08-15
This paper presents a compressive study on the fabrication and optimization of GaAs metal–oxide–semiconductor (MOS) structures comprising a Al{sub 2}O{sub 3} gate oxide, deposited via atomic layer deposition (ALD), with an AlN interfacial passivation layer prepared in situ via metal–organic chemical vapor deposition (MOCVD). The established protocol afforded self-limiting growth of Al{sub 2}O{sub 3} in the atmospheric MOCVD reactor. Consequently, this enabled successive growth of MOCVD-formed AlN and ALD-formed Al{sub 2}O{sub 3} layers on the GaAs substrate. The effects of AlN thickness, post-deposition anneal (PDA) conditions, and crystal orientation of the GaAs substrate on the electrical properties of the resultingmore » MOS capacitors were investigated. Thin AlN passivation layers afforded incorporation of optimum amounts of nitrogen, leading to good capacitance–voltage (C–V) characteristics with reduced frequency dispersion. In contrast, excessively thick AlN passivation layers degraded the interface, thereby increasing the interfacial density of states (D{sub it}) near the midgap and reducing the conduction band offset. To further improve the interface with the thin AlN passivation layers, the PDA conditions were optimized. Using wet nitrogen at 600 °C was effective to reduce D{sub it} to below 2 × 10{sup 12} cm{sup −2} eV{sup −1}. Using a (111)A substrate was also effective in reducing the frequency dispersion of accumulation capacitance, thus suggesting the suppression of traps in GaAs located near the dielectric/GaAs interface. The current findings suggest that using an atmosphere ALD process with in situ AlN passivation using the current MOCVD system could be an efficient solution to improving GaAs MOS interfaces.« less
Gallium Nitride (GaN) High Power Electronics (FY11)
2012-01-01
GaN films grown by metal-organic chemical vapor deposition (MOCVD) and ~1010 in films grown by molecular beam epitaxy (MBE) when they are deposited...inductively coupled plasma I-V current-voltage L-HVPE low doped HVPE MBE molecular beam epitaxy MOCVD metal-organic chemical vapor deposition...figure of merit HEMT high electron mobility transistor H-HVPE high doped HVPE HPE high power electronics HVPE hydride vapor phase epitaxy ICP
Chemical vapor deposition of Mo thin films from Mo(CO){sub 6}
DOE Office of Scientific and Technical Information (OSTI.GOV)
Sharma, P.; Bond, J.; Westmore, T.
1995-12-01
Low levels of carbon and/or oxygen contamination in metallic thin films significantly alter the physical and chemical properties of these films often rendering them useless for any commercial applications. These impurities are often observed in films grown by a technique called metallorganic chemical vapor deposition (MOCVD). MOCVD films are grown by heating a substrate in the presence of a metallorganic precursor. We wish to identify the source(s) of contamination in films produced from the Group VIB metal hexacarbonyls, M(CO){sub 6}. Towards attaining this goal we have initiated studies on the elemental composition of thin films deposited by MOCVD using Mo(CO){submore » 6} as the precursor. The results obtained so far indicate that the level of contamination of the films partially depends on the deposition temperature. Our results will be compared to published work on films deposited by laser assisted CVD from Mo(CO){sub 6}.« less
MOCVD of aluminium oxide films using aluminium β-diketonates as precursors
NASA Astrophysics Data System (ADS)
Devi, A.; Shivashankar, S. A.; Samuelson, A. G.
2002-06-01
Deposition of Al203 coatings by CVD is of importance because they are often used as abrading material in cemented carbide cutting tools. The conventionally used CVD process for Al203 involves the corrosive reactant AICl3. In this paper, we report on the thermal characterisation of the metalorganic precursors namely aluminium tris-tetramethyl-heptanedionate [ Al(thd)3] and aluminium tris-acetylacetonate [ Al(acac)3] and their application to the CVD of Al203 films. Crystalline A1203 films were deposited by MOCVD at low temperatures by the pyrolysis of Al(thd)3 and AI(acac)3. The films were deposited on a TiN-coated tungsten carbide (TiN/WC) and Si(100) substrates in the temperature range 500-1100 °C. The as-deposited films were characterised by x-ray diffraction, optical microscopy, scanning and transmission electron microscopy, Auger electron spectroscopy. The observed crystallinity of films grown at low temperatures, their microstructure, and composition may be interpreted in terms of a growth process that involves the melting of the metalorganic precursor on the hot growth surface.
Hubert, Brian N.; Wu, Xin Di
1998-01-01
A system for MOCVD fabrication of superconducting and non-superconducting oxide films provides a delivery system for the feeding of metalorganic precursors for multi-component chemical vapor deposition. The delivery system can include multiple cartridges containing tightly packed precursor materials. The contents of each cartridge can be ground at a desired rate and fed together with precursor materials from other cartridges to a vaporization zone and then to a reaction zone within a deposition chamber for thin film deposition.
Investigation of kinetics of MOCVD systems
NASA Astrophysics Data System (ADS)
Anderson, Timothy J.
1991-12-01
Several issues related to epitaxy of III-V semiconductors by hydride VPE and MOCVD were investigated. A complex chemical equilibrium analysis was performed in order to investigate the controllability of hydride VPE. The critical control parameters for the deposition of InGaAsP Lattice matched to InP are deposition temperature, system pressure, Group III Molar Ratio, Group V Molar Ratio. An experimental characterization of the Ga and In source reactors was accomplished. A MOCVD System was constructed for the deposition of AlGaAs. An investigation was performed to determine the controlling parameters of laser-enhanced deposition of GaAs and AlGaAs using an argon ion laser. Enhancement of deposition was observed when the system was operated in the reaction limited regime. The use of a Ga/In alloy source was studied for the deposition of GaInAs by the Hydride method. The system was used to produce state-of-the-art P-I-N photo-detectors.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Anderson, T.
This report describes research performed by the University of Florida during Phase 2 of this subcontract. First, to study CIGS, researchers adapted a contactless, nondestructive technique previously developed for measuring photogenerated excess carrier lifetimes in SOI wafers. This dual-beam optical modulation (DBOM) technique was used to investigate the differences between three alternative methods of depositing CdS (conventional chemical-bath deposition [CBD], metal-organic chemical vapor deposition [MOCVD], and sputtering). Second, a critical assessment of the Cu-In-Se thermochemical and phase diagram data using standard CALPHAD procedures is being performed. The outcome of this research will produce useful information on equilibrium vapor compositions (requiredmore » annealing ambients, Sex fluxes from effusion cells), phase diagrams (conditions for melt-assisted growth), chemical potentials (driving forces for diffusion and chemical reactions), and consistent solution models (extents of solid solutions and extending phase diagrams). Third, an integrated facility to fabricate CIS PV devices was established that includes migration-enhanced epitaxy (MEE) for deposition of CIS, a rapid thermal processing furnace for absorber film formation, sputtering of ZnO, CBD or MOCVD of CdS, metallization, and pattern definition.« less
NASA Technical Reports Server (NTRS)
Singh, R.; Sinha, S.; Hsu, N. J.; Thakur, R. P. S.; Chou, P.; Kumar, A.; Narayan, J.
1990-01-01
In this strategy of depositing the basic building blocks of superconductors, semiconductors, and dielectric having common elements, researchers deposited superconducting films of Y-Ba-Cu-O, semiconductor films of Cu2O, and dielectric films of BaF2 and Y2O3 by metal oxide chemical vapor deposition (MOCVD). By switching source materials entering the chamber, and by using direct writting capability, complex device structures like three-terminal hybrid semiconductors/superconductors transistors can be fabricated. The Y-Ba-Cu-O superconducting thin films on BaF2/YSZ substrates show a T(sub c) of 80 K and are textured with most of the grains having their c-axis or a-axis perpendicular to the substrate. Electrical characteristics as well as structural characteristics of superconductors and related materials obtained by x-ray defraction, electron microscopy, and energy dispersive x-ray analysis are discussed.
NASA Technical Reports Server (NTRS)
Singh, R.; Sinha, S.; Hsu, N. J.; Thakur, R. P. S.; Chou, P.; Kumar, A.; Narayan, J.
1991-01-01
In this strategy of depositing the basic building blocks of superconductors, semiconductors, and dielectrics having common elements, researchers deposited superconducting films of Y-Ba-Cu-O, semiconductor films of Cu2O, and dielectric films of BaF2 and Y2O3 by metal oxide chemical vapor deposition (MOCVD). By switching source materials entering the chamber, and by using direct writing capability, complex device structures like three terminal hybrid semiconductor/superconductor transistors can be fabricated. The Y-Ba-Cu-O superconducting thin films on BaF2/YSZ substrates show a T(sub c) of 80 K and are textured with most of the grains having their c-axis or a-axis perpendicular to the substrate. Electrical characteristics as well as structural characteristics of superconductors and related materials obtained by x-ray deffraction, electron microscopy, and energy dispersive x-ray analysis are discussed.
Hubert, B.N.; Wu, X.D.
1998-10-13
A system for MOCVD fabrication of superconducting and non-superconducting oxide films provides a delivery system for the feeding of metallorganic precursors for multi-component chemical vapor deposition. The delivery system can include multiple cartridges containing tightly packed precursor materials. The contents of each cartridge can be ground at a desired rate and fed together with precursor materials from other cartridges to a vaporization zone and then to a reaction zone within a deposition chamber for thin film deposition. 13 figs.
Chemical etching and organometallic chemical vapor deposition on varied geometries of GaAs
NASA Technical Reports Server (NTRS)
Bailey, Sheila G.; Landis, Geoffrey A.; Wilt, David M.
1989-01-01
Results of micron-spaced geometries produced by wet chemical etching and subsequent OMCVD growth on various GaAs surfaces are presented. The polar lattice increases the complexity of the process. The slow-etch planes defined by anisotropic etching are not always the same as the growth facets produced during MOCVD deposition, especially for deposition on higher-order planes produced by the hex groove etching.
NASA Astrophysics Data System (ADS)
Suhandi, A.; Tayubi, Y. R.; Arifin, P.
2016-04-01
Metal Organic Chemical Vapor Deposition (MOCVD) is a method for growing a solid material (in the form of thin films, especially for semiconductor materials) using vapor phase metal organic sources. Studies on the growth mechanism of GaAs1-xSbx ternary alloy thin solid film in the range of miscibility-gap using metal organic sources trimethylgallium (TMGa), trisdimethylaminoarsenic (TDMAAs), and trisdimethylaminoantimony (TDMASb) on MOCVD reactor has been done to understand the physical and chemical processes involved. Knowledge of the processes that occur during alloy formation is very important to determine the couple of growth condition and growth parameters are appropriate for yield high quality GaAs1-xSbx alloy. The mechanism has been studied include decomposition of metal organic sources and chemical reactions that may occur, the incorporation of the alloy elements forming and the contaminants element that are formed in the gown thin film. In this paper presented the results of experimental data on the growth of GaAs1-xSbx alloy using Vertical-MOCVD reactor to demonstrate its potential in growing GaAs1-xSbx alloy in the range of its miscibility gap.
2015-04-01
studies on flow and thermal fields in MOCVD reactor. Chinese Science Bulletin. 2010;55:560–566. 36. Hampdensmith MJ, Kodas TT. Chemical vapor...Chemistry. 1995;19727–750. 47. Xu CY, Hampdensmith MJ, Kodas TT. Aerosol-assisted chemical-vapor- deposition (AACVD) of binary alloy (AGXPD1-X, CUXPD1-X
Comprehensive investigation of HgCdTe metalorganic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Raupp, Gregory B.
1993-01-01
The principal objective of this experimental and theoretical research program was to explore the possibility of depositing high quality epitaxial CdTe and HgCdTe at very low pressures through metalorganic chemical vapor deposition (MOCVD). We explored two important aspects of this potential process: (1) the interaction of molecular flow transport and deposition in an MOCVD reactor with a commercial configuration, and (2) the kinetics of metal alkyl source gas adsorption, decomposition and desorption from the growing film surface using ultra high vacuum surface science reaction techniques. To explore the transport-reaction issue, we have developed a reaction engineering analysis of a multiple wafer-in-tube ultrahigh vacuum chemical vapor deposition (UHV/CVD) reactor which allows an estimate of wafer or substrate throughput for a reactor of fixed geometry and a given deposition chemistry with specified film thickness uniformity constraints. The model employs a description of ballistic transport and reaction based on the pseudo-steady approximation to the Boltzmann equation in the limit of pure molecular flow. The model representation takes the form of an integral equation for the flux of each reactant or intermediate species to the wafer surfaces. Expressions for the reactive sticking coefficients (RSC) for each species must be incorporated in the term which represents reemission from a wafer surface. The interactions of MOCVD precursors with Si and CdTe were investigated using temperature programmed desorption (TPD) in ultra high vacuum combined with Auger electron spectroscopy (AES). These studies revealed that diethyltellurium (DETe) and dimethylcadmium (DMCd) adsorb weakly on clean Si(100) and desorb upon heating without decomposing. These precursors adsorb both weakly and strongly on CdTe(111)A, with DMCd exhibiting the stronger interaction with the surface than DETe.
Comparison of AlGaAs Oxidation in MBE and MOCVD Grown Samples
2002-01-01
vertical cavity surface emitting lasers ( VCSELs ) [1, 2, 3]. They are also being... molecular beam epitaxy ( MBE ) [5, 6] or metal organic chemical vapor deposition (MOCVD) [7, 8]. The MBE -grown A1GaAs layers are sometimes pseudo or digital...Simultaneous wet-thermal oxidation of MBE and MOCVD grown AlxGal_xAs layers (x = 0.1 to 1.0) showed that the epitaxial growth method does not
Ritenour, Andrew J.; Boucher, Jason W.; DeLancey, Robert; ...
2014-09-01
The high balance-of-system costs of photovoltaic (PV) installations indicate that reductions in cell $/W costs alone are likely insufficient for PV electricity to reach grid parity unless energy conversion efficiency is also increased. Technologies which yield both high-efficiency cells (>25%) and maintain low costs are needed. GaAs and related III-V semiconductors are used in the highest-efficiency single- and multi-junction photovoltaics, but the technology is too expensive for non-concentrated terrestrial applications. This is due in part to the difficulty of scaling the metal-organic chemical vapor deposition (MOCVD) process, which relies on expensive reactors and employs toxic and pyrophoric gas-phase precursors suchmore » as arsine and trimethyl gallium, respectively. In this study, we describe GaAs films made by an alternative close-spaced vapor transport (CSVT) technique which is carried out at atmospheric pressure and requires only bulk GaAs, water vapor, and a temperature gradient in order to deposit crystalline films with similar electronic properties to that of GaAs deposited by MOCVD. CSVT is similar to the vapor transport process used to deposit CdTe thin films and is thus a potentially scalable low-cost route to GaAs thin films.« less
Wafer scale BN on sapphire substrates for improved graphene transport.
Vangala, Shivashankar; Siegel, Gene; Prusnick, Timothy; Snure, Michael
2018-06-11
Wafer scale (2") BN grown by metal organic chemical vapor deposition (MOCVD) on sapphire was examined as a weakly interacting dielectric substrate for graphene, demonstrating improved transport properties over conventional sapphire and SiO 2 /Si substrates. Chemical vapor deposition grown graphene was transferred to BN/sapphire substrates for evaluation of more than 30 samples using Raman and Hall effects measurements. A more than 2x increase in Hall mobility and 10x reduction in sheet carrier density was measured for graphene on BN/sapphire compared to sapphire substrates. Through control of the MOCVD process, BN films with roughness ranging from <0.1 nm to >1 nm were grown and used to study the effects of substrate roughness on graphene transport. Arrays of graphene field effect transistors were fabricated on 2" BN/sapphire substrates demonstrating scalability and device performance enhancement.
NASA Astrophysics Data System (ADS)
Drevet, R.; Dragoé, D.; Barthés-Labrousse, M. G.; Chaussé, A.; Andrieux, M.
2016-10-01
This work presents the synthesis and the characterization of hybrid material thin films obtained by the combination of two processes. The electrochemical grafting of organic layers made of carboxyphenyl moieties is carried out from the reduction of a diazonium salt on tin dioxide (SnO2) thin films previously deposited on Si substrates by metal organic chemical vapor deposition (MOCVD). Since the MOCVD experimental parameters impact the crystal growth of the SnO2 layer (i.e. its morphology and its texturation), various electrochemical grafting models can occur, producing different hybrid materials. In order to evidence the efficiency of the electrochemical grafting of the carboxyphenyl moieties, X-ray Photoelectron Spectroscopy (XPS) is used to characterize the first nanometers in depth of the synthesized hybrid material layer. Then three electrochemical grafting models are proposed.
NASA Technical Reports Server (NTRS)
Nouhi, A.; Radhakrishnan, G.; Katz, J.; Koliwad, K.
1988-01-01
Epitaxial CdTe has been grown on both (100)GaAs/Si and (111)GaAs/Si substrates. A combination of molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD) has been employed for the first time to achieve this growth: the GaAs layers are grown on Si substrates by MBE and the CdTe film is subsequently deposited on GaAs/Si by MOCVD. The grown layers have been characterized by X-ray diffraction, scanning electron microscopy, and photoluminescence.
Modeling of InP metalorganic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Black, Linda R.; Clark, Ivan O.; Kui, J.; Jesser, William A.
1991-01-01
The growth of InP by metalorganic chemical vapor deposition (MOCVD) in a horizontal reactor is being modeled with a commercially available computational fluid dynamics modeling code. The mathematical treatment of the MOCVD process has four primary areas of concern: 1) transport phenomena, 2) chemistry, 3) boundary conditions, and 4) numerical solution methods. The transport processes involved in CVD are described by conservation of total mass, momentum, energy, and atomic species. Momentum conservation is described by a generalized form of the Navier-Stokes equation for a Newtonian fluid and laminar flow. The effect of Soret diffusion on the transport of particular chemical species and on the predicted deposition rate is examined. Both gas-phase and surface chemical reactions are employed in the model. Boundary conditions are specified at the inlet and walls of the reactor for temperature, fluid flow and chemical species. The coupled set of equations described above is solved by a finite difference method over a nonuniform rectilinear grid in both two and three dimensions. The results of the 2-D computational model is presented for gravity levels of zero- and one-g. The predicted growth rates at one-g are compared to measured growth rates on fused silica substrates.
NASA Astrophysics Data System (ADS)
Yang, Weijia; Zhang, Zichen; Wang, Wenliang; Zheng, Yulin; Wang, Haiyan; Li, Guoqiang
2018-05-01
High-quality a-plane GaN epitaxial films have been grown on r-plane sapphire substrates by the combination of pulsed laser deposition (PLD) and metal–organic chemical vapor deposition (MOCVD). PLD is employed to epitaxial growth of a-plane GaN templates on r-plane sapphire substrates, and then MOCVD is used. The nonpolar a-plane GaN epitaxial films with relatively small thickness (2.9 µm) show high quality, with the full-width at half-maximum values of GaN(11\\bar{2}0) along [1\\bar{1}00] direction and GaN(10\\bar{1}1) of 0.11 and 0.30°, and a root-mean-square surface roughness of 1.7 nm. This result is equivalent to the quality of the films grown by MOCVD with a thickness of 10 µm. This work provides a new and effective approach for achieving high-quality nonpolar a-plane GaN epitaxial films on r-plane sapphire substrates.
Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang
2018-01-01
Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality. PMID:29515883
Li, Jian; Fei, Ze-Yuan; Xu, Yi-Feng; Wang, Jie; Fan, Bing-Feng; Ma, Xue-Jin; Wang, Gang
2018-02-01
Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.
NASA Astrophysics Data System (ADS)
Li, Jian; Fei, Ze-yuan; Xu, Yi-feng; Wang, Jie; Fan, Bing-feng; Ma, Xue-jin; Wang, Gang
2018-02-01
Metal-organic chemical vapour deposition (MOCVD) is a key technique for fabricating GaN thin film structures for light-emitting and semiconductor laser diodes. Film uniformity is an important index to measure equipment performance and chip processes. This paper introduces a method to improve the quality of thin films by optimizing the rotation speed of different substrates of a model consisting of a planetary with seven 6-inch wafers for the planetary GaN-MOCVD. A numerical solution to the transient state at low pressure is obtained using computational fluid dynamics. To evaluate the role of the different zone speeds on the growth uniformity, single factor analysis is introduced. The results show that the growth rate and uniformity are strongly related to the rotational speed. Next, a response surface model was constructed by using the variables and the corresponding simulation results. The optimized combination of the matching of different speeds is also proposed as a useful reference for applications in industry, obtained by a response surface model and genetic algorithm with a balance between the growth rate and the growth uniformity. This method can save time, and the optimization can obtain the most uniform and highest thin film quality.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Min, Jung-Wook; Hwang, Hyeong-Yong; Kang, Eun-Kyu
2016-05-01
Microscale platelet-shaped GaN grains were grown on amorphous substrates by a combined epitaxial growth method of molecular beam epitaxy (MBE) and metal-organic chemical vapor deposition (MOCVD). First, MBE GaN was grown on an amorphous substrate as a pre-orienting layer and its structural properties were investigated. Second, MOCVD grown GaN samples using the different growth techniques of planar and selective area growth (SAG) were comparatively investigated by transmission electron microscopy (TEM), cathodoluminescence (CL), and photoluminescence (PL). In MOCVD planar GaN, strong bound exciton peaks dominated despite the high density of the threading dislocations (TDs). In MOCVD SAG GaN, on the othermore » hand, TDs were clearly reduced with bending, but basal stacking fault (BSF) PL peaks were observed at 3.42 eV. The combined epitaxial method not only provides a deep understanding of the growth behavior but also suggests an alternative approach for the growth of GaN on amorphous substances.« less
Influence of Natural Convection and Thermal Radiation Multi-Component Transport in MOCVD Reactors
NASA Technical Reports Server (NTRS)
Lowry, S.; Krishnan, A.; Clark, I.
1999-01-01
The influence of Grashof and Reynolds number in Metal Organic Chemical Vapor (MOCVD) reactors is being investigated under a combined empirical/numerical study. As part of that research, the deposition of Indium Phosphide in an MOCVD reactor is modeled using the computational code CFD-ACE. The model includes the effects of convection, conduction, and radiation as well as multi-component diffusion and multi-step surface/gas phase chemistry. The results of the prediction are compared with experimental data for a commercial reactor and analyzed with respect to the model accuracy.
Amorphous alumina coatings: processing, structure and remarkable barrier properties.
Samélor, Diane; Lazar, Ana-Maria; Aufray, Maëlenn; Tendero, Claire; Lacroix, Loïc; Béguin, Jean-Denis; Caussat, Brigitte; Vergnes, Hugues; Alexis, Joël; Poquillon, Dominique; Pébère, Nadine; Gleizes, Alain; Vahlas, Constantin
2011-09-01
Amorphous aluminium oxide coatings were processed by metalorganic chemical vapour deposition (MOCVD); their structural characteristics were determined as a function of the processing conditions, the process was modelled considering appropriate chemical kinetic schemes, and the properties of the obtained material were investigated and were correlated with the nanostructure of the coatings. With increasing processing temperature in the range 350 degrees C-700 degrees C, subatmospheric MOCVD of alumina from aluminium tri-isopropoxide (ATI) sequentially yields partially hydroxylated amorphous aluminium oxides, amorphous Al2O3 (415 degrees C-650 degrees C) and nanostructured gamma-Al2O3 films. A numerical model for the process allowed reproducing the non uniformity of deposition rate along the substrate zone due to the depletion of ATI. The hardness of the coatings prepared at 350 degrees C, 480 degrees C and 700 degrees C is 6 GPa, 11 GPa and 1 GPa, respectively. Scratch tests on films grown on TA6V titanium alloy reveal adhesive and cohesive failures for the amorphous and nanocrystalline ones, respectively. Alumina coating processed at 480 degrees C on TA6V yielded zero weight gain after oxidation at 600 degrees C in lab air. The surface of such low temperature processed amorphous films is hydrophobic (water contact angle 106 degrees), while the high temperature processed nanocrystalline films are hydrophilic (48 degrees at a deposition temperature of 700 degrees C). It is concluded that amorphous Al2O3 coatings can be used as oxidation and corrosion barriers at ambient or moderate temperature. Nanostructured with Pt or Ag nanoparticles, they can also provide anti-fouling or catalytic surfaces.
High performance of Ga-doped ZnO transparent conductive layers using MOCVD for GaN LED applications.
Horng, Ray-Hua; Shen, Kun-Ching; Yin, Chen-Yang; Huang, Chiung-Yi; Wuu, Dong-Sing
2013-06-17
High performance of Ga-doped ZnO (GZO) prepared using metalorganic chemical vapor deposition (MOCVD) was employed in GaN blue light-emitting diodes (LEDs) as transparent conductive layers (TCL). By the post-annealing process, the annealed 800°C GZO films exhibited a high transparency above 97% at wavelength of 450 nm. The contact resistance of GZO decreased with the annealing temperature increasing. It was attributed to the improvement of the GZO crystal quality, leading to an increase in electron concentration. It was also found that some Zn atom caused from the decomposition process diffused into the p-GaN surface of LED, which generated a stronger tunneling effect at the GZO/p-GaN interface and promoted the formation of ohmic contact. Moreover, contrast to the ITO-LED, a high light extraction efficiency of 77% was achieved in the GZO-LED at injection current of 20 mA. At 350 mA injection current, the output power of 256.51 mW of GZO-LEDs, corresponding to a 21.5% enhancement as compared to ITO-LEDs was obtained; results are promising for the development of GZO using the MOCVD technique for GaN LED applications.
Chemical vapor deposition of high T(sub c) superconducting films in a microgravity environment
NASA Technical Reports Server (NTRS)
Levy, Moises; Sarma, Bimal K.
1994-01-01
Since the discovery of the YBaCuO bulk materials in 1987, Metalorganic Chemical Vapor Deposition (MOCVD) has been proposed for preparing HTSC high T(sub c) films. This technique is now capable of producing high-T(sub c) superconducting thin films comparable in quality to those prepared by any other methods. The MOCVD technique has demonstrated its superior advantage in making large area high quality HTSC thin films and will play a major role in the advance of device applications of HTSC thin films. The organometallic precursors used in the MOCVD preparation of HTSC oxide thin films are most frequently metal beta-diketonates. High T(sub c) superconductors are multi-component oxides which require more than one component source, with each source, containing one kind of precursor. Because the volatility and stability of the precursors are strongly dependent on temperature, system pressure, and carrier gas flow rate, it has been difficult to control the gas phase composition, and hence film stoichiometry. In order circumvent these problems we have built and tested a single source MOCVD reactor in which a specially designed vaporizer was employed. This vaporizer can be used to volatilize a stoichiometric mixture of diketonates of yttrium, barium and copper to produce a mixed vapor in a 1:2:3 ratio respectively of the organometellics. This is accomplished even though the three compounds have significantly different volatilities. We have developed a model which provides insight into the process of vaporizing mixed precursors to produce high quality thin films of Y1Ba2Cu3O7. It shows that under steady state conditions the mixed organometallic vapor must have a stoichiometric ratio of the individual organometallics identical to that in the solid mixture.
Electrical properties of MIS devices on CdZnTe/HgCdTe
NASA Astrophysics Data System (ADS)
Lee, Tae-Seok; Jeoung, Y. T.; Kim, Hyun Kyu; Kim, Jae Mook; Song, Jinhan; Ann, S. Y.; Lee, Ji Y.; Kim, Young Hun; Kim, Sun-Ung; Park, Mann-Jang; Lee, S. D.; Suh, Sang-Hee
1998-10-01
In this paper, we report the capacitance-voltage (C-V) properties of metal-insulator-semiconductor (MIS) devices on CdTe/HgCdTe by the metalorganic chemical vapor deposition (MOCVD) and CdZnTe/HgCdTe by thermal evaporation. In MOCVD, CdTe layers are directly grown on HgCdTe using the metal organic sources of DMCd and DiPTe. HgCdTe layers are converted to n-type and the carrier concentration, ND is low 1015 cm-3 after Hg-vacancy annealing at 260 degrees Celsius. In thermal evaporation, CdZnTe passivation layers were deposited on HgCdTe surfaces after the surfaces were etched with 0.5 - 2.0% bromine in methanol solution. To investigate the electrical properties of the MIS devices, the C-V measurement is conducted at 80 K and 1 MHz. C-V curve of MIS devices on CdTe/HgCdTe by MOCVD has shown nearly flat band condition and large hysteresis, which is inferred to result from many defects in CdTe layer induced during Hg-vacancy annealing process. A negative flat band voltage (VFB approximately equals -2 V) and a small hysteresis have been observed for MIS devices on CdZnTe/HgCdTe by thermal evaporation. It is inferred that the negative flat band voltage results from residual Te4+ on the surface after etching with bromine in methanol solution.
Sangiovanni, D G; Gueorguiev, G K; Kakanakova-Georgieva, A
2018-06-19
Metal organic chemical vapor deposition (MOCVD) of group III nitrides on graphene heterostructures offers new opportunities for the development of flexible optoelectronic devices and for the stabilization of conceptually-new two-dimensional materials. However, the MOCVD of group III nitrides is regulated by an intricate interplay of gas-phase and surface reactions that are beyond the resolution of experimental techniques. We use density-functional ab initio molecular dynamics (AIMD) with van der Waals corrections to identify atomistic pathways and associated electronic mechanisms driving precursor/surface reactions during metal organic vapor phase epitaxy at elevated temperatures of aluminum nitride on graphene, considered here as model case study. The results presented provide plausible interpretations of atomistic and electronic processes responsible for delivery of Al, C adatoms, and C-Al, CHx, AlNH2 admolecules on pristine graphene via precursor/surface reactions. In addition, the simulations reveal C adatom permeation across defect-free graphene, as well as exchange of C monomers with graphene carbon atoms, for which we obtain rates of ∼0.3 THz at typical experimental temperatures (1500 K), and extract activation energies Eexca = 0.28 ± 0.13 eV and attempt frequencies Aexc = 2.1 (×1.7±1) THz via Arrhenius linear regression. The results demonstrate that AIMD simulations enable understanding complex precursor/surface reaction mechanisms, and thus propose AIMD to become an indispensable routine prediction-tool toward more effective exploitation of chemical precursors and better control of MOCVD processes during synthesis of functional materials.
MOCVD growth of vertically aligned InGaN nanowires
NASA Astrophysics Data System (ADS)
Kuo, H. C.; Su Oh, Tae; Ku, P.-C.
2013-05-01
In this work, we report the growth of vertically aligned bulk InGaN nanowires (NWs) on r-plane sapphire substrate by metal organic chemical vapor deposition (MOCVD). Through the optimization process of growth conditions, such as growth temperature and pressure, we obtained high density InGaN NWs consisting of one (0001) polar- and two equivalent {1101} semi-polar planes. We have shown the highest InGaN NWs wire density of 8×108 cm-2,with an average diameter of 300 nm and a length of 2 μm. From results of photoluminescence (PL) at 30 K and 300 K, we observed the intense and broad emission peak from InGaN NWs at around 595 nm, and confirmed that the luminescence could be tuned from 580 nm to 660 nm by controlling the indium flow (TMIn) rate. Our results indicate that MOCVD-grown InGaN NWs can be effective absorbers of the blue-green range of solar spectrum and may be one of the good candidates for high efficiency photovoltaic devices targeting at blue-green photons.
Metalorganic chemical vapor deposition of AlGaAs and InGaP heterojunction bipolar transistors
NASA Astrophysics Data System (ADS)
Pan, N.; Welser, R. E.; Lutz, C. R.; DeLuca, P. M.; Han, B.; Hong, K.
2001-05-01
Heterojunction bipolar transistors (HBT) are now beginning to be widely incorporated as power amplifiers, laser drivers, multiplexers, clock data recovery circuits, as well as transimpedance and broadband amplifiers in high performance millimeter wave circuits (MMICs). The increasing acceptance of this device is principally due to advancements in metalorganic chemical vapor deposition (MOCVD), device processing, and circuit design technologies. Many of the DC electrical characteristics of large area devices can be directly correlated to the DC performance of small area RF devices. A precise understanding of the growth parameters and their relationship to device characteristics is critical for ensuring the high degree of reproducibility required for low cost high-yield volume manufacturing. Significant improvements in the understanding of the MOCVD growth process have been realized through the implementation of statistical process control on the key HBT device parameters. This tool has been successfully used to maintain the high quality of the device characteristics in high-volume production of 4″ GaAs-based HBTs. There is a growing demand to migrate towards 6″ diameter wafer size due to the potential cost reductions and increased volume production that can be realized. Preliminary results, indicating good heterostructure layer characteristics, demonstrate the feasibility of 6″ InGaP-based HBT devices.
Bahlawane, N; Struckmeier, U; Kasper, T S; Osswald, P
2007-01-01
Chemical vapor deposition (CVD) and metal-organic chemical vapor deposition (MOCVD) have been employed to develop alumina thin films in order to protect thermocouples from catalytic overheating in flames and to minimize the intrusion presented to the combustion process. Alumina films obtained with a CVD process using AlCl(3) as the precursor are dense, not contaminated, and crystallize in the corundum structure, while MOCVD using Al(acetyl acetone)(3) allows the growth of corundum alumina with improved growth rates. These films, however, present a porous columnar structure and show some carbon contamination. Therefore, coated thermocouples using AlCl(3)-CVD were judged more suitable for flame temperature measurements and were tested in different fuels over a typical range of stoichiometries. Coated thermocouples exhibit satisfactory measurement reproducibility, no temporal drifts, and do not suffer from catalytic effects. Furthermore, their increased radiative heat loss (observed by infrared spectroscopy) allows temperature measurements over a wider range when compared to uncoated thermocouples. A flame with a well-known temperature profile established with laser-based techniques was used to determine the radiative heat loss correction to account for the difference between the apparent temperature measured by the coated thermocouple and the true flame temperature. The validity of the correction term was confirmed with temperature profile measurements for several flames previously studied in different laboratories with laser-based techniques.
Vacuum MOCVD fabrication of high efficience cells
NASA Technical Reports Server (NTRS)
Partain, L. D.; Fraas, L. M.; Mcleod, P. S.; Cape, J. A.
1985-01-01
Vacuum metal-organic-chemical-vapor-deposition (MOCVD) is a new fabrication process with improved safety and easier scalability due to its metal rather than glass construction and its uniform multiport gas injection system. It uses source materials more efficiently than other methods because the vacuum molecular flow conditions allow the high sticking coefficient reactants to reach the substrates as undeflected molecular beams and the hot chamber walls cause the low sticking coefficient reactants to bounce off the walls and interact with the substrates many times. This high source utilization reduces the materials costs power device and substantially decreases the amounts of toxic materials that must be handled as process effluents. The molecular beams allow precise growth control. With improved source purifications, vacuum MOCVD has provided p GaAs layers with 10-micron minority carrier diffusion lengths and GaAs and GaAsSb solar cells with 20% AMO efficiencies at 59X and 99X sunlight concentration ratios. Mechanical stacking has been identified as the quickest, most direct and logical path to stacked multiple-junction solar cells that perform better than the best single-junction devices. The mechanical stack is configured for immediate use in solar arrays and allows interconnections that improve the system end-of-life performance in space.
NASA Astrophysics Data System (ADS)
Zhao, Ruipeng; Zhang, Fei; Liu, Qing; Xia, Yudong; Lu, Yuming; Cai, Chuanbing; Tao, Bowan; Li, Yanrong
2018-07-01
The MOCVD process was adopted to grow the REBa2Cu3O7-δ ((REBCO), RE = rare earth elements) films on the LaMnO3 (LMO) templates. Meanwhile, the LMO-template tapes are heated by the joule effect after applying a heating current through the Hastelloy metal substrates. The surface of GdYBCO films prepared by MOCVD method is prone to form outgrowths. So the surface morphology of GdYBCO film is optimized by depositing the SmBCO layer, which is an important process method for the preparation of high-quality multilayer REBCO films. At last, the GdYBCO/SmBCO/GdYBCO multilayer films were successfully prepared on the LMO templates based on the simple self-heating method. It is demonstrated that the GdYBCO surface was well improved by the characterization analysis of scanning electron microscope. And the Δω of REBCO (005) and Δφ of REBCO (103), which were performed by an X-ray diffraction system, are respectively 1.3° and 3.3° What's more, the critical current density (Jc) has been more than 3 MA/cm2 (77 K, 0 T) and the critical current (Ic) basically shows a trend of good linear increase with the increase of the number of REBCO layers.
Method of making AlInSb by metal-organic chemical vapor deposition
Biefeld, Robert M.; Allerman, Andrew A.; Baucom, Kevin C.
2000-01-01
A method for producing aluminum-indium-antimony materials by metal-organic chemical vapor deposition (MOCVD). This invention provides a method of producing Al.sub.X In.sub.1-x Sb crystalline materials by MOCVD wherein an Al source material, an In source material and an Sb source material are supplied as a gas to a heated substrate in a chamber, said Al source material, In source material, and Sb source material decomposing at least partially below 525.degree. C. to produce Al.sub.x In.sub.1-x Sb crystalline materials wherein x is greater than 0.002 and less than one.
Effect of the Cu/Ba ratio for the YBCO deposition onto IBAD template by the MOCVD method
NASA Astrophysics Data System (ADS)
Choi, J. K.; Kim, H. J.; Jun, B. H.; Kim, C. J.
2005-10-01
YBa2Cu3O7-x (YBCO) thin films were fabricated by the metal organic chemical vapor deposition (MOCVD) using a single liquid source. The copper/barium (Cu/Ba) ratio was varied from 1.26 to 1.38 to optimize the deposition condition. The IBAD template (CeO2/YSZ/stainless steel) was used as a substrate. The growth features of the YBCO films were not significantly influenced by the Cu/Ba ratio, while the superconducting transition temperature (Tc) and critical current (Ic) depended on the Cu/Ba ratio. When Cu/Ba ratio was between 1.26 and 1.29, Tc was as low as 80 K, while as Cu/Ba ratio increased to 1.38, it increased to above 85 K. The highest Tc (89.0 K) and Ic (46.3 A/cm-width) were achieved at the Cu/Ba ratio of 1.38 (Y:Ba:Cu = 1:2.1:2.9). It indicates that the optimum Cu/Ba ratio which differs from stoichiometric balance exists for the formation of the superconducting phase with a high Tc and Ic in MOCVD method.
NASA Astrophysics Data System (ADS)
Zhao, Ruipeng; Liu, Qing; Xia, Yudong; Tao, Bowan; Li, Yanrong
2017-12-01
We have successfully applied metal organic chemical vapor deposition (MOCVD) to synthesize biaxially textured YBa2Cu3O7-δ (YBCO) superconducting films on the templates of LaMnO3/epitaxial MgO/IBAD-MgO/solution deposition planarization (SDP) Y2O3/Hastelloy tape. The YBCO films have obtained dense and smooth surface with good structure and performance. A new self-heating method, which replaced the conventional heating-wire radiation heating method, has been used to heat the Hastelloy metal tapes by us. Compared with the heating-wire radiation heating method, the self-heating method shows higher energy efficiency and lower power consumption, which has good advantage to simplify the structure of the MOCVD system. Meanwhile, the utilization ratio of metal organic sources can be increased from 6% to 20% through adopting the new self-heating method. Then the preparation cost of the YBCO films can be also greatly reduced.
Progress in second-generation HTS wire development and manufacturing
NASA Astrophysics Data System (ADS)
Selvamanickam, V.; Chen, Y.; Xiong, X.; Xie, Y.; Zhang, X.; Rar, A.; Martchevskii, M.; Schmidt, R.; Lenseth, K.; Herrin, J.
2008-09-01
2007 has marked yet another year of continued rapid progress in developing and manufacturing high-performance, long-length second-generation (2G) HTS wires at high speeds. Using ion beam assisted deposition (IBAD) MgO and associated buffer sputtering processes, SuperPower has now exceeded piece lengths of 1000 m of fully buffered tape reproducibly with excellent in-plane texture of 6-7 degrees and uniformity of about 2%. These kilometer lengths are produced at high speeds of about 350 m/h of 4 mm wide tape. In combination with metal organic chemical vapor deposition (MOCVD), 2G wires up to single piece lengths to 790 m with a minimum critical current value of 190 A/cm corresponding to a Critical current × Length performance of 150,100 Am have been achieved. Tape speeds up to 180 m/h have been reached MOCVD while maintaining critical currents above 200 A/cm in 100+ m lengths. Thick film MOCVD technology has been transitioned to Pilot manufacturing system where a minimum critical current of 320 A/cm has been demonstrated over a length of 155 m processed at a speed of 70 m/h in 4 mm width. Finally, nearly 10,000 m of 2G wire has been produced, exhaustively tested, and delivered to the Albany Cable project. The average minimum critical current of the wire delivered in 225 segments of 43-44 m is 70 A in 4 mm widths. A 30 m cable has been fabricated with this wire by Sumitomo Electric and has been installed in the power grid of National Grid in downtown Albany and is the world’s first 2G device installed in the grid.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Baumann, P. K.; Kaufman, D. Y.; Im, J.
2001-01-01
We have investigated the structural and electrical characteristics of (Ba{sub x}Sr{sub 1-x})Ti{sub 1+y}O{sub 3+z} (BST) thin films synthesized at 650{sup o}C on Pt/SiO{sub 2}/Si substrates using a large area, vertical metalorganic chemical vapor deposition (MOCVD) reactor equipped with a liquid delivery system. Films with a Ba/Sr ratio of 70/30 were studied, as determined using X-ray fluorescence spectroscopy (XRF) and Rutherford backscattering spectrometry (RBS). A substantial reduction of the dielectric loss was achieved when annealing the entire capacitor structure in air at 700{sup o}C. Dielectric tunability as high as 2.3:1 was measured for BST capacitors with the currently optimized processing conditions.
NASA Astrophysics Data System (ADS)
Mohan, Arun Ram
Solid deposit formation from jet fuel compromises the fuel handling system of an aviation turbine engine and increases the maintenance downtime of an aircraft. The deposit formation process depends upon the composition of the fuel, the nature of metal surfaces that come in contact with the heated fuel and the operating conditions of the engine. The objective of the study is to investigate the effect of substrate surfaces on the amount and nature of solid deposits in the intermediate regime where both autoxidation and pyrolysis play an important role in deposit formation. A particular focus has been directed to examining the effectiveness of barrier coatings produced by metal organic chemical vapor deposition (MOCVD) on metal surfaces for inhibiting the solid deposit formation from jet fuel degradation. In the first part of the experimental study, a commercial Jet-A sample was stressed in a flow reactor on seven different metal surfaces: AISI316, AISI 321, AISI 304, AISI 347, Inconel 600, Inconel 718, Inconel 750X and FecrAlloy. Examination of deposits by thermal and microscopic analysis shows that the solid deposit formation is influenced by the interaction of organosulfur compounds and autoxidation products with the metal surfaces. The nature of metal sulfides was predicted by Fe-Ni-S ternary phase diagram. Thermal stressing on uncoated surfaces produced coke deposits with varying degree of structural order. They are hydrogen-rich and structurally disordered deposits, spherulitic deposits, small carbon particles with relatively ordered structures and large platelets of ordered carbon structures formed by metal catalysis. In the second part of the study, environmental barrier coatings were deposited on tube surfaces to inhibit solid deposit formation from the heated fuel. A new CVD system was configured by the proper choice of components for mass flow, pressure and temperature control in the reactor. A bubbler was designed to deliver the precursor into the reactor for the deposition of metal and metal oxide functional coatings by MOCVD. Alumina was chosen as a candidate for metal oxide coating because of its thermal and phase stability. Platinum was chosen as a candidate to utilize the oxygen spillover process to maintain a self-cleaning surface by oxidizing the deposits formed during thermal stressing. Two metal organic precursors, aluminum trisecondary butoxide and aluminum acetylacetonate, were used as precursors to coat tubes of varying diameters. The morphology and uniformity of the coatings were characterized by electron microscopy and energy-dispersive x-ray spectroscopy. The coating was characterized by x-ray photoelectron spectroscopy to obtain the surface chemical composition. This is the first study conducted to examine the application of MOCVD to coat internal surfaces of tubes with varying diameters. In the third part of the study, the metal oxide coatings, alumina from aluminum acetylacetonate, alumina from aluminum trisecondary butoxide, zirconia from zirconium acetylacetonate, tantalum oxide from tantalum pentaethoxide and the metal coating, platinum from platinum acetylacetonate were deposited by MOCVD on AISI304. The chemical composition and the surface acidity of the coatings were characterized by x-ray photoelectron spectroscopy. The morphology of the coatings was characterized by electron microscopy. The coated substrates were tested in the presence of heated Jet-A in a flow reactor to evaluate their effectiveness in inhibiting the solid deposit formation. All coatings inhibited the formation of metal sulfides and the carbonaceous solid deposits formed by metal catalysis. The coatings also delayed the accumulation of solid carbonaceous deposits. In particular, it has been confirmed that the surface acidity of the metal oxide coatings affects the formation of carbonaceous deposits. Bimolecular addition reactions promoted by the Bronsted acid sites appear to lead to the formation of carbonaceous solid deposits depending on the surface acidity of the coatings. In the last part of the study, the residual carbon was incorporated in the zirconia coating by deposition with and without oxygen. As carbon surface is less active towards coke deposition, presence of residual carbon in the coating was expected to reduce its activity towards carbon deposition. The residual carbon in the coating was characterized by Raman spectroscopy and thermal analysis. However, it has been observed that residual carbon in the coating beyond a certain concentration compromises the integrity of the coating during the process of cooling the substrate from deposition temperature to room temperature. It has been found that residual carbon in the zirconia coating does not appear to affect the activity of the surface towards carbon deposition.
Temperature coefficients and radiation induced DLTS spectra of MOCVD grown n(+)p InP solar cells
NASA Technical Reports Server (NTRS)
Walters, Robert J.; Statler, Richard L.; Summers, Geoffrey P.
1991-01-01
The effects of temperature and radiation on n(+)p InP solar cells and mesa diodes grown by metallorganic chemical vapor deposition (MOCVD) were studied. It was shown that MOCVD is capable of consistently producing good quality InP solar cells with Eff greater than 19 percent which display excellent radiation resistance due to minority carrier injection and thermal annealing. It was also shown that universal predictions of InP device performance based on measurements of a small group of test samples can be expected to be quite accurate, and that the degradation of an InP device due to any incident particle spectrum should be predictable from a measurement following a single low energy proton irradiation.
NASA Astrophysics Data System (ADS)
Polyakov, A. Y.; Smirnov, N. B.; Govorkov, A. V.; Kozhukhova, E. A.; Dabiran, A. M.; Chow, P. P.; Wowchak, A. M.; Lee, In-Hwan; Ju, Jin-Woo; Pearton, S. J.
2009-10-01
The electrical properties, admittance spectra, microcathodoluminescence, and deep trap spectra of p-AlGaN films with an Al mole fraction up to 45% grown by both metal organic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE) were compared. The ionization energy of Mg increases from 0.15 to 0.17 eV in p-GaN to 0.3 eV in 45% Al p-AlGaN. In p-GaN films grown by MBE and MOCVD and in MOCVD grown p-AlGaN, we observed additional acceptors with a concentration an order lower than that of Mg acceptors, with a higher hole capture cross section and an ionization energy close to that of Mg. For some of the MBE grown p-AlGaN, we also detected the presence of additional acceptor centers, but in that case the centers were located near the p-AlGaN layer interface with the semi-insulating AlGaN buffer and showed activation energies considerably lower than those of Mg.
NASA Technical Reports Server (NTRS)
Leon, R. P.; Bailey, S. G.; Mazaris, G. A.; Williams, W. D.
1986-01-01
A continuous p-type GaAs epilayer has been deposited on an n-type sawtooth GaAs surface using MOCVD. A wet chemical etching process was used to expose the intersecting (111)Ga and (-1 -1 1)Ga planes with 6-micron periodicity. Charge-collection microscopy was used to verify the presence of the pn junction thus formed and to measure its depth. The ultimate goal of this work is to fabricate a V-groove GaAs cell with improved absorptivity, high short-circuit current, and tolerance to particle radiation.
NASA Astrophysics Data System (ADS)
Ritums, Dwight Lenards
A materials system has been developed for advanced oxide high permittivity capacitors for use in Dynamic Random Access Memory (DRAM) applications. A capacitor test structure has been fabricated, demonstrating the integration of this materials system onto Si. It is a 3-D stacked electrode structure which uses the high-K dielectric material Ba1- xSrxTiO 3 (BST) and a novel Ni/TiN bottom electrode system. The structure was grown using pulsed laser deposition (PLD), photo-assisted metal-organic chemical vapor deposition (PhA-MOCVD), and electron beam deposition, and resulted in thin film capacitors with dielectric constants over 500. Other advanced oxides, principally SrVO3, were also investigated for use as electrode materials. The fabricated test structure is 3 μgm wide and 1 μm thick. RIE was used to generate the 3-D structure, and an etch gas recipe was developed to pattern the 3-D electrode structure onto the TiN. The Ni was deposited by electron beam deposition, and the BST was grown by PLD and PhA-MOCVD. Conformal coating of the electrode by the BST was achieved. The film structure was analyzed with XRD, SEM, EDS, XPS, AES, and AFM, and the electronic properties of the devices were characterized. Permittivites of up to 500 were seen in the PLD-grown films, and values up to 700 were seen in the MOCVD- deposited films. The proof of concept of a high permittivity material directly integrated onto Si has been demonstrated for this capacitor materials system. With further lithographic developments, this system can be applied toward gigabit device fabrication.
Characterization of an Mg-implanted GaN p-i-n Diode
2016-03-31
unintentionally doped GaN layer was grown by metal organic chemical vapor deposition (MOCVD) on a n+ Ga -face c-oriented GaN substrate. The as-grown MOCVD film...their proper lattice sites. In the case of Mg implanted GaN , the Mg must replace Ga to result in p-type material. In many other semiconductor...Characterization of an Mg-implanted GaN p-i-n Diode Travis J. Anderson, Jordan D. Greenlee, Boris N. Feigelson, Karl D. Hobart, and Francis J
Growth of indium gallium arsenide thin film on silicon substrate by MOCVD technique
NASA Astrophysics Data System (ADS)
Chowdhury, Sisir; Das, Anish; Banerji, Pallab
2018-05-01
Indium gallium arsenide (InGaAs) thin film with indium phosphide (InP) buffer has been grown on p-type silicon (100) by Metal Organic Chemical Vapor Deposition (MOCVD) technique. To get a lattice matched substrate an Indium Phosphide buffer thin film is deposited onto Si substrate prior to InGaAs growth. The grown films have been investigated by UV-Vis-NIR reflectance spectroscopy. The band gap energy of the grown InGaAs thin films determined to be 0.82 eV from reflectance spectrum and the films are found to have same thickness for growth between 600 °C and 650 °C. Crystalline quality of the grown films has been studied by grazing incidence X-ray diffractometry (GIXRD).
Demonstration of a Dual-Band Mid-Wavelength HgCdTe Detector Operating at Room Temperature
NASA Astrophysics Data System (ADS)
Martyniuk, P.; Madejczyk, P.; Gawron, W.; Rutkowski, J.
2018-03-01
In this paper, the performance of sequential dual-band mid-wavelength N+-n-p-p-P+-p-p-n-n+ back-to-back HgCdTe photodiode grown by metal-organic chemical vapor deposition (MOCVD) operating at room temperature is presented. The details of the MOCVD growth procedure are given. The influence of p-type separating-barrier layer on dark current, photocurrent and response time was analyzed. Detectivity without immersion D * higher than 1 × 108 cmHz1/2/W was estimated for λ Peak = 3.2 μm and 4.2 μm, respectively. A response time of τ s ˜ 1 ns could be reached in both MW1 and MW2 ranges for the optimal P+ barrier Cd composition at the range 0.38-0.42, and extra series resistance related to the processing R Series equal to 500 Ω.
Uncooled middle wavelength infrared photoconductors based on (111) and (100) oriented HgCdTe
NASA Astrophysics Data System (ADS)
Madejczyk, Paweł; Kębłowski, Artur; Gawron, Waldemar; Martyniuk, Piotr; Kopytko, Małgorzata; Stępień, Dawid; Rutkowski, Jarosław; Piotrowski, Józef; Piotrowski, Adam; Rogalski, Antoni
2017-09-01
We present progress in metal organic chemical vapor deposition (MOCVD) growth of (100) HgCdTe epilayers achieved recently at the Institute of Applied Physics, Military University of Technology and Vigo System S.A. It is shown that MOCVD technology is an excellent tool for the fabrication of different HgCdTe detector structures with a wide range of composition, donor/acceptor doping, and without post grown ex-situ annealing. Surface morphology, residual background concentration, and acceptor doping efficiency are compared in (111) and (100) oriented HgCdTe epilayers. At elevated temperatures, the carrier lifetime in measured p-type photoresistors is determined by Auger 7 process with about one order of magnitude difference between theoretical and experimental values. Particular progress has been achieved in the growth of (100) HgCdTe epilayers for medium wavelength infrared photoconductors operated in high-operating temperature conditions.
Micropatterning of TiO2 thin films by MOCVD and study of their growth tendency.
Hwang, Ki-Hwan; Kang, Byung-Chang; Jung, Duk Young; Kim, Youn Jea; Boo, Jin-Hyo
2015-03-23
In this work, we studied the growth tendency of TiO2 thin films deposited on a narrow-stripe area (<10 μm). TiO2 thin films were selectively deposited on OTS patterned Si(100) substrates by MOCVD. The experimental data showed that the film growth tendency was divided into two behaviors above and below a line patterning width of 4 μm. The relationship between the film thickness and the deposited area was obtained as a function of f(x) = a[1 - e((-bx))]c. To find the tendency of the deposition rate of the TiO2 thin films onto the various linewidth areas, the relationship between the thickness of the TiO2 thin film and deposited linewidth was also studied. The thickness of the deposited TiO2 films was measured from the alpha-step profile analyses and cross-sectional SEM images. At the same time, a computer simulation was carried out to reveal the relationship between the TiO2 film thickness and deposited line width. The theoretical results suggest that the mass (velocity) flux in flow direction is directly affected to the film thickness.
NASA Technical Reports Server (NTRS)
Pollak, Fred H.
1990-01-01
A contactless electromodulation technique of photoreflectance (PR) was developed for in-situ monitoring of metal-organic chemical vapor deposition (MOCVD) semiconductor growth for micro-gravity applications. PR can be employed in a real MOCVD reactor including rotating substrate (approximately 500 rev/min) in flowing gases and through a diffuser plate. Measurements on GaAs and Ga(0.82)Al(0.18)As were made up to 690 C. The direct band gaps of In(x)Ga(1-x)As (x = 0.07 and 0.16) were evaluated up to 600 C. In order to address the question of real time measurement, the spectra of the direct gap of GaAs at 650 C was obtained in 30 seconds and 15 seconds seems feasible.
NASA Astrophysics Data System (ADS)
Edleman, Nikki Lynn
A new class of volatile, low-melting, fluorine-free lanthanide metal-organic chemical vapor deposition (MOCVD) precursors has been developed. The neutral, monomeric cerium, neodymium, gadolinium, and erbium complexes are coordinatively saturated by a versatile, multidentate, ether-functionalized beta-ketoiminate ligand, and complex melting point and volatility characteristics can be tuned by altering the alkyl substituents on the ligand periphery. Direct comparison with lanthanide beta-diketonate complexes reveals that the present precursor class is a superior choice for lanthanide oxide MOCVD. Epitaxial CeO 2 buffer layer films have been grown on (001) YSZ substrates by MOCVD at significantly lower temperatures than previously reported using one of the newly developed cerium precursors. High-quality YBCO films grown on these CeO2 buffer layers by POMBE exhibit very good electrical transport properties. The cerium complex has therefore been explicitly demonstrated to be a stable and volatile precursor and is attractive for low-temperature growth of coated conductor multilayer structures by MOCVD. Gallium-indium-oxide thin films (GaxIn2-xO 3), x = 0.0˜1.1, have been grown by MOCVD using the volatile metal-organic precursors In(dpm)3 and Ga(dpm)3. The films have a homogeneously Ga-substituted, cubic In2O3 microstructure randomly oriented on quartz or heteroepitaxial on (100) YSZ single-crystal substrates. The highest conductivity of the as-grown films is found at x = 0.12. The optical transmission window and absolute transparency of the films rivals or exceeds that of the most transparent conductive oxides known. Reductive annealing results in improved charge transport characteristics with little loss of optical transparency. No significant difference in electrical properties is observed between randomly oriented and heteroepitaxial films, thus arguing that carrier scattering effects at high-angle grain boundaries play a minor role in the film conductivity mechanism. The synthesis and characterization of a new magnesium MOCVD precursor, Mg(dpm)2(TMEDA) is detailed. It is shown that the donating ligand TMEDA prevents oligomerization and subsequent volatility depression as observed in the commonly used [Mg(dpm)2]2. The superiority of Mg(dpm)2(TMEDA) as an MOCVD precursor is explicitly demonstrated by growth of epitaxial MgO thin films on single-crystal SrTiO3 substrates.
Advanced light-scattering materials: Double-textured ZnO:B films grown by LP-MOCVD
NASA Astrophysics Data System (ADS)
Addonizio, M. L.; Spadoni, A.; Antonaia, A.
2013-12-01
Double-textured ZnO:B layers with enhanced optical scattering in both short and long wavelength regions have been successfully fabricated using MOCVD technique through a three step process. Growth of double-textured structures has been induced by wet etching on polycrystalline ZnO surface. Our double-layer structure consists of a first ZnO:B layer wet etched and subsequently used as substrate for a second ZnO:B layer deposition. Polycrystalline ZnO:B layers were etched by utilizing diluted solutions of fluoridic acid (HF), chloridric acid (HCl) and phosphoric acid (H3PO4) and their effect on surface morphology modification was systematically investigated. The morphology of the second deposited ZnO layer strongly depended on the surface properties of the etched ZnO first layer. Growth of cauliflower-like texture was induced by protrusions presence on the HCl etched surface. Optimized double-layer structure shows a cauliflower-like double texture with higher RMS roughness and increased spectral haze values in both short and long wavelength regions, compared to conventional pyramidal-like single texture. Furthermore, this highly scattering structure preserves excellent optical and electrical properties.
Zhao, Ruipeng; Liu, Qing; Xia, Yudong; Zhang, Fei; Lu, Yuming; Cai, Chuanbing; Tao, Bowan; Li, Yanrong
2017-01-01
A multi-aperture shower design is reported to improve the transverse uniformity of GdYBCO superconducting films on the template of sputtered-LaMnO3/epitaxial-MgO/IBAD-MgO/solution deposition planarization (SDP)-Y2O3-buffered Hastelloy tapes. The GdYBCO films were prepared by the metal organic chemical vapor deposition (MOCVD) process. The transverse uniformities of structure, morphology, thickness, and performance were characterized by X-ray diffraction (XRD), scanning electron microscopy (SEM), step profiler, and the standard four-probe method using the criteria of 1 μV/cm, respectively. Through adopting the multi-aperture shower instead of the slit shower, measurement by step profiler revealed that the thickness difference between the middle and the edges based on the slit shower design was well eliminated. Characterization by SEM showed that a GdYBCO film with a smooth surface was successfully prepared. Moreover, the transport critical current density (Jc) of its middle and edge positions at 77 K and self-field were found to be over 5 MA/cm2 through adopting the micro-bridge four-probe method. PMID:28914793
NASA Astrophysics Data System (ADS)
Zhao, Ruipeng; Liu, Qing; Xia, Yudong; Tang, Hao; Lu, Yuming; Cai, Chuanbing; Tao, Bowan; Li, Yanrong
2018-01-01
A narrow channel reaction chamber is designed in our home-made MOCVD system and applied to deposit GdYBCO films on the template of LaMnO3/epitaxial MgO/IBAD-MgO/solution deposition planarization-Y2O3-buffered Hastelloy tapes. In the reaction chamber, metal organic sources are transferred from the inlet to the outlet along the direction of the tape movement. Thus, compared to the vertical injection way of metal organic sources, the residence time of metal organic sources on the surface of substrates would be extended through adopting the novel reaction chamber. Therefore, the utilization of metal organic sources, which is calculated according to the measured results of experiments, can reach 31%. Additionally, the utilization ratio of metal organic sources based on the novel reaction chamber is basically two times as much as that of the commonly used vertical injection slit shower. What is more, through adjusting the process, the critical current density of 300 nm thick GdYBCO film prepared the reel-to-reel way has reached 3.2 MA cm-2 (77 K, 0 T).
New Quantum Wire Field Effect Transistor
2001-06-01
based on V-groove GaAs/AlGaAs heterostructure grown metal organic chemical- vapour -deposition. Electron transport in one-dimensional (1D) systems has... vapour -deposition (MOCVD). This technique produces very long QWR’s in heterostructures with hard wall confinement and large mini band separation. To
NASA Astrophysics Data System (ADS)
Chandrakala, C.; Sravanthi, P.; Raj Bharath, S.; Arockiasamy, S.; George Johnson, M.; Nagaraja, K. S.; Jeyaraj, B.
2017-02-01
A novel binuclear zinc schiff's base complex bis[(pentylnitrilomethylidine)(pentylnitrilomethylidine-μ-phenalato)]dizinc(II) (hereafter referred as ZSP) was prepared and used as a precursor for the deposition of ZnO thin film by MOCVD. The dynamic TG run of ZSP showed sufficient volatility and good thermal stability. The temperature dependence of vapour pressure measured by transpiration technique yielded a value of 55.8 ± 2.3 kJ mol-1 for the enthalpy of sublimation (ΔH°sub) in the temperature range of 423-503 K. The crystal structure of ZSP was solved by single crystal XRD which exhibits triclinic crystal system with the space group of Pī. The molecular mass of ZSP was determined by mass spectrometry which yielded the m/z value of 891 and 445 Da corresponding to its dimeric as well as monomeric form. The complex ZSP was further characterized by FT-IR and NMR. The demonstration of ZnO thin film deposition was carried out by using plasma assisted MOCVD. The thin film XRD confirmed the highly oriented (002) ZnO thin films on Si(100) substrate. The uniformity and composition of the thin film were analyzed by SEM/EDX. The band gap of ZnO thin film measurement indicated the blue shift with the value of 3.79 eV.
NASA Astrophysics Data System (ADS)
Walker, Roger C.; Shi, Tan; Jariwala, Bhakti; Jovanovic, Igor; Robinson, Joshua A.
2017-10-01
Single layers of tungsten diselenide (WSe2) can be used to construct ultra-thin, high-performance electronics. Additionally, there has been considerable progress in controlled and direct growth of single layers on various substrates. Based on these results, high-quality WSe2-based devices that approach the limit of physical thickness are now possible. Such devices could be useful for space applications, but understanding how high-energy radiation impacts the properties of WSe2 and the WSe2/substrate interface has been lacking. In this work, we compare the stability against high energy proton radiation of WSe2 and silicon carbide (SiC) heterostructures generated by mechanical exfoliation of WSe2 flakes and by direct growth of WSe2 via metal-organic chemical vapor deposition (MOCVD). These two techniques produce WSe2/SiC heterostructures with distinct differences due to interface states generated during the MOCVD growth process. This difference carries over to differences in band alignment from interface states and the ultra-thin nature of the MOCVD-grown material. Both heterostructures are not susceptible to proton-induced charging up to a dose of 1016 protons/cm2, as measured via shifts in the binding energy of core shell electrons and a decrease in the valence band offset. Furthermore, the MOCVD-grown material is less affected by the proton exposure due to its ultra-thin nature and a greater interaction with the substrate. These combined effects show that the directly grown material is suitable for multi-year use in space, provided that high quality devices can be fabricated from it.
NASA Astrophysics Data System (ADS)
Reddy, Pramod; Washiyama, Shun; Kaess, Felix; Kirste, Ronny; Mita, Seiji; Collazo, Ramon; Sitar, Zlatko
2017-12-01
A theoretical framework that provides a quantitative relationship between point defect formation energies and growth process parameters is presented. It enables systematic point defect reduction by chemical potential control in metalorganic chemical vapor deposition (MOCVD) of III-nitrides. Experimental corroboration is provided by a case study of C incorporation in GaN. The theoretical model is shown to be successful in providing quantitative predictions of CN defect incorporation in GaN as a function of growth parameters and provides valuable insights into boundary phases and other impurity chemical reactions. The metal supersaturation is found to be the primary factor in determining the chemical potential of III/N and consequently incorporation or formation of point defects which involves exchange of III or N atoms with the reservoir. The framework is general and may be extended to other defect systems in (Al)GaN. The utility of equilibrium formalism typically employed in density functional theory in predicting defect incorporation in non-equilibrium and high temperature MOCVD growth is confirmed. Furthermore, the proposed theoretical framework may be used to determine optimal growth conditions to achieve minimum compensation within any given constraints such as growth rate, crystal quality, and other practical system limitations.
Pendeo-Epitaxy Process Optimization of GaN for Novel Devices Applications
2008-04-01
uses the metal organic chemical vapor deposition (MOCVD) technique that commonly requires ammonia (NH3) and trimethyl gallium ( TMG ) as precursors...GaN are the growth temperature, the ammonia to TMG flow rate ratio (V:III ratio), the chamber pressure and the time to coalescence (Nam et al., 1998...1100, and (d) 1120 oC. (e) A schematic of the side wall crystallography at different growth temperatures. It is known that the ammonia to TMG
NASA Astrophysics Data System (ADS)
Varanasi, Venu Gopal
The gas turbine engine uses an yttria-stabilized zirconia (YSZ) coating to provide thermal insulation for its turbine blades. This YSZ coating must be tetragonal in crystal structure, columnar in microstructure, and be 100--250 mum thick to provide for adequate protection for the turbine blades in the severe engine environment. Currently, YSZ coatings are fabricated by electron-beam physical vapor deposition (EB-PVD), but this fabrication method is cost intensive. Chemical vapor deposition (CVD) is a more commercially viable processing method and a possible alternative to EB-PVD. The deposition of tetragonal YSZ from gaseous metal and oxidation sources were studied. A chemical equilibrium analysis modeled the feasibility of depositing tetragonal YSZ for both chloride CVD (Zr-Y-C-O-Cl-H-Inert system) and metal-organic CVD (MOCVD) (Zr-Y-C-O-H system). Pure thermochemical properties and the assessed YSZ phase diagram were used in this analysis. Using the molar input of metals ((nY + nZr) and ( nY/(nY + nZr ) = 0.08)) as bases, equilibrium calculations showed that tetragonal YSZ formation was feasible. Tetragonal YSZ formation was feasible with high oxygen content (nO/(nY + nZr) > 8) and high temperature (T > 100°C) in the case of chloride CVD (Zr-Y-C-O-Cl-H-Inert). Tetragonal YSZ formation was feasible with high oxygen content (nO/( nY + nZr) > 5) and high temperature (T > 950°C) in the case of MOCVD (Zr-Y-C-O-H). Although solid carbon formation did not appear in chloride CVD, additional oxygen (nO/( nY + nZr) > 32) and low hydrogen content relative to carbon (nH/nC < 2) were required to avoid solid carbon formation in MOCVD. Coatings were deposited using a set of base conditions derived from the chemical equilibrium analysis. In chloride CVD, YCl3 was not included because of its low vapor pressure, thus, ZrCl4 was oxidized with the H2-CO2 gas mixture. Monoclinic ZrO2 coatings were deposited at the thermochemically optimized conditions (n O/(nY + nZr) > 8, T > 1004°C) with approximately 5.5 mum h-1 growth rate. In metal-organic CVD (MOCVD), liquid precursor solutions of Y- and Zr-beta-diketonate and Y- and Zr-n-butoxide precursors were used as the metal sources and O2 gas was used as the oxidation source. Using the Y- and Zr-beta-diketonate liquid precursor solution, tetragonal YSZ was deposited with a layered microstructure apparent and a maximum growth rate of approximately 14 mum h-1 (activation energy (E a) of 50.9 +/- 4.3 kJ mol-1). The growth rate (approximately 43 mum h-1 with Ea = 53.8 +/- 7.9 kJ mol-1) was improved using Y- and Zr- n-butoxide liquid precursor solutions, and the microstructure was columnar. Yet, two-phase deposition of monoclinic ZrO2 and tetragonal YSZ occurred. Results of electron-probe micro-analysis showed that the nY/(nY + nZr ) ratio was less than 45% of the nY/( nY + nZr) ratio in the liquid precursor solution.
Suppression of Mg propagation into subsequent layers grown by MOCVD
NASA Astrophysics Data System (ADS)
Agarwal, Anchal; Tahhan, Maher; Mates, Tom; Keller, Stacia; Mishra, Umesh
2017-01-01
Low temperature (LT) flow modulation epitaxy (FME) or "pulsed" growth was successfully used to prevent magnesium from Metalorganic Chemical Vapor Deposition (MOCVD) grown p-GaN:Mg layers riding into subsequently deposited n-type layers. Mg concentration in the subsequent layers was lowered from ˜1 × 1018 cm-3 for a medium temperature growth at 950 °C to ˜1 × 1016 cm-3 for a low temperature growth at 700 °C via FME. The slope of the Mg concentration drop in the 700 °C FME sample was 20 nm/dec—the lowest ever demonstrated by MOCVD. For growth on Mg implanted GaN layers, the drop for a medium temperature regrowth at 950 °C was ˜10 nm/dec compared to >120 nm/dec for a high temperature regrowth at 1150 °C. This drop-rate obtained at 950 °C or lower was maintained even when the growth temperature in the following layers was raised to 1150 °C. A controlled silicon doping series using LT FME was also demonstrated with the lowest and highest achieved doping levels being 5 × 1016 cm-3 and 6 × 1019 cm-3, respectively.
NASA Astrophysics Data System (ADS)
Andrzejewski, D.; Marx, M.; Grundmann, A.; Pfingsten, O.; Kalisch, H.; Vescan, A.; Heuken, M.; Kümmell, T.; Bacher, G.
2018-07-01
Fabrication of transition metal dichalcogenides (TMDCs) via metalorganic chemical vapor deposition (MOCVD) represents one of the most attractive routes to large-scale 2D material layers. Although good homogeneity and electrical conductance have been reported recently, the relation between growth parameters and photoluminescence (PL) intensity—one of the most important parameters for optoelectronic applications—has not yet been discussed for MOCVD TMDCs. In this work, MoS2 is grown via MOCVD on sapphire (0001) substrates using molybdenum hexacarbonyl (Mo(CO)6, MCO) and di-tert-butyl sulphide as precursor materials. A prebake step under H2 atmosphere combined with a reduced MCO precursor flow increases the crystal grain size by one order of magnitude and strongly enhances PL intensity with a clear correlation to the grain size. A decrease of the linewidth of both Raman resonances and PL spectra down to full width at half maxima of 3.2 cm‑1 for the E 2g Raman mode and 60 meV for the overall PL spectrum indicate a reduced defect density at optimized growth conditions.
Engineering of InN epilayers by repeated deposition of ultrathin layers in pulsed MOCVD growth
NASA Astrophysics Data System (ADS)
Mickevičius, J.; Dobrovolskas, D.; Steponavičius, T.; Malinauskas, T.; Kolenda, M.; Kadys, A.; Tamulaitis, G.
2018-01-01
Capabilities of repeated deposition of ultrathin layers by pulsed metalorganic chemical vapor deposition (MOCVD) for improvement of structural and luminescence properties of InN thin films on GaN/sapphire templates were studied by varying the growth temperature and the durations of pulse and pause in the delivery of In precursor. X-ray diffraction, atomic force microscopy, and spatially-resolved photoluminescence (PL) spectroscopy were exploited to characterize the structural quality, surface morphology and luminescence properties. Better structural quality is achieved by using longer trimethylindium pulses. However, it is shown that the luminescence properties of InN epilayers correlate with the pause and pulse ratio rather than with their absolute lengths, and the deposition of 1.5-2 monolayers of InN during one growth cycle is optimal to achieve the highest PL intensity. Moreover, the use of temperature ramping enabled achieving the highest PL intensity and the smallest blue shift of the PL band. The luminescence parameters are linked with the structural properties, and domain-like patterns of InN layers are revealed.
Influence of in-situ deposited SiNx interlayer on crystal quality of GaN epitaxial films
NASA Astrophysics Data System (ADS)
Fan, Teng; Jia, Wei; Tong, Guangyun; Zhai, Guangmei; Li, Tianbao; Dong, Hailiang; Xu, Bingshe
2018-05-01
GaN epitaxial films with SiNx interlayers were prepared by metal organic chemical vapor deposition (MOCVD) on c-plane sapphire substrates. The influences of deposition times and locations of SiNx interlayers on crystal quality of GaN epitaxial films were studied. Under the optimal growth time of 120 s for the SiNx interlayer, the dislocation density of GaN film is reduced to 4.05 × 108 cm-2 proved by high resolution X-ray diffraction results. It is found that when the SiNx interlayer deposits on the GaN nucleation islands, the subsequent GaN film has the lowest dislocation density of only 2.89 × 108 cm-2. Moreover, a model is proposed to illustrate the morphological evolution and associated propagation processes of TDs in GaN epi-layers with SiNx interlayers for different deposition times and locations.
Surface Passivation for 3-5 Semiconductor Processing: Stable Gallium Sulphide Films by MOCVD
NASA Technical Reports Server (NTRS)
Macinnes, Andrew N.; Jenkins, Phillip P.; Power, Michael B.; Kang, Soon; Barron, Andrew R.; Hepp, Aloysius F.; Tabib-Azar, Massood
1994-01-01
Gallium sulphide (GaS) has been deposited on GaAs to form stable, insulating, passivating layers. Spectrally resolved photoluminescence and surface recombination velocity measurements indicate that the GaS itself can contribute a significant fraction of the photoluminescence in GaS/GaAs structures. Determination of surface recombination velocity by photoluminescence is therefore difficult. By using C-V analysis of metal-insulator-semiconductor structures, passivation of the GaAs with GaS films is quantified.
Profiling of MOCVD- and MBE-grown VCSEL wafers for WDM sources
NASA Astrophysics Data System (ADS)
Sze, Theresa; Mahbobzadeh, A. M.; Cheng, Julian; Hersee, Stephen D.; Osinski, Marek; Brueck, Steven R. J.; Malloy, Kevin J.
1993-06-01
We compare vertical-cavity surface emitting lasers grown by molecular beam epitaxial methods to those grown by metal organic chemical vapor deposition methods as sources for wavelength-division multiplexing systems.
NASA Astrophysics Data System (ADS)
Fujisawa, Hironori; Kuwamoto, Kei; Nakashima, Seiji; Shimizu, Masaru
2016-02-01
HfO2-based thin films are one of the key dielectric and ferroelectric materials in Si-CMOS LSIs as well as in oxide electronic nanodevices. In this study, we demonstrated the fabrication of a ZnO/(Hf,Zr)O2/ZnO-trilayered nanowire (NW) capacitor structure solely by metalorganic chemical vapor deposition (MOCVD). 15-nm-thick dielectric (Hf,Zr)O2 and 40-nm-thick top ZnO electrode layers were uniformly grown by MOCVD on a ZnO NW template with average diameter, length, and aspect ratio of 110 nm, 10 µm, and ˜90, respectively. The diameter and aspect ratio of the resultant trilayerd NWs are 200-300 nm and above 30, respectively. The crystalline phase of HfO2 and stacked the structure are also discussed.
NASA Astrophysics Data System (ADS)
Jo, Jungyol; Seo, Ogweon; Jeong, Euihyuk; Seo, Hyunseok; Lee, Byeongon; Choi, Yearn-Ik
2007-04-01
We studied the transport characteristics of ZnO grown by metal organic chemical vapor deposition (MOCVD) at temperatures between 200 and 500 °C. The crystal quality, measured by X-ray diffraction, improved as the growth temperature increased. However, the mobility measured in the thin-film transistor (TFT) decreased in films grown at higher temperatures. In our experiments, a ZnO TFT grown at 250 °C showed good electrical characteristics, with a 13 cm2 V-1 s-1 mobility and a 103 on/off ratio. We conclude that hydrogen incorporated during MOCVD growth plays an important role in determining the transistor characteristics. This was supported by results of secondary ion mass spectroscopy (SIMS), where a higher hydrogen concentration was observed in films grown at lower temperatures.
Trade-offs of the opto-electrical properties of a-Si:H solar cells based on MOCVD BZO films.
Chen, Ze; Zhang, Xiao-dan; Liang, Jun-hui; Fang, Jia; Liang, Xue-jiao; Sun, Jian; Zhang, De-kun; Chen, Xin-liang; Huang, Qian; Zhao, Ying
2015-01-07
Boron-doped zinc oxide (BZO) films, deposited by metal-organic chemical vapor deposition (MOCVD), have been widely used as front electrodes in thin-film solar cells due to their native pyramidal surface structure, which results in efficient light trapping. This light trapping effect can enhance the short-circuit current density (Jsc) of solar cells. However, nanocracks or voids in the silicon active layer may form when the surface morphology of the BZO is too sharp; this usually leads to degraded electrical properties of the cells, such as open-circuit voltage (Voc) and the fill factor (FF), which in turn decreases efficiency (Eff) [Bailat et al., Photovoltaic Energy Conversion, Conference Record of the 2006 IEEE 4th World Conference on. IEEE, 2006, vol. 2, pp. 1533-1536]. In this paper, an etching and coating method was proposed to modify the sharp "pyramids" on the surface of the BZO films. As a result, an evident enhancement was achieved for these modified, BZO-based cells' Voc, FF, and Eff, although the Jsc exhibited a small decrease. In order to increase the Jsc and maintain the improved electrical properties (Voc, FF) of the cell, a thin BZO coating, deposited by MOCVD, was introduced to coat the sputtering-treated BZO film. Finally, we optimized the trade-off among the Voc, FF, and Jsc, that is, we identified a regime with an increase of the Jsc as well as a further improvement of the other electrical properties.
Epitaxial Ge Solar Cells Directly Grown on Si (001) by MOCVD Using Isobutylgermane
NASA Astrophysics Data System (ADS)
Kim, Youngjo; Kim, Kangho; Lee, Jaejin; Kim, Chang Zoo; Kang, Ho Kwan; Park, Won-Kyu
2018-03-01
Epitaxial Ge layers have been grown on Si (001) substrates by metalorganic chemical vapor deposition (MOCVD) using an isobutylgermane (IBuGe) metalorganic source. Low and high temperature two-step growth and post annealing techniques are employed to overcome the lattice mismatch problem between Ge and Si. It is demonstrated that high quality Ge epitaxial layers can be grown on Si (001) by using IBuGe with surface RMS roughness of 2 nm and an estimated threading dislocation density of 4.9 × 107 cm -2. Furthermore, single-junction Ge solar cells have been directly grown on Si substrates with an in situ MOCVD growth. The epitaxial Ge p- n junction structures are investigated with transmission electron microscopy and electrochemical C- V measurements. As a result, a power conversion efficiency of 1.69% was achieved for the Ge solar cell directly grown on Si substrate under AM1.5G condition.
Zinc Oxide Grown by CVD Process as Transparent Contact for Thin Film Solar Cell Applications
NASA Astrophysics Data System (ADS)
Faÿ, S.; Shah, A.
Metalorganic chemical vapor deposition of ZnO films (MOCVD) [1] started to be comprehensively investigated in the 1980s, when thin film industries were looking for ZnO deposition processes especially useful for large-scale coatings at high growth rates. Later on, when TCO for thin film solar cells started to be developed, another advantage of growing TCO films by the CVD process has been highlighted: the surface roughness. Indeed, a large number of studies on CVD ZnO revealed that an as-grown rough surface cn be obtained with this deposition process [2-4]. A rough surface induces a light scattering effect, which can significantly improve light trapping (and therefore current photo-generation) within thin film silicon solar cells. The CVD process, indeed, directly leads to as-grown rough ZnO films without any post-etching step (the latter is often introduced to obtain a rough surface, when working with as-deposited flat sputtered ZnO). This fact could turn out to be a significant advantage when upscaling the manufacturing process for actual commercial production of thin film solar modules. The zinc and oxygen sources for CVD growth of ZnO films are given in Table 6.1.
NASA Astrophysics Data System (ADS)
Li, Zhi-Ming; Hao, Yue; Zhang, Jin-Cheng; Xu, Sheng-Rui; Ni, Jin-Yu; Zhou, Xiao-Wei
2009-11-01
Electromagnetic field distribution in the vertical metal organic chemical vapour deposition (MOCVD) reactor is simulated by using the finite element method (FEM). The effects of alternating current frequency, intensity, coil turn number and the distance between the coil turns on the distribution of the Joule heat are analysed separately, and their relations to the value of Joule heat are also investigated. The temperature distribution on the susceptor is also obtained. It is observed that the results of the simulation are in good agreement with previous measurements.
High-efficiency solar cells fabricated by vacuum MO-CVD
NASA Technical Reports Server (NTRS)
Fraas, L. M.; Cape, J. A.; Partain, L. D.; Mcleod, P. S.
1984-01-01
High-efficiency, monolithic, two-color, three-terminal solar cells were fabricated by a novel growth technique, vacuum metal-organic chemical vapor deposition. The technique uses the expensive metal alkyls efficiently and toxic gases sparingly. The fact that the outer chamber is constructed of nonbreakable stainless steel is an attractive safety feature associated with this deposition system.
2007-12-01
confined to either glasses and crystals doped with rare-earth (RE) elements or direct-bandgap semiconductors such as gallium arsenide. Although laser...condition. Highly controlled epitaxial growth techniques, such as metal–organic chemical vapour deposition (MOCVD) can produce very low surface
NASA Astrophysics Data System (ADS)
Nakamura, Daisuke; Kimura, Taishi; Narita, Tetsuo; Suzumura, Akitoshi; Kimoto, Tsunenobu; Nakashima, Kenji
2017-11-01
A novel sintered tantalum carbide coating (SinTaC) prepared via a wet ceramic process is proposed as an approach to reducing the production cost and improving the crystal quality of bulk-grown crystals and epitaxially grown films of wide-bandgap semiconductors. Here, we verify the applicability of the SinTaC components as susceptors for chemical vapor deposition (CVD)-SiC and metal-organic chemical vapor deposition (MOCVD)-GaN epitaxial growth in terms of impurity incorporation from the SinTaC layers and also clarify the surface-roughness controllability of SinTaC layers and its advantage in CVD applications. The residual impurity elements in the SinTaC layers were confirmed to not severely incorporate into the CVD-SiC and MOCVD-GaN epilayers grown using the SinTaC susceptors. The quality of the epilayers was also confirmed to be equivalent to that of epilayers grown using conventional susceptors. Furthermore, the surface roughness of the SinTaC components was controllable over a wide range of average roughness (0.4 ≤ Ra ≤ 5 μm) and maximum height roughness (3 ≤ Rz ≤ 36 μm) through simple additional surface treatment procedures, and the surface-roughened SinTaC susceptor fabricated using these procedures was predicted to effectively reduce thermal stress on epi-wafers. These results confirm that SinTaC susceptors are applicable to epitaxial growth processes and are advantageous over conventional susceptor materials for reducing the epi-cost and improving the quality of epi-wafers.
Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD.
Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro
2013-04-07
We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 10(7) cm(-2). The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).
Vertically p-n-junctioned GaN nano-wire array diode fabricated on Si(111) using MOCVD
NASA Astrophysics Data System (ADS)
Park, Ji-Hyeon; Kim, Min-Hee; Kissinger, Suthan; Lee, Cheul-Ro
2013-03-01
We demonstrate the fabrication of n-GaN:Si/p-GaN:Mg nanowire arrays on (111) silicon substrate by metal organic chemical vapor deposition (MOCVD) method .The nanowires were grown by a newly developed two-step growth process. The diameter of as-grown nanowires ranges from 300-400 nm with a density of 6-7 × 107 cm-2. The p- and n-type doping of the nanowires is achieved with Mg and Si dopant species. Structural characterization by X-ray diffraction (XRD) and high-resolution transmission electron microscopy (HRTEM) indicates that the nanowires are relatively defect-free. The room-temperature photoluminescence emission with a strong peak at 370 nm indicates that the n-GaN:Si/p-GaN:Mg nanowire arrays have potential application in light-emitting nanodevices. The cathodoluminscence (CL) spectrum clearly shows a distinct optical transition of GaN nanodiodes. The nano-n-GaN:Si/p-GaN:Mg diodes were further completed using a sputter coating approach to deposit Au/Ni metal contacts. The polysilazane filler has been etched by a wet chemical etching process. The n-GaN:Si/p-GaN:Mg nanowire diode was fabricated for different Mg source flow rates. The current-voltage (I-V) measurements reveal excellent rectifying properties with an obvious turn-on voltage at 1.6 V for a Mg flow rate of 5 sccm (standard cubic centimeters per minute).
NASA Astrophysics Data System (ADS)
Kaufman, David Y.
Two vapor deposition techniques, dual magnetron oblique sputtering (DMOS) and metalorganic chemical vapor deposition (MOCVD), have been developed to produce yttria-stabilized zirconia (YSZ) films with unique microstructures. In particular, biaxially textured thin films on amorphous substrates and dense thin films on porous substrates have been fabricated by DMOS and MOCVD, respectively. DMOS YSZ thin films were deposited by reactive sputtering onto Si (native oxide surface) substrates positioned equidistant between two magnetron sources such that the fluxes arrived at oblique angles with respect to the substrate normal. Incident fluxes from two complimentary oblique directions were necessary for the development of biaxial texture. The films displayed a strong [001] out-of-plane orientation with the <110> direction in the film aligned with the incident flux. Biaxial texture improved with increasing oblique angle and film thickness, and was stronger for films deposited with Ne than with Ar. The films displayed a columnar microstructure with grain bundling perpendicular to the projected flux direction, the degree of which increased with oblique angle and thickness. The texture decreased by sputtering at pressures at which the flux of sputtered atoms was thermalized. These results suggested that grain alignment is due to directed impingement of both sputtered atoms and reflected energetic neutrals. The best texture, a {111} phi FWHM of 23°, was obtained in a 4.8 mum thick film deposited at an oblique angle of 56°. MOCVD YSZ thin films were deposited in a vertical cold-wall reactor using Zr(tmhd)4 and Y(tmhd)3 precursors. Fully stabilized YSZ films with 9 mol% could be deposited by controlling the bubbler temperatures. YSZ films on Si substrates displayed a transition at 525°C from surface kinetic limited growth, with an activation energy of 5.5 kJ/mole, to mass transport limited growth. Modifying the reactor by lowering the inlet height and introducing an Ar baffle ring increased the growth rates to 2.5 mum/hr. Dense, gas impermeable 4-6 mum YSZ thin films were deposited on porous (La,Sr)Mno3 cathode substrates. Solid oxide fuel cells, fabricated by sputtering on a Ni-YSZ anode, achieved open circuit voltages ≥94% theoretical, and maximum power densities at 750°C comparable with commercial conventional SOFC's operated at higher temperatures.
Dislocation confinement in the growth of Na flux GaN on metalorganic chemical vapor deposition-GaN
DOE Office of Scientific and Technical Information (OSTI.GOV)
Takeuchi, S., E-mail: takeuchi@ee.es.osaka-u.ac.jp; Asazu, H.; Nakamura, Y.
2015-12-28
We have demonstrated a GaN growth technique in the Na flux method to confine c-, (a+c)-, and a-type dislocations around the interface between a Na flux GaN crystal and a GaN layer grown by metalorganic chemical vapor deposition (MOCVD) on a (0001) sapphire substrate. Transmission electron microscopy (TEM) clearly revealed detailed interface structures and dislocation behaviors that reduced the density of vertically aligned dislocations threading to the Na flux GaN surface. Submicron-scale voids were formed at the interface above the dislocations with a c component in MOCVD-GaN, while no such voids were formed above the a-type dislocations. The penetration ofmore » the dislocations with a c component into Na flux GaN was, in most cases, effectively blocked by the presence of the voids. Although some dislocations with a c component in the MOCVD-GaN penetrated into the Na flux GaN, their propagation direction changed laterally through the voids. On the other hand, the a-type dislocations propagated laterally and collectively near the interface, when these dislocations in the MOCVD-GaN penetrated into the Na flux GaN. These results indicated that the dislocation propagation behavior was highly sensitive to the type of dislocation, but all types of dislocations were confined to within several micrometers region of the Na flux GaN from the interface. The cause of void formation, the role of voids in controlling the dislocation behavior, and the mechanism of lateral and collective dislocation propagation are discussed on the basis of TEM results.« less
NASA Astrophysics Data System (ADS)
Lin, Jia-Yong; Pei, Yan-Li; Zhuo, Yi; Chen, Zi-Min; Hu, Rui-Qin; Cai, Guang-Shuo; Wang, Gang
2016-11-01
In this study, the high performance of InGaN/GaN multiple quantum well light-emitting diodes (LEDs) with Al-doped ZnO (AZO) transparent conductive layers (TCLs) has been demonstrated. The AZO-TCLs were fabricated on the n+-InGaN contact layer by metal organic chemical vapor deposition (MOCVD) using H2O as an oxidizer at temperatures as low as 400 °C without any post-deposition annealing. It shows a high transparency (98%), low resistivity (510-4 Ω·cm), and an epitaxial-like excellent interface on p-GaN with an n+-InGaN contact layer. A forward voltage of 2.82 V @ 20 mA was obtained. Most importantly, the power efficiencies can be markedly improved by 53.8%@20 mA current injection and 39.6%@350 mA current injection compared with conventional LEDs with indium tin oxide TCL (LED-III), and by 28.8%@20 mA current injection and 4.92%@350 mA current injection compared with LEDs with AZO-TCL prepared by MOCVD using O2 as an oxidizer (LED-II), respectively. The results indicate that the AZO-TCL grown by MOCVD using H2O as an oxidizer is a promising TCL for a low-cost and high-efficiency GaN-based LED application. Project supported by the National Natural Science Foundation of China (Grant Nos. 61204091, 61404177, 51402366, and U1201254) and the Science and Technology Planning Project of Guangdong Province, China (Grant No. 2015B010132006).
Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)
1988-01-01
A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, in which a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd(sub 1-x)Mn(sub x)Te, in which 0 is less than or equal to x less than or equal to 0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) manganese (TCPMn) is employed. To prevent TCPMn condensation during its introduction into the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, in which the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.
Preparation of dilute magnetic semiconductor films by metalorganic chemical vapor deposition
NASA Technical Reports Server (NTRS)
Nouhi, Akbar (Inventor); Stirn, Richard J. (Inventor)
1990-01-01
A method for preparation of a dilute magnetic semiconductor (DMS) film is provided, wherein a Group II metal source, a Group VI metal source and a transition metal magnetic ion source are pyrolyzed in the reactor of a metalorganic chemical vapor deposition (MOCVD) system by contact with a heated substrate. As an example, the preparation of films of Cd.sub.1-x Mn.sub.x Te, wherein 0.ltoreq..times..ltoreq.0.7, on suitable substrates (e.g., GaAs) is described. As a source of manganese, tricarbonyl (methylcyclopentadienyl) maganese (TCPMn) is employed. To prevent TCPMn condensation during the introduction thereof int the reactor, the gas lines, valves and reactor tubes are heated. A thin-film solar cell of n-i-p structure, wherein the i-type layer comprises a DMS, is also described; the i-type layer is suitably prepared by MOCVD.
GaN epitaxial layers grown on multilayer graphene by MOCVD
NASA Astrophysics Data System (ADS)
Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Gan, Lin; Xu, Bingshe
2018-04-01
In this study, GaN epitaxial layers were successfully deposited on a multilayer graphene (MLG) by using metal-organic chemical vapor deposition (MOCVD). Highly crystalline orientations of the GaN films were confirmed through electron backscatter diffraction (EBSD). An epitaxial relationship between GaN films and MLG is unambiguously established by transmission electron microscope (TEM) analysis. The Raman spectra was used to analyze the internal stress of GaN films, and the spectrum shows residual tensile stress in the GaN films. Moreover, the results of the TEM analysis and Raman spectra indicate that the high quality of the MLG substrate is maintained even after the growth of the GaN film. This high-quality MLG makes it possible to easily remove epitaxial layers from the supporting substrate by micro-mechanical exfoliation technology. This work can aid in the development of transferable devices using GaN films.
2012-01-01
InAs/GaSb type II superlattices were grown on (100) GaSb substrates by metalorganic chemical vapor deposition (MOCVD). A plane of mixed As and Sb atoms connecting the InAs and GaSb layers was introduced to compensate the tensile strain created by the InAs layer in the SL. Characterizations of the samples by atomic force microscopy and high-resolution X-ray diffraction demonstrate flat surface morphology and good crystalline quality. The lattice mismatch of approximately 0.18% between the SL and GaSb substrate is small compared to the MOCVD-grown supperlattice samples reported to date in the literature. Considerable optical absorption in 2- to 8-μm infrared region has been realized. PACS: 78.67.Pt; 81.15.Gh; 63.22.Np; 81.05.Ea PMID:22373387
Optical properties of hybrid quantum-well–dots nanostructures grown by MOCVD
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Kalyuzhnyy, N. A.; Nadtochiy, A. M.
The deposition of In{sub x}Ga{sub 1–x}As with an indium content of 0.3–0.5 and an average thickness of 3–27 single layers on a GaAs wafer by metalorganic chemical vapor deposition (MOCVD) at low temperatures results in the appearance of thickness and composition modulations in the layers being formed. Such structures can be considered to be intermediate nanostructures between ideal quantum wells and quantum dots. Depending on the average thickness and composition of the layers, the wavelength of the photoluminescence peak for the hybrid InGaAs quantum well–dots nanostructures varies from 950 to 1100 nm. The optimal average In{sub x}Ga{sub 1–x}As thicknesses andmore » compositions at which the emission wavelength is the longest with a high quantum efficiency retained are determined.« less
Friction and wear behavior of nitrogen-doped ZnO thin films deposited via MOCVD under dry contact
Mbamara, U. S.; Olofinjana, B.; Ajayi, O. O.; ...
2016-02-01
Most researches on doped ZnO thin films are tilted toward their applications in optoelectronics and semiconductor devices. Research on their tribological properties is still unfolding. In this work, nitrogen-doped ZnO thin films were deposited on 304 L stainless steel substrate from a combination of zinc acetate and ammonium acetate precursor by MOCVD technique. Compositional and structural studies of the films were done using Rutherford Backscattering Spectroscopy (RBS) and X-ray Diffraction (XRD). The frictional behavior of the thin film coatings was evaluated using a ball-on-flat configuration in reciprocating sliding under dry contact condition. After friction test, the flat and ball counter-facemore » surfaces were examined to assess the wear dimension and failure mechanism. In conclusion, both friction behavior and wear (in the ball counter-face) were observed to be dependent on the crystallinity and thickness of the thin film coatings.« less
MOCVD of Bi2Te3 and Sb2Te3 on GaAs substrates for thin-film thermoelectric applications.
Kim, Jeong-Hun; Jung, Yong-Chul; Suh, Sang-Hee; Kim, Jin-Sang
2006-11-01
Metal organic chemical vapour deposition (MOCVD) has been investigated for growth of Bi2Te3 and Sb2Te3 films on (001) GaAs substrates using trimethylbismuth, triethylantimony and diisopropyltelluride as metal organic sources. The surface morphologies of Bi2Te3 and Sb2Te3 films were strongly dependent on the deposition temperatures as it varies from a step-flow growth mode to island coalescence structures depending on deposition temperature. In-plane carrier concentration and electrical Hall mobility were highly dependent on precursor ratio of VI/V and deposition temperature. By optimizing growth parameters, we could clearly observe an electrically intrinsic region of the carrier concentration over the 240 K in Bi2Te3 films. The high Seebeck coefficient (of -160 microVK(-1) for Bi2Te3 and +110 microVK(-1) for Sb2Te3 films, respectively) and good surface morphologies of these materials are promising for the fabrication of a few nm thick periodic Bi2Te3/Sb2Te3 super lattice structures for thin film thermoelectric device applications.
Growth and characterization of III-V epitaxial films
NASA Astrophysics Data System (ADS)
Tripathi, A.; Adamski, J.
1991-11-01
Investigations were conducted on the growth of epitaxial layers using an Organo Metallic Chemical Vapor Deposition technique of selected III-V materials which are potentially useful for photonics and microwave devices. RL/ERX's MOCVD machine was leak checked for safety. The whole gas handling plumbing system has been leak checked and the problems were reported to the manufacturer, CVD Equipment Corporation of Dear Park, NY. CVD Equipment Corporation is making an effort to correct these problems and also supply the part according to our redesign specifications. One of the main emphasis during this contract period was understanding the operating procedure and writing an operating manual for this MOCVD machine. To study the dynamic fluid flow in the vertical reactor of this MOCVD machine, an experimental apparatus was designed, tested, and put together. This study gave very important information on the turbulent gas flow patterns in this vertical reactor. The turbulent flow affects the epitaxial growth adversely. This study will also help in redesigning a vertical reactor so that the turbulent gas flow can be eliminated.
Impact of oxygen precursor flow on the forward bias behavior of MOCVD-Al2O3 dielectrics grown on GaN
NASA Astrophysics Data System (ADS)
Chan, Silvia H.; Bisi, Davide; Liu, Xiang; Yeluri, Ramya; Tahhan, Maher; Keller, Stacia; DenBaars, Steven P.; Meneghini, Matteo; Mishra, Umesh K.
2017-11-01
This paper investigates the effects of the oxygen precursor flow supplied during metalorganic chemical vapor deposition (MOCVD) of Al2O3 films on the forward bias behavior of Al2O3/GaN metal-oxide-semiconductor capacitors. The low oxygen flow (100 sccm) delivered during the in situ growth of Al2O3 on GaN resulted in films that exhibited a stable capacitance under forward stress, a lower density of stress-generated negative fixed charges, and a higher dielectric breakdown strength compared to Al2O3 films grown under high oxygen flow (480 sccm). The low oxygen grown Al2O3 dielectrics exhibited lower gate current transients in stress/recovery measurements, providing evidence of a reduced density of trap states near the GaN conduction band and an enhanced robustness under accumulated gate stress. This work reveals oxygen flow variance in MOCVD to be a strategy for controlling the dielectric properties and performance.
Photo-thermal processing of semiconductor fibers and thin films
NASA Astrophysics Data System (ADS)
Gupta, Nishant
Furnace processing and rapid thermal processing (RTP) have been an integral part of several processing steps in semiconductor manufacturing. The performance of RTP techniques can be improved many times by exploiting quantum photo-effects of UV and vacuum ultraviolet (VUV) photons in thermal processing and this technique is known as rapid photo-thermal processing (RPP). As compared to furnace processing and RTP, RPP provides higher diffusion coefficient, lower stress and lower microscopic defects. In this work, a custom designed automated photo assisted processing system was built from individual parts and an incoherent light source. This photo-assisted processing system is used to anneal silica clad silicon fibers and deposit thin-films. To the best of our knowledge, incoherent light source based rapid photo-thermal processing (RPP) was used for the first time to anneal glass-clad silicon core optical fibers. X-ray diffraction examination, Raman spectroscopy and electrical measurements showed a considerable enhancement of structural and crystalline properties of RPP treated silicon fibers. Photons in UV and vacuum ultraviolet (VUV) regions play a very important role in improving the bulk and carrier transport properties of RPP-treated silicon optical fibers, and the resultant annealing permits a path forward to in situ enhancement of the structure and properties of these new crystalline core optical fibers. To explore further applications of RPP, thin-films of Calcium Copper Titanate (CaCu3Ti4O12) or CCTO and Copper (I) Oxide (Cu2O) were also deposited using photo-assisted metal-organic chemical vapor deposition (MOCVD) on Si/SiO2 and n-Si substrate respectively. CCTO is one of the most researched giant dielectric constant materials in recent years. The given photo-assisted MOCVD approach provided polycrystalline CCTO growth on a SiO2 surface with grain sizes as large as 410 nm. Copper (I) oxide (Cu2O) is a direct band gap semiconductor with p-type conductivity and is a potential candidate for multi-junction solar cells. X-ray diffraction study revealed a preferred orientation, as (200) oriented crystals of Cu2O are grown on both substrates. Also, electrical characterization of Cu2O/n-Si devices showed the lowest saturation current density of 1.5x10-12 A/cm 2 at zero bias. As a result, photo-assisted thermal processing has the potential of making the process more effective with enhanced device performance.
MgO buffer layers on rolled nickel or copper as superconductor substrates
Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.
2001-01-01
Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.
Method for making MgO buffer layers on rolled nickel or copper as superconductor substrates
Paranthaman, Mariappan; Goyal, Amit; Kroeger, Donald M.; List, III, Frederic A.
2002-01-01
Buffer layer architectures are epitaxially deposited on biaxially-textured rolled-Ni and/or Cu substrates for high current conductors, and more particularly buffer layer architectures such as MgO/Ag/Pt/Ni, MgO/Ag/Pd/Ni, MgO/Ag/Ni, MgO/Ag/Pd/Cu, MgO/Ag/Pt/Cu, and MgO/Ag/Cu. Techniques used to deposit these buffer layers include electron beam evaporation, thermal evaporation, rf magnetron sputtering, pulsed laser deposition, metal-organic chemical vapor deposition (MOCVD), combustion CVD, and spray pyrolysis.
NASA Technical Reports Server (NTRS)
Macinnes, Andrew N.; Cleaver, William M.; Barron, Andrew R.; Power, Michael B.; Hepp, Aloysius F.
1992-01-01
The dimeric indium thiolate /(t Bu)2In(mu-S sup t Bu)/2 has been used as a single-source precursor for the MOCVD of InS thin films. The dimeric In2S2 core is proposed to account for the formation of the nonequilibrium high-pressure tetragonal phase in the deposited films. Analysis of the deposited films has been obtained by TEM, with associated energy-dispersive X-ray analysis and X-ray photoelectron spectroscopy.
Radiation effects on p+n InP junctions grown by MOCVD
NASA Technical Reports Server (NTRS)
Messenger, Scott R.; Walters, Robert J.; Panunto, M. J.; Summers, Geoffrey P.
1994-01-01
The superior radiation resistance of InP over other solar cell materials such as Si or GaAs has prompted the development of InP cells for space applications. The early research on radiation effects in InP was performed by Yamaguchi and co-workers who showed that, in diffused p-InP junctions, radiation-induced defects were readily annealed both thermally and by injection, which was accompanied by significant cell recovery. More recent research efforts have been made using p-InP grown by metalorganic chemical vapor deposition (MOCVD). While similar deep level transient spectroscopy (DLTS) results were found for radiation induced defects in these cells and in diffused junctions, significant differences existed in the annealing characteristics. After injection annealing at room temperature, Yamaguchi noticed an almost complete recovery of the photovoltaic parameters, while the MOCVD samples showed only minimal annealing. In searching for an explanation of the different annealing behavior of diffused junctions and those grown by MOCVD, several possibilities have been considered. One possibility is the difference in the emitter structure. The diffused junctions have S-doped graded emitters with widths of approximately 0.3 micrometers, while the MOCVD emitters are often doped with Si and have widths of approximately 300A (0.03 micrometers). The difference in the emitter thickness can have important effects, e.g. a larger fraction of the total photocurrent is generated in the n-type material for thicker emitters. Therefore the properties of the n-InP material may explain the difference in the observed overall annealing behavior of the cells.
NASA Technical Reports Server (NTRS)
Ouazzani, Jalil; Rosenberger, Franz
1990-01-01
A systematic numerical study of the MOCVD of GaAs from trimethylgallium and arsine in hydrogen or nitrogen carrier gas at atmospheric pressure is reported. Three-dimensional effects are explored for CVD reactors with large and small cross-sectional aspect ratios, and the effects on growth rate uniformity of tilting the susceptor are investigated for various input flow rates. It is found that, for light carrier gases, thermal diffusion must be included in the model. Buoyancy-driven three-dimensional flow effects can greatly influence the growth rate distribution through the reactor. The importance of the proper design of the lateral thermal boundary conditions for obtaining layers of uniform thickness is emphasized.
Incorporation of zinc in MOCVD growth of Ga 0.5In 0.5P
NASA Astrophysics Data System (ADS)
Kurtz, Sarah R.; Olson, J. M.; Kibbler, A. E.; Bertness, K. A.
1992-11-01
Data are presented for the Zn doping of Ga 0.5In 0.5P, showing that the hole and zinc concentrations increase almost linearly with zinc flow, and also increase with the V/III ratio and with growth rate at a fixed V/III ratio. These observations are consistent with other reports that show the incorporation of zinc to increase with V/III ratio for both GaAs and Ga 0.5In 0.5P deposition by metalorganic chemical vapor deposition (MOCVD). The growth-rate dependence of the zinc incorporation in Ga 0.5In 0.5P has not previously been reported. A model based on varying group V coverage of the step where zinc is most strongly bound is presented and compared with the data. The model predicts that the zinc incorporation increases with increasing phosphorus overpressure, but should be independent of group III overpressure in the parameter space investigated here.
Method of fabricating germanium and gallium arsenide devices
NASA Technical Reports Server (NTRS)
Jhabvala, Murzban (Inventor)
1990-01-01
A method of semiconductor diode fabrication is disclosed which relies on the epitaxial growth of a precisely doped thickness layer of gallium arsenide or germanium on a semi-insulating or intrinsic substrate, respectively, of gallium arsenide or germanium by either molecular beam epitaxy (MBE) or by metal-organic chemical vapor deposition (MOCVD). The method involves: depositing a layer of doped or undoped silicon dioxide on a germanium or gallium arsenide wafer or substrate, selectively removing the silicon dioxide layer to define one or more surface regions for a device to be fabricated thereon, growing a matched epitaxial layer of doped germanium or gallium arsenide of an appropriate thickness using MBE or MOCVD techniques on both the silicon dioxide layer and the defined one or more regions; and etching the silicon dioxide and the epitaxial material on top of the silicon dioxide to leave a matched epitaxial layer of germanium or gallium arsenide on the germanium or gallium arsenide substrate, respectively, and upon which a field effect device can thereafter be formed.
ZnSe Window Layers for GaAs and GaInP2 Solar Cells
NASA Technical Reports Server (NTRS)
Olsen, Larry C.
1997-01-01
This report concerns studies of the use of n-type ZnSe as a window layer for n/p GaAs and GaInP2 solar cells. Emphasis was placed in this phase of the project on characterizing the interface between n-type ZnSe films grown on epi-GaAs films grown onto single crystal GaAs. Epi-GaAs and heteroepitaxial ZnSe films were grown by MOCVD with a Spire 50OXT Reactor. After growing epitaxial GaAs films on single crystal GaAs wafers, well-oriented crystalline ZnSe films were grown by MOCVD. ZnSe films were grown with substrate temperatures ranging from 250 C to 450 C. Photoluminescence studies carried out by researchers at NASA Lewis determined that the surface recombination velocity at a GaAs surface was significantly reduced after the deposition of a heteroepitaxial layer of ZnSe. The optimum temperature for ZnSe deposition appears to be on the order of 350 C.
NASA Astrophysics Data System (ADS)
Fini, P.; Wu, X.; Tarsa, E.; Golan, Y.; Srikant, V.; Keller, S.; Denbaars, S.; Speck, J.
1998-08-01
The evolution of morphology and associated extended defects in GaN thin films grown on sapphire by metalorganic chemical vapor deposition (MOCVD) are shown to depend strongly on the growth environment. For the commonly used two-step growth process, a change in growth parameter such as reactor pressure influences the initial high temperature (HT) GaN growth mechanism. By means of transmission electron microscopy (TEM), atomic force microscopy (AFM), and high resolution X-ray diffraction (HRXRD) measurements, it is shown that the initial density of HT islands on the nucleation layer (NL) and subsequently the threading dislocation density in the HT GaN film may be directly controlled by tailoring the initial HT GaN growth conditions.
Influences of growth parameters on the reaction pathway during GaN synthesis
NASA Astrophysics Data System (ADS)
Zhang, Zhi; Liu, Zhongyi; Fang, Haisheng
2018-01-01
Gallium nitride (GaN) film growth is a complicated physical and chemical process including fluid flow, heat transfer, species transport and chemical reaction. Study of the reaction mechanism, i.e., the reaction pathway, is important for optimizing the growth process in the actual manufacture. In the paper, the growth pathway of GaN in a closed-coupled showerhead metal-organic chemical vapor deposition (CCS-MOCVD) reactor is investigated in detail using computational fluid dynamics (CFD). Influences of the process parameters, such as the chamber pressure, the inlet temperature, the susceptor temperature and the pre-exponential factor, on the reaction pathway are examined. The results show that increases of the chamber pressure or the inlet temperature, as well as reductions of the susceptor temperature or the pre-exponential factor lead to the adduct route dominating the growth. The deposition rate contributed by the decomposition route, however, can be enhanced dramatically by increasing the inlet temperature, the susceptor temperature and the pre-exponential factor.
Chemical Vapor Deposition of Turbine Thermal Barrier Coatings
NASA Technical Reports Server (NTRS)
Haven, Victor E.
1999-01-01
Ceramic thermal barrier coatings extend the operating temperature range of actively cooled gas turbine components, therefore increasing thermal efficiency. Performance and lifetime of existing ceram ic coatings are limited by spallation during heating and cooling cycles. Spallation of the ceramic is a function of its microstructure, which is determined by the deposition method. This research is investigating metalorganic chemical vapor deposition (MOCVD) of yttria stabilized zirconia to improve performance and reduce costs relative to electron beam physical vapor deposition. Coatings are deposited in an induction-heated, low-pressure reactor at 10 microns per hour. The coating's composition, structure, and response to the turbine environment will be characterized.
Status of HgCdTe Barrier Infrared Detectors Grown by MOCVD in Military University of Technology
NASA Astrophysics Data System (ADS)
Kopytko, M.; Jóźwikowski, K.; Martyniuk, P.; Gawron, W.; Madejczyk, P.; Kowalewski, A.; Markowska, O.; Rogalski, A.; Rutkowski, J.
2016-09-01
In this paper we present the status of HgCdTe barrier detectors with an emphasis on technological progress in metalorganic chemical vapor deposition (MOCVD) growth achieved recently at the Institute of Applied Physics, Military University of Technology. It is shown that MOCVD technology is an excellent tool for HgCdTe barrier architecture growth with a wide range of composition, donor /acceptor doping, and without post-grown annealing. The device concept of a specific barrier bandgap architecture integrated with Auger-suppression is as a good solution for high-operating temperature infrared detectors. Analyzed devices show a high performance comparable with the state-of-the-art of HgCdTe photodiodes. Dark current densities are close to the values given by "Rule 07" and detectivities of non-immersed detectors are close to the value marked for HgCdTe photodiodes. Experimental data of long-wavelength infrared detector structures were confirmed by numerical simulations obtained by a commercially available software APSYS platform. A detailed analysis applied to explain dark current plots was made, taking into account Shockley-Read-Hall, Auger, and tunneling currents.
NASA Astrophysics Data System (ADS)
Raynor, M. W.; Houlding, V. H.; Funke, H. H.; Frye, R.; Dietz, J. A.
2003-02-01
A sub-atmospheric (SA) pressure gas source, based on the reversible adsorption of hydride gas onto a high surface area substrate within a cylinder, has been developed for the safe storage and delivery of high-purity arsine and phosphine for MOCVD processes. SA pressure and high-pressure sources are compared with respect to gas delivery and purity, risk reduction, and cost benefits. Gas analysis and performance of epi-structures grown with SA pressure cylinders confirm that the hydride gas delivered meets the purity requirements of MOCVD processes. Further, the low gas release rates measured from 2.2 and 49 l SA pressure cylinders indicate that the technology can be scaled up without additional safety risk.
Bipolar Cascade Vertical-Cavity Surface-Emitting Lasers for RF Photonic Link Applications
2007-09-01
6 IV Current versus Voltage . . . . . . . . . . . . . . . . . . . . . 7 MBE Molecular Beam Epitaxy ...of carrying maximum photocur- rent. Numerous material parameters have been studied. Growth parameters for molecular beam epitaxy (MBE), metal-organic...12 MOCVD Metal-Organic Chemical Vapor Deposition . . . . . . . . . . 12 CBE Chemical Beam Epitaxy . . . . . . . . . . . . . . . . . . . . 12 LPE
Nucleation, growth, and strain relaxation of lattice-mismatched 3-5 semiconductor epitaxial layers
NASA Technical Reports Server (NTRS)
Welser, R. E.; Guido, L. J.
1994-01-01
We have investigated the early stages of evolution of highly strained 2-D InAs layers and 3-D InAs islands grown by metal-organic chemical vapor deposition (MOCVD) on (100) and (111)B GaAs substrates. The InAs epilayer/GaAs substrate combination has been chosen because the lattice-mismatch is severe (approximately 7.2 percent), yet these materials are otherwise very similar. By examining InAs-on-GaAs composites instead of the more common In(x)Ga(1-x)As alloy we remove an additional degree of freedom (x) and thereby simplify data interpretation. A matrix of experiments is described in which the MOCVD growth parameters - susceptor temperature, Thin flux, and AsH3 flux - have been varied over a wide range. Scanning electron microscopy, atomic force microscopy, transmission electron microscopy, and electron microprobe analysis have been employed to observe the thin film surface morphology. In the case of 3-D growth, we have extracted activation energies and power-dependent exponents that characterize the nucleation process. As a consequence, optimized growth conditions have been identified for depositing approximately 250 A thick (100) and (111)B oriented InAs layers with relatively smooth surfaces. Together with preliminary data on the strain relaxation of these layers, the above results on the evolution of thin InAs films indicate that the (111)B orientation is particularly promising for yielding lattice-mismatched films that are fully relaxed with only misfit dislocations at the epilayer/substrate interface.
Optimization of GaN thin films via MOCVD
NASA Technical Reports Server (NTRS)
Dickens, Corey; Wilson, Sylvia L.
1995-01-01
A unique characteristic of every semiconductor is the amount of energy required to break an electron bond in the lowest band of allowed states, the valence band. The energy necessary to set an electron free and allow it to conduct in the material is termed the energy gap (Eg). Semiconductors with wide bandgap energies have been shown to possess properties for high power, high temperature, radiation resistance damage, and short wavelength optoelectronic applications. Gallium nitride, which has a wide gap of 3.39 eV, is a material that has demonstrated these characteristics. Various growth conditions are being investigated for quality gallium nitride heteroepitaxy growth via the technique of low pressure metal organic chemical vapor deposition (MOCVD) that can be used for device development.
Deuterium permeation of amorphous alumina coating on 316L prepared by MOCVD
NASA Astrophysics Data System (ADS)
Li, Shuai; He, Di; Liu, Xiaopeng; Wang, Shumao; Jiang, Lijun
2012-01-01
The deuterium permeation behavior of the alumina coating on 316L stainless steel prepared by metal organic chemical vapor deposition (MOCVD) was investigated. The alumina coating was also characterized by X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD) and scanning electron microscope (SEM). It was found that the as-prepared coating consisted of amorphous alumina. This alumina coating had a dense, crack-free and homogeneous morphology. Although the alumina coating was amorphous, effective suppression of deuterium permeation was demonstrated. The deuterium permeability of the alumina coating was 51-60 times less than that of the 316L stainless steel and 153-335 times less than that of the referred low activation martensitic steels at 860-960 K.
Minority carrier diffusion and defects in InGaAsN grown by molecular beam epitaxy
NASA Astrophysics Data System (ADS)
Kurtz, Steven R.; Klem, J. F.; Allerman, A. A.; Sieg, R. M.; Seager, C. H.; Jones, E. D.
2002-02-01
To gain insight into the nitrogen-related defects of InGaAsN, nitrogen vibrational mode spectra, Hall mobilities, and minority carrier diffusion lengths are examined for InGaAsN (1.1 eV band gap) grown by molecular beam epitaxy (MBE). Annealing promotes the formation of In-N bonding, and lateral carrier transport is limited by large scale (≫mean free path) material inhomogeneities. Comparing solar cell quantum efficiencies with our earlier results for devices grown by metalorganic chemical vapor deposition (MOCVD), we find significant electron diffusion in the MBE material (reversed from the hole diffusion in MOCVD material), and minority carrier diffusion in InGaAsN cannot be explained by a "universal," nitrogen-related defect.
NASA Astrophysics Data System (ADS)
Jabri, S.; Souissi, H.; Sallet, V.; Lusson, A.; Meftah, A.; Galtier, P.; Oueslati, M.
2017-07-01
We have investigated the optical properties of ZnO nanowires grown by metalorganic chemical vapor deposition (MOCVD) with nitrous oxide (N2O) as oxygen precursor. Photoluminescence (PL) and Raman measurements showed the influence of adsorbed molecules on the optical properties. Low-temperature (4 K) PL studies on the surface exciton (SX) at 3.3660 eV elucidated the nature and origin of this emission. In particular, surface treatment by annealing at high temperature under inert gas reduced the emission intensity of SX. Raman vibrational spectra proved that presence of a considerable amount of adsorbed molecules on the surface of ZnO nanowires plays a key role in the occurrence of surface excitons.
NASA Astrophysics Data System (ADS)
Losurdo, M.; Giangregorio, M. M.; Sacchetti, A.; Capezzuto, P.; Bruno, G.; Malandrino, G.; Fragalà, I. L.
2007-07-01
Thin films of ZnO have been grown by plasma assisted metal-organic chemical vapour deposition (PA-MOCVD) using a 13.56 MHz O 2 plasma and the Zn(TTA)•tmed (HTTA=2-thenoyltrifluoroacetone, TMED=N,N,N',N'-tetramethylethylendiamine) precursor. The effects of growth parameters such as the plasma activation, the substrate, the surface temperature, and the ratio of fluxes of precursors on the structure, morphology, and optical and electrical properties of ZnO thin films have been studied. Under a very low plasma power of 20 W, c-axis oriented hexagonal ZnO thin films are grown on hexagonal sapphire (0001), cubic Si(001) and amorphous quartz substrates. The substrate temperature mainly controls grain size.
NASA Astrophysics Data System (ADS)
Chen, G. C.; Lee, S.-B.; Boo, J.-H.
A new ternary BOxNy crystal was grown on Si(100) substrate at 500°C by low-frequency (100 kHz) radio-frequency (rf) derived plasma-assisted MOCVD with an organoborate precursor. The as-grown deposits were characterized by SEM, TED, XPS, XRD, AFM and FT-IR. The experimental results showed that BOxNy crystal was apt to be formed at N-rich atmosphere and high temperature. The decrease of hydrogen flux in fed gases was of benefit to form BON crystal structure. The crystal structure of BOxNy was as similar to that of H3BO3 in this study.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Selvamanickam, V; Chen, Y; Shi, T
The critical current and structural properties of (Gd,Y)BaCuO tapes made by metal organic chemical vapor deposition (MOCVD) with Zr addition levels up to 30 at.% have been investigated. The reduction in critical current beyond the previously optimized Zr addition level of 7.5 at.% was found to be due to structural deterioration of the (Gd,Y)Ba2Cu3Ox film. By a modified MOCVD process,enhanced critical current densities have been achieved with high levels of Zr addition,including 3.83 MA cm(-2) in 15 at.% Zr- added 1.1 mu m thick film at 77 K in zero magnetic field. Critical currents as high as 1072 A/ 12more » mm have been reached in (Gd,Y) BaCuO tapes with 15 at.% Zr addition at 30 K in a field of 3 T applied perpendicular to the tape,corresponding to a pinning force value of 268 GN m(-3). The enhanced critical currents achievable with a high density of nanoscale defects by employing high levels of second- phase additions enable the performance targets needed for the use of HTS tapes in coil applications involving high magnetic fields at temperatures below 50 K to be met.« less
Crystallographic alignment of high-density gallium nitride nanowire arrays.
Kuykendall, Tevye; Pauzauskie, Peter J; Zhang, Yanfeng; Goldberger, Joshua; Sirbuly, Donald; Denlinger, Jonathan; Yang, Peidong
2004-08-01
Single-crystalline, one-dimensional semiconductor nanostructures are considered to be one of the critical building blocks for nanoscale optoelectronics. Elucidation of the vapour-liquid-solid growth mechanism has already enabled precise control over nanowire position and size, yet to date, no reports have demonstrated the ability to choose from different crystallographic growth directions of a nanowire array. Control over the nanowire growth direction is extremely desirable, in that anisotropic parameters such as thermal and electrical conductivity, index of refraction, piezoelectric polarization, and bandgap may be used to tune the physical properties of nanowires made from a given material. Here we demonstrate the use of metal-organic chemical vapour deposition (MOCVD) and appropriate substrate selection to control the crystallographic growth directions of high-density arrays of gallium nitride nanowires with distinct geometric and physical properties. Epitaxial growth of wurtzite gallium nitride on (100) gamma-LiAlO(2) and (111) MgO single-crystal substrates resulted in the selective growth of nanowires in the orthogonal [1\\[Evec]0] and [001] directions, exhibiting triangular and hexagonal cross-sections and drastically different optical emission. The MOCVD process is entirely compatible with the current GaN thin-film technology, which would lead to easy scale-up and device integration.
276 nm Substrate-Free Flip-Chip AlGaN Light-Emitting Diodes
NASA Astrophysics Data System (ADS)
Hwang, Seongmo; Morgan, Daniel; Kesler, Amanda; Lachab, Mohamed; Zhang, Bin; Heidari, Ahmad; Nazir, Haseeb; Ahmad, Iftikhar; Dion, Joe; Fareed, Qhalid; Adivarahan, Vinod; Islam, Monirul; Khan, Asif
2011-03-01
Lateral-conduction, substrate-free flip-chip (SFFC) light-emitting diodes (LEDs) with peak emission at 276 nm are demonstrated for the first time. The AlGaN multiple quantum well LED structures were grown by metal-organic chemical vapor deposition (MOCVD) on thick-AlN laterally overgrown on sapphire substrates. To fabricate the SFFC LEDs, a newly-developed laser-assisted ablation process was employed to separate the substrate from the LED chips. The chips had physical dimensions of 1100×900 µm2, and were comprised of four devices each with a 100×100 µm2 junction area. Electrical and optical characterization of the devices revealed no noticeable degradation to their performance due to the laser-lift-off process.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yoo, Jinkyoung; Ahmed, Towfiq; Tang, Wei
ZnO radial p–n junction architecture has the potential for forward-leap of light-emitting diode (LED) technology in terms of higher efficacy and economical production. Here, we report on ZnO radial p–n junction-based light emitting diodes prepared by full metalorganic chemical vapour deposition (MOCVD) with hydrogen-assisted p-type doping approach. The p-type ZnO(P) thin films were prepared by MOCVD with the precursors of dimethylzinc, tert-butanol, and tertiarybutylphosphine. Controlling the precursor flow for dopant results in the systematic change of doping concentration, Hall mobility, and electrical conductivity. Moreover, the approach of hydrogen-assisted phosphorous doping in ZnO expands the understanding of doping behaviour in ZnO.more » Ultraviolet and visible electroluminescence of ZnO radial p–n junction was demonstrated through a combination of position-controlled nano/microwire and crystalline p-type ZnO(P) radial shell growth on the wires. Lastly, the reported research opens a pathway of realisation of production-compatible ZnO p–n junction LEDs.« less
Selective epitaxial growth of Ge1-xSnx on Si by using metal-organic chemical vapor deposition
NASA Astrophysics Data System (ADS)
Washizu, Tomoya; Ike, Shinichi; Inuzuka, Yuki; Takeuchi, Wakana; Nakatsuka, Osamu; Zaima, Shigeaki
2017-06-01
Selective epitaxial growth of Ge and Ge1-xSnx layers on Si substrates was performed by using metal-organic chemical vapor deposition (MOCVD) with precursors of tertiary-butyl-germane (t-BGe) and tri-butyl-vinyl-tin (TBVSn). We investigated the effects of growth temperature and total pressure during growth on the selectivity and the crystallinity of the Ge and Ge1-xSnx epitaxial layers. Under low total pressure growth conditions, the dominant mechanism of the selective growth of Ge epitaxial layers is the desorption of the Ge precursors. At a high total pressure case, it is needed to control the surface migration of precursors to realize the selectivity because the desorption of Ge precursors was suppressed. The selectivity of Ge growth was improved by diffusion of the Ge precursors on the SiO2 surfaces when patterned substrates were used at a high total pressure. The selective epitaxial growth of Ge1-xSnx layer was also realized using MOCVD. We found that the Sn precursors less likely to desorb from the SiO2 surfaces than the Ge precursors.
Yoo, Jinkyoung; Ahmed, Towfiq; Tang, Wei; ...
2017-09-05
ZnO radial p–n junction architecture has the potential for forward-leap of light-emitting diode (LED) technology in terms of higher efficacy and economical production. Here, we report on ZnO radial p–n junction-based light emitting diodes prepared by full metalorganic chemical vapour deposition (MOCVD) with hydrogen-assisted p-type doping approach. The p-type ZnO(P) thin films were prepared by MOCVD with the precursors of dimethylzinc, tert-butanol, and tertiarybutylphosphine. Controlling the precursor flow for dopant results in the systematic change of doping concentration, Hall mobility, and electrical conductivity. Moreover, the approach of hydrogen-assisted phosphorous doping in ZnO expands the understanding of doping behaviour in ZnO.more » Ultraviolet and visible electroluminescence of ZnO radial p–n junction was demonstrated through a combination of position-controlled nano/microwire and crystalline p-type ZnO(P) radial shell growth on the wires. Lastly, the reported research opens a pathway of realisation of production-compatible ZnO p–n junction LEDs.« less
NASA Astrophysics Data System (ADS)
Billy, E.; Maillard, F.; Morin, A.; Guetaz, L.; Emieux, F.; Thurier, C.; Doppelt, P.; Donet, S.; Mailley, S.
This study focuses on the elaboration of PEMFC electrodes containing ultra-low platinum (Pt) loadings by direct liquid injection metal organic chemical vapor deposition (DLI-MOCVD). DLI-MOCVD offers a large number of advantages for the elaboration of model PEMFC electrodes. First, by using different metal precursors or elaboration temperature, the size of the Pt nanoparticles and thus the intrinsic catalytic activity can easily be tailored in the nanometer range. In this work, Pt nanoparticles (1-5 nm) with remarkable low degree of agglomeration and uniform distribution were deposited onto the microporous side of a commercial gas-diffusion layer (GDL). Second, reduction of the Pt loading is made possible by varying the Pt deposition time and its influence of the cell performance can be extracted without variation of the thickness of the catalytic layer (in previous studies, a decrease of the catalyst utilization was observed when increasing the Pt loading, i.e. the thickness of the catalytic layer (CL)). The electrocatalytic activity of home-made Pt nanoparticles elaborated by DLI-MOCVD was measured in liquid electrolyte or in complete fuel cell operating on H 2/O 2 or H 2/air and compared vs. that of a commercially available electrode containing 500 μg Pt cm -2 (Pt Ref500). At the cathode, the performance of the electrodes containing 104-226 μg of Pt per cm 2 of electrode compares favorably with that of the Pt Ref500 in H 2/O 2 conditions. In H 2/air conditions, additional mass-transport losses are detected in the low-current density region but the high effectiveness of our electrodes improves the performance in the high-current density region. At the anode, the Pt loading can be reduced to 35 μg Pt cm -2 without any voltage loss in agreement with previous observations.
Atomic layer epitaxy of YBaCuO for optoelectronic applications
NASA Technical Reports Server (NTRS)
Skogman, R. A.; Khan, M. A.; Van Hove, J. M.; Bhattarai, A.; Boord, W. T.
1992-01-01
An MOCVD-based atomic-layer epitaxy process is being developed as a potential solution to the problems of film-thickness and interface-abruptness control which are encountered when fabricating superconductor-insulator-superconductor devices using YBa2Cu3O(7-x). In initial studies, the atomic-layer MOCVD process yields superconducting YBa2Cu3O(7-x) films with substrate temperatures of 605 C during film growth, and no postdeposition anneal. The low temperature process yields a smooth film surface and can reduce interface degradation due to diffusion.
Metalorganic chemical vapor deposition of gallium nitride on sacrificial substrates
NASA Astrophysics Data System (ADS)
Fenwick, William Edward
GaN-based light emitting diodes (LEDs) face several challenges if the technology is to continue to make a significant impact in general illumination, and on technology that has become known as solid state lighting (SSL). Two of the most pressing challenges for the continued penetration of SSL into traditional lighting applications are efficacy and total lumens from the device, and their related cost. The development of alternative substrate technologies is a promising avenue toward addressing both of these challenges, as both GaN-based device technology and the associated metalorganic chemical vapor deposition (MOCVD) technology are already relatively mature technologies with a well-understood cost base. Zinc oxide (ZnO) and silicon (Si) are among the most promising alternative substrates for GaN epitaxy. These substrates offer the ability to access both higher efficacy and lumen devices (ZnO) at a much reduced cost. This work focuses on the development of MOCVD growth processes to yield high quality GaN-based materials and devices on both ZnO and Si. ZnO is a promising substrate for growth of low defect-density GaN because of its similar lattice constant and thermal expansion coefficient. The major hurdles for GaN growth on ZnO are the instability of the substrate in a hydrogen atmosphere, which is typical of nitride growth conditions, and the inter-diffusion of zinc and oxygen from the substrate into the GaN-based epitaxial layer. A process was developed for the MOCVD growth of GaN and InxGa 1-xN on ZnO that attempted to address these issues. The structural and optical properties of these films were studied using various techniques. X-ray diffraction (XRD) showed the growth of wurtzite GaN on ZnO, and room-temperature photoluminescence (RT-PL) showed near band-edge luminescence from the GaN and InxGa1-xN layers. However, high zinc and oxygen concentrations due to interdiffusion near the ZnO substrate remained an issue; therefore, the diffusion of zinc and oxygen into the subsequent GaN layer was studied in more detail. Several approaches were investigated---for example, transition layers such as Al2O3 and Al xGa1-xN/GaN---to minimize diffusion of these impurities into the GaN layer. Silicon, due to its prevalence, is the most promising material for the development of an inexpensive, large-area substrate technology. The challenge in MOCVD growth of GaN on Si is the tensile strain induced by the lattice and thermal mismatch between GaN and Si and the formation of anti-phase boundaries. Typical approaches to solve these problems involve complicated and multiple buffer layer structures, which lead to relatively slow growth rates. In this work, a thin atomic layer deposition (ALD)-grown Al2O3 interlayer was employed to relieve strain and increase material quality while also simplifying the growth process. While some residual strain was still observed in the GaN material by XRD and PL, the use of this oxide interlayer leads to an improvement in thin film quality as seen by a reduction in both crack density (<1 mm-2) on ALD-Al2O3/Si) and screw dislocation density (from 3x109cm-2 on bare Si to 2x108cm-2 on ALD-Al 2O3/Si) in the GaN films. A side-by-side comparison of GaN-based multiple quantum well LEDs grown on sapphire and on Al2O3/Si shows similar performance characteristic for both device structures. A redshift in peak emission wavelength was also observed on silicon compared to sapphire, and this is attributed to higher indium content due to the slight tensile strain in the layers on silicon. IQE of the devices on silicon is ˜32% as measured by LT-PL, compared to ˜37% on sapphire, but this difference can be assigned to the difference in indium compositions. These results show a great promise toward an inexpensive, large-area, silicon-based substrate technology for MOCVD growth of the next generation of GaN-based optoelectronic devices for SSL and other applications.
NASA Astrophysics Data System (ADS)
Messham, R. L.; Tucker, W. K.
1986-09-01
A metalorganic chemical vapor deposition (MOCVD) facility designed to safely handle highly toxic and pyrophoric growth materials is described. The system concept is based on remote operation, passive flow restriction, and forced air dilution to maintain safe gas concentrations under normal running and catastrophic system failure conditions. MOCVD is a key materials technology for advanced high-frequency optical and microwave devices. At this time, the use of highly toxic arsine as an arsenic source is dictated by critical device purity, reproducibility, and doping control requirements. The handling and use of this gas is a primary feature in the design of any safe facility for MOCVD growth of high-quality GaAs/AlGaAs. After a critical review of presently available effluent treatment techniques, it was concluded that a combination of flow restriction and dilution presented the most reliable treatment. Measured flow rates through orifices from 0.002 to 0.005 inch in diameter were compared to calculated values. A 0.002 inch orifice located in the cylinder valve or CGA fitting, combined with a cylinder of pure liquid arsine (205 psi), limits the maximum gas flow to ≪1 lpm. Such a flow can then be vented through a dedicated exhaust system where an additional forced injection of diluting air reduces the gas concentration to acceptable levels. In the final Westinghouse R&D Center design, the use of low-pressure pure arsine, flow restriction, and stack air injection has reduced the maximum stack exist gas concentration to below 25% of the IDLH level for arsine under total and catastrophic MOCVD facility equipment failure conditions. The elimination of potential problems with purging behind such orifices using carefully designed purging procedures and a microprocessor-controlled purging system are described. The IDLH level is defined by the OSHA and NIOSH standards completion program and represents the maximum level from which one could escape within 30 min without any escape-impairing symptoms or irreversible health effects.
Wide-bandgap epitaxial heterojunction windows for silicon solar cells
NASA Technical Reports Server (NTRS)
Landis, Geoffrey A.; Loferski, Joseph J.; Beaulieu, Roland; Sekula-Moise, Patricia A.; Vernon, Stanley M.
1990-01-01
It is shown that the efficiency of a solar cell can be improved if minority carriers are confined by use of a wide-bandgap heterojunction window. For silicon (lattice constant a = 5.43 A), nearly lattice-matched wide-bandgap materials are ZnS (a = 5.41 A) and GaP (a = 5.45 A). Isotype n-n heterojuntions of both ZnS/Si and GaP/Si were grown on silicon n-p homojunction solar cells. Successful deposition processes used were metalorganic chemical vapor deposition (MO-CVD) for GaP and ZnS, and vacuum evaporation of ZnS. Planar (100) and (111) and texture-etched - (111)-faceted - surfaces were used. A decrease in minority-carrier surface recombination compared to a bare surface was seen from increased short-wavelength spectral response, increased open-circuit voltage, and reduced dark saturation current, with no degradation of the minority carrier diffusion length.
Four Terminal Gallium Nitride MOSFETs
NASA Astrophysics Data System (ADS)
Veety, Matthew Thomas
All reported gallium nitride (GaN) transistors to date have been three-terminal devices with source, drain, and gate electrodes. In the case of GaN MOSFETs, this leaves the bulk of the device at a floating potential which can impact device threshold voltage. In more traditional silicon-based MOSFET fabrication a bulk contact can be made on the back side of the silicon wafer. For GaN grown on sapphire substrates, however, this is not possible and an alternate, front-side bulk contact must be investigated. GaN is a III-V, wide band gap semiconductor that as promising material parameters for use in high frequency and high power applications. Possible applications are in the 1 to 10 GHz frequency band and power inverters for next generation grid solid state transformers and inverters. GaN has seen significant academic and commercial research for use in Heterojunction Field Effect Transistors (HFETs). These devices however are depletion-mode, meaning the device is considered "on" at zero gate bias. A MOSFET structure allows for enhancement mode operation, which is normally off. This mode is preferrable in high power applications as the device has lower off-state power consumption and is easier to implement in circuits. Proper surface passivation of seminconductor surface interface states is an important processing step for any device. Preliminary research on surface treatments using GaN wet etches and depletion-mode GaN devices utilizing this process are discussed. Devices pretreated with potassium pursulfate prior to gate dielectric deposition show significant device improvements. This process can be applied to any current GaN FET. Enhancement-mode GaN MOSFETs were fabricated on magnesium doped p-type Wurtzite gallium nitride grown by Metal Organic Chemical Vapor Deposition (MOCVD) on c-plane sapphire substrates. Devices utilized ion implant source and drain which was activated under NH3 overpressure in MOCVD. Also, devices were fabricated with a SiO2 gate dielectric and metal gate. Preliminary devices exhibited high GaN-oxide interface state density, Dit, on the order of 1013 cm-2· eV-1. Additional experiments and device fabrication was focused on improving device performance through optimization of the ion implantation activation anneal as well as incorporation of a bulk p-type ohmic contact and migration to a thicker, lower defect density, HVPE-grown template substrate. The first reported MOSFET on HVPE grown GaN substrates (templates) is reported with peak measured drain current of 1.05 mA/mm and a normalized transconductance of 57 muS/mm. Fabricated devices exhibited large (greater than 1 muA) source-to-drain junction leakage which is attributed to low activated doping density in the MOCVD-grown p-type bulk. MOSFETs fabricated on template substrates show more than twice the measured drain current as similar devices fabricated on traditional MOCVD GaN on sapphire substrates for the same bias conditions. Also, template MOSFETs have decreased gate leakage which allowed for a much greater range of operation. This performance increase is attributed to a more than doubled effective channel mobility on template GaN MOSFETs due to decreased crystal defect scattering when compared to a MOCVD-grown GaN-on-sapphire MOSFET. Fabricated MOSFETs also exhibit decreased interface state density with lower bound of 2.2x1011 cm-2·eV-1 when compared to prelimary MOSFETs. This decrease is associated with the use of a sacrificial oxide cap during source/drain activation. Suggested work for continued research is also presented which includes experiments to improve source/drain ion implantation profile, utilization of selective area growth for the active area, improved n- and p-type ohmic contact resistance and investigation of alternate oxides.
NASA Astrophysics Data System (ADS)
Fujikura, Hajime; Hayashi, Kentaro; Horikiri, Fumimasa; Narita, Yoshinobu; Konno, Taichiro; Yoshida, Takehiro; Ohta, Hiroshi; Mishima, Tomoyoshi
2018-04-01
In vertical GaN PN diodes (PNDs) grown entirely by metal–organic chemical vapor deposition (MOCVD), large current nonuniformity was observed. This nonuniformity was induced by macrosteps on the GaN surface through modulation of carbon incorporation into the n-GaN crystal. It was eliminated in a hybrid PND consisting of a carbon-free n-GaN layer grown by hydride vapor phase epitaxy (HVPE) and an MOCVD-regrown p-GaN layer. The hybrid PND showed a fairly low on-resistance (2 mΩ cm2) and high breakdown voltage (2 kV) even without a field plate electrode. These results clearly indicated the strong advantages of the HVPE-grown drift layer for improving power device performance, uniformity, and yield.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mintairov, S. A., E-mail: mintairov@scell.ioffe.ru; Emelyanov, V. M.; Rybalchenko, D. V.
Heterostructures of metamorphic GaInAs photovoltaic converters (PVCs) are on GaAs substrates by the metal-organic chemical vapor deposition (MOCVD) method. It is shown that using a multilayer metamorphic buffer with a step of 2.5% in indium content and layer thicknesses of 120 nm provides the high quality of bulk layers subsequently grown on the buffer up to an indium content of 24%. PVCs with a long-wavelength photosensitivity edge up to 1300 nm and a quantum efficiency of ~80% in the spectral range 1050–1100 nm are fabricated. Analysis of the open-circuit voltage of the PVCs and diffusion lengths of minority carriers inmore » the layers demonstrates that the density of misfit dislocations penetrating into the bulk layers increases at an indium content exceeding 10%.« less
Ra, Yong-Ho; Navamathavan, R; Park, Ji-Hyeon; Lee, Cheul-Ro
2013-03-01
This article describes the growth and device characteristics of vertically aligned high-quality uniaxial p-GaN/InxGa1-xN/GaN multiple quantum wells (MQW)/n-GaN nanowires (NWs) on Si(111) substrates grown by metal-organic chemical vapor deposition (MOCVD) technique. The resultant nanowires (NWs), with a diameter of 200-250 nm, have an average length of 2 μm. The feasibility of growing high-quality NWs with well-controlled indium composition MQW structure is demonstrated. These resultant NWs grown on Si(111) substrates were utilized for fabricating vertical-type light-emitting diodes (LEDs). The steep and intense photoluminescence (PL) and cathodoluminescence (CL) spectra are observed, based on the strain-free NWs on Si(111) substrates. High-resolution transmission electron microscopy (HR-TEM) analysis revealed that the MQW NWs are grown along the c-plane with uniform thickness. The current-voltage (I-V) characteristics of these NWs exhibited typical p-n junction LEDs and showed a sharp onset voltage at 2.75 V in the forward bias. The output power is linearly increased with increasing current. The result indicates that the pulsed MOCVD technique is an effective method to grow uniaxial p-GaN/InxGa1-xN/GaN MQW/n-GaN NWs on Si(111), which is more advantageous than other growth techniques, such as molecular beam epitaxy. These results suggest the uniaxial NWs are promising to allow flat-band quantum structures, which can enhance the efficiency of LEDs.
NASA Astrophysics Data System (ADS)
1993-01-01
Under the MIMIC Program, Spire has pursued improvements in the manufacturing of low cost, high quality gallium arsenide MOCVD wafers for advanced MIMIC FET applications. As a demonstration of such improvements, Spire was tasked to supply MOCVD wafers for comparison to MBE wafers in the fabrication of millimeter and microwave integrated circuits. In this, the final technical report for Spire's two-year MIMIC contract, we report the results of our work. The main objectives of Spire's MIMIC Phase 3 Program, as outlined in the Statement of Work, were as follows: Optimize the MOCVD growth conditions for the best possible electrical and morphological gallium arsenide. Optimization should include substrate and source qualification as well as determination of the optimum reactor growth conditions; Perform all work on 75 millimeter diameter wafers, using a reactor capable of at least three wafers per run; and Evaluate epitaxial layers using electrical, optical, and morphological tests to obtain thickness, carrier concentration, and mobility data across wafers.
Method of forming superconducting Tl-Ba-Ca-Cu-O films
Wessels, Bruce W.; Marks, Tobin J.; Richeson, Darrin S.; Tonge, Lauren M.; Zhang, Jiming
1993-01-01
A method of forming a superconducting Tl-Ba-Ca-Cu-O film is disclosed, which comprises depositing a Ba-Ca-Cu-O film on a substrate by MOCVD, annealing the deposited film and heat-treating the annealed film in a closed circular vessel with TlBa.sub.2 Ca.sub.2 Cu.sub.3 O.sub.x and cooling to form said superconducting film of TlO.sub.m Ba.sub.2 Ca.sub.n-1 Cu.sub.n O.sub.2n+2, wherein m=1,2 and n=1,2,3.
Enhanced critical currents in (Gd,Y)Ba2Cu3Ox superconducting tapes with high levels of Zr addition
NASA Astrophysics Data System (ADS)
Selvamanickam, V.; Chen, Y.; Shi, T.; Liu, Y.; Khatri, N. D.; Liu, J.; Yao, Y.; Xiong, X.; Lei, C.; Soloveichik, S.; Galstyan, E.; Majkic, G.
2013-03-01
The critical current and structural properties of (Gd,Y)BaCuO tapes made by metal organic chemical vapor deposition (MOCVD) with Zr addition levels up to 30 at.% have been investigated. The reduction in critical current beyond the previously optimized Zr addition level of 7.5 at.% was found to be due to structural deterioration of the (Gd,Y)Ba2Cu3Ox film. By a modified MOCVD process, enhanced critical current densities have been achieved with high levels of Zr addition, including 3.83 MA cm-2 in 15 at.% Zr-added 1.1 μm thick film at 77 K in zero magnetic field. Critical currents as high as 1072 A/12 mm have been reached in (Gd,Y)BaCuO tapes with 15 at.% Zr addition at 30 K in a field of 3 T applied perpendicular to the tape, corresponding to a pinning force value of 268 GN m-3. The enhanced critical currents achievable with a high density of nanoscale defects by employing high levels of second-phase additions enable the performance targets needed for the use of HTS tapes in coil applications involving high magnetic fields at temperatures below 50 K to be met.
NASA Astrophysics Data System (ADS)
Yeh, Chia-Pin; Lisker, Marco; Kalkofen, Bodo; Burte, Edmund P.
2016-03-01
Ferroelectric capacitors made by lead zirconate titanate (PZT) thin films and iridium electrodes are fabricated on three-dimensional structures and their properties are investigated. The iridium films are grown by Plasma Enhanced MOCVD at 300°C, while the PZT films are deposited by thermal MOCVD at different process temperatures between 450°C and 550°C. The step coverage and composition uniformity of the PZT films on trench holes and lines are investigated. Phase separation of PZT films has been observed on both 3D and planar structures. No clear dependences of the crystallization and composition of PZT on 3D structure topography have been found. STEM EDX line scans show a uniform Zr/(Zr+Ti) concentration ratio along the 3D profile but the variation of the Pb/(Zr+Ti) concentration ratio is large because of the phase separation. 3D ferroelectric capacitors show good ferroelectric properties but have much higher leakage currents than 2D ferroelectric capacitors. Nevertheless, during cycling tests the degradation of the remnant polarization between 2D and 3D capacitors is similar after 109 switching cycles. In addition, the sidewalls and bottoms of the 3D structures seem to have comparable remnant polarizations with the horizontal top surfaces.
EFFECTS OF Au ON THE GROWTH OF ZnO NANOSTRUCTURES ON Si BY MOCVD
NASA Astrophysics Data System (ADS)
Cong, Chen; Fan, Lu Yang; Ping, He Hai; Wei, Wu Ke; Zhen, Ye Zhi
2013-06-01
The effects of Au on the growth of ZnO nanostructures on Si by metal organic chemical vapor deposition (MOCVD) at a relatively low temperature (450°C) were investigated. The experimental results showed that Au nanoparticles played a critical role during the growth of the ZnO nanostructures and affected their morphology and optical properties. It was found that Au nanoparticles particularly affected the nucleation of ZnO nanostructures during the growth process and the Au-assisted growth mechanism of ZnO nanostructures should be ascribed to the vapor-solid (VS) mechanism. The formation of a nanoneedle may be attributed to a more reactive interface between Au and ZnO, which leads to more zinc gaseous species absorbed near the interface. Different nucleation sites on ZnO nuclei resulted in the disorder of ZnO nanoneedles. Moreover, the crystalline quality of nano-ZnO was improved due to the presence of Au, according to the smaller full width at half maximum (FWHM) of the low-temperature exciton emission. We confirmed that ZnO nanoneedles showed better crystalline quality than ZnO nanorods through the HRTEM images and the SAED patterns. The reason for the improvement of the crystalline quality of nano-ZnO may be due to the less lattice mismatch.
CVD method for forming B.sub.i -containing oxide superconducting films
Wessels, Bruce W.; Marks, Tobin J.; Richeson, Darrin S.; Tonge, Lauren M.; Zhang, Jiming
1994-01-01
Films of high T.sub.c Bi-Sr-Ca-Cu-O superconductor have been prepared by MOCVD using volatile metal organic precursors and water vapor. The metal organic precursors are volatized along with a bismuth source, such as Bi(C.sub.6 H.sub.5).sub.3, deposited on a heated substrate to form a film, and annealed.
NASA Astrophysics Data System (ADS)
Zhuo, Yi; Chen, Zimin; Tu, Wenbin; Ma, Xuejin; Wang, Gang
2018-01-01
Tin-doped indium oxide (ITO) is grown by metal organic chemical vapor deposition (MOCVD) using tetramethyltin (TDMASn) as tin precursor. The as-grown ITO films are polycrystalline with (111) and (100) textures. A gradual transition of crystallographic orientation from (111) preferred to (100) preferred is observed as the composition of tin changes. By precisely controlling the Sn doping, the ITO thin films present promising optical and electrical performances at either near-infrared-visible or visible-near-ultraviolet ranges. At low Sn doping level, the as-grown ITO possesses high electron mobility of 48.8 cm2 V-1 s-1, which results in high near-infrared transmittance and low resistivity. At higher Sn doping level, high carrier concentration (8.9 × 1020 cm-3) and low resistivity (3 × 10-4 Ω cm) are achieved. The transmittance is 97.8, 99.1, and 82.3% at the wavelength of 550, 365, and 320 nm, respectively. The results strongly suggest that MOCVD with TDMASn as tin precursor is an effective method to fabricate high quality ITO thin film for near-infrared, visible light, and near-ultraviolet application.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Galstyan, E; Gharahcheshmeh, MH; Delgado, L
We report the microstructural characteristics of high levels of Zr-added REBa2Cu3O7-x (RE = Gd, Y rare earth) coated conductors fabricated by Metal Organic Chemical Vapor Deposition (MOCVD). The enhancements of the lift factor defined as a ratio of the in-field (3 T, B parallel to c-axis) critical current density (J(c)) at 30 K and self-field J(c) at 77 K have been achieved for Zr addition levels of 20 and 25 mol% via optimization of deposition parameters. The presence of strong flux pinning is attributed to the aligned nanocolumns of BaZrO3 and nanoprecipitates embedded in REBa2Cu3O7-x matrix with good crystal quality.more » A high density of BZO nanorods with a typical size 6-8 nm and spacing of 20 nm has been observed. Moreover, the high Zr content was found to induce a high density of intrinsic defects, including stacking faults and dislocations. The correlation between in-field performance along the c-axis and microstructure of (Gd, Y) BCO film with a high level of Zr addition is discussed.« less
Synthesis and characterization of heteroleptic titanium MOCVD precursors for TiO2 thin films.
Kim, Euk Hyun; Lim, Min Hyuk; Lah, Myoung Soo; Koo, Sang Man
2018-02-13
Heteroleptic titanium alkoxides with three different ligands, i.e., [Ti(O i Pr)(X)(Y)] (X = tridentate, Y = bidentate ligands), were synthesized to find efficient metal organic chemical vapor deposition (MOCVD) precursors for TiO 2 thin films. Acetylacetone (acacH) or 2,2,6,6-tetramethyl-3,5-heptanedione (thdH) was employed as a bidentate ligand, while N-methyldiethanolamine (MDEA) was employed as a tridentate ligand. It was expected that the oxygen and moisture susceptibility of titanium alkoxides, as well as their tendency to form oligomers, would be greatly reduced by placing multidentate and bulky ligands around the center Ti atom. The synthesized heteroleptic titanium alkoxides were characterized both physicochemically and crystallographically, and their thermal behaviors were also investigated. [Ti(O i Pr)(MDEA)(thd)] was found to be monomeric and stable against moisture; it also showed good volatility in the temperature window between volatilization and decomposition. This material was used as a single-source precursor during MOCVD to generate TiO 2 thin films on silicon wafers. The high thermal stability of [Ti(O i Pr)(MDEA)(thd)] enabled the fabrication of TiO 2 films over a wide temperature range, with steady growth rates between 500 and 800 °C.
High-Brightness Blue Light-Emitting Diodes Enabled by a Directly Grown Graphene Buffer Layer.
Chen, Zhaolong; Zhang, Xiang; Dou, Zhipeng; Wei, Tongbo; Liu, Zhiqiang; Qi, Yue; Ci, Haina; Wang, Yunyu; Li, Yang; Chang, Hongliang; Yan, Jianchang; Yang, Shenyuan; Zhang, Yanfeng; Wang, Junxi; Gao, Peng; Li, Jinmin; Liu, Zhongfan
2018-06-08
Single-crystalline GaN-based light-emitting diodes (LEDs) with high efficiency and long lifetime are the most promising solid-state lighting source compared with conventional incandescent and fluorescent lamps. However, the lattice and thermal mismatch between GaN and sapphire substrate always induces high stress and high density of dislocations and thus degrades the performance of LEDs. Here, the growth of high-quality GaN with low stress and a low density of dislocations on graphene (Gr) buffered sapphire substrate is reported for high-brightness blue LEDs. Gr films are directly grown on sapphire substrate to avoid the tedious transfer process and GaN is grown by metal-organic chemical vapor deposition (MOCVD). The introduced Gr buffer layer greatly releases biaxial stress and reduces the density of dislocations in GaN film and In x Ga 1- x N/GaN multiple quantum well structures. The as-fabricated LED devices therefore deliver much higher light output power compared to that on a bare sapphire substrate, which even outperforms the mature process derived counterpart. The GaN growth on Gr buffered sapphire only requires one-step growth, which largely shortens the MOCVD growth time. This facile strategy may pave a new way for applications of Gr films and bring several disruptive technologies for epitaxial growth of GaN film and its applications in high-brightness LEDs. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.
Doping and compensation in Al-rich AlGaN grown on single crystal AlN and sapphire by MOCVD
NASA Astrophysics Data System (ADS)
Bryan, Isaac; Bryan, Zachary; Washiyama, Shun; Reddy, Pramod; Gaddy, Benjamin; Sarkar, Biplab; Breckenridge, M. Hayden; Guo, Qiang; Bobea, Milena; Tweedie, James; Mita, Seiji; Irving, Douglas; Collazo, Ramon; Sitar, Zlatko
2018-02-01
In order to understand the influence of dislocations on doping and compensation in Al-rich AlGaN, thin films were grown by metal organic chemical vapor deposition (MOCVD) on different templates on sapphire and low dislocation density single crystalline AlN. AlGaN grown on AlN exhibited the highest conductivity, carrier concentration, and mobility for any doping concentration due to low threading dislocation related compensation and reduced self-compensation. The onset of self-compensation, i.e., the "knee behavior" in conductivity, was found to depend only on the chemical potential of silicon, strongly indicating the cation vacancy complex with Si as the source of self-compensation. However, the magnitude of self-compensation was found to increase with an increase in dislocation density, and consequently, AlGaN grown on AlN substrates demonstrated higher conductivity over the entire doping range.
III-nitride core–shell nanorod array on quartz substrates
Bae, Si-Young; Min, Jung-Wook; Hwang, Hyeong-Yong; Lekhal, Kaddour; Lee, Ho-Jun; Jho, Young-Dahl; Lee, Dong-Seon; Lee, Yong-Tak; Ikarashi, Nobuyuki; Honda, Yoshio; Amano, Hiroshi
2017-01-01
We report the fabrication of near-vertically elongated GaN nanorods on quartz substrates. To control the preferred orientation and length of individual GaN nanorods, we combined molecular beam epitaxy (MBE) with pulsed-mode metal–organic chemical vapor deposition (MOCVD). The MBE-grown buffer layer was composed of GaN nanograins exhibiting an ordered surface and preferred orientation along the surface normal direction. Position-controlled growth of the GaN nanorods was achieved by selective-area growth using MOCVD. Simultaneously, the GaN nanorods were elongated by the pulsed-mode growth. The microstructural and optical properties of both GaN nanorods and InGaN/GaN core–shell nanorods were then investigated. The nanorods were highly crystalline and the core–shell structures exhibited optical emission properties, indicating the feasibility of fabricating III-nitride nano-optoelectronic devices on amorphous substrates. PMID:28345641
The controlled growth of GaN microrods on Si(111) substrates by MOCVD
NASA Astrophysics Data System (ADS)
Foltynski, Bartosz; Garro, Nuria; Vallo, Martin; Finken, Matthias; Giesen, Christoph; Kalisch, Holger; Vescan, Andrei; Cantarero, Andrés; Heuken, Michael
2015-03-01
In this paper, a selective area growth (SAG) approach for growing GaN microrods on patterned SiNx/Si(111) substrates by metal-organic chemical vapor deposition (MOCVD) is studied. The surface morphology, optical and structural properties of vertical GaN microrods terminated by pyramidal shaped facets (six { 10 1 bar 1} planes) were characterized using scanning electron microscopy (SEM), room temperature photoluminescence (PL) and Raman spectroscopy, respectively. Measurements revealed high-quality GaN microcolumns grown with silane support. Characterized structures were grown nearly strain-free (central frequency of Raman peak of 567±1 cm-1) with crystal quality comparable to bulk crystals (FWHM=4.2±1 cm-1). Such GaN microrods might be used as a next-generation device concept for solid-state lighting (SSL) applications by realizing core-shell InGaN/GaN multi-quantum wells (MQWs) on the n-GaN rod base.
Room temperature electroluminescence from the n-ZnO/p-GaN heterojunction device grown by MOCVD
DOE Office of Scientific and Technical Information (OSTI.GOV)
Yang, T.P.; Zhu, H.C.; Bian, J.M.
2008-12-01
The heterojunction light-emitting diode with n-ZnO/p-GaN structure was grown on (0 0 0 1) sapphire substrate by metalorganic chemical vapor deposition (MOCVD) technique. The heterojunction structure was consisted of an Mg-doped p-type GaN layer with a hole concentration of {approx}10{sup 17} cm{sup -3} and a unintentionally doped n-type ZnO layer with an electron concentration of {approx}10{sup 18} cm{sup -3}. A distinct blue-violet electroluminescence with a dominant emission peak centered at {approx}415 nm was observed at room temperature from the heterojunction structure under forward bias conditions. The origins of the electroluminescence (EL) emissions are discussed in comparison with the photoluminescence spectra,more » and it was supposed to be attributed to a radiative recombination in both n-ZnO and p-GaN sides.« less
NASA Astrophysics Data System (ADS)
Sarkar, Kalyan Jyoti; Pal, B.; Banerji, P.
2018-04-01
We fabricated inorganic-organic hybrid heterojunction between indium phosphide (InP) and pentacene for photodetector application. InP layer was grown on n-Si substrate by atmospheric pressure metal organic chemical vapour deposition (MOCVD) technique. Morphological properties of InP and pentacene thin film were characterized by atomic force microscopy (AFM). Current-voltage characteristics were investigated in dark and under illumination condition at room temperature. During illumination, different wavelengths of visible and infrared light source were employed to perform the electrical measurement. Enhancement of photocurrent was observed with decreasing in wavelength of incident photo radiation. Ideality factor was found to be 1.92. High rectification ratio of 225 was found at ± 3 V in presence of infrared light source. This study provides new insights of inorganic-organic hybrid heterojunction for broadband photoresponse in visible to near infrared (IR) region under low reverse bias condition.
NASA Astrophysics Data System (ADS)
Cunningham, Brian Thomas
1990-01-01
A dilute mixture of CCl_4 in high purity H_2 has been used as a carbon dopant source for rm Al_ {x}Ga_{1-x}As grown by low pressure metalorganic chemical vapor deposition (MOCVD). To understand the mechanism for carbon incorporation from CCl_4 doping and to provide experimental parameters for the growth of carbon doped device structures, the effects of various crystal growth parameters on CCl _4 doping have been studied, including growth temperature, growth rate, V/III ratio, Al composition, and CCl_4 flow rate. Although CCl _4 is an effective p-type dopant for MOCVD rm Al_{x}Ga_ {1-x}As, injection of CCl_4 into the reactor during growth of InP resulted in no change in the carrier concentration or carbon concentration. Abrupt, heavy carbon doping spikes in GaAs have been obtained using CCl_4 without a dopant memory effect. By annealing samples with carbon doping spikes grown within undoped, n-type, and p-type GaAs, the carbon diffusion coefficient in GaAs at 825 ^circC has been estimated and has been found to depend strongly on the GaAs background doping. Heavily carbon doped rm Al_{x}Ga _{1-x}As/GaAs superlattices have been found to be more stable against impurity induced layer disordering (IILD) than Mg or Zn doped superlattices, indicating that the low carbon diffusion coefficient limits the IILD process. Carbon doping has been used in the base region on an Npn AlGaAs/GaAs heterojunction bipolar transistor (HBT). Transistors with 3 x 10 μm self-aligned emitter fingers have been fabricated which exhibit a current gain cutoff frequency of f_ {rm t} = 26 GHz.
One-step growth of thin film SnS with large grains using MOCVD.
Clayton, Andrew J; Charbonneau, Cecile M E; Tsoi, Wing C; Siderfin, Peter J; Irvine, Stuart J C
2018-01-01
Thin film tin sulphide (SnS) films were produced with grain sizes greater than 1 μm using a one-step metal organic chemical vapour deposition process. Tin-doped indium oxide (ITO) was used as the substrate, having a similar work function to molybdenum typically used as the back contact, but with potential use of its transparency for bifacial illumination. Tetraethyltin and ditertiarybutylsulphide were used as precursors with process temperatures 430-470 °C to promote film growth with large grains. The film stoichiometry was controlled by varying the precursor partial pressure ratios and characterised with energy dispersive X-ray spectroscopy to optimise the SnS composition. X-ray diffraction and Raman spectroscopy were used to determine the phases that were present in the film and revealed that small amounts of ottemannite Sn 2 S 3 was present when SnS was deposited on to the ITO using optimised growth parameters. Interaction at the SnS/ITO interface to form Sn 2 S 3 was deduced to have resulted for all growth conditions.
MBE development of dilute nitrides for commercial long-wavelength laser applications
NASA Astrophysics Data System (ADS)
Malis, O.; Liu, W. K.; Gmachl, C.; Fastenau, J. M.; Joel, A.; Gong, P.; Bland, S. W.; Moshegov, N.
2003-04-01
InGaAsN-based materials are being developed at IQE, Inc. for 1.3 μm laser applications. Both MBE and MOCVD growth technology are employed and under investigation for commercial viability. The MBE effort focuses on optimizing the process for the large-volume manufacturing environment. The PL efficiencies of InGaAsN QWs grown with different nitrogen sources on single and multi-wafer MBE platforms are compared. The effect of various annealing treatments on the PL intensity and wavelength uniformity is also discussed in detail. The PL intensity of MBE-grown InGaAsN QWs is inferior to the efficiency of MOCVD samples emitting below 1.29 μm. MOCVD samples, however, exhibit a faster decay of the PL intensity with increasing wavelength, and loose their advantage above 1.29 μm. Deep and shallow ridge-waveguide lasers emitting at 1.28 μm were processed from the MBE material and the laser characteristics are discussed.
The controlled growth of GaN nanowires.
Hersee, Stephen D; Sun, Xinyu; Wang, Xin
2006-08-01
This paper reports a scalable process for the growth of high-quality GaN nanowires and uniform nanowire arrays in which the position and diameter of each nanowire is precisely controlled. The approach is based on conventional metalorganic chemical vapor deposition using regular precursors and requires no additional metal catalyst. The location, orientation, and diameter of each GaN nanowire are controlled using a thin, selective growth mask that is patterned by interferometric lithography. It was found that use of a pulsed MOCVD process allowed the nanowire diameter to remain constant after the nanowires had emerged from the selective growth mask. Vertical GaN nanowire growth rates in excess of 2 mum/h were measured, while remarkably the diameter of each nanowire remained constant over the entire (micrometer) length of the nanowires. The paper reports transmission electron microscopy and photoluminescence data.
The influence of doping element on structural and luminescent characteristics of ZnS thin films
NASA Astrophysics Data System (ADS)
Kryshtab, T.; Khomchenko, V. S.; Andraca-Adame, J. A.; Rodionov, V. E.; Khachatryan, V. B.; Tzyrkunov, Yu. A.
2006-10-01
For the fabrication of green and blue emitting ZnS structures the elements of I, III, and VII groups (Cu, Al, Ga, Cl) are used as dopants. The influence of type of impurity, doping technique, and type of substrate on crystalline structure and surface morphology together with luminescent properties was investigated. The doping of thin films was realized during the growth process and/or post-deposition thermal treatment. ZnS thin films were deposited by physical (EBE) and chemical (MOCVD) methods onto glass or ceramic (BaTiO 3) substrates. Closed spaced evaporation and thermodiffusion methods were used for the post-deposition doping of ZnS films. X-ray diffraction (XRD) techniques, atomic force microscopy (AFM), and measurements of photoluminescent (PL) spectra were used for the investigations. It was shown that the doping by the elements of I (Cu) and III (Al, Ga) groups does not change the crystal structure during the thermal treatment up to 1000 ∘C, whereas simultaneous use of the elements of I (Cu) and VII (Cl) groups leads to decrease of the phase transition temperature to 800 ∘C. The presence of impurities in the growth process leads to a grain size increase. At post-deposition treatment Ga and Cl act as activators of recrystallization process. The transition of ZnS sphalerite lattice to wurtzite one leads to the displacement of the blue emission band position towards the short-wavelength range by 10 nm.
NASA Astrophysics Data System (ADS)
Izumiya, T.; Ishikawa, H.; Mashita, M.
1994-12-01
InGaAlP epilayers and double-hetero structure light emitting diodes (LEDs) were grown by metalorganic chemical vapor deposition (MOCVD) using tertiarybutylphosphine (TBP). The photoluminescence (PL) intensities were low compared with the epilayer grown using PH 3, and depended markedly on the TBP synthesis lots. Deep levels, were studied and two oxygen related levels were observed in the epilayers with small PL intensities. An intimate relation between the deep levels and the photoluminescence (PL) intensity has been found. A larger TBP flow rate reduced the deep level concentrations and improved the PL intensity.
Metalorganic chemical vapor deposition and characterization of ZnO materials
NASA Astrophysics Data System (ADS)
Sun, Shangzu; Tompa, Gary S.; Hoerman, Brent; Look, David C.; Claflin, Bruce B.; Rice, Catherine E.; Masaun, Puneet
2006-04-01
Zinc oxide is attracting growing interest for potential applications in electronics, optoelectronics, photonics, and chemical and biochemical sensing, among other applications. We report herein our efforts in the growth and characterization of p- and n-type ZnO materials by metalorganic chemical vapor deposition (MOCVD), focusing on recent nitrogen-doped films grown using diethyl zinc as the zinc precursor and nitric oxide (NO) as the dopant. Characterization results, including resistivity, Hall measurements, photoluminescence, and SIMS, are reported and discussed. Electrical behavior was observed to be dependent on illumination, atmosphere, and heat treatment, especially for p-type material.
NASA Astrophysics Data System (ADS)
Chern, C. S.; Liang, S.; Shi, Z. Q.; Yoon, S.; Safari, A.; Lu, P.; Kear, B. H.; Goodreau, B. H.; Marks, T. J.; Hou, S. Y.
1994-06-01
Epitaxial Ba1-xSrxTiO3(BST)/YBa2Cu3O7-x heterostructures with superior electrical and dielectric properties have been fabricated by plasma-enhanced metalorganic chemical vapor deposition (PE-MOCVD). Data of x-ray diffraction and high resolution transmission electron microscopy showed that <100> oriented Ba1-xSrxTiO3 layers were epitaxially deposited on epitaxial (001) YBa2Cu3O7-x layers. The leakage current density through the Ba1-xSrxTiO3 films was about 10-7 A/cm2 at 2 V (about 2×105 V/cm) operation. Moreover, the results of capacitance-temperature measurements showed that the PE-MOCVD Ba1-xSrxTiO3 films had Curie temperatures of about 30 °C and a peak dielectric constant of 600 at zero bias voltage. The Rutherford backscattering spectrometry and x-ray diffraction results showed that the BST film composition was controlled between Ba0.75Sr0.25TiO3 and Ba0.8Sr0.2TiO3. The structural and electrical properties of the Ba1-xSrxTiO3/YBa2Cu3O7-x heterostructure indicated that conductive oxide materials with close lattice to Ba1-xSrxTiO3 can be good candidates for the bottom electrode.
NASA Astrophysics Data System (ADS)
Osowski, Mark Louis
With the arrival of advanced growth technologies such as molecular beam epitaxy (MBE) and metalorganic chemical vapor deposition (MOCVD), research in III-V compound semiconductor photonic devices has flourished. Advances in fabrication processes have allowed the realization of high-performance quantum well lasers which emit over a wide spectral range and operate with low threshold currents. As a result, semiconductor lasers are presently employed in a wide variety of applications, including fiber-optic telecommunications, optical spectroscopy, solid-state laser pumping, and photonic integrated circuits. The work in this dissertation addresses three photonic device structures which are currently receiving a great deal of attention in the research community: integrable quantum well laser devices, distributed feedback (DFB) laser devices, and quantum wire arrays. For the realization of the integrable and integrated photonic devices described-in Chapter 2, a three-step selective-area growth technique was utilized. The selective epitaxy process was used to produce discrete buried-heterostructure Fabry Perot lasers with threshold currents as low as 2.6 mA. Based on this process, broad- spectrum edge-emitting superluminescent diodes are demonstrated which display spectral widths of over 80 nm. In addition, the monolithic integration of a multiwavelength emitter is demonstrated in which two distinct laser sources are coupled into a single output waveguide. The dissertation also describes the development of a single-growth-step ridge waveguide DFB laser. The DFB laser utilizes an asymmetric cladding waveguide structure to enhance the interaction of the optical mode with the titanium surface metal to promote single frequency emission via gain coupling. These lasers exhibit low threshold currents (11 mA), high side mode suppression ratios (50 dB), and narrow linewidths (45 kHz). In light of the substantial performance advantages of quantum well lasers relative to double heterostructure lasers, extensive efforts have been directed toward producing quantum wire systems. In view of this, the final subject of this dissertation details the fabrication and characterization of quantum wire arrays by selective-area MOCVD. The method employs a silicon dioxide grating mask with sub-micron oxide dimensions to achieve selective deposition of high-quality buried layers in the open areas of the patterned substrate. This allows the fabrication of embedded nanostructures in a single growth step, and the crystallographic nature of the growth allows for control of their lateral size. Using this process, the growth of strained InGaAs wires with a lateral dimension of less than 50 nm are obtained. Subsequent characterization by photoluminescence, scanning electron microscopy and transmission electron microscopy is also presented.
Some Recent Sensor-Related Army Critical Technology Events
2013-02-01
Excalibur (XM982), US Army 2010 Weapon Systems, prepared by OASA (ALT), 92. 15 HAudraH Colloway, “Picatinney’s GPS-guided Excalibur artillery round deemed...liquid phase epitaxy (LPE) and molecular-organo-chemical vapor deposition (MOCVD). There was also an effort in platinum silicide (PtSi) infrared...protective interphasial chemistry not only on transition metal oxide cathodes at high voltage, but also on graphitic graphite at low voltage making
NASA Astrophysics Data System (ADS)
Huang, Yong; Ryou, Jae-Hyun; Dupuis, Russell D.; Zuo, Daniel; Kesler, Benjamin; Chuang, Shun-Lien; Hu, Hefei; Kim, Kyou-Hyun; Ting Lu, Yen; Hsieh, K. C.; Zuo, Jian-Min
2011-07-01
We propose and demonstrate strain-balanced InAs/GaSb type-II superlattices (T2SLs) grown on InAs substrates employing GaAs-like interfacial (IF) layers by metalorganic chemical vapor deposition (MOCVD) for effective strain management, simplified growth scheme, improved materials crystalline quality, and reduced substrate absorption. The in-plane compressive strain from the GaSb layers in the T2SLs on the InAs was completely balanced by the GaAs-like IF layers formed by controlled precursor carry-over and anion exchange effects, avoiding the use of complicated IF layers and precursor switching schemes that were used for the MOCVD growth of T2SLs on GaSb. An infrared (IR) p-i-n photodiode structure with 320-period InAs/GaSb T2SLs on InAs was grown and the fabricated devices show improved performance characteristics with a peak responsivity of ˜1.9 A/W and a detectivity of ˜6.78 × 109 Jones at 8 μm at 78 K. In addition, the InAs buffer layer and substrate show a lower IR absorption coefficient than GaSb substrates in most of the mid- and long-IR spectral range.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jeon, Bup Ju; Hudaya, Chairul; Center for Energy Convergence, Green City Research Institute, Korea Institute of Science and Technology, Hwarangno 14 gil 5, Seoul 136-791
2016-05-15
The authors report the surface morphology, optical, electrical, thermal and humidity impacts, and electromagnetic interference properties of fluorine-doped tin oxide (SnO{sub 2}:F or “FTO”) thin films on a flexible polyethylene terephthalate (PET) substrate fabricated by a pilot-scale electron cyclotron resonance–metal organic chemical vapor deposition (PS ECR-MOCVD). The characteristics of large area FTO thin films were compared with a commercially available transparent conductive electrode made of tin-doped indium oxide (ITO), prepared with an identical film and PET thickness of 125 nm and 188 μm, respectively. The results revealed that the as-prepared FTO thin films exhibited comparable performances with the incumbent ITO films, includingmore » a high optical transmittance of 97% (substrate-subtracted), low electrical resistivity of about 5 × 10{sup −3} Ω cm, improved electrical and optical performances due to the external thermal and humidity impact, and an excellent shielding effectiveness of electromagnetic interference of nearly 2.3 dB. These excellent performances of the FTO thin films were strongly attributed to the design of the PS ECR-MOCVD, which enabled a uniform plasma environment resulting from a proper mixture of electromagnetic profiles and microwave power.« less
1993-01-26
by an optical pyrometer that views the inside of the susceptor through a sapphire light pipe. The gas delivery system is of standard commercial design ...of the operating conditions for MESFET growth. 2.2.2 Modifications to the Apparatus for MIMIC Spire designed and installed a bell jar capable of...withstanding, without water cooling, the 500 to 1 100’C temperatures needed for MOCVD growth. The bell jar features a flow disrupter of proprietary design
NASA Astrophysics Data System (ADS)
Hidouri, Tarek; Saidi, Faouzi; Maaref, Hassen; Rodriguez, Philippe; Auvray, Laurent
2016-10-01
In this work, BxGa1-xAs/GaAs epilayers with three different boron compositions were elaborated by metal organic chemical vapor deposition (MOCVD) on GaAs (001) substrate. Structural study using High resolution X-ray diffraction (HRXRD) spectroscopy and Atomic Force Microscopy (AFM) have been used to estimate the boron fraction. The luminescence keys were carried out as functions of temperature in the range 10-300 K, by the techniques of photoluminescence (PL). The low PL temperature has shown an abnormal emission appeared at low energy side witch attributed to the recombination through the deep levels. In all samples, the PL peak energy and the full width at half maximum (FWHM), present an anomalous behavior as a result of the competition process between localized and delocalized carriers. We propose the Localized-state Ensemble model to explain the unusual photoluminescence behaviors. Electrical carriers generation, thermal escape, recapture, radiative and non-radiative lifetime are taken into account. The temperature-dependent photoluminescence measurements were found to be in reasonable agreement with the model of localized states. We controlled the evolution of such parameters versus composition by varying the V/III ratio to have a quantitative and qualitative understanding of the recombination mechanisms. At high temperature, the model can be approximated to the band-tail-state emission.
NASA Astrophysics Data System (ADS)
Moon, Kiwon; Lee, Eui Su; Lee, Il-Min; Park, Dong Woo; Park, Kyung Hyun
2018-01-01
Time-domain and frequency-domain terahertz (THz) spectroscopy systems often use materials fabricated with exotic and expensive methods that intentionally introduce defects to meet short carrier lifetime requirements. In this study, we demonstrate the development of a nano-photomixer that meets response speed requirements without using defect-incorporated, low-temperature-grown (LTG) semiconductors. Instead, we utilized a thin InGaAs layer grown on a semi-insulating InP substrate by metal-organic chemical vapor deposition (MOCVD) combined with nano-electrodes to manipulate local ultrafast photo-carrier dynamics via a carefully designed field-enhancement and plasmon effect. The developed nano-structured photomixer can detect continuous-wave THz radiation up to a frequency of 2 THz with a peak carrier collection efficiency of 5%, which is approximately 10 times better than the reference efficiency of 0.4%. The better efficiency results from the high carrier mobility of the MOCVD-grown InGaAs thin layer with the coincidence of near-field and plasmon-field distributions in the nano-structure. Our result not only provides a generally applicable methodology for manipulating ultrafast carrier dynamics by means of nano-photonic techniques to break the trade-off relation between the carrier lifetime and mobility in typical LTG semiconductors but also contributes to mass-producible photo-conductive THz detectors to facilitate the widespread application of THz technology.
NASA Astrophysics Data System (ADS)
Alshahrie, Ahmed; Juodkazis, S.; Al-Ghamdi, A. A.; Hafez, M.; Bronstein, L. M.
2017-10-01
Nanocrystalline In1-xCuxP thin films (0 ≤ x ≤ 0.5) have been deposited on quartz substrates by a Metal-Organic Chemical Vapor Deposition (MOCVD) technique. The effect of the copper ion content on the structural crystal lattice, morphology and optical behavior of the InP thin films was assessed using X-ray diffraction, scanning electron microscopy, atomic force microscopy, Raman spectroscopy and spectrophotometry. All films exhibited a crystalline cubic zinc blende structure, inferring the solubility of the Cu atoms in the InP crystal structure. The XRD patterns demonstrated that the inclusion of Cu atoms into the InP films forced the nanoparticles in the films to grow along the (1 1 1) direction. The AFM topography showed that the Cu ions reduce the surface roughness of deposited films. The Raman spectra of the deposited films contain the first and second order anti-stoke ΓTO, ΓLO, ΧLO + ΧTO, 2ΓTO, and ΓLO + ΓTO bands which are characteristic of the InP crystalline structure. The intensities of these bands decreased with increasing the content of the Cu atoms in the InP crystals implying the creation of a stacking fault density in the InP crystal structure. The In1-xCuxP thin films have shown high optical transparency of 90%. An increase of the optical band gap from 1.38 eV to 1.6 eV was assigned to the increase of the amount of Cu ions in the InP films. The In0.5Cu0.5P thin film exhibited remarkable optical conductivity with very low dissipation factor which makes it a promising buffer window for solar energy applications.
NASA Astrophysics Data System (ADS)
Takeuchi, M.; Shimizu, H.; Kajitani, R.; Kawasaki, K.; Kumagai, Y.; Koukitu, A.; Aoyagi, Y.
2007-01-01
The growth of N-polar AlN layers on c-plane sapphire is reported. Low-temperature AlN (LT-AlN) layers were used as seeding buffer layers with pre-nitridation for sapphire. To avoid strong vapor-phase reaction between trimethylaluminum (TMA) and ammonia (NH 3) and to improve the crystalline quality, low-pressure flow-modulated (FM) metal-organic chemical vapor deposition (MOCVD) technique was introduced with careful optimization of the FM sequence. The surface morphologies and the crystalline quality defined by the X-ray diffraction (XRD) (0 0 2) and (1 0 0) rocking curve measurements strongly depended on the LT-AlN thickness and on the TMA coverage per cycle of the FM growth. The sample showing the best XRD data with a good morphology was almost completely etched in aqueous KOH solution owing to N-polarity. From the plan-view transmission electron microscopy (TEM) observation, the dislocation density was counted to be about 3×10 10 cm -2.
High-efficiency photovoltaic cells
Yang, H.T.; Zehr, S.W.
1982-06-21
High efficiency solar converters comprised of a two cell, non-lattice matched, monolithic stacked semiconductor configuration using optimum pairs of cells having bandgaps in the range 1.6 to 1.7 eV and 0.95 to 1.1 eV, and a method of fabrication thereof, are disclosed. The high band gap subcells are fabricated using metal organic chemical vapor deposition (MOCVD), liquid phase epitaxy (LPE) or molecular beam epitaxy (MBE) to produce the required AlGaAs layers of optimized composition, thickness and doping to produce high performance, heteroface homojunction devices. The low bandgap subcells are similarly fabricated from AlGa(As)Sb compositions by LPE, MBE or MOCVD. These subcells are then coupled to form a monolithic structure by an appropriate bonding technique which also forms the required transparent intercell ohmic contact (IOC) between the two subcells. Improved ohmic contacts to the high bandgap semiconductor structure can be formed by vacuum evaporating to suitable metal or semiconductor materials which react during laser annealing to form a low bandgap semiconductor which provides a low contact resistance structure.
NASA Astrophysics Data System (ADS)
Găluşcă, D. G.; Perju, M. C.; Nejneru, C.; Burduhos Nergiş, D. D.; Lăzărescu, I. E.
2018-06-01
The modification of surface properties by duplex treatments, involving the overlapping of two surface treatment techniques, has been established as an intelligent solution to create new applications for the substrate metallic material. There are driveline components operating under very tough wear and corrosion conditions, with high temperature and humidity variations. Such components are usually made of high Cr and Ni stainless steel and for the hardening of surfaces it is recommended a thermo chemical treatment. Since stainless steels, especially austenitic stainless steels, are difficult to nitride, experimental studies focus on increasing the depth of the nitride layer and surface hardness. Achieving the goal involves changing active layer chemical composition by introducing aluminum in the surface layer. In order to find a solution, a new surface treatment technique is produced by combining aluminum thin films by MO-CVD in a fluidized bed using a triisobutylaluminum precursor with a thermo chemical nitriding treatment.
NASA Astrophysics Data System (ADS)
Izyumskaya, N.; Okur, S.; Zhang, F.; Monavarian, M.; Avrutin, V.; Özgür, Ü.; Metzner, S.; Karbaum, C.; Bertram, F.; Christen, J.; Morkoç, H.
2014-03-01
Nonpolar m-plane GaN layers were grown on patterned Si (112) substrates by metal-organic chemical vapor deposition (MOCVD). A two-step growth procedure involving a low-pressure (30 Torr) first step to ensure formation of the m-plane facet and a high-pressure step (200 Torr) for improvement of optical quality was employed. The layers grown in two steps show improvement of the optical quality: the near-bandedge photoluminescence (PL) intensity is about 3 times higher than that for the layers grown at low pressure, and deep emission is considerably weaker. However, emission intensity from m-GaN is still lower than that of polar and semipolar (1 100 ) reference samples grown under the same conditions. To shed light on this problem, spatial distribution of optical emission over the c+ and c- wings of the nonpolar GaN/Si was studied by spatially resolved cathodoluminescence and near-field scanning optical microscopy.
Broad Temperature Pinning Study of 15 mol.% Zr-Added (Gd, Y)-Ba-Cu-O MOCVD Coated Conductors
DOE Office of Scientific and Technical Information (OSTI.GOV)
Xu, AX; Khatri, N; Liu, YH
BaZrO3 (BZO) nanocolumns have long been shown to be very effective for raising the pinning force F-p of REBa2Cu3Ox (REBCO, where RE = rare earth) films at high temperatures and recently at low temperatures too. We have successfully incorporated a high density of BZO nanorods into metal organic chemical vapor deposited (MOCVD) REBCO coated conductors via Zr addition. We found that, compared to the 7.5% Zr-added coated conductor, dense BZO nanorod arrays in the 15% Zr-added conductor are effective over the whole temperature range from 77 K down to 4.2 K. We attribute the substantially enhanced J(c) at 30 Kmore » to the weak uncorrelated pinning as well as the strong correlated pinning. Meanwhile, by tripling the REBCO layer thickness to similar to 2.8 mu m, the engineering critical current density J(e) at 30 K exceeds J(e) of optimized Nb-Ti wires at 4.2 K.« less
The Chemical Vapor Deposition of Thin Metal Oxide Films
NASA Astrophysics Data System (ADS)
Laurie, Angus Buchanan
1990-01-01
Chemical vapor deposition (CVD) is an important method of preparing thin films of materials. Copper (II) oxide is an important p-type semiconductor and a major component of high T_{rm c} superconducting oxides. By using a volatile copper (II) chelate precursor, copper (II) bishexafluoroacetylacetonate, it has been possible to prepare thin films of copper (II) oxide by low temperature normal pressure metalorganic chemical vapor deposition. In the metalorganic CVD (MOCVD) production of oxide thin films, oxygen gas saturated with water vapor has been used mainly to reduce residual carbon and fluorine content. This research has investigated the influence of water-saturated oxygen on the morphology of thin films of CuO produced by low temperature chemical vapor deposition onto quartz, magnesium oxide and cubic zirconia substrates. ZnO is a useful n-type semiconductor material and is commonly prepared by the MOCVD method using organometallic precursors such as dimethyl or diethylzinc. These compounds are difficult to handle under atmospheric conditions. In this research, thin polycrystalline films of zinc oxide were grown on a variety of substrates by normal pressure CVD using a zinc chelate complex with zinc(II) bishexafluoroacetylacetonate dihydrate (Zn(hfa)_2.2H _2O) as the zinc source. Zn(hfa) _2.2H_2O is not moisture - or air-sensitive and is thus more easily handled. By operating under reduced-pressure conditions (20-500 torr) it is possible to substantially reduce deposition times and improve film quality. This research has investigated the reduced-pressure CVD of thin films of CuO and ZnO. Sub-micron films of tin(IV) oxide (SnO _2) have been grown by normal pressure CVD on quartz substrates by using tetraphenyltin (TPT) as the source of tin. All CVD films were characterized by X-ray powder diffraction (XRPD), scanning electron microscopy (SEM) and electron probe microanalysis (EPMA).
Kim, Hyeryun; Ohta, Jitsuo; Ueno, Kohei; Kobayashi, Atsushi; Morita, Mari; Tokumoto, Yuki; Fujioka, Hiroshi
2017-05-18
GaN-based light-emitting diodes (LEDs) have been widely accepted as highly efficient solid-state light sources capable of replacing conventional incandescent and fluorescent lamps. However, their applications are limited to small devices because their fabrication process is expensive as it involves epitaxial growth of GaN by metal-organic chemical vapor deposition (MOCVD) on single crystalline sapphire wafers. If a low-cost epitaxial growth process such as sputtering on a metal foil can be used, it will be possible to fabricate large-area and flexible GaN-based light-emitting displays. Here we report preparation of GaN films on nearly lattice-matched flexible Hf foils using pulsed sputtering deposition (PSD) and demonstrate feasibility of fabricating full-color GaN-based LEDs. It was found that introduction of low-temperature (LT) grown layers suppressed the interfacial reaction between GaN and Hf, allowing the growth of high-quality GaN films on Hf foils. We fabricated blue, green, and red LEDs on Hf foils and confirmed their normal operation. The present results indicate that GaN films on Hf foils have potential applications in fabrication of future large-area flexible GaN-based optoelectronics.
NASA Astrophysics Data System (ADS)
Gaffney, Monique Suzanne
1998-11-01
Metalorganic chemical vapor deposition (MOCVD) is a process used to manufacture electronic and optoelectronic devices that has traditionally lacked real-time growth monitoring and control. Controlling the growth rate and composition using the existing sensors, as well as advanced monitoring systems developed in-house, is shown to improve device quality. Specific MOCVD growth objectives are transformed into controller performance goals. Group III bubbler concentration variations, which perturb both growth rate and composition precision, are identified to be the primary disturbances. First a feed forward control system was investigated, which used an ultrasonic concentration monitor, located upstream in the process. This control strategy resulted in improved regulation of the gallium delivery rate by cancelling the sensed gallium bubbler concentration disturbances via the injection mass flow controller. The controller performance is investigated by growing GaInAs/InP superlattices. Results of growths performed under normal operating conditions and also under large perturbations include X-ray diffraction from the samples as well as real-time sensor signal data. High quality superlattices that display up to eight orders of satellite peaks are obtained under the feed forward compensation scheme, demonstrating improved layer-to-layer reproducibility of thickness and composition. The success of the feed forward control demonstration led to the development of a more complex downstream feedback control system. An ultraviolet absorption monitor was fabricated and retrofitted as a feedback control signal. A control-oriented model of the downstream process was developed for the feedback controller synthesis. Although challenged with both the photolysis and multi-gas detection issues common to UV absorption monitors, closed loop control with the UV sensor was performed and proved to be an effective method of disturbance rejection. An InP/GaInAs test structure was grown under both open and closed loop conditions. During the growth of a bulk GaInAs layer, an indium concentration disturbance was injected by way of the bubbler pressure control valve. The controller goal was to reject this concentration disturbance. The UV absorption real-time data, as well as both X-ray diffraction and photoluminescence post-growth sample measurements were used to evaluate the controller performance. All results indicate that the closed loop control system greatly improved the quality of the perturbed growth.
Investigation of wide band gap semiconductors: Electrical, optical, and structural properties
NASA Astrophysics Data System (ADS)
Gong, Yinyan
Wide band gap semiconductors are important for many device applications, particularly for lasers and light emitting diodes. In this thesis, we studied (1) the enhancement, by thermal annealing, of p-type doping in Mg-doped GaN grown by metal-organic chemical vapor deposition (MOCVD), (2) the formation of type-II ZnTe quantum dots (QDs) in Zn-Se-Te multilayers with submonolayer insertion of ZnTe, as well as the mechanism of the increase of acceptor incorporation in such samples, (3) optical properties of colloidal-synthesized ZnO nanocrystals. For GaN:Mg grown by MOCVD, it is found that atomic hydrogen, generated during growth, acts as a compensating donor and thus increases the solubility of the acceptor dopant; subsequent to the growth, H can be easily removed and leaves Mg in excess of its equilibrium solubility. For Zn-Se-Te multilayers with submonolayer insertions of ZnTe, it is found that type-II ZnTe QDs are formed even with only one deposition cycle of submonolayer ZnTe. However, the density of QDs in this case is lower than for samples with three consecutive deposition cycles of ZnTe. Moreover, for Zn-Te-Se multilayers where N is deposited together with Te, it is found that N (acceptor dopant) is embedded in ZnTe-rich nanoislands, a material readily doped p-type. We note that only minimal amounts of Te are incorporated in the overall epitaxial film; thus the overall energy gap remains close to that of pure ZnSe. Finally, we studied the optical properties of collidal-synthesized nanocrystals of ZnO, a material of great interest because of its large energy gap (˜3.36 eV at room temperature (RT)) together with a high exciton binding energy (˜60 meV at RT). The photoluminescence (PL) of all our samples consists of a dominant near-band-edge UV emission and a weak broad green emission. The origin of the observed green emission is investigated, and attributed to oxygen vacancies near the surface. A simple model for the recombination process, involving free holes and oxygen vacancies, is proposed. Also the size of the ZnO spherical nanoparticles is estimated from the peak position of the green PL. The results are in good agreement with those from TEM measurements.
Semiconductor Laser Diode Arrays by MOCVD (Metalorganic Chemical Vapor Deposition)
1987-09-01
laser diode arrays are intended to be used as an optical pump for solid state yttrium aluminum garnet (YAG) lasers. In particular, linear uniform...corresponds to about . , 8080A. Such thin layer structures, while difficult to grow by such conventional growth methods as liquid phase epitaxy ( LPE ...lower yet than for DH lasers grown by LPE . , - Conventional self-aligned stripe laser This structure is formed by growing (on an n-type GaAs substrate
Nanowire-templated lateral epitaxial growth of non-polar group III nitrides
Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM
2010-03-02
A method for growing high quality, nonpolar Group III nitrides using lateral growth from Group III nitride nanowires. The method of nanowire-templated lateral epitaxial growth (NTLEG) employs crystallographically aligned, substantially vertical Group III nitride nanowire arrays grown by metal-catalyzed metal-organic chemical vapor deposition (MOCVD) as templates for the lateral growth and coalescence of virtually crack-free Group III nitride films. This method requires no patterning or separate nitride growth step.
Design and Characterization of Optically Pumped Vertical Cavity Surface Emitting Lasers
1992-12-01
technology to make VCSELs (e.g. Molecular Beam Epitaxy (MBE) and Metal-Organic Chemical Vapor Deposition (MOCVD)) motivated the research in this area over the...Resistances for Current Injected VCSELs 3-14 4.1. Equipment Configuration used for Output Beam Characterization . . . 4-1 4.2. Optical Pump Beam and Focusing...pursued over the past few years because VCSELs have ad- ditional inherent advantages. The VCSEL design exhibits better exit beam quality, is of smaller
Structural and optical properties of Ga auto-incorporated InAlN epilayers
NASA Astrophysics Data System (ADS)
Taylor, E.; Smith, M. D.; Sadler, T. C.; Lorenz, K.; Li, H. N.; Alves, E.; Parbrook, P. J.; Martin, R. W.
2014-12-01
InAlN epilayers deposited on thick GaN buffer layers grown by metalorganic chemical vapour deposition (MOCVD) revealed an auto-incorporation of Ga when analysed by wavelength dispersive x-ray (WDX) spectroscopy and Rutherford backscattering spectrometry (RBS). Samples were grown under similar conditions with the change in reactor flow rate resulting in varying Ga contents of 12-24%. The increase in flow rate from 8000 to 24 000 sccm suppressed the Ga auto-incorporation which suggests that the likely cause is from residual Ga left behind from previous growth runs. The luminescence properties of the resultant InAlGaN layers were investigated using cathodoluminescence (CL) measurements.
NASA Astrophysics Data System (ADS)
Pan, Lei; Dong, Xun; Li, Zhonghui; Luo, Weike; Ni, Jinyu
2018-07-01
AlGaN/GaN heterostructures were grown on Si (1 1 1) substrates with different AlN nucleation layers (NL) by metal-organic chemical vapor deposition (MOCVD). The results indicate that the growth temperature of AlN NL has a noticeable influence on the structural, electronic and optical properties of the AlGaN/GaN heterostructures. Optimizing the growth temperature to 1040 °C led to quasi-2D smooth surface of the AlN NL with providing sufficient compressive stress to suppress cracking of the subsequent GaN layer during the cooling process, resulting in improved crystalline quality of GaN layer and superior two-dimensional electron gas (2DEG) performance of the AlGaN/GaN heterostructure.
NASA Astrophysics Data System (ADS)
Zhang, Feng; Ikeda, Masao; Zhang, Shuming; Liu, Jianping; Tian, Aiqin; Wen, Pengyan; Cheng, Yang; Yang, Hui
2017-10-01
Thermal etching effect of GaN during growth interruption in the metalorganic chemical vapor deposition reactor was investigated in this paper. The thermal etching rate was determined by growing a series of AlGaN/GaN superlattice structures with fixed GaN growth temperature at 735 °C and various AlGaN growth temperature changing from 900 °C to 1007 °C. It was observed that the GaN layer was etched off during the growth interruption when the growth temperature ramped up to AlGaN growth temperature. The etching thickness was determined by high resolution X-ray diffractometer and the etching rate was deduced accordingly. An activation energy of 2.53 eV was obtained for the thermal etching process.
Optical Probing of Low-Pressure Solution Grown GaN Crystal Properties
2010-04-01
observed in Mg and Si doped epitaxial films deposited by MBE and MOCVD on freestanding GaN HVPE substrates [23–25]. Considering the purity of the precursors...bands with similar energy positions here reported, a dominant deeper acceptor impurity has been assigned to Zn , a well known deep acceptor in GaN . Room...00-00-2010 to 00-00-2010 4. TITLE AND SUBTITLE Optical probing of low-pressure solution grown GaN crystal properties 5a. CONTRACT NUMBER 5b
Ellipsometric study of metal-organic chemically vapor deposited III-V semiconductor structures
NASA Technical Reports Server (NTRS)
Alterovitz, Samuel A.; Sekula-Moise, Patricia A.; Sieg, Robert M.; Drotos, Mark N.; Bogner, Nancy A.
1992-01-01
An ellipsometric study of MOCVD-grown layers of AlGaAs and InGaAs in thick films and strained layer complex structures is presented. It is concluded that the ternary composition of thick nonstrained layers can be accurately determined to within experimental errors using numerical algorithms. In the case of complex structures, thickness of all layers and the alloy composition of nonstrained layers can be determined simultaneously, provided that the correlations between parameters is no higher than 0.9.
2015-09-21
and metal organic chemical vapor deposition (MOCVD) [18]. In the former case, carbon can contaminate the material during air exposure in standard... gallium . In addition, carbon can be found as a contaminant in the source gases or it can be etched off the susceptor that transfers heat to the substrate...split interstitial Figure 1: Split interstitials of carbon (yellow) and nitrogen (blue) surrounded by four gallium atoms (red). energy differences of
Overview on Pendeo-Epitaxy of GaN-Based Heterostructures for Novel Devices Applications
2006-11-01
pendeo-epitaxy uses the metal organic chemical vapor deposition (MOCVD) technique that commonly requires ammonia (NH3) and trimethyl gallium ( TMG ...lateral growth rate and the crystallography of the side walls of the pendeo-epitaxial GaN are the growth temperature, the ammonia to TMG flow rate...pressure of 100 Torr and V:III ratio of 2600. It is known that the ammonia to TMG (V:III) molar flow rate ratio plays a major role for the lateral to
2015-12-01
induced (non)stoichiometry on the structure, dielectric response, and thermal conductivity of SrTiO3 thin films. Chemistry of Materials. 2012;24:331...must be below a few percent. The external sources of loss, such as conductor interface losses and various losses that inevitably arise from device...epitaxy • Excellent control of film stoichiometry and thickness • Large area uniformity and potential for complex structure coating • Optimized
All-MOCVD-grown BH laser on P-InP substrates
NASA Astrophysics Data System (ADS)
Nishimura, Tadashi; Ishimura, E.; Nakajima, Yasuo; Tada, Hitoshi; Kimura, T.; Ohkura, Y.; Goto, Katsuhiko; Omura, Etsuji; Aiga, Masao
1993-07-01
A very low cw threshold current of 2.5 mA ( 25 degree(s)C) and 8.0 mA ( 80 degree(s)C) with high reliability has been realized in the all-MOCVD grown BH lasers on p-InP substrates. A strained MQW active layer of 1.3 micrometers wavelength and the precise carrier confinement buried structure by MOCVD is employed for the BH lasers. The excellent potential of long lifetime of the all-MOCVD grown laser has also been confirmed. After the high temperature and the high current (100 degree(s)C, 200 mA) aging test, no significant degradation is observed which is comparable with the well-established LPE grown lasers. The BH laser is also operating stably over 3700 hrs under the APC condition of 50 degree(s)C, 10 mW. Finally, an extremely uniform 10-element all-MOCVD grown LD array is demonstrated, which has the threshold current uniformity of 2.4 +/- 0.1 mA ( 25 degree(s)C) and 9.2 +/- 0.2 mA ( 80 degree(s)C). The growth mechanism in the MOCVD is also described.
InGaN/GaN tunnel junctions for hole injection in GaN light emitting diodes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Krishnamoorthy, Sriram, E-mail: krishnamoorthy.13@osu.edu, E-mail: rajan@ece.osu.edu; Akyol, Fatih; Rajan, Siddharth, E-mail: krishnamoorthy.13@osu.edu, E-mail: rajan@ece.osu.edu
InGaN/GaN tunnel junction contacts were grown using plasma assisted molecular beam epitaxy (MBE) on top of a metal-organic chemical vapor deposition (MOCVD)-grown InGaN/GaN blue (450 nm) light emitting diode. A voltage drop of 5.3 V at 100 mA, forward resistance of 2 × 10{sup −2} Ω cm{sup 2}, and a higher light output power compared to the reference light emitting diodes (LED) with semi-transparent p-contacts were measured in the tunnel junction LED (TJLED). A forward resistance of 5 × 10{sup −4} Ω cm{sup 2} was measured in a GaN PN junction with the identical tunnel junction contact as the TJLED, grown completely by MBE. Themore » depletion region due to the impurities at the regrowth interface between the MBE tunnel junction and the MOCVD-grown LED was hence found to limit the forward resistance measured in the TJLED.« less
Recombination properties of dislocations in GaN
NASA Astrophysics Data System (ADS)
Yakimov, Eugene B.; Polyakov, Alexander Y.; Lee, In-Hwan; Pearton, Stephen J.
2018-04-01
The recombination activity of threading dislocations in n-GaN with different dislocation densities and different doping levels was studied using electron beam induced current (EBIC). The recombination velocity on a dislocation, also known as the dislocation recombination strength, was calculated. The results suggest that dislocations in n-GaN giving contrast in EBIC are charged and surrounded by a space charge region, as evidenced by the observed dependence of dislocation recombination strength on dopant concentration. For moderate (below ˜108 cm-2) dislocation densities, these defects do not primarily determine the average diffusion length of nonequilibrium charge carriers, although locally, dislocations are efficient recombination sites. In general, it is observed that the effect of the growth method [standard metalorganic chemical vapor deposition (MOCVD), epitaxial lateral overgrowth versions of MOCVD, and hydride vapor phase epitaxy] on the recombination activity of dislocations is not very pronounced, although the average diffusion lengths can widely differ for various samples. The glide of basal plane dislocations at room temperature promoted by low energy electron irradiation does not significantly change the recombination properties of dislocations.
NASA Astrophysics Data System (ADS)
Surender, S.; Pradeep, S.; Ramesh, R.; Baskar, K.
2016-05-01
In this work the effect of different concentration of Magnesium doped GaN (p-GaN) were systematically studied. The p-GaN epilayers were grown on c-plane sapphire substrate by horizontal flow Metal Organic Chemical Vapor Deposition (MOCVD) with various flow rates of 100 sccm to 300 sccm using bis-(cyclopentadienyl) - magnesium (Cp2Mg) precursor. The samples were subjected to structural, optical, morphological and electrical studies using High Resolution X-ray diffraction (HRXRD), room temperature photoluminescence (PL), Atomic Force Microscopy (AFM) and Hall measurement respectively. Results indicated that the Mg doped GaN of 200 sccm Cp2Mg has the root mean square (rms) roughness of about 0.3 nm for a scan area of 5×5 µm2 which has good two dimensional growth. Moreover, Hall measurements results shows that (200 sccm Cp2Mg) Mg-doped GaN possess the highest hole concentration of 5.4×1017cm-3 and resistivity of 1.7 Ωcm at room temperature.
Microstructure study of ZnO thin films on Si substrate grown by MOCVD
NASA Astrophysics Data System (ADS)
Huang, Jingyun; Ye, Zhizhen; Lu, Huanming; Wang, Lei; Zhao, Binghui; Li, Xianhang
2007-08-01
The microstructure of zinc oxide thin films on silicon substrates grown by metalorganic chemical vapour deposition (MOCVD) was characterized. The cross-sectional bright-field transmission electron microscopy (TEM) image showed that small ZnO columnar grains were embedded into large columnar grains, and the selected-area electron diffraction pattern showed that the ZnO/Si thin films were nearly c-axis oriented. The deviation angle along the ZnO (0 0 0 1) direction with respect to the growth direction of Si (1 0 0) was no more than 5°. The [0 0 0 1]-tilt grain boundaries in ZnO/Si thin films were investigated symmetrically by plan-view high resolution TEM. The boundaries can be classified into three types: low-angle boundaries described as an irregular array of edge dislocations, boundaries of near 30° angle with (1\\,0\\,\\bar{1}\\,0) facet structures and large-angle boundaries with symmetric structure which could be explained by a low Σ coincident site lattice structure mode. The research was useful to us for finding optimized growth conditions to improve ZnO/Si thin film quality.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Surender, S.; Pradeep, S.; Ramesh, R.
2016-05-23
In this work the effect of different concentration of Magnesium doped GaN (p-GaN) were systematically studied. The p-GaN epilayers were grown on c-plane sapphire substrate by horizontal flow Metal Organic Chemical Vapor Deposition (MOCVD) with various flow rates of 100 sccm to 300 sccm using bis-(cyclopentadienyl) - magnesium (Cp2Mg) precursor. The samples were subjected to structural, optical, morphological and electrical studies using High Resolution X-ray diffraction (HRXRD), room temperature photoluminescence (PL), Atomic Force Microscopy (AFM) and Hall measurement respectively. Results indicated that the Mg doped GaN of 200 sccm Cp2Mg has the root mean square (rms) roughness of about 0.3more » nm for a scan area of 5×5 µm{sup 2} which has good two dimensional growth. Moreover, Hall measurements results shows that (200 sccm Cp2Mg) Mg-doped GaN possess the highest hole concentration of 5.4×10{sup 17}cm{sup −3} and resistivity of 1.7 Ωcm at room temperature.« less
NASA Astrophysics Data System (ADS)
Kum, Hyun; Seong, Han-Kyu; Lim, Wantae; Chun, Daemyung; Kim, Young-Il; Park, Youngsoo; Yoo, Geonwook
2017-01-01
We present a method of epitaxially growing thermodynamically stable gallium nitride (GaN) nanorods via metal-organic chemical vapor deposition (MOCVD) by invoking a two-step self-limited growth (TSSLG) mechanism. This allows for growth of nanorods with excellent geometrical uniformity with no visible extended defects over a 100 mm sapphire (Al2O3) wafer. An ex-situ study of the growth morphology as a function of growth time for the two self-limiting steps elucidate the growth dynamics, which show that formation of an Ehrlich-Schwoebel barrier and preferential growth in the c-plane direction governs the growth process. This process allows monolithic formation of dimensionally uniform nanowires on templates with varying filling matrix patterns for a variety of novel electronic and optoelectronic applications. A color tunable phosphor-free white light LED with a coaxial architecture is fabricated as a demonstration of the applicability of these nanorods grown by TSSLG.
NASA Astrophysics Data System (ADS)
Yamamoto, Norio; Uwai, Kunihiko; Takahei, Kenichiro
1989-04-01
Deep levels in high-purity InP crystal grown by metalorganic chemical vapor deposition (MOCVD) have been measured by deep level transient spectroscopy. While no electron traps are observed in the samples grown at 600 °C with a [PH3]/[In(C2H5)3] of 170, three electron traps with activation energies of 0.80, 0.44, and 0.24 eV were observed in the samples grown at 500 °C with the same [PH3]/[In(C2H5)3]. The 0.44-eV trap, whose capture cross section is 1.5×10-18 cm2, observed at a low [PH3]/[In(C2H5)3] shows a decrease in concentration as [PH3]/[In(C2H5)3] is increased, and becomes less than 5×1012 cm-3 at a [PH3]/[In(C2H5)3] of more than 170. The comparison of annealing behavior of this trap in MOCVD InP and that in liquid-encapsulated Czochralski InP suggests that the 0.44-eV trap is related to a complex formed from residual impurities and native defects related to a phosphorus deficiency such as phosphorus vacancies or indium interstitials. This trap is found to show configurational bistability similar to that observed for the trap in an Fe-doped InP, MFe center.
NASA Astrophysics Data System (ADS)
Li, Xiao-Hang; Wei, Yong O.; Wang, Shuo; Xie, Hongen; Kao, Tsung-Ting; Satter, Md. Mahbub; Shen, Shyh-Chiang; Douglas Yoder, P.; Detchprohm, Theeradetch; Dupuis, Russell D.; Fischer, Alec M.; Ponce, Fernando A.
2015-03-01
We studied temperature dependence of crystalline quality of AlN layers at 1050-1250 °C with a fine increment step of around 18 °C. The AlN layers were grown on c-plane sapphire substrates by metalorganic chemical vapor deposition (MOCVD) and characterized by X-ray diffraction (XRD) ω-scans and atomic force microscopy (AFM). At 1050-1068 °C, the templates exhibited poor quality with surface pits and higher XRD (002) and (102) full-width at half-maximum (FWHM) because of insufficient Al atom mobility. At 1086 °C, the surface became smooth suggesting sufficient Al atom mobility. Above 1086 °C, the (102) FWHM and thus edge dislocation density increased with temperatures which may be attributed to the shorter growth mode transition from three-dimension (3D) to two-dimension (2D). Above 1212 °C, surface macro-steps were formed due to the longer diffusion length of Al atoms than the expected step terrace width. The edge dislocation density increased rapidly above 1212 °C, indicating this temperature may be a threshold above which the impact of the transition from 3D to 2D is more significant. The (002) FWHM and thus screw dislocation density were insensitive to the temperature change. This study suggests that high-quality AlN/sapphire templates may be potentially achieved at temperatures as low as 1086 °C which is accessible by most of the III-nitride MOCVD systems.
NASA Astrophysics Data System (ADS)
Ievtushenko, A.; Karpyna, V.; Eriksson, J.; Tsiaoussis, I.; Shtepliuk, I.; Lashkarev, G.; Yakimova, R.; Khranovskyy, V.
2018-05-01
ZnO films and nanostructures were deposited on Si substrates by MOCVD using single source solid state zinc acetylacetonate (Zn(AA)) precursor. Doping by silver was realized in-situ via adding 1 and 10 wt. % of Ag acetylacetonate (Ag(AA)) to zinc precursor. Influence of Ag on the microstructure, electrical and optical properties of ZnO at temperature range 220-550 °C was studied by scanning, transmission electron and Kelvin probe force microscopy, photoluminescence and four-point probe electrical measurements. Ag doping affects the ZnO microstructure via changing the nucleation mode into heterogeneous and thus transforming the polycrystalline films into a matrix of highly c-axis textured hexagonally faceted nanorods. Increase of the work function value from 4.45 to 4.75 eV was observed with Ag content increase, which is attributed to Ag behaviour as a donor impurity. It was observed, that near-band edge emission of ZnO NS was enhanced with Ag doping as a result of quenching deep-level emission. Upon high doping of ZnO by Ag it tends to promote the formation of basal plane stacking faults defect, as it was observed by HR TEM and PL study in the case of 10 wt.% of Ag. Based on the results obtained, it is suggested that NS deposition at lower temperatures (220-300 °C) is more favorable for p-type doping of ZnO.
Modelling of MOCVD Reactor: New 3D Approach
NASA Astrophysics Data System (ADS)
Raj, E.; Lisik, Z.; Niedzielski, P.; Ruta, L.; Turczynski, M.; Wang, X.; Waag, A.
2014-04-01
The paper presents comparison of two different 3D models of vertical, rotating disc MOCVD reactor used for 3D GaN structure growth. The first one is based on the reactor symmetry, while the second, novel one incorporates only single line of showerhead nozzles. It is shown that both of them can be applied interchangeably regarding the phenomena taking place within the processing area. Moreover, the importance of boundary conditions regarding proper modelling of showerhead cooling and the significance of thermal radiation on temperature field within the modelled structure are presented and analysed. The last phenomenon is erroneously neglected in most of the hitherto studies.
NASA Astrophysics Data System (ADS)
Konakov, S. A.; Krzhizhanovskaya, V. V.
2016-08-01
We present a novel three-jet microreactor design for localized deposition of gallium arsenide (GaAs) by low-pressure Metal-Organic Chemical Vapour Deposition (MOCVD) for semiconductor devices, microelectronics and solar cells. Our approach is advantageous compared to the standard lithography and etching technology, since it preserves the nanostructure of the deposited material, it is less time-consuming and less expensive. We designed two versions of reactor geometry with a 10-micron central microchannel for precursor supply and with two side jets of a dilutant to control the deposition area. To aid future experiments, we performed computational modeling of a simplified-geometry (twodimensional axisymmetric) microreactor, based on Navier-Stokes equations for a laminar flow of chemically reacting gas mixture of Ga(CH3)3-AsH3-H2. Simulation results show that we can achieve a high-rate deposition (over 0.3 μm/min) on a small area (less than 30 μm diameter). This technology can be used in material production for microelectronics, optoelectronics, photovoltaics, solar cells, etc.
NASA Astrophysics Data System (ADS)
Lee, SeungGeun; Forman, Charles A.; Lee, Changmin; Kearns, Jared; Young, Erin C.; Leonard, John T.; Cohen, Daniel A.; Speck, James S.; Nakamura, Shuji; DenBaars, Steven P.
2018-06-01
We report the first demonstration of III–nitride vertical-cavity surface-emitting lasers (VCSELs) with tunnel junction (TJ) intracavity contacts grown completely by metal–organic chemical vapor deposition (MOCVD). For the TJs, n++-GaN was grown on in-situ activated p++-GaN after buffered HF surface treatment. The electrical properties and epitaxial morphologies of the TJs were first investigated on TJ LED test samples. A VCSEL with a TJ intracavity contact showed a lasing wavelength of 408 nm, a threshold current of ∼15 mA (10 kA/cm2), a threshold voltage of 7.8 V, a maximum output power of 319 µW, and a differential efficiency of 0.28%.
NASA Astrophysics Data System (ADS)
Watkins, S. P.; Haacke, G.
1991-10-01
Undoped p-type GaAs epilayers were grown by low-pressure metalorganic chemical vapor deposition (MOCVD) at 650 °C and 76 Torr using either arsine or tertiarybutylarsine (TBA), and trimethylgallium (TMG). Extremely high-purity precursors were used in order to eliminate extrinsic doping effects. Carbon acceptors from the TMG were the dominant residual electrical impurities under all growth conditions. Temperature-dependent Hall measurements were used to make a quantitative comparison of the carbon acceptor concentrations for arsine- and TBA-grown epilayers over a range of As partial pressures. For a given group V partial pressure, we report a significant reduction in carbon acceptor incorporation using TBA compared with arsine under identical growth conditions.
NASA Astrophysics Data System (ADS)
Duc, Tran Thien; Pozina, Galia; Amano, Hiroshi; Monemar, Bo; Janzén, Erik; Hemmingsson, Carl
2016-07-01
Deep levels in Mg-doped GaN grown by metal organic chemical vapor deposition (MOCVD), undoped GaN grown by MOCVD, and halide vapor phase epitaxy (HVPE)-grown GaN have been studied using deep level transient spectroscopy and minority charge carrier transient spectroscopy on Schottky diodes. One hole trap, labeled HT1, was detected in the Mg-doped sample. It is observed that the hole emission rate of the trap is enhanced by increasing electric field. By fitting four different theoretical models for field-assisted carrier emission processes, the three-dimensional Coulombic Poole-Frenkel (PF) effect, three-dimensional square well PF effect, phonon-assisted tunneling, and one-dimensional Coulombic PF effect including phonon-assisted tunneling, it is found that the one-dimensional Coulombic PF model, including phonon-assisted tunneling, is consistent with the experimental data. Since the trap exhibits the PF effect, we suggest it is acceptorlike. From the theoretical model, the zero field ionization energy of the trap and an estimate of the hole capture cross section have been determined. Depending on whether the charge state is -1 or -2 after hole emission, the zero field activation energy Ei 0 is 0.57 eV or 0.60 eV, respectively, and the hole capture cross section σp is 1.3 ×10-15c m2 or 1.6 ×10-16c m2 , respectively. Since the level was not observed in undoped GaN, it is suggested that the trap is associated with an Mg related defect.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Warren, Emily L., E-mail: emily.warren@nrel.gov; Kibbler, Alan E.; France, Ryan M.
2015-08-24
Antiphase-domain (APD) free GaP films were grown on Si(100) substrates prepared by annealing under dilute AsH{sub 3} in situ in an MOCVD reactor. LEED and AES surface analysis of Si(100) surfaces prepared by this treatment show that AsH{sub 3} etching quickly removes O and C contaminants at a relatively low temperature (690–740 °C), and creates a single-domain “A-type” As/Si surface reconstruction. The resulting GaP epilayers grown at the same temperature are APD-free, and could thereby serve as templates for direct growth of III-V semiconductors on Si. This single chamber process has a low thermal budget, and can enable heteroepitaxial integration ofmore » III-Vs and Si at an industrial scale.« less
Ge nanopillar solar cells epitaxially grown by metalorganic chemical vapor deposition
Kim, Youngjo; Lam, Nguyen Dinh; Kim, Kangho; Park, Won-Kyu; Lee, Jaejin
2017-01-01
Radial junction solar cells with vertically aligned wire arrays have been widely studied to improve the power conversion efficiency. In this work, we report the first Ge nanopillar solar cell. Nanopillar arrays are selectively patterned on p-type Ge (100) substrates using nanosphere lithography and deep reactive ion etching processes. Nanoscale radial and planar junctions are realized by an n-type Ge emitter layer which is epitaxially grown by MOCVD using isobutylgermane. In situ epitaxial surface passivation is employed using an InGaP layer to avoid high surface recombination rates and Fermi level pinning. High quality n-ohmic contact is realized by protecting the top contact area during the nanopillar patterning. The short circuit current density and the power conversion efficiency of the Ge nanopillar solar cell are demonstrated to be improved up to 18 and 30%, respectively, compared to those of the Ge solar cell with a planar surface. PMID:28209964
Organic/Inorganic Hybrid p-n Junction with PEDOT Nanoparticles for Light-Emitting Diode
NASA Astrophysics Data System (ADS)
Kim, M. S.; Jin, S. M.; Cho, M. Y.; Choi, H. Y.; Kim, G. S.; Jeon, S. M.; Yim, K. G.; Kim, H. G.; Shim, K. B.; Kang, B. K.; Kim, Y.; Lee, D. Y.; Kim, J. S.; Kim, J. S.; Leem, J. Y.
2011-12-01
A heavily Si-doped GaN/polymer hybrid structure with p-type poly(3,4-ethylene-dioxythiophene):beta-1,3-glucan (PEDOT nanoparticle) interface layer has been fabricated. The Si-doped GaN thin film with carrier concentration of 1×1019 cm-3 was grown by metal-organic chemical vapor deposition (MOCVD). The PEDOT nanoparticle with various sizes ranging from 60 to 120 nm was synthesized via a miniemulsion polymerization process. The electrical conductivity of the PEDOT nanoparticle is less than 1.2 S/cm. The current-voltage (I-V) characteristic of the hybrid structure shows diode-like behavior. The I-V characteristic was examined in the framework of the thermionic emission model. The ideality factor and barrier height of the hybrid structure were obtained as 5.6 and 0.41 eV, respectively. The value of ideality factor is decreased by inserting the PEDOT nanoparticle interface layer.
Activation and evaluation of GaN photocathodes
NASA Astrophysics Data System (ADS)
Qian, Yunsheng; Chang, Benkang; Qiao, Jiangliang; Zhang, Yijun; Fu, Rongguo; Qiu, Yafeng
2009-09-01
Gallium Nitride (GaN) photocathodes are potentially attractive as UV detective materials and electron sources. Based on the activation and evaluation system for GaAs photocathode, which consists of ultra-high vacuum (UHV) activation chamber, multi-information measurement system, X-ray photoelectron spectroscopy (XPS), and ultraviolet ray photoelectron spectroscopy (UPS), the control and measurement system for the activation of UV photocathodes was developed. The developed system, which consists of Xenon lamp, monochromator with scanner, signal-processing module, power control unit of Cs and O source, A/D adapter, digital I/O card, computer and software, can control the activation of GaN photocathodes and measure on-line the spectral response curves of GaN photocathodes. GaN materials on sapphire substrate were grown by Metal-Organic Chemical Vapor Deposition (MOCVD) with p-type Mg doping. The GaN materials were activated by Cs-O. The spectral response and quantum efficiency (QE) were measured and calculated. The experiment results are discussed.
Study of recombination characteristics in MOCVD grown GaN epi-layers on Si
NASA Astrophysics Data System (ADS)
Gaubas, E.; Ceponis, T.; Dobrovolskas, D.; Malinauskas, T.; Meskauskaite, D.; Miasojedovas, S.; Mickevicius, J.; Pavlov, J.; Rumbauskas, V.; Simoen, E.; Zhao, M.
2017-12-01
The radiative and non-radiative recombination carrier decay lifetimes in GaN epi-layers grown by metal-organic chemical vapour deposition technology on Si substrates were measured by contactless techniques of time-resolved photoluminescence and microwave-probed transients of photoconductivity. The lifetime variations were obtained to be dependent on growth regimes. These variations have been related to varied densities of edge dislocations associated with growth temperature. It has been also revealed that the lateral carrier lifetime and photoluminescence intensity distribution is determined by the formation of dislocation clusters dependent on the growth conditions. For low excitation level, the asymptotic component within the excess carrier decay transients is attributed to carrier trapping and anomalous diffusion through random-walk processes within dislocation cluster regions and barriers at dislocation cores. The two-componential decay process at high excitation conditions, where excess carriers may suppress barriers, proceeds through a nonlinear recombination, where band-to-band transitions determine the nonlinearity of the process, while the asymptotic component is ascribed to the impact of D-A pair PL within the long-wavelength wing of the UV-PL band.
Formation of ohmic contacts to MOCVD grown p-GaN by controlled activation of Mg
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kaminska, E.; Piotrowska, A.; Barcz, A.
2000-11-27
We report on the formation of low resistivity ohmic contacts to p-GaN, r{sub c} < 10{sup {minus}4}{Omega}cm{sup 2}, by increasing the concentration of the active Mg in the subcontact zone, via Zr-mediated release of hydrogen. We have investigated the process of evolution of hydrogen from MOCVD grown p-GaN via Zr-based metallization, and determined the optimum processing conditions (temperature and gas ambient) for fabrication of low resistance ohmic contacts. When the process is conducted in N{sub 2} flow, the metallization remains stable at temperatures required to achieve the ohmic behavior, and the morphology of the metal/semiconductor interface is unaltered by suchmore » a heat treatment. The processing in O{sub 2}, on the contrary, causes the interdiffusion of metallization constituents and the incorporation of oxygen into the semiconductor subcontact region, which could be responsible for increased resistivity of these contacts.« less
Wavelength control of vertical cavity surface-emitting lasers by using nonplanar MOCVD
NASA Astrophysics Data System (ADS)
Koyama, F.; Mukaihara, T.; Hayashi, Y.; Ohnoki, N.; Hatori, N.; Iga, K.
1995-01-01
We present a novel approach of on-wafer wavelength control for vertical cavity surface-emitting lasers (VCSEL's) using nonplanar metalorganic chemical vapor deposition. The resonant wavelength of 980 nm VCSEL's grown on a patterned substrate can be controlled in the wavelength range over 45 nm by changing the size of circular patterns. A multi-wavelength VCSEL linear array was fabricated by using this technique. The proposed method will be useful for multi-wavelength VCSEL arrays as well as for the cancellation of wavelength nonuniformity over a wafer.
Majkic, Goran; Pratap, Rudra; Xu, Aixia; Galstyan, Eduard; Selvamanickam, Venkat
2018-05-03
An Advanced MOCVD (A-MOCVD) reactor was used to deposit 4.8 µm thick (Gd,Y)BaCuO tapes with 15 mol% Zr addition in a single pass. A record-high critical current density (J c ) of 15.11 MA/cm 2 has been measured over a bridge at 30 K, 3T, corresponding to an equivalent (I c ) value of 8705 A/12 mm width. This corresponds to a lift factor in critical current of ~11 which is the highest ever reported to the best of author's knowledge. The measured critical current densities at 3T (B||c) and 30, 40 and 50 K, respectively, are 15.11, 9.70 and 6.26 MA/cm 2 , corresponding to equivalent Ic values of 8705, 5586 and 3606 A/12 mm and engineering current densities (J e ) of 7068, 4535 and 2928 A/mm 2 . The engineering current density (J e ) at 40 K, 3T is 7 times higher than that of the commercial HTS tapes available with 7.5 mol% Zr addition. Such record-high performance in thick films (>1 µm) is a clear demonstration that growing thick REBCO films with high critical current density (J c ) is possible, contrary to the usual findings of strong J c degradation with film thickness. This achievement was possible due to a combination of strong temperature control and uniform laminar flow achieved in the A-MOCVD system, coupled with optimization of BaZrO 3 nanorod growth parameters.
NASA Astrophysics Data System (ADS)
Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong
2017-05-01
In situ-formed SiO2 was introduced into HfO2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO2/SiO2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10-3 A/cm2 at gate bias of Vfb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO2/SiO2/Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.
Cao, Yan-Qiang; Wu, Bing; Wu, Di; Li, Ai-Dong
2017-12-01
In situ-formed SiO 2 was introduced into HfO 2 gate dielectrics on Ge substrate as interlayer by plasma-enhanced atomic layer deposition (PEALD). The interfacial, electrical, and band alignment characteristics of the HfO 2 /SiO 2 high-k gate dielectric stacks on Ge have been well investigated. It has been demonstrated that Si-O-Ge interlayer is formed on Ge surface during the in situ PEALD SiO 2 deposition process. This interlayer shows fantastic thermal stability during annealing without obvious Hf-silicates formation. In addition, it can also suppress the GeO 2 degradation. The electrical measurements show that capacitance equivalent thickness of 1.53 nm and a leakage current density of 2.1 × 10 -3 A/cm 2 at gate bias of V fb + 1 V was obtained for the annealed sample. The conduction (valence) band offsets at the HfO 2 /SiO 2 /Ge interface with and without PDA are found to be 2.24 (2.69) and 2.48 (2.45) eV, respectively. These results indicate that in situ PEALD SiO 2 may be a promising interfacial control layer for the realization of high-quality Ge-based transistor devices. Moreover, it can be demonstrated that PEALD is a much more powerful technology for ultrathin interfacial control layer deposition than MOCVD.
Rigosi, Albert F; Hill, Heather M; Glavin, Nicholas R; Pookpanratana, Sujitra J; Yang, Yanfei; Boosalis, Alexander G; Hu, Jiuning; Rice, Anthony; Allerman, Andrew A; Nguyen, Nhan V; Hacker, Christina A; Elmquist, Randolph E; Hight Walker, Angela R; Newell, David B
2018-01-01
Monolayer epitaxial graphene (EG), grown on the Si face of SiC, is an advantageous material for a variety of electronic and optical applications. EG forms as a single crystal over millimeter-scale areas and consequently, the large scale single crystal can be utilized as a template for growth of other materials. In this work, we present the use of EG as a template to form millimeter-scale amorphous and hexagonal boron nitride ( a -BN and h -BN) films. The a -BN is formed with pulsed laser deposition and the h -BN is grown with triethylboron (TEB) and NH 3 precursors, making it the first metal organic chemical vapor deposition (MOCVD) process of this growth type performed on epitaxial graphene. A variety of optical and non-optical characterization methods are used to determine the optical absorption and dielectric functions of the EG, a -BN, and h -BN within the energy range of 1 eV to 8.5 eV. Furthermore, we report the first ellipsometric observation of high-energy resonant excitons in EG from the 4H polytype of SiC and an analysis on the interactions within the EG and h -BN heterostructure.
Berkovich Nanoindentation on AlN Thin Films.
Jian, Sheng-Rui; Chen, Guo-Ju; Lin, Ting-Chun
2010-03-31
Berkovich nanoindentation-induced mechanical deformation mechanisms of AlN thin films have been investigated by using atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (XTEM) techniques. AlN thin films are deposited on the metal-organic chemical-vapor deposition (MOCVD) derived Si-doped (2 × 1017 cm-3) GaN template by using the helicon sputtering system. The XTEM samples were prepared by means of focused ion beam (FIB) milling to accurately position the cross-section of the nanoindented area. The hardness and Young's modulus of AlN thin films were measured by a Berkovich nanoindenter operated with the continuous contact stiffness measurements (CSM) option. The obtained values of the hardness and Young's modulus are 22 and 332 GPa, respectively. The XTEM images taken in the vicinity regions just underneath the indenter tip revealed that the multiple "pop-ins" observed in the load-displacement curve during loading are due primarily to the activities of dislocation nucleation and propagation. The absence of discontinuities in the unloading segments of load-displacement curve suggests that no pressure-induced phase transition was involved. Results obtained in this study may also have technological implications for estimating possible mechanical damages induced by the fabrication processes of making the AlN-based devices.
Defect characterization of MOCVD grown AlN/AlGaN films on sapphire substrates by TEM and TKD
NASA Astrophysics Data System (ADS)
O'Connell, J. H.; Lee, M. E.; Westraadt, J.; Engelbrecht, J. A. A.
2018-04-01
High resolution transmission electron microscopy (TEM) has been used to characterize defects structures in AlN/AlGaN epilayers grown by metal-organic chemical vapour deposition (MOCVD) on c-plane sapphire (Al2O3) substrates. The AlN buffer layer was shown to be epitaxially grown on the sapphire substrate with the two lattices rotated relatively through 30°. The AlN layer had a measured thickness of 20-30 nm and was also shown to contain nano-sized voids. The misfit dislocations in the buffer layer have been shown to be pure edge with a spacing of 1.5 nm. TEM characterization of the AlGaN epilayers was shown to contain a higher than expected threading dislocation density of the order 1010 cm-2 as well as the existence of "nanopipes". TEM analysis of the planar lamella for AlGaN has presented evidence for the possibility of columnar growth. The strain and misorientation mapping in the AlGaN epilayer by transmission Kikuchi diffraction (TKD) using the FIB lamella has also been demonstrated to be complimentary to data obtained by TEM imaging.
Free and bound excitons in thin wurtzite GaN layers on sapphire
NASA Astrophysics Data System (ADS)
Merz, C.; Kunzer, M.; Kaufmann, U.; Akasaki, I.; Amano, H.
1996-05-01
Free and bound excitons have been studied by photoluminescence in thin (0268-1242/11/5/010/img8) wurtzite-undoped GaN, n-type GaN:Si as well as p-type GaN:Mg and GaN:Zn layers grown by metal-organic chemical vapour phase deposition (MOCVD). An accurate value for the free A exciton binding energy and an estimate for the isotropically averaged hole mass of the uppermost 0268-1242/11/5/010/img9 valence band are deduced from the data on undoped samples. The acceptor-doped samples reveal recombination lines which are attributed to excitons bound to 0268-1242/11/5/010/img10 and 0268-1242/11/5/010/img11 respectively. These lines are spectrally clearly separated and the exciton localization energies are in line with Haynes' rule. Whenever a comparison is possible, it is found that the exciton lines in these thin MOCVD layers are ultraviolet-shifted by 20 to 25 meV as compared to quasi-bulk (0268-1242/11/5/010/img12) samples. This effect is interpreted in terms of the compressive hydrostatic stress component which thin GaN layers experience when grown on sapphire with an AlN buffer layer.
NASA Astrophysics Data System (ADS)
Raring, James W.
The proliferation of the internet has fueled the explosive growth of telecommunications over the past three decades. As a result, the demand for communication systems providing increased bandwidth and flexibility at lower cost continues to rise. Lightwave communication systems meet these demands. The integration of multiple optoelectronic components onto a single chip could revolutionize the photonics industry. Photonic integrated circuits (PIC) provide the potential for cost reduction, decreased loss, decreased power consumption, and drastic space savings over conventional fiber optic communication systems comprised of discrete components. For optimal performance, each component within the PIC may require a unique epitaxial layer structure, band-gap energy, and/or waveguide architecture. Conventional integration methods facilitating such flexibility are increasingly complex and often result in decreased device yield, driving fabrication costs upward. It is this trade-off between performance and device yield that has hindered the scaling of photonic circuits. This dissertation presents high-functionality PICs operating at 10 and 40 Gb/s fabricated using novel integration technologies based on a robust quantum-well-intermixing (QWI) method and metal organic chemical vapor deposition (MOCVD) regrowth. We optimize the QWI process for the integration of high-performance quantum well electroabsorption modulators (QW-EAM) with sampled-grating (SG) DBR lasers to demonstrate the first widely-tunable negative chirp 10 and 40 Gb/s EAM based transmitters. Alone, QWI does not afford the integration of high-performance semiconductor optical amplifiers (SOA) and photodetectors with the transmitters. To overcome this limitation, we have developed a novel high-flexibility integration scheme combining MOCVD regrowth with QWI to merge low optical confinement factor SOAs and 40 Gb/s uni-traveling carrier (UTC) photodiodes on the same chip as the QW-EAM based transmitters. These high-saturation power receiver structures represent the state-of-the-art technologies for even discrete components. Using the novel integration technology, we present the first widely-tunable single-chip device capable of transmit and receive functionality at 40 Gb/s. This device monolithically integrates tunable lasers, EAMs, SOAs, and photodetectors with performance that rivals optimized discrete components. The high-flexibility integration scheme requires only simple blanket regrowth steps and thus breaks the performance versus yield trade-off plaguing conventional fabrication techniques employed for high-functionality PICs.
NASA Astrophysics Data System (ADS)
Chudzik, Michael Patrick
The weak-link behavior of grain boundaries in polycrystalline high-T c superconductors adversely affects the current density in these materials. The development of wire technology based on polycrystalline high-Tc materials requires understanding and controlling the development of low-angle grain boundaries in these conductors. The research goal is to comprehensively examine the methodology in fabrication and characterization to understand the structure-transport correlation in YBa2Cu3O 7-x (YBCO) surface-coated conductors. High current density YBCO coated conductors were fabricated and characterized as candidates for second generation high-Tc wire technology. Critical current densities (Jc) greater than 1 x 106 A/cm2 at 77 K and zero magnetic field were obtained using thin films epitaxially grown by metalorganic chemical vapor deposition (MOCVD) and pulsed laser deposition (PLD) on oriented buffer layers. The biaxially textured oxide buffer layers were deposited by ion-beam-assisted deposition (IBAD). The transport properties of coated conductors were evaluated in high magnetic fields for intrinsic and extrinsic flux vortex pinning effects for improved high-field properties. Transport Jc's of these coated conductors at 7 tesla (77 K) were measured at values greater than 105 A/cm 2 with the magnetic field perpendicular to the YBCO c-axis (B⊥ c) in both MOCVD and PLD derived conductors. The Jc's in B || c orientation fell an order of magnitude lower at 7 tesla to values near 10 4 A/cm2 due to decreased intrinsic flux pinning. The critical current densities as a function of grain boundary misorientation were found to deviate from the general trend determined for single grain boundary junctions, due to the mosaic structure, which allows meandering current flow. Extensive parametric investigations of relevant thin film growth techniques were utilized to establish growth-property relationships that led to optimized fabrication of high-Tc conductors. The work contained in this dissertation successfully addresses the challenge in engineering low-angle grain boundary polycrystalline conductors for high-current high-field applications and develops a structure-property correlation, which is essential for advancing this technology.
Investigation of ZnSe-coated silicon substrates for GaAs solar cells
NASA Technical Reports Server (NTRS)
Huber, Daniel A.; Olsen, Larry C.; Dunham, Glen; Addis, F. William
1993-01-01
Studies are being carried out to determine the feasibility of using ZnSe as a buffer layer for GaAs solar cells grown on silicon. This study was motivated by reports in the literature indicating ZnSe films had been grown by metallorganic chemical vapor deposition (MOCVD) onto silicon with EPD values of 2 x 10(exp 5) cm(sup -2), even though the lattice mismatch between silicon and ZnSe is 4.16 percent. These results combined with the fact that ZnSe and GaAs are lattice matched to within 0.24 percent suggest that the prospects for growing high efficiency GaAs solar cells onto ZnSe-coated silicon are very good. Work to date has emphasized development of procedures for MOCVD growth of (100) ZnSe onto (100) silicon wafers, and subsequent growth of GaAs films on ZnSe/Si substrates. In order to grow high quality single crystal GaAs with a (100) orientation, which is desirable for solar cells, one must grow single crystal (100) ZnSe onto silicon substrates. A process for growth of (100) ZnSe was developed involving a two-step growth procedure at 450 C. Single crystal, (100) GaAs films were grown onto the (100) ZnSe/Si substrates at 610 C that are adherent and specular. Minority carrier diffusion lengths for the GaAs films grown on ZnSe/Si substrates were determined from photoresponse properties of Al/GaAs Schottky barriers. Diffusion lengths for n-type GaAs films are currently on the order of 0.3 microns compared to 2.0 microns for films grown simultaneously by homoepitaxy.
Final Report: Vapor Transport Deposition for Thin Film III-V Photovoltaics
DOE Office of Scientific and Technical Information (OSTI.GOV)
Boettcher, Shannon; Greenaway, Ann; Boucher, Jason
2016-02-10
Silicon, the dominant photovoltaic (PV) technology, is reaching its fundamental performance limits as a single absorber/junction technology. Higher efficiency devices are needed to reduce cost further because the balance of systems account for about two-thirds of the overall cost of the solar electricity. III-V semiconductors such as GaAs are used to make the highest-efficiency photovoltaic devices, but the costs of manufacture are much too high for non-concentrated terrestrial applications. The cost of III-V’s is driven by two factors: (1) metal-organic chemical vapor deposition (MOCVD), the dominant growth technology, employs expensive, toxic and pyrophoric gas-phase precursors, and (2) the growth substratesmore » conventionally required for high-performance devices are monocrystalline III-V wafers. The primary goal of this project was to show that close-spaced vapor transport (CSVT), using water vapor as a transport agent, is a scalable deposition technology for growing low-cost epitaxial III-V photovoltaic devices. The secondary goal was to integrate those devices on Si substrates for high-efficiency tandem applications using interface nanopatterning to address the lattice mismatch. In the first task, we developed a CSVT process that used only safe solid-source powder precursors to grow epitaxial GaAs with controlled n and p doping and mobilities/lifetimes similar to that obtainable via MOCVD. Using photoelectrochemical characterization, we showed that the best material had near unity internal quantum efficiency for carrier collection and minority carrier diffusions lengths in of ~ 8 μm, suitable for PV devices with >25% efficiency. In the second task we developed the first pn junction photovoltaics using CSVT and showed unpassivated structures with open circuit photovoltages > 915 mV and internal quantum efficiencies >0.9. We also characterized morphological and electrical defects and identified routes to reduce those defects. In task three we grew epitaxial ternary GaAsxP 1-x and In 0.5Ga 0.5P alloys, with composition set by the ratio of GaAs/GaP or InP/GaP mixed as the source powder. GaAs 0.3P 0.7 has the appropriate bandgap to serve as a top cell on Si and In 0.5Ga 0.5P is near the composition used as a surface passivation layer on GaAs pn junction photovoltaics. In the final task we demonstrated III-V selective area epitaxy using CSVT as a first step toward the growth of III-V micro- or nanostructures for an integrated tandem solar cell on Si. We also found that direct epitaxial growth on Si appears to be impossible in the current H 2O-CSVT reactor design, likely due to the formation of SiO x. This work sets the stage for targeted development of an improved CSVT process and for the scale up of the proof-of-concept work from a research to manufacturing-relevant platform. Replacing H 2O as a transport agent with HCl would provide the ability to deposit directly on Si by avoiding oxide formation and to allow for the deposition of Al-containing alloys that would otherwise oxidize. Improved engineering design and implementation of an in-line multi-station CSVT would allow for direct deposition of device structures in a single system.« less
NASA Astrophysics Data System (ADS)
Wu, D. H.; Zhang, Y. Y.; Razeghi, M.
2018-03-01
We demonstrate room temperature operation of In0.5Ga0.5Sb/InAs type-II quantum well photodetectors on an InAs substrate grown by metal-organic chemical vapor deposition. At 300 K, the detector exhibits a dark current density of 0.12 A/cm2 and a peak responsivity of 0.72 A/W corresponding to a quantum efficiency of 23.3%, with the calculated specific detectivity of 2.4 × 109 cm Hz1/2/W at 3.81 μm.
NASA Astrophysics Data System (ADS)
Loser, Stephen C.
(Al,Ga,In)N semiconductor materials are widely used in high-frequency, high-power electronics due to their wide bandgaps. Both metal- and N-polar AlGaN/GaN high-electron-mobility transistors (HEMTs) demonstrated excellent performances as high-frequency signal amplifiers. While the majority of today's III-N transistors are based on metal-polar heterostructures, N-polar materials have gained attention following the breakthrough in the deposition of high quality films. Compared to their metal-polar counterparts, N-polar HEMT structures improve the scalability of devices, increase the electron confinement and reduce contact resistance, exhibiting great potentials in high-frequency device fabrications. In order to suppress alloy scattering in the HEMT structures, a thin AlN interlayer is usually introduced between the AlGaN barrier and the GaN channel. However, a significant amount of unintentional Ga incorporation was observed in AlN films grown by metal-organic chemical vapor deposition (MOCVD), one of the major techniques to produce the HEMT epi structures. In the first part of my thesis, the impact of impure AlN interlayers on HEMTs was examined, explaining the significant improvement in electron mobility despite of the high Ga concentration of ˜ 50%. Moreover, both metal-polar and N-polar AlN films grown by MOCVD under various conditions were investigated, the results of which indicated that the major source of unintentional Ga was the former Ga deposition on the susceptor in the same run. It was also observed that N-polar AlN films contained less Ga compared to metal-polar ones when they were grown under same conditions. Methods to suppress the Ga were also discussed. In addition, the morphological and electrical properties of the GaN/AlN/GaN heterostructures with AlN films grown under different conditions were analyzed by atomic force microscopy (AFM) and room temperature Van der Pauw hall measurement. Following the study of AlN interlayers in the HEMT structures, the development of N-polar HEMT epitaxial structures with highly-scaled channel thicknesses was discussed in detail. Small channel thickness is critical to prevent short channel effects when scaling down the lateral size of N-polar HEMT devices. By modifying the Si doping level in the back-barrier and the Al composition of the AlGaN cap, the channel thickness of the conventional N-polar HEMT structure with pure GaN channel was successfully scaled down to 8 nm. To further reduce the channel thickness, a thin InGaN layer was introduced between the channel and the AlGaN cap, leading to a decrease of the electric field in the channel and an increase of the distance between the centroid of the 2DEG and the AlN/GaN interface, which suppressed the scattering at the interface and significantly improved the electron mobility. The sheet charge density also increased due to the net positive polarization charge at the GaN/InGaN interface. The design was demonstrated by MOCVD. An increase of 73% in electron mobility from 606 to 1141 cm2/(V˙s) was observed when the 6 nm thick pure GaN channel was replaced by a 4 nm GaN / 2 nm In0.1Ga0.9N composite channel. The smallest applicable channel thickness was decreased to 4 nm with the composite channel design.
Processing and optimization of functional ceramic coatings and inorganic nanomaterials
NASA Astrophysics Data System (ADS)
Nyutu, Edward Kennedy G.
Processing of functional inorganic materials including zero (0-D) dimensional (e.g. nanoparticles), 1-D (nanorods, nanofibers), and 2-D (films/coating) structures is of fundamental and technological interest. This research will have two major sections. The first part of section one focuses on the deposition of silicon dioxide onto a pre-deposited molybdenum disilicide coating on molybdenum substrates for both high (>1000 °C) and moderate (500-600 °C) temperature oxidation protection. Chemical vapor deposition (CVD/MOCVD) techniques will be utilized to deposit the metal suicide and oxide coatings. The focus of this study will be to establish optimum deposition conditions and evaluate the metal oxide coating as oxidation - thermal barriers for Mo substrates under both isothermal (static) and cyclic oxidation conditions. The second part of this section will involve a systematic evaluation of a boron nitride (BN) interface coating prepared by chemical vapor deposition. Ceramic matrix composites (CMCs) are prospective candidates for high (>1000 °C) temperature applications and fiber- matrix interfaces are the dominant design parameters in ceramic matrix composites (CMCs). An important goal of the study is to determine a set of process parameters, which would define a boron nitride (BN) interface coating by a chemical vapor deposition (CVD) process with respect to coating. In the first part of the second section, we will investigate a new approach to synthesize ultrafine metal oxides that combines microwave heating and an in-situ ultrasonic mixing of two or more liquid precursors with a tubular flow reactor. Different metal oxides such as nickel ferrite and zinc aluminate spinels will be studied. The synthesis of metal oxides were investigated in order to study the effects of the nozzle and microwave (INM process) on the purity, composition, and particle size of the resulting powders. The second part of this research section involves a study of microwave frequency effects on the synthesis of nanocrystalline tetragonal barium titanate. The effects of microwave frequency (fixed and variable), microwave bandwidths sweep time, and aging time on the microstructure, particle sizes, phase purity, surface areas, and porosities of the as-prepared BaTiO3 were systematically investigated. The final part of the research involves a new rapid and facile synthetic route to prepare size-tunable, ultranarrow, high surface area OMS-2 nanomaterials via open-vessel microwave-assisted refluxing preparations without employing templates or surfactants. The particle size control is achieved by varying the concentration or type of non-aqueous co-solvent. The structural, textural, and catalytic application properties of the prepared nanomaterials are investigated.
Yang, Yi; Zhang, Huiping; Yan, Ying
2018-03-01
Fe 2 O 3 -ZSM-5 catalysts (0.6 wt% Fe load) prepared by metal-organic chemical vapour deposition (MOCVD) method were evaluated in the catalytic wet peroxide oxidation (CWPO) of m -cresol in a batch reactor. The catalysts have a good iron dispersion and small iron crystalline size, and exhibit high stability during reaction. In addition, the kinetics of the reaction were studied and the initial oxidation rate equation was given. Catalysts were first characterized by N 2 adsorption-desorption isotherms, scanning electronic microscopy, energy-dispersive spectroscopy, X-ray diffraction and X-ray photoelectron spectroscopy. Results show that extra-framework Fe 3+ species (presenting in the form of Fe 2 O 3 ) are successfully loaded on ZSM-5 supports by MOCVD method. Performances of catalysts were tested and effects of different temperature, stirring rate, catalyst amount on hydrogen peroxide, m -cresol, total organic carbon (TOC) conversion and Fe leaching concentration were studied. Results reveal that catalytic activity increased with higher temperature, faster stirring rate and larger catalyst amount. In all circumstances, m -cresol conversion could reach 99% in 0.5-2.5 h, and the highest TOC removal (80.5%) is obtained after 3 h under conditions of 60°C, 400 r.p.m. and catalyst amount of 2.5 g l -1 . The iron-leaching concentrations are less than 1.1 mg l -1 under all conditions. The initial oxidation rate equation [Formula: see text] is obtained for m -cresol degradation with Fe 2 O 3 -ZSM-5 catalysts.
Research on ZnO/Si heterojunction solar cells
NASA Astrophysics Data System (ADS)
Chen, Li; Chen, Xinliang; Liu, Yiming; Zhao, Ying; Zhang, Xiaodan
2017-06-01
We put forward an n-ZnO/p-Si heterojunction solar cell model based on AFORS-HET simulations and provide experimental support in this article. ZnO:B (B-doped ZnO) thin films deposited by metal-organic chemical vapor deposition (MOCVD) are planned to act as electrical emitter layer on p-type c-Si substrate for photovoltaic applications. We investigate the effects of thickness, buffer layer, ZnO:B affinity and work function of electrodes on performances of solar cells through computer simulations using AFORS-HET software package. The energy conversion efficiency of the ZnO:B(n)/ZnO/c-Si(p) solar cell can achieve 17.16% ({V}{oc}: 675.8 mV, {J}{sc}: 30.24 mA/cm2, FF: 83.96%) via simulation. On a basis of optimized conditions in simulation, we carry out some experiments, which testify that the ZnO buffer layer of 20 nm contributes to improving performances of solar cells. The influences of growth temperature, thickness and diborane (B2H6) flow rates are also discussed. We achieve an appropriate condition for the fabrication of the solar cells using the MOCVD technique. The obtained conversion efficiency reaches 2.82% ({V}{oc}: 294.4 mV, {J}{sc}: 26.108 mA/cm2, FF: 36.66%). Project supported by the State Key Development Program for Basic Research of China (Nos. 2011CBA00706, 2011CBA00707), the Tianjin Applied Basic Research Project and Cutting-Edge Technology Research Plan (No. 13JCZDJC26900), the Tianjin Major Science and Technology Support Project (No. 11TXSYGX22100), the National High Technology Research and Development Program of China (No. 2013AA050302), and the Fundamental Research Funds for the Central Universities (No. 65010341).
NASA Astrophysics Data System (ADS)
Hidouri, T.; Saidi, F.; Maaref, H.; Rodriguez, Ph.; Auvray, L.
2016-12-01
In this paper, we report on the experimental and theoretical study of BInGaAs/GaAs Single Quantum Well elaborated by Metal Organic Chemical Vapor Deposition (MOCVD). We carried out the photoluminescence (PL) peak energy temperature-dependence over a temperature range of 10-300 K. It shows the S-shaped behavior as a result of a competition process between localized and delocalized states. We simulate the peak evolution by the empirical model and modified models. The first one is limited at high PL temperature. For the second one, a correction due to the thermal redistribution based on the Localized State Ensemble model (LSE). The new fit gives a good agreement between theoretical and experimental data in the entire temperature range. Furthermore, we have investigated an approximate analytical expressions and interpretation for the entropy and enthalpy of formation of electron-hole pairs in quaternary BInGaAs/GaAs SQW.
Experimental study of trimethyl aluminum decomposition
NASA Astrophysics Data System (ADS)
Zhang, Zhi; Pan, Yang; Yang, Jiuzhong; Jiang, Zhiming; Fang, Haisheng
2017-09-01
Trimethyl aluminum (TMA) is an important precursor used for metal-organic chemical vapor deposition (MOCVD) of most Al-containing structures, in particular of nitride structures. The reaction mechanism of TMA with ammonia is neither clear nor certain due to its complexity. Pyrolysis of trimethyl metal is the start of series of reactions, thus significantly affecting the growth. Experimental study of TMA pyrolysis, however, has not yet been conducted in detail. In this paper, a reflectron time-of-flight mass spectrometer is adopted to measure the TMA decomposition from room temperature to 800 °C in a special pyrolysis furnace, activated by soft X-ray from the synchrotron radiation. The results show that generation of methyl, ethane and monomethyl aluminum (MMA) indicates the start of the pyrolysis process. In the low temperature range from 25 °C to 700 °C, the main product is dimethyl aluminum (DMA) from decomposition of TMA. For temperatures larger than 700 °C, the main products are MMA, DMA, methyl and ethane.
NASA Astrophysics Data System (ADS)
Li, Kuang-Hui; Alotaibi, Hamad S.; Sun, Haiding; Lin, Ronghui; Guo, Wenzhe; Torres-Castanedo, Carlos G.; Liu, Kaikai; Valdes-Galán, Sergio; Li, Xiaohang
2018-04-01
In a conventional induction-heating III-nitride metalorganic chemical vapor deposition (MOCVD) reactor, the induction coil is outside the chamber. Therefore, the magnetic field does not couple with the susceptor well, leading to compromised heating efficiency and harmful coupling with the gas inlet and thus possible overheating. Hence, the gas inlet has to be at a minimum distance away from the susceptor. Because of the elongated flow path, premature reactions can be more severe, particularly between Al- and B-containing precursors and NH3. Here, we propose a structure that can significantly improve the heating efficiency and allow the gas inlet to be closer to the susceptor. Specifically, the induction coil is designed to surround the vertical cylinder of a T-shaped susceptor comprising the cylinder and a top horizontal plate holding the wafer substrate within the reactor. Therefore, the cylinder coupled most magnetic field to serve as the thermal source for the plate. Furthermore, the plate can block and thus significantly reduce the uncoupled magnetic field above the susceptor, thereby allowing the gas inlet to be closer. The results show approximately 140% and 2.6 times increase in the heating and susceptor coupling efficiencies, respectively, as well as a 90% reduction in the harmful magnetic flux on the gas inlet.
NASA Astrophysics Data System (ADS)
Arehart, A. R.; Sasikumar, A.; Rajan, S.; Via, G. D.; Poling, B.; Winningham, B.; Heller, E. R.; Brown, D.; Pei, Y.; Recht, F.; Mishra, U. K.; Ringel, S. A.
2013-02-01
This paper reports direct evidence for trap-related RF output power loss in GaN high electron mobility transistors (HEMTs) grown by metal organic chemical vapor deposition (MOCVD) through increased concentration of a specific electron trap at EC-0.57 eV that is located in the drain access region, as a function of accelerated life testing (ALT). The trap is detected by constant drain current deep level transient spectroscopy (CID-DLTS) and the CID-DLTS thermal emission time constant precisely matches the measured drain lag. Both drain lag and CID-DLTS measurements show this state to already exist in pre-stressed devices, which coupled with its strong increase in concentration as a function of stress in the absence of significant increases in concentrations of other detected traps, imply its role in causing degradation, in particular knee walkout. This study reveals EC-0.57 eV trap concentration tracks degradation induced by ALT for MOCVD-grown HEMTs supplied by several commercial and university sources. The results suggest this defect has a common source and may be a key degradation pathway in AlGaN/GaN HEMTs and/or an indicator to predict device lifetime.
Berkovich Nanoindentation on AlN Thin Films
2010-01-01
Berkovich nanoindentation-induced mechanical deformation mechanisms of AlN thin films have been investigated by using atomic force microscopy (AFM) and cross-sectional transmission electron microscopy (XTEM) techniques. AlN thin films are deposited on the metal-organic chemical-vapor deposition (MOCVD) derived Si-doped (2 × 1017 cm−3) GaN template by using the helicon sputtering system. The XTEM samples were prepared by means of focused ion beam (FIB) milling to accurately position the cross-section of the nanoindented area. The hardness and Young’s modulus of AlN thin films were measured by a Berkovich nanoindenter operated with the continuous contact stiffness measurements (CSM) option. The obtained values of the hardness and Young’s modulus are 22 and 332 GPa, respectively. The XTEM images taken in the vicinity regions just underneath the indenter tip revealed that the multiple “pop-ins” observed in the load–displacement curve during loading are due primarily to the activities of dislocation nucleation and propagation. The absence of discontinuities in the unloading segments of load–displacement curve suggests that no pressure-induced phase transition was involved. Results obtained in this study may also have technological implications for estimating possible mechanical damages induced by the fabrication processes of making the AlN-based devices. PMID:20672096
All MBE grown InAs/GaAs quantum dot lasers on on-axis Si (001).
Kwoen, Jinkwan; Jang, Bongyong; Lee, Joohang; Kageyama, Takeo; Watanabe, Katsuyuki; Arakawa, Yasuhiko
2018-04-30
Directly grown III-V quantum dot (QD) laser on on-axis Si (001) is a good candidate for achieving monolithically integrated Si photonics light source. Nowadays, laser structures containing high quality InAs / GaAs QD are generally grown by molecular beam epitaxy (MBE). However, the buffer layer between the on-axis Si (001) substrate and the laser structure are usually grown by metal-organic chemical vapor deposition (MOCVD). In this paper, we demonstrate all MBE grown high-quality InAs/GaAs QD lasers on on-axis Si (001) substrates without using patterning and intermediate layers of foreign material.
Gondal, Mohammed A; Chang, Xiao F; Yamani, Zain H; Yang, Guo F; Ji, Guang B
2011-01-01
Single-crystalline Gallium Nitride (GaN) thin films were fabricated and grown by metal organic chemical vapor deposition (MOCVD) method on c-plane sapphire substrates and then characterized by high resolution-X-ray diffraction (HR-XRD) and photoluminescence (PL) measurements. The photocatalytic decomposition of Sulforhodamine B (SRB) molecules on GaN thin films was investigated under 355 nm pulsed UV laser irradiation. The results demonstrate that as-grown GaN thin films exhibited efficient degradation of SRB molecules and exhibited an excellent photocatalytic-activity-stability under UV pulsed laser exposure.
Ab-initio study of boron incorporation and compositional limits at GaN and AlN (0001) surfaces
NASA Astrophysics Data System (ADS)
Lymperakis, L.
2018-06-01
Density functional theory calculations are employed to investigate B incorporation at the GaN(0001) and AlN(0001) surfaces. It is found that under typical metal-organic chemical vapor deposition (MOCVD) and metal rich molecular beam epitaxy (MBE) conditions, the maximum B contents at the surfaces are in the order of 3% for GaN and 15% for AlN. Under MBE N-rich growth conditions the calculations reveal a rehybridization enhanced solubility mechanism that dominates at the surface. This mechanism offers a promising route to kinetically stabilize B contents above the bulk solubility limit and as high as 25%.
Three-State Quantum Dot Gate FETs Using ZnS-ZnMgS Lattice-Matched Gate Insulator on Silicon
NASA Astrophysics Data System (ADS)
Karmakar, Supriya; Suarez, Ernesto; Jain, Faquir C.
2011-08-01
This paper presents the three-state behavior of quantum dot gate field-effect transistors (FETs). GeO x -cladded Ge quantum dots (QDs) are site-specifically self-assembled over lattice-matched ZnS-ZnMgS high- κ gate insulator layers grown by metalorganic chemical vapor deposition (MOCVD) on silicon substrates. A model of three-state behavior manifested in the transfer characteristics due to the quantum dot gate is also presented. The model is based on the transfer of carriers from the inversion channel to two layers of cladded GeO x -Ge quantum dots.
Quantum dots for GaAs-based surface emitting lasers at 1300 nm
NASA Astrophysics Data System (ADS)
Grundmann, M.; Ledentsov, N. N.; Hopfer, F.; Heinrichsdorff, F.; Guffarth, F.; Bimberg, D.; Ustinov, V. M.; Zhukov, A. E.; Kovsh, A. R.; Maximov, M. V.; Musikhin, Yu. G.; Alferov, Zh. I.; Lott, J. A.; Zhakharov, N. D.; Werner, P.
InGaAs quantum dots (QD's) on GaAs substrate have been fabricated using metal-organic chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE) for the use in vertical cavity surface emitting laser diodes. Similar recombination spectra are obtained by employing the two different approaches of seeding and overgrowth with a quantum well. Despite the shift to larger wavelengths a large separation (=80 meV) between excited states is maintained. The introduction of such QD's into a vertical cavity leads to strong narrowing of the emission spectrum. Lasing from a 1300 nm InGaAs quantum dot VCSEL is reported.
Micro-machined thin film hydrogen gas sensor, and method of making and using the same
NASA Technical Reports Server (NTRS)
DiMeo, Jr., Frank (Inventor); Bhandari, Gautam (Inventor)
2001-01-01
A hydrogen sensor including a thin film sensor element formed, e.g., by metalorganic chemical vapor deposition (MOCVD) or physical vapor deposition (PVD), on a microhotplate structure. The thin film sensor element includes a film of a hydrogen-interactive metal film that reversibly interacts with hydrogen to provide a correspondingly altered response characteristic, such as optical transmissivity, electrical conductance, electrical resistance, electrical capacitance, magnetoresistance, photoconductivity, etc., relative to the response characteristic of the film in the absence of hydrogen. The hydrogen-interactive metal film may be overcoated with a thin film hydrogen-permeable barrier layer to protect the hydrogen-interactive film from deleterious interaction with non-hydrogen species. The hydrogen sensor of the invention may be usefully employed for the detection of hydrogen in an environment susceptible to the incursion or generation of hydrogen and may be conveniently configured as a hand-held apparatus.
NASA Astrophysics Data System (ADS)
Kalra, Anisha; Vura, Sandeep; Rathkanthiwar, Shashwat; Muralidharan, Rangarajan; Raghavan, Srinivasan; Nath, Digbijoy N.
2018-06-01
We demonstrate epitaxial β-Ga2O3/GaN-based vertical metal–heterojunction-metal (MHM) broadband UV-A/UV-C photodetectors with high responsivity (3.7 A/W) at 256 and 365 nm, UV-to-visible rejection >103, and a photo-to-dark current ratio of ∼100. A small (large) conduction (valence) band offset at the heterojunction of pulsed laser deposition (PLD)-grown β-Ga2O3 on metal organic chemical vapor deposition (MOCVD)-grown GaN-on-silicon with epitaxial registry, as confirmed by X-ray diffraction (XRD) azimuthal scanning, is exploited to realize detectors with an asymmetric photoresponse and is explained with one-dimensional (1D) band diagram simulations. The demonstrated novel vertical MHM detectors on silicon are fully scalable and promising for enabling focal plane arrays for broadband ultraviolet sensing.
Park, Ji Hun; Byun, Dongjin; Lee, Joong Kee
2011-08-01
Gallium tin oxide composite (GTO) thin films were prepared by electron cyclotron resonance-metal organic chemical vapor deposition (ECR-MOCVD). The organometallics of tetramethlytin and trimethylgallium were used for precursors of gallium and tin, respectively. X-ray diffraction (XRD) characterization indicated that the gallium tin oxide composite thin films show the nanopolycrystalline of tetragonal rutile structure. Hall measurement indicated that the Ga/[O+Sn] mole ratio play an important role to determine the electrical properties of gallium tin composite oxide thin films. n-type conducting film obtained Ga/[O+Sn] mole ratio of 0.05 exhibited the lowest electrical resistivity of 1.21 x 10(-3) ohms cm. In our experimental range, the optimized carrier concentration of 3.71 x 10(18) cm(-3) was prepared at the Ga/[O+Sn] mole ratio of 0.35.
Metal-organic chemical vapor deposition of N-polar InN quantum dots and thin films on vicinal GaN
NASA Astrophysics Data System (ADS)
Lund, Cory; Catalano, Massimo; Wang, Luhua; Wurm, Christian; Mates, Thomas; Kim, Moon; Nakamura, Shuji; DenBaars, Steven P.; Mishra, Umesh K.; Keller, Stacia
2018-02-01
N-polar InN layers were deposited using MOCVD on GaN-on-sapphire templates which were miscut 4° towards the GaN m-direction. For thin layers, quantum dot-like features were spontaneously formed to relieve the strain between the InN and GaN layers. As the thickness was increased, the dots elongated along the step direction before growing outward perpendicular to the step direction and coalescing to form a complete InN layer. XRD reciprocal space maps indicated that the InN films relaxed upon quantum dot formation after nominally 1 nm thick growth, resulting in 5-7 nm tall dots with diameters around 20-50 nm. For thicker layers above 10 nm, high electron mobilities of up to 706 cm2/V s were measured using Hall effect measurements indicating high quality layers.
NASA Astrophysics Data System (ADS)
Zhang, Li; Lee, Kwang Hong; Kadir, Abdul; Wang, Yue; Lee, Kenneth E.; Tan, Chuan Seng; Chua, Soo Jin; Fitzgerald, Eugene A.
2018-05-01
Crack-free 200 mm diameter N-polar GaN-on-insulator (GaN-OI) wafers are demonstrated by the transfer of metalorganic chemical vapor deposition (MOCVD)-grown Ga-polar GaN layers from Si(111) wafers onto SiO2/Si(100) wafers. The wafer curvature of the GaN-OI wafers after the removal of the original Si(111) substrate is correlated with the wafer curvature of the starting GaN-on-Si wafers and the voids on the GaN-on-Si surface that evolve into cracks on the GaN-OI wafers. In crack-free GaN-OI wafers, the wafer curvature during the removal of the AlN nucleation layer, AlGaN strain-compensation buffer layers and GaN layers is correlated with the residual stress distribution within individual layers in the GaN-OI wafer.
NASA Astrophysics Data System (ADS)
Zeng, J. M.; Wang, H.; Shang, S. X.; Wang, Z.; Wang, M.
1996-12-01
Magnesium oxide (MgO) thin films have been prepared on Si(100), {SiO2(100) }/{Si} and {Pt(111) }/{Si} substrates by atmospheric-pressure metalorganic chemical vapor deposition (AP-MOCVD) for the first time. The relationship between the temperature of substrates ( Ts) and crystallographic orientations was also investigated. Magnesium acetylacetonate [Mg(CH 2COCH 2COCH 3) 2] was used as the metalorganic source. The relatively low temperature of substrates is about 480°C and the MgO thin films obtained were uniform, dense and well-ordered single crystal. X-ray diffraction experiments provided evidence that the MgO thin films on Si(100) ( Ts ≈ 400-680°C), {SiO2}/{Si} and {Pt}/{Si} were fully textured with (100) orientation. The deliquescent character of MgO thin films was also studied.
NASA Astrophysics Data System (ADS)
Gopalan, Sundararaman; Ramesh, Sivaramakrishnan; Dutta, Shibesh; Virajit Garbhapu, Venkata
2018-02-01
It is well known that Hf-based dielectrics have replaced the traditional SiO2 and SiON as gate dielectric materials for conventional CMOS devices. By using thicker high-k materials such as HfO2 rather than ultra-thin SiO2, we can bring down leakage current densities in MOS devices to acceptable levels. HfO2 is also one of the potential candidates as a blocking dielectric for Flash memory applications for the same reason. In this study, effects of substrate heating and oxygen flow rate while depositing HfO2 thin films using CVD and effects of post deposition annealing on the physical and electrical characteristics of HfO2 thin films are presented. It was observed that substrate heating during deposition helps improve the density and electrical characteristics of the films. At higher substrate temperature, Vfb moved closer to zero and also resulted in significant reduction in hysteresis. Higher O2 flow rates may improve capacitance, but also results in slightly higher leakage. The effect of PDA depended on film thickness and O2 PDA improved characteristics only for thick films. For thinner films forming gas anneal resulted in better electrical characteristics.
NASA Astrophysics Data System (ADS)
Fuchs, Erica R. H.; Bruce, E. J.; Ram, R. J.; Kirchain, Randolph E.
2006-08-01
The monolithic integration of components holds promise to increase network functionality and reduce packaging expense. Integration also drives down yield due to manufacturing complexity and the compounding of failures across devices. Consensus is lacking on the economically preferred extent of integration. Previous studies on the cost feasibility of integration have used high-level estimation methods. This study instead focuses on accurate-to-industry detail, basing a process-based cost model of device manufacture on data collected from 20 firms across the optoelectronics supply chain. The model presented allows for the definition of process organization, including testing, as well as processing conditions, operational characteristics, and level of automation at each step. This study focuses on the cost implications of integration of a 1550-nm DFB laser with an electroabsorptive modulator on an InP platform. Results show the monolithically integrated design to be more cost competitive over discrete component options regardless of production scale. Dominant cost drivers are packaging, testing, and assembly. Leveraging the technical detail underlying model projections, component alignment, bonding, and metal-organic chemical vapor deposition (MOCVD) are identified as processes where technical improvements are most critical to lowering costs. Such results should encourage exploration of the cost advantages of further integration and focus cost-driven technology development.
Progress in MOCVD growth of HgCdTe epilayers for HOT infrared detectors
NASA Astrophysics Data System (ADS)
Kebłowski, A.; Gawron, W.; Martyniuk, P.; Stepień, D.; Kolwas, K.; Piotrowski, J.; Madejczyk, P.; Kopytko, M.; Piotrowski, A.; Rogalski, A.
2016-05-01
In this paper we present progress in MOCVD growth of (100) HgCdTe epilayers achieved recently at the Institute of Applied Physics, Military University of Technology and Vigo System S.A. It is shown that MOCVD technology is an excellent tool in fabrication of different HgCdTe detector structures with a wide range of composition, donor/acceptor doping and without post grown annealing. Particular progress has been achieved in the growth of (100) HgCdTe epilayers for long wavelength infrared photoconductors operated in HOT conditions. The (100) HgCdTe photoconductor optimized for 13-μm attain detectivity equal to 6.5x109 Jones and therefore outperform its (111) counterpart. The paper also presents technological progress in fabrication of MOCVD-grown (111) HgCdTe barrier detectors. The barrier device performance is comparable with state-of-the-art of HgCdTe photodiodes. The detectivity of HgCdTe detectors is close to the value marked HgCdTe photodiodes. Dark current densities are close to the values given by "Rule 07".
High quality self-separated GaN crystal grown on a novel nanoporous template by HVPE.
Huo, Qin; Shao, Yongliang; Wu, Yongzhong; Zhang, Baoguo; Hu, Haixiao; Hao, Xiaopeng
2018-02-16
In this study, a novel nanoporous template was obtained by a two-step etching process from MOCVD-GaN/Al 2 O 3 (MGA) with electrochemical etching sequentially followed by chemical wet etching. The twice-etched MOCVD-GaN/Al 2 O 3 (TEMGA) templates were utilized to grow GaN crystals by hydride vapor phase epitaxy (HVPE) method. The GaN crystals were separated spontaneously from the TEMGA template with the assistance of voids formed by the etched nanopores. Several techniques were utilized to characterize the quality of the free-standing GaN crystals obtained from the TEMGA template. Results showed that the quality of the as-obtained GaN crystals was improved obviously compared with those grown on the MGA. This convenient technique can be applied to grow high-quality free-standing GaN crystals.
NASA Astrophysics Data System (ADS)
Kakkerla, Ramesh Kumar; Anandan, Deepak; Hsiao, Chih-Jen; Yu, Hung Wei; Singh, Sankalp Kumar; Chang, Edward Yi
2018-05-01
We demonstrate the growth of vertically aligned Au-free InAs and InAs/GaSb heterostructure nanowires on Si (1 1 1) substrate by Metal Organic Chemical Vapor Deposition (MOCVD). The effect of growth temperature on the morphology and growth rate of the InAs and InAs/GaSb heterostructure nanowires (NWs) is investigated. Control over diameter and length of the InAs NWs and the GaSb shell thickness was achieved by using growth temperature. As the GaSb growth temperature increase, GaSb radial growth rate increases due to the increase in alkyl decomposition at the substrate surface. Diffusivity of the adatoms increases as the GaSb growth temperature increase which results in tapered GaSb shell growth. Scanning Electron Microscope (SEM) and Transmission Electron Microscope (TEM) measurements revealed that the morphology and shell thickness can be tuned by the growth temperature. Electron microscopy also shows the formation of GaSb both in radial and axial directions outside the InAs NW core can be controlled by the growth temperature. This study demonstrates the control over InAs NWs growth and the GaSb shell thickness can be achieved through proper growth temperature control, such technique is essential for the growth of nanowire for future nano electronic devices, such as Tunnel FET.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Rybalchenko, D. V.; Mintairov, S. A.; Salii, R. A.
Metamorphic Ga{sub 0.76}In{sub 0.24}As heterostructures for photovoltaic converters are grown by the MOCVD (metal–organic chemical vapor deposition) technique. It is found that, due to the valence-band offset at the p-In{sub 0.24}Al{sub 0.76}As/p-In{sub 0.24}Ga{sub 0.76}As (wide-gap window/emitter) heterointerface, a potential barrier for holes arises as a result of a low carrier concentration in the wide-gap material. The use of an InAlGaAs solid solution with an Al content lower than 40% makes it possible to raise the hole concentration in the widegap window up ~9 × 10{sup 18} cm{sup –3} and completely remove the potential barrier, thereby reducing the series resistance ofmore » the device. The parameters of an GaInAs metamorphic buffer layer with a stepwise In content profile are calculated and its epitaxial growth conditions are optimized, which improves carrier collection from the n-GaInAs base region and provides a quantum efficiency of 83% at a wavelength of 1064 nm. Optimization of the metamorphic heterostructure of the photovoltaic converter results in that its conversion efficiency for laser light with a wavelength of 1064 nm is 38.5%.« less
Scanning Probe Microscopy on heterogeneous CaCu3Ti4O12 thin films
2011-01-01
The conductive atomic force microscopy provided a local characterization of the dielectric heterogeneities in CaCu3Ti4O12 (CCTO) thin films deposited by MOCVD on IrO2 bottom electrode. In particular, both techniques have been employed to clarify the role of the inter- and sub-granular features in terms of conductive and insulating regions. The microstructure and the dielectric properties of CCTO thin films have been studied and the evidence of internal barriers in CCTO thin films has been provided. The role of internal barriers and the possible explanation for the extrinsic origin of the giant dielectric response in CCTO has been evaluated. PMID:21711646
NASA Astrophysics Data System (ADS)
Yang, J.; Liu, S. T.; Wang, X. W.; Zhao, D. G.; Jiang, D. S.; Chen, P.; Zhu, J. J.; Liu, Z. S.; Liang, F.; Liu, W.; Zhang, L. Q.; Yang, H.; Wang, W. J.; Li, M.
2018-01-01
InGaN samples are grown using metalorganic chemical vapor deposition (MOCVD) and the dependences of structural and luminescence properties of InGaN layers on growth temperature are studied. It is found that the luminescence properties of InGaN layer are improved by increasing growth temperature properly. However, when the growth temperature of InGaN layer is too higher (740 °C in our work), a large amount of unintentionally incorporated gallium atoms enter into InGaN, and a spiral growth mode dominates in this case. It results in an inferior crystalline and interface quality, and ultimately degrades the luminescence of InGaN.
Scanning Probe Microscopy on heterogeneous CaCu3Ti4O12 thin films
NASA Astrophysics Data System (ADS)
Fiorenza, Patrick; Lo Nigro, Raffaella; Raineri, Vito
2011-12-01
The conductive atomic force microscopy provided a local characterization of the dielectric heterogeneities in CaCu3Ti4O12 (CCTO) thin films deposited by MOCVD on IrO2 bottom electrode. In particular, both techniques have been employed to clarify the role of the inter- and sub-granular features in terms of conductive and insulating regions. The microstructure and the dielectric properties of CCTO thin films have been studied and the evidence of internal barriers in CCTO thin films has been provided. The role of internal barriers and the possible explanation for the extrinsic origin of the giant dielectric response in CCTO has been evaluated.
Scanning Probe Microscopy on heterogeneous CaCu3Ti4O12 thin films.
Fiorenza, Patrick; Lo Nigro, Raffaella; Raineri, Vito
2011-02-04
The conductive atomic force microscopy provided a local characterization of the dielectric heterogeneities in CaCu3Ti4O12 (CCTO) thin films deposited by MOCVD on IrO2 bottom electrode. In particular, both techniques have been employed to clarify the role of the inter- and sub-granular features in terms of conductive and insulating regions. The microstructure and the dielectric properties of CCTO thin films have been studied and the evidence of internal barriers in CCTO thin films has been provided. The role of internal barriers and the possible explanation for the extrinsic origin of the giant dielectric response in CCTO has been evaluated.
Growth of GaN@InGaN Core-Shell and Au-GaN Hybrid Nanostructures for Energy Applications
Kuykendall, Tevye; Aloni, Shaul; Jen-La Plante, Ilan; ...
2009-01-01
We demonstrated a method to control the bandgap energy of GaN nanowires by forming GaN@InGaN core-shell hybrid structures using metal organic chemical vapor deposition (MOCVD). Furthermore, we show the growth of Au nanoparticles on the surface of GaN nanowires in solution at room temperature. The work shown here is a first step toward engineering properties that are crucial for the rational design and synthesis of a new class of photocatalytic materials. The hybrid structures were characterized by various techniques, including photoluminescence (PL), energy dispersive x-ray spectroscopy (EDS), transmission and scanning electron microscopy (TEM and SEM), and x-ray diffraction (XRD).
V/III ratio effects on high quality InAlAs for quantum cascade laser structures
NASA Astrophysics Data System (ADS)
Demir, Ilkay; Elagoz, Sezai
2017-04-01
In this study we report the V/III ratio effects on growth, structural, optical and doping characteristics of low growth rate (∼1 Å/s) heteroepitaxial Metal Organic Chemical Vapor Deposition (MOCVD) grown InxAl1-xAs layers, a part of Quantum Cascade Laser (QCL) structures, on InP substrate. Especially photoluminescence (PL) properties of InAlAs-InP interface show strong dependence on AsH3 overpressure. We have shown that the V/III ratio with fixed metalorganic precursor flow is a crucial parameter on InxAl1-xAs layers to have a good material quality in terms of crystallinity, optical and electrical characteristics with and without doping.
Progress and prospects of GaN-based VCSEL from near UV to green emission
NASA Astrophysics Data System (ADS)
Yu, Hsin-chieh; Zheng, Zhi-wei; Mei, Yang; Xu, Rong-bin; Liu, Jian-ping; Yang, Hui; Zhang, Bao-ping; Lu, Tien-chang; Kuo, Hao-chung
2018-01-01
GaN is a great material for making optoelectronic devices in the blue, blue-violet and green bands. Vertical-cavity surface-emitting lasers (VCSELs) have many advantages including small footprint, circular symmetry of output beam, two-dimensional scalability and/or addressability, surface-mount packaging, good price-performance ratio, and simple optics/alignment for output coupling. In this paper, we would like to (1) Review the design and fabrication of GaN-based VCSELs including some technology challenges, (2) Discuss the design and metalorganic chemical vapor deposition (MOCVD) growth of electrically pumped blue VCSELs and (3) Demonstrate world first green VCSEL using quantum dots (QDs) active region to overcome the 'green gap'.
Method for Improving Mg Doping During Group-III Nitride MOCVD
Creighton, J. Randall; Wang, George T.
2008-11-11
A method for improving Mg doping of Group III-N materials grown by MOCVD preventing condensation in the gas phase or on reactor surfaces of adducts of magnesocene and ammonia by suitably heating reactor surfaces between the location of mixing of the magnesocene and ammonia reactants and the Group III-nitride surface whereon growth is to occur.
Asymmetric quantum well broadband thyristor laser
NASA Astrophysics Data System (ADS)
Liu, Zhen; Wang, Jiaqi; Yu, Hongyan; Zhou, Xuliang; Chen, Weixi; Li, Zhaosong; Wang, Wei; Ding, Ying; Pan, Jiaoqing
2017-11-01
A broadband thyristor laser based on InGaAs/GaAs asymmetric quantum well (AQW) is fabricated by metal organic chemical vapor deposition (MOCVD). The 3-μm-wide Fabry-Perot (FP) ridge-waveguide laser shows an S-shape I-V characteristic and exhibits a flat-topped broadband optical spectrum coverage of ~27 nm (Δ-10 dB) at a center wavelength of ~1090 nm with a total output power of 137 mW under pulsed operation. The AQW structure was carefully designed to establish multiple energy states within, in order to broaden the gain spectrum. An obvious blue shift emission, which is not generally acquired in QW laser diodes, is observed in the broadening process of the optical spectrum as the injection current increases. This blue shift spectrum broadening is considered to result from the prominent band-filling effect enhanced by the multiple energy states of the AQW structure, as well as the optical feedback effect contributed by the thyristor laser structure. Project supported by the National Natural Science Foundation of China (Nos. 61604144, 61504137). Zhen Liu and Jiaqi Wang contributed equally to this work.
NASA Astrophysics Data System (ADS)
Zhao, Lu; Zhang, Shuo; Zhang, Yun; Yan, Jianchang; Zhang, Lian; Ai, Yujie; Guo, Yanan; Ni, Ruxue; Wang, Junxi; Li, Jinmin
2018-01-01
We demonstrate AlGaN-based ultraviolet light-emitting diodes (UV-LEDs) grown by metalorganic chemical vapor deposition (MOCVD) on sputter-deposited AlN templates upon sapphire substrates. An AlN/AlGaN superlattices structure is inserted as a dislocation filter between the LED structure and the AlN template. The full width at half maximum values for (0002) and (10 1 bar 2) X-ray rocking curves of the n-type Al0.56Ga0.44N layer are 513 and 1205 arcsec, respectively, with the surface roughness of 0.52 nm. The electron concentration and mobility measured by Hall measurement are 9.3 × 1017cm-3 and 54 cm2/V·s at room temperature, respectively. The light output power of a 282-nm LED reaches 0.28 mW at 20 mA with an external quantum efficiency of 0.32%. And the values of leakage current and forward voltage of the LEDs are ∼3 nA at -10 V and 6.9 V at 20 mA, respectively, showing good electrical performance. It is expected that the cost of the UV-LED can be reduced by using sputter-deposited AlN template.
Park, Jihun; Hudaya, Chairul; Lee, Joong Kee
2011-09-01
In order to replace the brittle graphite bipolar plates currently used for the PEMFC stack, coated SUS 316 was employed. As a metallic bipolar plate, coated SUS 316 can provide higher mechanical strength, better durability to shocks and vibration, less permeability, improved thermal and bulk electrical conductivity, as well as being thinner and lighter. To enhance the interfacial contact resistance and corrosion resistance of SUS 316, the deposition of GTO:F and ZTO:F composite films was carried out by ECR-MOCVD. The surface morphology of the films consisted of tiny elliptically shaped grains with a thickness of 1 microm. The corrosion current for GTO:F was 0.13 Acm(-2) which was much lower than that of bare SUS 316 (50.16 Acm(-2)). The GTO:F coated film had the smallest corrosion current due to the formation of a tight surface morphology with very few pin-holes. The GTO:F coated film exhibited the highest cell voltage and power density due to its lower ICR values.
NASA Technical Reports Server (NTRS)
Connolly, J. C.; Alphonse, G. A.; Carlin, D. B.; Ettenberg, M.
1991-01-01
The operating characteristics (power-current, beam divergence, etc.) and reliability assessment of high-power CSP lasers is discussed. The emission wavelength of these lasers was optimized at 860 to 880 nm. The operational characteristics of a new laser, the inverse channel substrate planar (ICSP) laser, grown by metalorganic chemical vapor deposition (MOCVD), is discussed and the reliability assessment of this laser is reported. The highlights of this study include a reduction in the threshold current value for the laser to 15 mA and a degradation rate of less than 2 kW/hr for the lasers operating at 60 mW of peak output power.
High-gain AlGaAs/GaAs double heterojunction Darlington phototransistors for optical neural networks
NASA Technical Reports Server (NTRS)
Kim, Jae H. (Inventor); Lin, Steven H. (Inventor)
1991-01-01
High-gain MOCVD-grown (metal-organic chemical vapor deposition) AlGaAs/GaAs/AlGaAs n-p-n double heterojunction bipolar transistors (DHBTs) and Darlington phototransistor pairs are provided for use in optical neural networks and other optoelectronic integrated circuit applications. The reduced base doping level used results in effective blockage of Zn out-diffusion, enabling a current gain of 500, higher than most previously reported values for Zn-diffused-base DHBTs. Darlington phototransitor pairs of this material can achieve a current gain of over 6000, which satisfies the gain requirement for optical neural network designs, which advantageously may employ neurons comprising the Darlington phototransistor pairs in series with a light source.
High-efficiency GaAs concentrator space cells
NASA Technical Reports Server (NTRS)
Werthen, J. G.; Virshup, G. F.; Macmillan, H. F.; Ford, C. W.; Hamaker, H. C.
1987-01-01
High efficiency Al sub x Ga sub 1-x As/GaAs heteroface solar concentrator cells have been developed for space applications. The cells, which were grown using metalorganic chemical vapor deposition (MOCVD), have been fabricated in both the p-n and n-p configurations. Magnesium and zinc are used as the p-type dopants, and Se is used as the n-type dopant. The space cells, which are designed for use in a Cassegrainian concentrator operating at 100 suns, AMO, have a circular illuminated area 4 mm in diameter on a 5 mm by 5 mm cell. These cells have exhibited flash-tested efficiencies as high as 23.6 percent at 28 C and 21.6 percent at 80 C.
Advanced transition metal phosphide materials from single-source molecular precursors
NASA Astrophysics Data System (ADS)
Colson, Adam Caleb
In this thesis, the feasibility of employing organometallic single-source precursors in the preparation of advanced transition metal pnictide materials such as colloidal nanoparticles and films has been investigated. In particular, the ternary FeMnP phase was targeted as a model for preparing advanced heterobimetallic phosphide materials, and the iron-rich Fe3P phase was targeted due to its favorable ferromagnetic properties as well as the fact that the preparation of advanced Fe3P materials has been elusive by commonly used methods. Progress towards the synthesis of advanced Fe2--xMn xP nanomaterials and films was facilitated by the synthesis of the novel heterobimetallic complexes FeMn(CO)8(mu-PR1R 2) (R1 = H, R2 = H or R1 = H, R2 = Ph), which contain the relatively rare mu-PH2 and mu-PPhH functionalities. Iron rich Fe2--xMnxP nanoparticles were obtained by thermal decomposition of FeMn(CO)8(mu-PH 2) using solution-based synthetic methods, and empirical evidence suggested that oleic acid was responsible for manganese depletion. Films containing Fe, Mn, and P with the desired stoichiometric ratio of 1:1:1 were prepared using FeMn(CO)8(mu-PH2) in a simple low-pressure metal-organic chemical vapor deposition (MOCVD) apparatus. Although the elemental composition of the precursor was conserved in the deposited film material, spectroscopic evidence indicated that the films were not composed of pure-phase FeMnP, but were actually mixtures of crystalline FeMnP and amorphous FeP and Mn xOy. A new method for the preparation of phase-pure ferromagnetic Fe 3P films on quartz substrates has also been developed. This approach involved the thermal decomposition of the single-source precursors H 2Fe3(CO)9PR (R = tBu or Ph) at 400 °C. The films were deposited using a simple home-built MOCVD apparatus and were characterized using a variety of analytical methods. The films exhibited excellent phase purity, as evidenced by X-ray diffraction, X-ray photoelectron spectroscopy, and field-dependent magnetization measurements, the results of which were all in good agreement with measurements obtained from bulk Fe3P. As-deposited Fe3P films were found to be amorphous, and little or no magnetic hysteresis was observed in plots of magnetization versus applied field. Annealing the Fe3P films at 550 °C resulted in improved crystallinity as well as the observation of magnetic hysteresis.
NASA Technical Reports Server (NTRS)
Manasevit, H. M.; Hewitt, W. B.; Nelson, A. J.; Mason, A. R.
1989-01-01
The MOCVD growth of B-As and B-P films on Si, sapphire, and Si-on-sapphire substrates is described; in this process, trimethylborane (TMB) or triethylborane (TEB) is pyrolyzed in the presence of AsH3 or PH3 in an H2 atmosphere. The procedures employed are outlined, and the results are presented in graphs, tables, and micrographs. It is found that the growth rate of the primarily amorphous films is dependent on the TMB or TEB concentration but approximately constant for TEB and AsH3 at 550-900 C. The nominal compositions of films grown using TMB are given as B(12-16)As2 and B(1-1.3)P. Carbon impurities and significant stress, bowing, and crazing are observed in the films grown on Si substrates, with the highest carbon content in the films grown from TMB and PH3.
The development of technology for growing InAs/GaSb superlattices by MOCVD
NASA Astrophysics Data System (ADS)
Fedorov, I. V.; Levin, R. V.; Nevedomsky, V. N.
2018-03-01
This study is dedicated to developing the technology for growing InAs/GaSb superlattices (SLs) by MOCVD. The structures were studied by transmission electron microscopy (TEM) and photoluminescence (PL) methods. We concluded that hetero-interface sharpness is not affected by the pause time between growth stages for separate layers or by switching the layer direction. A possible interpretation for the spectra of SLs was suggested.
Fast growth rate of epitaxial β-Ga2O3 by close coupled showerhead MOCVD
NASA Astrophysics Data System (ADS)
Alema, Fikadu; Hertog, Brian; Osinsky, Andrei; Mukhopadhyay, Partha; Toporkov, Mykyta; Schoenfeld, Winston V.
2017-10-01
We report on the growth of epitaxial β-Ga2O3 thin films on c-plane sapphire substrates using a close coupled showerhead MOCVD reactor. Ga(DPM)3 (DPM = dipivaloylmethanate), triethylgallium (TEGa) and trimethylgallium (TMGa) metal organic (MO) precursors were used as Ga sources and molecular oxygen was used for oxidation. Films grown from each of the Ga sources had high growth rates, with up to 10 μm/hr achieved using a TMGa precursor at a substrate temperature of 900 °C. As confirmed by X-ray diffraction, the films grown from each of the Ga sources were the monoclinic (2 bar 0 1) oriented β-Ga2O3 phase. The optical bandgap of the films was also estimated to be ∼4.9 eV. The fast growth rate of β-Ga2O3 thin films obtained using various Ga-precursors has been achieved due to the close couple showerhead design of the MOCVD reactor as well as the separate injection of oxygen and MO precursors, preventing the premature oxidation of the MO sources. These results suggest a pathway to overcoming the long-standing challenge of realizing fast growth rates for Ga2O3 using the MOCVD method.
Flux pinning in yttrium barium copper oxide coated conductors
NASA Astrophysics Data System (ADS)
Chen, Zhijun
High quality high-temperature-superconducting YBa2Cu 3O7-x (YBCO) films for industrial applications demand very high critical current densities Jc, which can only be achieved by strong three-dimensional (3D) pinning with deliberately introduced nano-precipitates. The purpose of this thesis is to provide an in-depth understanding of the 3D pinning in such YBCO films. In pulsed laser deposition (PLD) prepared YBCO films, a high density of anti-phase boundaries and stacking faults were found to be effective pinning defects for improving Jc in small fields. However, their failure to improve Jc at high fields shows that such naturally generated defects are not strong 3D pinning centers. A demonstration of strong 3D pinning was found in a metal organic chemical vapor deposition (MOCVD) grown YBCO coated conductor (CC) with a high density of (Y,Sm)2O3 nano-precipitates. We observed a significantly enhanced irreversibility field Hirr which, like other superconducting properties was independent of thickness, due to strong vortex-pin interactions. The advantage of 3D pinning was further illustrated by a bi-layer metalorganic deposition (MOD) grown YBCO CC with different 3D pinning structures in each layer. The Jc anisotropy of the bilayer was found to be the thickness-weighted sum of the anisotropy of the two individual layers, demonstrating an applicable way to tune the Jcanisotropy. Moreover, extensive low temperature and high magnetic field evaluations performed on an MOCVD CC with dense 3D (Y,Sm) 2O3 nano-precipitate pinning centers showed that its strong vortex pinning at 77 K correlated well to strong performance at 4.2 K too. YBCO films with quantitatively controlled artificial Y2O 3 nano-precipitates were also grown by PLD, and characterized over wide temperature and field ranges. Their Jc was found to be determined by the vortex pinning mediated by thermal fluctuation effects. In weak thermal-fluctuation situations Jc increased with decreasing effective precipitate spacing Lc. In other situations, Jc depends on both Lc and the size and elementary pinning strength of the nano-precipitates. In summary, this thesis presents detailed pinning studies on several differently grown YBCO films. Our results identify the optimum pinning structures in YBCO films and provide a systematic guidance for optimizing vortex pinning.
The chemical deposition of semiconductor thin-films for photovoltaic devices
NASA Astrophysics Data System (ADS)
Breen, Marc Louis
Initially, possible precursors to metal sulfide films formed by metal-organic chemical vapor deposition (MOCVD), the standard commercial technique for manufacturing photovoltaic semiconductors, were synthesized. Triple-junction GaInP 2/GaAs/Ge solar cells, prepared by this method, were studied to understand how chemical properties and material defects can effect the performance of photovoltaic devices. Finally, novel methods for the low-temperature, solution growth of CdS, CdSe, and CuInSe2 photovoltaic materials were targeted which will reduce manufacturing costs and increase the economic feasibility of solar energy conversion. A series of dialkyldithiocarbamate copper, gallium and indium compounds were studied as possible metal sulfide MOCVD precursors. Metal powders were oxidized by dialkylthiurams in 3- or 4-methylpyridine using standard techniques for handling air and moisture-sensitive compounds. Metal chlorides reacted directly with the sodium dialkyldithiocarbamate salts. In these complexes, the metal was found in a roughly octahedral orientation, surrounded by dithiocarbamate ligands and/or solvent molecules. Triple-junction GaInP2/GaAs/Ge cells were composed of thin-films of GaInP2 and GaAs grown monolithically on top of a germanium substrate. Each layer of semiconductor material had a different bandgap and absorbed a different portion of the solar spectrum, thus improving the overall efficiency of the cell. Work focused on dark current-voltage behavior which is known to limit solar cell open-circuit voltage, fill factor, and conversion efficiency. Cells were studied using microscopic and spectroscopic techniques to correlate the effect of physical defects in the materials with poor performance of the devices as evaluated through current vs. voltage measurements. Films of US and CdSe were readily prepared in solution through an "ion-by-ion" deposition of Cd2+ and S2- (or Se 2-) generated from the slow hydrolysis of thiourea (or dimethylthiourea). The bath chemistry was carefully controlled by the adjustment of pH to slow hydrolysis and with chelating agents to sequester the cadmium ions. Triethanolamine and ethylenediamine were both effective chelators with the latter producing thicker, clearer films. Finally, US films were grown over electrodeposited CuInSe2 to form working photovoltaic devices. In summary, contributions were made which (a) advance current methods for manufacturing photovoltaic semiconductors and (b) offer an alternative route to producing new forms of thin-film solar cell devices.
NASA Astrophysics Data System (ADS)
Oshima, Naoya; Uchiyama, Kiyoshi; Ehara, Yoshitaka; Oikawa, Takahiro; Ichinose, Daichi; Tanaka, Hiroki; Sato, Tomoya; Uchida, Hiroshi; Funakubo, Hiroshi
2017-10-01
A strongly {110}-oriented perovskite-type thin film of tetragonal Pb(Zr0.4Ti0.6)O3 (PZT) was successfully obtained on a (100)Si substrate using a {101}PdO//{111}Pd thin film as a buffer layer. The {101}PdO//{111}Pd thin film buffer layer was obtained by oxidizing {111}Pd after depositing {111}Pd on a {111}Pt/TiO x /SiO2/{100}Si substrate. Using this buffer layer, a {110} c -oriented SrRuO3 (SRO) thin film was deposited by sputtering as a bottom electrode of PZT thin films. Subsequently, the {110}-oriented PZT thin film can be deposited on a (110) c SRO thin film by metal-organic chemical deposition (MOCVD) and its properties can be compared with those of PZT thin films with other orientations of {100} and {111}. Among the {100}, {110}, {111}-oriented PZT films, the {100}-oriented one showed the largest remnant polarization, which is in good agreement with those of the PZTs epitaxially grown in the 〈100〉, 〈110〉, and 〈111〉 directions. The other properties, i.e., piezoelectricity and dielectric constants, also showed similar anisotropic tendencies, which is in good agreement with the data reported in the epitaxially grown PZTs.
Deep levels in osmium doped p-type GaAs grown by metal organic chemical vapor deposition
NASA Astrophysics Data System (ADS)
Iqbal, M. Zafar; Majid, A.; Dadgar, A.; Bimberg, D.
2005-06-01
Results of a preliminary study on deep level transient spectroscopy (DLTS) investigations of osmium (Os) impurity in p-type GaAs, introduced in situ during MOCVD crystal growth, are reported for the first time. Os is clearly shown to introduce two prominent deep levels in the lower half-bandgap of GaAs at energy positions Ev + 0.42 eV (OsA) and Ev + 0.72 eV (OsB). A minority-carrier emitting defect feature observed in the upper half-bandgap is shown to consist of a band of Os-related deep levels with a concentration significantly higher than that of the majority carrier emitting deep levels. Detailed data on the emission rate signatures and related parameters of the Os-related deep levels are reported.
NASA Technical Reports Server (NTRS)
Park, Yeonjoon (Inventor); Kim, Hyun Jung (Inventor); Skuza, Jonathan R. (Inventor); Lee, Kunik (Inventor); Choi, Sang Hyouk (Inventor); King, Glen C. (Inventor)
2017-01-01
An X-ray defraction (XRD) characterization method for sigma=3 twin defects in cubic semiconductor (100) wafers includes a concentration measurement method and a wafer mapping method for any cubic tetrahedral semiconductor wafers including GaAs (100) wafers and Si (100) wafers. The methods use the cubic semiconductor's (004) pole figure in order to detect sigma=3/{111} twin defects. The XRD methods are applicable to any (100) wafers of tetrahedral cubic semiconductors in the diamond structure (Si, Ge, C) and cubic zinc-blend structure (InP, InGaAs, CdTe, ZnSe, and so on) with various growth methods such as Liquid Encapsulated Czochralski (LEC) growth, Molecular Beam Epitaxy (MBE), Organometallic Vapor Phase Epitaxy (OMVPE), Czochralski growth and Metal Organic Chemical Vapor Deposition (MOCVD) growth.
High Growth Rate Metal-Organic Molecular Beam Epitaxy for the Fabrication of GaAs Space Solar Cells
NASA Technical Reports Server (NTRS)
Freundlich, A.; Newman, F.; Monier, C.; Street, S.; Dargan, P.; Levy, M.
2005-01-01
In this work it is shown that high quality GaAs photovoltaic devices can be produced by Molecular Beam Epitaxy (MBE) with growth rates comparable to metal-organic chemical vapor deposition (MOCVD) through the subsitution of group III solid sources by metal-organic compounds. The influence the III/V flux-ratio and growth temperatures in maintaining a two dimensional layer by layer growth mode and achieving high growth rates with low residual background impurities is investigated. Finally subsequent to the study of the optimization of n- and p doping of such high growth rate epilayers, results from a preliminary attempt in the fabrication of GaAs photovoltaic devices such as tunnel diodes and solar cells using the proposed high growth rate approach are reported.
DOE Office of Scientific and Technical Information (OSTI.GOV)
El-Ghoroury, Hussein S.; Yeh, Milton; Chen, J. C., E-mail: jc.chen@ostendo.com
Specially designed intermediate carrier blocking layers (ICBLs) in multi-active regions of III-nitride LEDs were shown to be effective in controlling the carrier injection distribution across the active regions. In principle, the majority of carriers, both holes and electrons, can be guided into targeted quantum wells and recombine to generate light of specific wavelengths at controlled current-densities. Accordingly we proposed and demonstrated a novel monolithic InGaN-based LED to achieve three primary colors of light from one device at selected current densities. This LED structure, which has three different sets of quantum wells separated with ICBLs for three primary red-green-blue (RGB) colors,more » was grown by metal-organic chemical vapor deposition (MOCVD). Results show that this LED can emit light ranging from 460 to 650 nm to cover the entire visible spectrum. The emission wavelength starts at 650 nm and then decreases to 460 nm or lower as the injection current increases. In addition to three primary colors, many other colors can be obtained by color mixing techniques. To the best of our knowledge, this is the first demonstration of monolithic full-color LED grown by a simple growth technique without using re-growth process.« less
DiMeo, Jr., Frank; Baum, Thomas H.
2003-07-22
The present invention provides a hydrogen sensor including a thin film sensor element formed by metal organic chemical vapor deposition (MOCVD) or physical vapor deposition (PVD), on a micro-hotplate structure. The thin film sensor element includes a film of a hydrogen-interactive metal film that reversibly interacts with hydrogen to provide a correspondingly altered response characteristic, such as optical transmissivity, electrical conductance, electrical resistance, electrical capacitance, magneto resistance, photoconductivity, etc., relative to the response characteristic of the film in the absence of hydrogen. The hydrogen-interactive metal film may be overcoated with a thin film hydrogen-permeable barrier layer to protect the hydrogen-interactive film from deleterious interaction with non-hydrogen species. The hydrogen permeable barrier may comprise species to scavenge oxygen and other like species. The hydrogen sensor of the invention may be usefully employed for the detection of hydrogen in an environment susceptible to the incursion or generation of hydrogen and may be conveniently configured as a hand-held apparatus.
Metal Ir coatings on endocardial electrode tips, obtained by MOCVD
NASA Astrophysics Data System (ADS)
Vikulova, Evgeniia S.; Kal'nyi, Danila B.; Shubin, Yury V.; Kokovkin, Vasily V.; Morozova, Natalya B.; Hassan, Aseel; Basova, Tamara V.
2017-12-01
The present work demonstrates the application of the Metal-Organic Chemical Vapor Deposition technique to fabricate metal iridium coatings onto the pole tips of endocardial electrodes. Using iridium (III) acetylacetonate as a volatile precursor, the target coatings were successfully applied to the working surface of cathodes and anodes of pacemaker electrodes in the flow type reactor in hydrogen atmosphere at deposition temperature of 550 °C. The coating samples were characterized by means of XRD, SEM, Raman- and XPS-spectroscopies. The formation of non-textured coatings with fractal-like morphology and 7-24 nm crystallite size has been realized. The electrochemical properties of the coatings were investigated by cyclic voltammetry and electrochemical impedance spectroscopy. The charge storage capacity values of the electrochemically activated samples were 17.0-115 mC cm-2 and 14.4-76.5 mC cm-2 for measurements carried out in 0.1 M sulfuric acid and in phosphate buffer saline solutions, respectively. A comparison of some characteristics of the samples obtained with commercially available cathode of pacemaker electrodes is also presented.
NASA Astrophysics Data System (ADS)
Böhrer, J.; Krost, A.; Heitz, R.; Heinrichsdorff, F.; Eckey, L.; Bimberg, D.; Cerva, H.
1996-02-01
The optical and structural properties of the normal InAlAs on InP and the inverted InP on the InAlAs staggered band lineup interface grown by metalorganic chemical vapor deposition (MOCVD) are compared by use of transmission electron microscopy (TEM), time integrated, and time resolved photoluminescence. TEM images show that both interfaces are dissimilar. The normal interface is very abrupt. The inverted interface shows an additional graded layer of about 2.5 nm in width of In1-xAlxAsyP1-y with x (0.48-0) and y (1.0-0.0). A large optical anisotropy exists because of the inequivalence of the two interfaces. The larger spatial separation of the carriers at the inverted interface is responsible for a smaller overlap of the electron and hole wave functions and for that reason a one order of magnitude longer e-h luminescence decay time of 45 ns is observed. The normal interface transition shifts approximately to the third root of excitation while the inverted interface transition shifts logarithmically.
Defect reduction of SiNx embedded m-plane GaN grown by hydride vapor phase epitaxy
NASA Astrophysics Data System (ADS)
Woo, Seohwi; Kim, Minho; So, Byeongchan; Yoo, Geunho; Jang, Jongjin; Lee, Kyuseung; Nam, Okhyun
2014-12-01
Nonpolar (1 0 -1 0) m-plane GaN has been grown on m-plane sapphire substrates by hydride vapor phase epitaxy (HVPE). We studied the defect reduction of m-GaN with embedded SiNx interlayers deposited by ex-situ metal organic chemical vapor deposition (MOCVD). The full-width at half-maximum values of the X-ray rocking curves for m-GaN with embedded SiNx along [1 1 -2 0]GaN and [0 0 0 1]GaN were reduced to 528 and 1427 arcs, respectively, as compared with the respective values of 947 and 3170 arcs, of m-GaN without SiNx. Cross-section transmission electron microscopy revealed that the basal stacking fault density was decreased by approximately one order to 5×104 cm-1 due to the defect blocking of the embedded SiNx. As a result, the near band edge emission intensities of the room-temperature and low-temperature photoluminescence showed approximately two-fold and four-fold improvement, respectively.
Shin, Jae Cheol; Kim, Kyou Hyun; Yu, Ki Jun; Hu, Hefei; Yin, Leijun; Ning, Cun-Zheng; Rogers, John A; Zuo, Jian-Min; Li, Xiuling
2011-11-09
We report on the one-dimensional (1D) heteroepitaxial growth of In(x)Ga(1-x)As (x = 0.2-1) nanowires (NWs) on silicon (Si) substrates over almost the entire composition range using metalorganic chemical vapor deposition (MOCVD) without catalysts or masks. The epitaxial growth takes place spontaneously producing uniform, nontapered, high aspect ratio NW arrays with a density exceeding 1 × 10(8)/cm(2). NW diameter (∼30-250 nm) is inversely proportional to the lattice mismatch between In(x)Ga(1-x)As and Si (∼4-11%), and can be further tuned by MOCVD growth condition. Remarkably, no dislocations have been found in all composition In(x)Ga(1-x)As NWs, even though massive stacking faults and twin planes are present. Indium rich NWs show more zinc-blende and Ga-rich NWs exhibit dominantly wurtzite polytype, as confirmed by scanning transmission electron microscopy (STEM) and photoluminescence spectra. Solar cells fabricated using an n-type In(0.3)Ga(0.7)As NW array on a p-type Si(111) substrate with a ∼ 2.2% area coverage, operates at an open circuit voltage, V(oc), and a short circuit current density, J(sc), of 0.37 V and 12.9 mA/cm(2), respectively. This work represents the first systematic report on direct 1D heteroepitaxy of ternary In(x)Ga(1-x)As NWs on silicon substrate in a wide composition/bandgap range that can be used for wafer-scale monolithic heterogeneous integration for high performance photovoltaics.
Sensitivity Analysis of Algan/GAN High Electron Mobility Transistors to Process Variation
2008-02-01
delivery system gas panel including both hydride and alkyl delivery modules and the vent/valve configurations [14...Reactor Gas Delivery Systems A basic schematic diagram of an MOCVD reactor delivery gas panel is shown in Figure 13. The reactor gas delivery...system, or gas panel , consists of a network of stainless steel tubing, automatic valves and electronic mass flow controllers (MFC). There are separate
NASA Astrophysics Data System (ADS)
Al-Amoody, Fuad; Suarez, Ernesto; Rodriguez, Angel; Heller, E.; Huang, Wenli; Jain, F.
2011-08-01
This paper presents a floating quantum dot (QD) gate nonvolatile memory device using high-energy-gap Zn y Cd1- y Se-cladded Zn x Cd1- x Se quantum dots ( y > x) with tunneling layers comprising nearly lattice-matched semiconductors (e.g., ZnS/ZnMgS) on Si channels. Also presented is the fabrication of an electroluminescent (EL) device with embedded cladded ZnCdSe quantum dots. These ZnCdSe quantum dots were embedded between indium tin oxide (ITO) on glass and a top Schottky metal electrode deposited on a thin CsF barrier. These QDs, which were nucleated in a photo-assisted microwave plasma (PMP) metalorganic chemical vapor deposition (MOCVD) reactor, were grown between the source and drain regions on a p-type silicon substrate of the nonvolatile memory device. The composition of QD cladding, which relates to the value of y in Zn y Cd1- y Se, was engineered by the intensity of ultraviolet light, which controlled the incorporation of zinc in ZnCdSe. The QD quality is comparable to those deposited by other methods. Characteristics and modeling of the II-VI quantum dots as well as two diverse types of devices are presented in this paper.
MOCVD growth and characterization of gallium nitride and gallium antimonide nanowires
NASA Astrophysics Data System (ADS)
Burke, Robert Alan
Group-III nitride and group-III antimonide thin films have been used for years in optoelectronic, high-speed applications, and high power/high temperature applications such as light emitting diodes (LEDs), microwave power devices, and thermovoltaics. In recent years, nanowires have gained interest due to the ability to take advantage of their geometry for increased light absorption and the synthesis of radial heterostructures. Several growth techniques have been explored for the growth of GaN and GaSb nanowires. Metal-organic chemical vapor deposition (MOCVD) is of particular interest due to its use in the commercial growth and fabrication of GaN-based and GaSb-based devices. The first part of this thesis focused on addressing several key issues related to the growth of GaN nanowires by MOCVD. Preliminary studies investigated the effect of growth conditions on GaN nanowire formation in a hot wall MOCVD reactor. A computational fluid dynamics-based model was developed to predict the gas phase velocity, temperature and concentration profiles in the reactor. The results demonstrate a strong dependence of GaN nanowire growth on substrate position within the reactor which is due to the rapid reaction and depletion of precursors near the gas inlet of the reactor. Ni-catalyzed GaN nanowire growth was observed to occur over the temperature range of 800-900°C, which is significantly lower than typical GaN thin film temperatures. The nanowires, however, exhibited a tapered diameter due to thin film deposition which occurred simultaneously with nanowire growth. Based on the low growth temperatures, TEM characterization was carried out to investigate the nature of the catalyst. Through these studies, the catalyst was found to consist of Ni3Ga, indicating the presence of a vapor-solid-solid growth mechanism. In an attempt to improve the nanowire growth selectivity, GeCl4 was added during growth resulting in a drastic increase in nanowire density and a reduction in the tapering of the nanowires. Upon further inspection with TEM, the nanowires were found to consist of two morphologies: smooth nanowires and serrated nanowires. The smooth nanowires were found to consist of the wurtzite crystal structure, while the serrated nanowires were determined to have a wurtzite core with zinc blende faceted islands protruding from the wurtzite core. The second half of this thesis focused on the growth and characterization of GaSb nanowires. An extensive amount of work has been carried out on GaSb thin films, however only a few reports exist on GaSb nanowire growth. As a result, it was necessarily to complete a systematic study to determine a growth window for GaSb nanowires. A narrow range of growth conditions were found for Au-catalyzed GaSb nanowire growth. Vertically oriented nanowires were observed over a pressure range of 150-300 Torr depending on the substrate. Based on these findings, additional characterization was carried out to investigate the structural properties of the nanowires along with chemical analysis of the catalyst to determine the nature of the catalyst as a function of the growth conditions. The catalyst was found to consist of Ga, Sb, and Au consistent with that expected for vapor-liquidsolid growth, however the concentrations varied depending on the growth conditions and nanowire sample. For one set of nanowires, the seed particle contained a Au-Sb solid solution (1-15 at.% Sb). For the other set of nanowires, the particle consisted of an AuSb2 grain and an AuGa or Au2Ga grain that resulted in the formation of a bicrystalline nanowire. Photoluminescence measurements were also obtained on these samples and compared to the thin film literature. Samples grown on Si (111) were found to possess good optical properties, while samples grown on sapphire substrates were dominated by native defect transitions. The optical quality of the nanowire sample was also found to have a significant dependence on the V/III ratio.
Ohmic contacts to n-GaN formed by ion-implanted Si into p-GaN
NASA Astrophysics Data System (ADS)
Bao, Xichang; Xu, Jintong; Zhang, Wenjing; Wang, Ling; Chu, Kaihui; Li, Chao; Li, Xiangyang
2009-07-01
In this paper, we report the ohmic contact to n-GaN fabricated by implanting silicon into Mg-doped GaN using an alloy of Ti/Al/Ti/Au metallization. The used materials were grown on (001) sapphire substrates by metal-organic chemical-vapor deposition (MOCVD). The layer structure was comprised of a GaN buffer layer and followed by a 2 μm thickness Mg-doped GaN (Na=5×1017cm-3) and then double silicon implantation was performed in order to convert p-type GaN into n-type GaN films. The as-implanted samples were then thermal annealed at 1150 °C for 5 min in N2 ambient. The carrier concentration and Hall mobility were 3.13×1018 cm3 and 112 cm2/ (VÂ.s) measured by Hall method. Multilayer electrode of Ti (50 nm)/Al (50 nm)/Ti (30 nm)/Au (30 nm) was deposited on n-GaN using an electron-beam evaporation and contacts were formed by a N2 annealing technique ranging from 600 to 900 °C. After annealing lower than 700 °C, the contacts exhibited a rectifying behavior and became ohmic contact only after high temperature processes (>=700 °C). Specific contact resistance was as low as 9.58×10-4 ΩÂ.cm2 after annealing at 800 °C for 60 seconds. While annealing temperature is higher than 800 °C, the specific contact resistance becomes worse. This phenomenon is caused by the surface morphology degradation.
Growth and characteristics of p-type doped GaAs nanowire
NASA Astrophysics Data System (ADS)
Li, Bang; Yan, Xin; Zhang, Xia; Ren, Xiaomin
2018-05-01
The growth of p-type GaAs nanowires (NWs) on GaAs (111) B substrates by metal-organic chemical vapor deposition (MOCVD) has been systematically investigated as a function of diethyl zinc (DEZn) flow. The growth rate of GaAs NWs was slightly improved by Zn-doping and kink is observed under high DEZn flow. In addition, the I–V curves of GaAs NWs has been measured and the p-type dope concentration under the II/III ratio of 0.013 and 0.038 approximated to 1019–1020 cm‑3. Project supported by the National Natural Science Foundation of China (Nos. 61376019, 61504010, 61774021) and the Fund of State Key Laboratory of Information Photonics and Optical Communications (Beijing University of Posts and Telecommunications), China (Nos. IPOC2017ZT02, IPOC2017ZZ01).
DOE Office of Scientific and Technical Information (OSTI.GOV)
Mbamara, U. S.; Olofinjana, B.; Ajayi, O. O.
Most researches on doped ZnO thin films are tilted toward their applications in optoelectronics and semiconductor devices. Research on their tribological properties is still unfolding. In this work, nitrogen-doped ZnO thin films were deposited on 304 L stainless steel substrate from a combination of zinc acetate and ammonium acetate precursor by MOCVD technique. Compositional and structural studies of the films were done using Rutherford Backscattering Spectroscopy (RBS) and X-ray Diffraction (XRD). The frictional behavior of the thin film coatings was evaluated using a ball-on-flat configuration in reciprocating sliding under dry contact condition. After friction test, the flat and ball counter-facemore » surfaces were examined to assess the wear dimension and failure mechanism. In conclusion, both friction behavior and wear (in the ball counter-face) were observed to be dependent on the crystallinity and thickness of the thin film coatings.« less
NASA Astrophysics Data System (ADS)
Tu, Wenbin; Chen, Zimin; Zhuo, Yi; Li, Zeqi; Ma, Xuejin; Wang, Gang
2018-05-01
Ultraviolet (UV)-transparent indium tin oxide (ITO) grown by metal–organic chemical vapor deposition (MOCVD) is used as the current-spreading layer for 368 nm AlGaN-based light-emitting diodes (LEDs). By performing in situ contact treatment on the LED/ITO interface, the morphology, resistivity, and contact resistance of electrodes become controllable. Resistivity of 2.64 × 10‑4 Ω cm and transmittance at 368 nm of 95.9% are realized for an ITO thin film grown with Sn-purge in situ treatment. Therefore, the high-power operating voltage decreases from 3.94 V (without treatment) to 3.83 V (with treatment). The improved performance is attributed to the lowering of the tunneling barrier at the LED/ITO interface.
Effect of in situ Al doping on structure and optical properties of ZnO nanowires grown by MOCVD
NASA Astrophysics Data System (ADS)
Souissi, H.; Jabri, S.; Souissi, A.; Lusson, A.; Galtier, P.; Meftah, A.; Sallet, V.; Oueslati, M.
2018-01-01
Al-doped ZnO nanowires (NWs) were grown on C-axis oriented sapphire by metal organic chemical vapor deposition using dimethylzinc-triethylamine (DMZn-TEN), nitrogen dioxide (NO2) and TMAl as zinc, oxygen and aluminum doping sources respectively. The NWs morphology has been characterized by scanning electron microscopy and transmission electron microscopy. The photoluminescence (PL) spectra exhibit a strong excitonic transition bond that confirms the Al incorporation in the ZnO NWs. Raman results support PL conclusion by showing additional modes in Al-doped ZnO NWs at nearly 270, 510, 579 and 641 cm-1. The micro-Raman scattering analysis along a single Al-doped ZnO needle-like NW shows an increase of the Al concentration from the basis to the tip of the wire.
Fermi Level Control of Point Defects During Growth of Mg-Doped GaN
NASA Astrophysics Data System (ADS)
Bryan, Zachary; Hoffmann, Marc; Tweedie, James; Kirste, Ronny; Callsen, Gordon; Bryan, Isaac; Rice, Anthony; Bobea, Milena; Mita, Seiji; Xie, Jinqiao; Sitar, Zlatko; Collazo, Ramón
2013-05-01
In this study, Fermi level control of point defects during metalorganic chemical vapor deposition (MOCVD) of Mg-doped GaN has been demonstrated by above-bandgap illumination. Resistivity and photoluminescence (PL) measurements are used to investigate the Mg dopant activation of samples with Mg concentration of 2 × 1019 cm-3 grown with and without exposure to ultraviolet (UV) illumination. Samples grown under UV illumination have five orders of magnitude lower resistivity values compared with typical unannealed GaN:Mg samples. The PL spectra of samples grown with UV exposure are similar to the spectra of those grown without UV exposure that were subsequently annealed, indicating a different incorporation of compensating defects during growth. Based on PL and resistivity measurements we show that Fermi level control of point defects during growth of III-nitrides is feasible.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Barron, A.R.
1996-12-31
An overview of the development of a new dielectric material, cubic-GaS, from the synthesis of new organometallic compounds to the fabrication of a new class of gallium arsenide based transistor is presented as a representative example of the possibility that inorganic chemistry can directly effect the development of new semiconductor devices. The gallium sulfido compound [({sup t}Bu)GaS]{sub 4}, readily prepared from tri-tert-butyl gallium, may be used as a precursor for the growth of GaS thin films by metal organic chemical vapor deposition (MOCVD). Photoluminescence and electronic measurements indicate that this material provides a passivation coating for GaAs. Furthermore, the insulatingmore » properties of cubic-GaS make it suitable as the insulating gate layer in a new class of GaAs transistor: a field effect transistor with a sulfide heterojunction (FETISH).« less
NASA Astrophysics Data System (ADS)
Breiland, William G.; Hou, Hong Q.; Chui, Herman C.; Hammons, Burrel E.
1997-04-01
In situ normal incidence reflectance, combined with a virtual interface model, is being used routinely on a commercial metal organic chemical vapor deposition reactor to measure growth rates of compound semiconductor films. The technique serves as a pre-growth calibration tool analogous to the use of reflection high-energy electron diffraction in molecular beam epitaxy as well as a real-time monitor throughout the run. An application of the method to the growth of a vertical cavity surface emitting laser (VCSEL) device structure is presented. All necessary calibration information can be obtained using a single run lasting less than 1 h. Working VCSEL devices are obtained on the first try after calibration. Repeated runs have yielded ±0.3% reproducibility of the Fabry-Perot cavity wavelength over the course of more than 100 runs.
Optical properties of InGaN grown by MOCVD on sapphire and on bulk GaN
NASA Astrophysics Data System (ADS)
Osinski, Marek; Eliseev, Petr G.; Lee, Jinhyun; Smagley, Vladimir A.; Sugahara, Tamoya; Sakai, Shiro
1999-11-01
Experimental data on photoluminescence of various bulk and quantum-well epitaxial InGaN/GaN structures grown by MOCVD are interpreted in terms of a band-tail model of inhomogeneously broadened radiative recombination. The anomalous temperature-induced blue spectral is shown to result from band-tail recombination under non-degenerate conditions. Significant differences are observed between epilayers grown on sapphire substrates and on GaN substrates prepared by the sublimination method, with no apparent evidence of band tails in homoepitaxial structures, indicating their higher crystalline quality.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Seacrist, Michael
The objective of this project was to develop the Electrochemical Solution Growth (ESG) method conceived / patented at Sandia National Laboratory into a commercially viable bulk gallium nitride (GaN) growth process that can be scaled to low cost, high quality, and large area GaN wafer substrate manufacturing. The goal was to advance the ESG growth technology by demonstrating rotating seed growth at the lab scale and then transitioning process to prototype commercial system, while validating the GaN material and electronic / optical device quality. The desired outcome of the project is a prototype commercial process for US-based manufacturing of highmore » quality, large area, and lower cost GaN substrates that can drive widespread deployment of energy efficient GaN-based power electronic and optical devices. In year 1 of the project (Sept 2012 – Dec 2013) the overall objective was to demonstrate crystalline GaN growth > 100um on a GaN seed crystal. The development plan included tasks to demonstrate and implement a method for purifying reagent grade salts, develop the reactor 1 process for rotating seed Electrochemical Solution Growth (ESG) of GaN, grow and characterize ESG GaN films, develop a fluid flow and reaction chemistry model for GaN film growth, and design / build an improved growth reactor capable of scaling to 50mm seed diameter. The first year’s project objectives were met in some task areas including salt purification, film characterization, modeling, and reactor 2 design / fabrication. However, the key project objective of the growth of a crystalline GaN film on the seed template was not achieved. Amorphous film growth on the order of a few tenths of a micron has been detected with a film composition including Ga and N, plus several other impurities originating from the process solution and hardware. The presence of these impurities, particularly the oxygen, has inhibited the demonstration of crystalline GaN film growth on the seed template. However, the presence of both Ga and N at the growth surface indicates that the reactor hardware physics is all functioning properly; achieving film growth is a matter of controlling the chemistry at the interface. The impurities originating from the hardware are expected to be straightforward to eliminate. Activities were defined for an extension of budget period 1 to eliminate the undesired impurities originating from the reactor hardware and interfering with crystalline GaN film growth. The budget period 1 extension was negotiated during the 1st half of 2014. The budget period 1 extension spanned approximately from August 2014 to August 2015. The project objective for this extension period was to demonstrate at least 0.5um crystalline GaN film on a GaN seed in the lab scale reactor. The focus of the budget 1 extension period from August 2014 to August 2015 was to eliminate oxygen contamination interference with GaN film growth. The team procured the highest purity lowest oxygen salt for testing. Low oxygen crucible materials such as silicon carbide were installed and evaluated in the laboratory reactor. Growth experiments were performed with high purity salt, high purity hardware, and optimized oxide removal from the seed surface. Experiments were characterized with methods including UV inspection, profilometry, x-ray diffraction (XRD) to determine crystalline structure, optical and scanning electron microscopy, photoluminescence, x-ray photon spectroscopy (XPS), transmission electron microscopy (TEM), and secondary ion mass spectroscopy (SIMS). Despite successfully integrating the low oxygen materials in the laboratory reactor, the goal of depositing 0.5um of crystalline GaN on the MOCVD GaN seed was not met. Very thin (ca. 10nm) cubic phase GaN deposition was observed on the hexagonal MOCVD GaN seeds. But there was a competing etching reaction which was also observed and thought to be related to the presence of metallic lithium, a byproduct of the LiCl-KCl salt used as the process medium. The etching reaction could potentially be addressed by alternate salts not containing lithium, but would necessitate starting all over on the reactor and process design. Further, controlling the reaction of Ga and N in the bulk salt to favor deposition on the seed has proved to be very difficult and unlikely to be solved within the scope of this project in a manner consistent with the original objective for wafer or crystal scale thickness for GaN deposition on a GaN seed. Upon completion of the budget 1 extension period in August 2015 the project partners and DOE agreed to stop work on the project.« less
Dielectric function of InGaAs in the visible
NASA Technical Reports Server (NTRS)
Alterovitz, S. A.; Sieg, R. E.; Yao, H. D.; Snyder, P. G.; Woollam, J. A.; Pamulapati, J.; Bhattacharya, P. K.; Sekula-Moise, P. A.
1990-01-01
Measurements are reported of the dielectric function of thermodynamically stable In(x)Ga(1-x)As in the composition range 0.3 equal to or less than X = to or less than 0.7. The optically thick samples of InGaAs were made by molecular beam epitaxy (MBE) in the range 0.4 = to or less than X = to or less than 0.7 and by metal-organic chemical vapor deposition (MOCVD) for X = 0.3. The MBE made samples, usually 1 micron thick, were grown on semi-insulating InP and included a strain release structure. The MOCVD sample was grown on GaAs and was 2 microns thick. The dielectric functions were measured by variable angle spectroscopic ellipsometry in the range 1.55 to 4.4 eV. The data was analyzed assuming an optically thick InGaAs material with an oxide layer on top. The thickness of this layer was estimated by comparing the results for the InP lattice matched material, i.e., X = 0.53, with results published in the literature. The top oxide layer mathematically for X = 0.3 and X = 0.53 was removed to get the dielectric function of the bare InGaAs. In addition, the dielectric function of GaAs in vacuum, after a protective arsenic layer was removed. The dielectric functions for X = 0, 0.3, and 0.53 together with the X = 1 result from the literature to evaluate an algorithm for calculating the dielectric function of InGaAs for an arbitrary value of X(0 = to or less than X = to or less than 1) were used. Results of the dielectric function calculated using the algorithm were compared with experimental data.
Dielectric function of InGaAs in the visible
NASA Technical Reports Server (NTRS)
Alterovitz, S. A.; Yao, H. D.; Snyder, P. G.; Woolam, J. A.; Pamulapati, J.; Bhattacharya, P. K.; Sekula-Moise, P. A.; Sieg, R. E.
1990-01-01
Measurements are reported of the dielectric function of thermodynamically stable In(x)Ga(1-x)As in the composition range 0.3 equal to or less than X = to or less than 0.7. The optically thick samples of InGaAs were made by molecular beam epitaxy (MBE) in the range 0.4 = to or less than X = to or less than 0.7 and by metal-organic chemical vapor deposition (MOCVD) for X = 0.3. The MBE made samples, usually 1 micron thick, were grown on semi-insulating InP and included a strain release structure. The MOCVD sample was grown on GaAs and was 2 microns thick. The dielectric functions were measured by variable angle spectroscopic ellipsometry in the range 1.55 to 4.4 eV. The data was analyzed assuming an optically thick InGaAs material with an oxide layer on top. The thickness of this layer was estimated by comparing the results for the InP lattice matched material, i.e., X = 0.53, with results published in the literature. The top oxide layer mathematically for X = 0.3 and X = 0.53 was removed to get the dielectric function of the bare InGaAs. In addition, the dielectric function of GaAs in vacuum, after a protective arsenic layer was removed. The dielectric functions for X = 0, 0.3, and 0.53 together with the X = 1 result from the literature to evaluate an algorithm for calculating the dielectric function of InGaAs for an arbitrary value of X (0 = to or less than X = to or less than 1) were used. Results of the dielectric function calculated using the algorithm were compared with experimental data.
Phototransistors Development and their Applications to Lidar
NASA Technical Reports Server (NTRS)
Abedin, M. N.; Refaat, Tamer F.; Ismail, Syed; Singh, Upendra N.
2007-01-01
Custom-designed two-micron phototransistors have been developed using Liquid Phase Epitaxy (LPE), Molecular Beam Epitaxy (MBE) and Metal-Organic Chemical Vapor Deposition (MOCVD) techniques under Laser Risk Reduction Program (LRRP). The devices were characterized in the Detector Characterization Laboratory at NASA Langley Research Center. It appears that the performance of LPE- and MBE-grown phototransistors such as responsivity, noise-equivalent-power, and gain, are better than MOCVD-grown devices. Lidar tests have been conducted using LPE and MBE devices under the 2-micrometer CO2 Differential Absorption Lidar (DIAL) Instrument Incubator Program (IIP) at the National Center for Atmospheric Research (NCAR), Boulder, Colorado. The main focus of these tests was to examine the phototransistors performances as compared to commercial InGaAs avalanche photodiode by integrating them into the Raman-shifted Eye-safe Aerosol Lidar (REAL) operating at 1.543 micrometers. A simultaneous measurement of the atmospheric backscatter signals using the LPE phototransistors and the commercial APD demonstrated good agreement between these two devices. On the other hand, simultaneous detection of lidar backscatter signals using MBE-grown phototransistor and InGaAs APD, showed a general agreement between these two devices with a lower performance than LPE devices. These custom-built phototransistors were optimized for detection around 2-micrometer wavelength while the lidar tests were performed at 1.543 micrometers. Phototransistor operation at 2-micron will improve the performance of a lidar system operating at that wavelength. Measurements include detecting hard targets (Rocky Mountains), atmospheric structure consisting of cirrus clouds and boundary layer. These phototransistors may have potential for high sensitivity differential absorption lidar measurements of carbon dioxide and water vapor at 2.05-micrometers and 1.9-micrometers, respectively.
NASA Astrophysics Data System (ADS)
Mori, Takuma; Egawa, Takashi; Miyoshi, Makoto
2017-08-01
We conducted the study on the growth of rough-surface p-GaN layers on InGaN/GaN multiple-quantum-well (MQW) structures by metalorganic chemical vapor deposition (MOCVD). It was found that the sum of InGaN well thickness t well_total was a predominant factor to form the rough surface, in addition to the growth temperature as low as 800 °C for the p-GaN layers. Microstructure analyses revealed that the rough surfaces consisted of a certain number of hexagonal V-shaped pits starting from dislocations propagated through an under layer and they increased with the increased t well_total. It was confirmed that the light absorption was enlarged for MQW structure samples with rough-surface p-GaN layers on the top, owing to not only the thickness effect in MQWs but also their reduced light reflection on the surfaces. It was also confirmed that these optical properties contributed to the performance improvement in InGaN/GaN MQW solar cells.
CVD of SiC and AlN using cyclic organometallic precursors
NASA Technical Reports Server (NTRS)
Interrante, L. V.; Larkin, D. J.; Amato, C.
1992-01-01
The use of cyclic organometallic molecules as single-source MOCVD precursors is illustrated by means of examples taken from our recent work on AlN and SiC deposition, with particular focus on SiC. Molecules containing (AlN)3 and (SiC)2 rings as the 'core structure' were employed as the source materials for these studies. The organoaluminum amide, (Me2AlNH2)3, was used as the AlN source and has been studied in a molecular beam sampling apparatus in order to determine the gas phase species present in a hot-wall CVD reactor environment. In the case of SiC CVD, a series of disilacyclobutanes (Si(XX')CH2)2 (with X and X' = H, CH3, and CH2SiH2CH3), were examined in a cold-wall, hot-stage CVD reactor in order to compare their relative reactivities and prospective utility as single-source CVD precursors. The parent compound, disilacyclobutane, (SiH2CH2)2, was found to exhibit the lowest deposition temperature (ca. 670 C) and to yield the highest purity SiC films. This precursor gave a highly textured, polycrystalline film on the Si(100) substrates.
Effect of annealing time and NH3 flow on GaN films deposited on amorphous SiO2 by MOCVD
NASA Astrophysics Data System (ADS)
Li, Tianbao; Liu, Chenyang; Zhang, Zhe; Yu, Bin; Dong, Hailiang; Jia, Wei; Jia, Zhigang; Yu, Chunyan; Xu, Bingshe
2018-05-01
GaN polycrystalline films were successfully grown on amorphous SiO2 by metal-organic chemical vapour deposition to fabricate transferable devices using inorganic films. Field-emission scanning electron microscopy images show that by prolonging the annealing time, re-evaporation is enhanced, which reduced the uniformity of the nucleation layer and GaN films. X-ray diffraction patterns indicate that the decomposition rate of the nucleation layer increases when the annealing flow rate of NH3 is 500 sccm, which makes the unstable plane and amorphous domains decompose rapidly, thereby improving the crystallinity of the GaN films. Photoluminescence spectra also indicate the presence of fewer defects when the annealing flow rate of NH3 is 500 sccm. The excellent crystal structure of the GaN films grown under optimized conditions was revealed by transmission electron microscopy analysis. More importantly, the crystal structure and orientation of GaN grown on SiO2 are the same as that of GaN grown on conventional sapphire substrate when a buffer layer is used. This work can aid in the development of transferable devices using GaN films.
In-situ diagnostics for metalorganic chemical vapor deposition of yttrium barium copper oxide
NASA Astrophysics Data System (ADS)
Tripathi, Ashok Burton
A new stagnation flow MOCVD research reactor is described that is designed to serve as a testbed to develop tools for "intelligent" thin film deposition, such as in-situ sensors and diagnostics, control algorithms, and thin film growth models. The reactor is designed in particular for the deposition of epitaxial YBa2Cu3O 7-delta on MgO, although with minor modifications it would be suitable for deposition of any metal-oxide thin films. The reactor is specifically designed to permit closed-loop thermal and stoichiometric control of the film growth process. Closed-loop control of precursor flow rates is accomplished by using ultraviolet absorption spectroscopy on each precursor line. Also integrated into the design is a Fourier Transform Infrared (FTIR) spectroscopy system which collects real-time, in-situ infrared polarized reflectance spectra of the film as it grows. Numerical simulation was used extensively to optimize the fluid dynamics and heat transfer to provide uniform fluxes to the substrate. As a result, thickness uniformity across the substrate is typically within 3% from the center to the edge of the substrate. Experimental studies of thin films grown in the Y/Ba/Cu/O system have been carried out. The films have been characterized by Rutherford Backscattering Spectrometry and X-ray Diffraction. Results indicate c-axis oriented grains with pure 1:2:3 phase YBCO, good spatial uniformity, and a low degree of c-axis wobble. Experimental growth data is used in a gas phase and surface chemistry model to calculate sticking coefficients for yttrium oxide, barium oxide, and copper oxide on YBCO. In-situ FTIR and Coherent Gradient Sensing (CGS) analysis of growing films has been performed, yielding accurate substrate temperature, film thickness monitoring, and full-field, real-time curvature maps of the films. In addition, we have implemented CGS to obtain full-field in-situ images of local curvature during oxygenation and deoxygenation of YBCO films. An analysis of the oxygen diffusion is performed, and diffusivity constants are presented for a variety of temperature and film conditions.
NASA Astrophysics Data System (ADS)
Yu, Haijiang
This dissertation is focused on three fields: ultra-high temperature annealing of GaN, activation of implanted GaN and the implantation incorporation into AlGaN/GaN HEMT processing, with an aim to increase the performance, manufacturability and reliability of AlGaN/GaN HEMTs. First, the ultra high temperature (around 1500°C) annealing of MOCVD grown GaN on sapphire has been studied, and a thermally induced threading dislocation (TD) motion and reaction are reported. Using a rapid thermal annealing (RTA) approach capable of heating 2 inch wafers to around 1500°C with 100 bar N2 over-pressure, evidence of dislocation motion was first observed in transmission electron microscopy (TEM) micrographs of both planar and patterned GaN films protected by an AIN capping layer. An associated decrease in x-ray rocking curve (XRC) full-width-half-maximum (FWHM) was also observed for both the symmetric and asymmetric scans. After annealing, the AIN capping layer remained intact, and optical measurements showed no degradation of the opto-electronic properties of the films. Then activation annealing of Si implants in MOCVD grown GaN has been studied for use in ohmic contacts. Si was implanted in semi-insulating GaN at 100 keV with doses from 5 x 1014 cm-2 to 1.5 x 1016 cm-2. Rapid thermal annealing at 1500°C with 100 bar N2 over-pressure was used for dopant activation, resulting in a minimum sheet resistance of 13.9 O/square for a dose of 7 x 1015 cm-2. Secondary ion mass spectroscopy measurements showed a post-activation broadening of the dopant concentration peak by 20 nm (at half the maximum), while X-Ray triple axis o-2theta scans indicated nearly complete implant damage recovery. Transfer length method measurements of the resistance of Ti/Al/Ni/Au contacts to activated GaN:Si (5 x 1015 cm-2 at 100 keV) indicated lowest contact resistances of 0.07 Omm and 0.02 Omm for as-deposited and subsequently annealed contacts, respectively. Finally, the incorporation of Si implantation into AlGaN/GaN high electron mobility transistor processing has been first demonstrated. An ultra-high temperature (1500°C) rapid thermal annealing technique was developed for the activation of Si dopants implanted in the source and drain. In comparison to control devices processed by conventional fabrication, the implanted device with nonalloyed ohmic contact showed comparable device performance with a contact resistance of 0.4 Omm Imax 730 mA/mm ft/f max; 26/62 GHz and power 3.4 W/mm on sapphire. These early results demonstrate the feasibility of implantation incorporation into GaN based device processing as well as the potential to increase yield, reproducibility and reliability in AlGaN/GaN HEMTs.
NASA Astrophysics Data System (ADS)
Wang, Xiaowei; Yang, Jing; Zhao, Degang; Jiang, Desheng; Liu, Zongshun; Liu, Wei; Liang, Feng; Liu, Shuangtao; Xing, Yao; Wang, Wenjie; Li, Mo
2018-02-01
Room-temperature photoluminescence (RT PL) spectra of InGaN/GaN multiple quantum well (MQW) structures grown by metalorganic chemical vapor deposition (MOCVD) was investigated. It is found that with increasing In content in GaN barriers, the FWHM and emission intensity decreases, and the emission wavelength is first red shift and then blue shift. The shrinkage of FWHM and emission wavelength blue shift can be attributed to the reduction of piezoelectric field, and the lower height of potential barrier will make carrier confinement weaker and ground state level lower, which resulting in emission intensity decreasing and wavelength red shift. In addition, doping the barrier with In will induce more inhomogeneous and deeper localized states in InGaN QWs, which also contribute to a red shift of PL emission wavelength.
Four-junction AlGaAs/GaAs laser power converter
NASA Astrophysics Data System (ADS)
Huang, Jie; Sun, Yurun; Zhao, Yongming; Yu, Shuzhen; Dong, Jianrong; Xue, Jiping; Xue, Chi; Wang, Jin; Lu, Yunqing; Ding, Yanwen
2018-04-01
Four-junction AlGaAs/GaAs laser power converters (LPCs) with n+-GaAs/p+-Al0.37Ga0.63As heterostructure tunnel junctions (TJs) have been designed and grown by metal-organic chemical vapor deposition (MOCVD) for converting the power of 808 nm lasers. A maximum conversion efficiency η c of 56.9% ± 4% is obtained for cells with an aperture of 3.14 mm2 at an input laser power of 0.2 W, while dropping to 43.3% at 1.5 W. Measured current–voltage (I–V) characteristics indicate that the performance of the LPC can be further improved by increasing the tunneling current density of TJs and optimizing the thicknesses of sub-cells to achieve current matching in LPC. Project financially supported by the National Natural Science Foundation of China (No. 61376065) and Zhongtian Technology Group Co. Ltd.
Orange a-plane InGaN/GaN light-emitting diodes grown on r-plane sapphire substrates.
Seo, Yong Gon; Baik, Kwang Hyeon; Song, Hooyoung; Son, Ji-Su; Oh, Kyunghwan; Hwang, Sung-Min
2011-07-04
We report on orange a-plane light-emitting diodes (LEDs) with InGaN single quantum well (SQW) grown on r-plane sapphire substrates by metal organic chemical vapor deposition (MOCVD). The peak wavelength and the full-width at half maximum (FWHM) at a drive current of 20mA were 612.2 nm and 72 nm, respectively. The device demonstrated a blue shift in emission wavelength from 614.6 nm at 10 mA to 607.5 nm at 100 mA, representing a net shift of 7.1 nm over a 90 mA range, which is the longest wavelength compared with reported values in nonpolar LEDs. The polarization ratio values obtained from the orange LED varied between 0.36 and 0.44 from 10 to 100mA and a weak dependence of the polarization ratio on the injection current was observed.
NASA Astrophysics Data System (ADS)
Davydova, Evgeniya I.; Drakin, A. E.; Eliseev, P. G.; Pak, G. T.; Popovichev, V. V.; Uspenskiĭ, M. B.; Khlopotin, S. E.; Shishkin, Viktor A.
1992-10-01
An optical model is constructed for a GaAlAs/GaAs stripe-geometry laser heterostructure with a ridge-waveguide configuration in the p-type emitter layer. This waveguide configuration provides lateral optical confinement. The directional characteristics of the output are found as a function of the parameters of the structure. The quantum-well active layer is in a three-layer waveguide (in a separate-confinement structure). Laser structures were fabricated experimentally by MOCVD epitaxy followed by ion-chemical etching and vacuum deposition of zinc selenide on the mesa stripes. Low-threshold lasers with a cw, single-frequency power up to 40 μW were obtained. In single-spatial-mode operation, a power up to 80 μW was achieved at a wavelength of 780 nm. Windows of ZnSe were grown on the laser facets to improve the optical strength.
Lo Nigro, Raffaella; Malandrino, Graziella; Toro, Roberta G; Losurdo, Maria; Bruno, Giovanni; Fragalà, Ignazio L
2005-10-12
CaCu3Ti4O12 (CCTO) thin films were successfully grown on LaAlO3(100) and Pt/TiO2/SiO2/Si(100) substrates by a novel MOCVD approach. Epitaxial CCTO(001) thin films have been obtained on LaAlO3(100) substrates, while polycrystalline CCTO films have been grown on Pt/TiO2/SiO2/Si(100) substrates. Surface morphology and grain size of the different nanostructured deposited films were examined by AFM, and spectroscopic ellipsometry has been used to investigate the electronic part of the dielectric constant (epsilon2). Looking at the epsilon2 curves, it can be seen that by increasing the film structural order, a greater dielectric response has been obtained. The measured dielectric properties accounted for the ratio between grain volumes and grain boundary areas, which is very different in the different structured films.
The effect of V/III ratio on the morphology and structure of GaAs nanowires by MOCVD
NASA Astrophysics Data System (ADS)
Liu, Yan; Peng, Yan; Guo, Jingwei; La, Dongsheng; Xu, Zhaopeng
2018-05-01
In this paper, GaAs nanowires with different V/III ratios (70, 140, 280 and 560) were vertically grown from bottom to top on GaAs substrates by using metal organic chemical vapor deposition based on gold assisted vapor-liquid-solid mechanism. It is found that the growth rate of nanowires is inversely proportional to their V/III ratio. And the V/III ratio can also change nanowire growth type. For the nanowire with small V/III ratios (≤280), the reactants are most from those atoms merged in the catalyst. But, for the nanowire with V/III ratio 560, the contribution mainly comes from the diffusions of atoms pyrolyzed on the surface of the nanowire and the substrate. A shrunken neck under the catalyst is observed in TEM characterizations. These results will provide a theoretical basis for potential practical applications of nanowire-based devices.
Crystal Structure and Ferroelectric Properties of ε-Ga2O3 Films Grown on (0001)-Sapphire.
Mezzadri, Francesco; Calestani, Gianluca; Boschi, Francesco; Delmonte, Davide; Bosi, Matteo; Fornari, Roberto
2016-11-21
The crystal structure and ferroelectric properties of ε-Ga 2 O 3 deposited by low-temperature MOCVD on (0001)-sapphire were investigated by single-crystal X-ray diffraction and the dynamic hysteresis measurement technique. A thorough investigation of this relatively unknown polymorph of Ga 2 O 3 showed that it is composed of layers of both octahedrally and tetrahedrally coordinated Ga 3+ sites, which appear to be occupied with a 66% probability. The refinement of the crystal structure in the noncentrosymmetric space group P6 3 mc pointed out the presence of uncompensated electrical dipoles suggesting ferroelectric properties, which were finally demonstrated by independent measurements of the ferroelectric hysteresis. A clear epitaxial relation is observed with respect to the c-oriented sapphire substrate, with the Ga 2 O 3 [10-10] direction being parallel to the Al 2 O 3 direction [11-20], yielding a lattice mismatch of about 4.1%.
Color tunable monolithic InGaN/GaN LED having a multi-junction structure.
Kong, Duk-Jo; Kang, Chang-Mo; Lee, Jun-Yeob; Kim, James; Lee, Dong-Seon
2016-03-21
In this study, we have fabricated a blue-green color-tunable monolithic InGaN/GaN LED having a multi-junction structure with three terminals. The device has an n-p-n structure consisting of a green and a blue active region, i.e., an n-GaN / blue-MQW / p-GaN / green-MQW / n-GaN / Al2O3 structure with three terminals for independently controlling the two active regions. To realize this LED structure, a typical LED consisting of layers of n-GaN, blue MQW, and p-GaN is regrown on a conventional green LED by using a metal organic chemical vapor deposition (MOCVD) method. We explain detailed mechanisms of three operation modes which are the green, blue, and cyan mode. Moreover, we discuss optical properties of the device.
High performance InP JFETs grown by MOCVD using tertiarybutylphosphine
NASA Astrophysics Data System (ADS)
Hashemi, M. M.; Shealy, J. B.; Corvini, P. J.; Denbaars, S. P.; Mishra, U. K.
1994-02-01
Indium phosphide channel junction field effect transistors were fabricated by metalorganic chemical vapor deposition using tertiarybulylphosphine (TBP) as the alternative source for phosphine. At growth temperatures of 600°C, InP with specular surface morphology and mobilities as high as 61000 cm2/V s at 77Khas been achieved using trimethylindium and TBP. To improve device isolation, pinch-off characteristics, and output transconductance, we employ a high resistivity (1 × 108 Ω-cm) semi-insulating InP buffer layer using ferrocene as the Fe-dopant. Devices with gate lengths of 1 urn exhibit very high extrinsic transconductance of 130 mS/mm, gate-drain breakdown voltage exceeding 20 V, maximum current density of >450 mA/mm with record high fT and fmax of 15 GHz and 35 GHz, respectively. These results indicate: that InP JFETs are promising electronic devices for microwave power amplification, and that TBP is capable of device quality materials.
High nitrogen pressure solution growth of GaN
NASA Astrophysics Data System (ADS)
Bockowski, Michal
2014-10-01
Results of GaN growth from gallium solution under high nitrogen pressure are presented. Basic of the high nitrogen pressure solution (HNPS) growth method is described. A new approach of seeded growth, multi-feed seed (MFS) configuration, is demonstrated. The use of two kinds of seeds: free-standing hydride vapor phase epitaxy GaN (HVPE-GaN) obtained from metal organic chemical vapor deposition (MOCVD)-GaN/sapphire templates and free-standing HVPE-GaN obtained from the ammonothermally grown GaN crystals, is shown. Depending on the seeds’ structural quality, the differences in the structural properties of pressure grown material are demonstrated and analyzed. The role and influence of impurities, like oxygen and magnesium, on GaN crystals grown from gallium solution in the MFS configuration is presented. The properties of differently doped GaN crystals are discussed. An application of the pressure grown GaN crystals as substrates for electronic and optoelectronic devices is reported.
NASA Astrophysics Data System (ADS)
Xia, Jinan; Hoan O, Beom; Gol Lee, Seung; Hang Lee, El
2005-03-01
High-performance InGaAs/InGaAlAs multiple-quantum-well vertical-cavity surface-emitting lasers (VCSELs) with InGaAlAs/InP distributed Bragg reflectors are proposed for operation at the wavelength of 1.55 μm. The lasers have good heat diffusion characteristic, large index contrast in DBRs, and weak temperature sensitivity. They could be fabricated either by metal-organic chemical vapor deposition (MOCVD) or by molecular beam epitaxy (MBE) growth. The laser light-current characteristics indicate that a suitable reflectivity of the DBR on the light output side in a laser makes its output power increase greatly and its lasing threshold current reduce significantly, and that a small VCSEL could output the power around its maximum for the output mirror at the reflectivity varying in a broader range than a large VCSEL does.
1992-05-01
molecular beam epitaxy (MWE). The crystal growers have been persuaded of the importance of this work, and several substrate rotation arrangements and In...RPG VCSELS for optical pumping at 800 wm GaAs/GaAlAs RPA etalons without epitaxial reflectors. The first three wafers were destined for above- and below...of MOCVD-grown GaAs/GaAIAs RPO- VCSEL samples with 20 quantum wells and epitaXial multilayer high-reflectivity stacks with R=3.995 and 0.999 was pumped
Effects of Plasma Hydrogenation on Trapping Properties of Dislocations in Heteroepitaxial InP/GaAs
NASA Technical Reports Server (NTRS)
Ringel, S. A.; Chatterjee, B.
1994-01-01
In previous work, we have demonstrated the effectiveness of a post-growth hydrogen plasma treatment for passivating the electrical activity of dislocations in metalorganic chemical vapor deposition (MOCVD) grown InP on GaAs substrates by a more than two order of magnitude reduction in deep level concentration and an improvement in reverse bias leakage current by a factor of approx. 20. These results make plasma hydrogenation an extremely promising technique for achieving high efficiency large area and light weight heteroepitaxial InP solar cells for space applications. In this work we investigate the carrier trapping process by dislocations in heteroepitaxial InP/GaAs and the role of hydrogen passivation on this process. It is shown that the charge trapping kinetics of dislocations after hydrogen passivation are significantly altered, approaching point defect-like behavior consistent with a transformation from a high concentration of dislocation-related defect bands within the InP bandgap to a low concentration of individual deep levels after hydrogen passivation. It is further shown that the "apparent" activation energies of dislocation related deep levels, before and after passivation, reduce by approx. 70 meV as DLTS fill pulse times are increased from 1 usec. to 1 msec. A model is proposed which explains these effects based on a reduction of Coulombic interaction between individual core sites along the dislocation cores by hydrogen incorporation. Knowledge of the trapping properties in these specific structures is important to develop optimum, low loss heteroepitaxial InP cells.
Influence of stress in GaN crystals grown by HVPE on MOCVD-GaN/6H-SiC substrate
Zhang, Lei; Yu, Jiaoxian; Hao, Xiaopeng; Wu, Yongzhong; Dai, Yuanbin; Shao, Yongliang; Zhang, Haodong; Tian, Yuan
2014-01-01
GaN crystals without cracks were successfully grown on a MOCVD-GaN/6H-SiC (MGS) substrate with a low V/III ratio of 20 at initial growth. With a high V/III ratio of 80 at initial growth, opaque GaN polycrystals were obtained. The structural analysis and optical characterization reveal that stress has a great influence on the growth of the epitaxial films. An atomic level model is used to explain these phenomena during crystal growth. It is found that atomic mobility is retarded by compressive stress and enhanced by tensile stress. PMID:24569601
Epitaxial Growth of BGaAs and BGaInAs by MOCVD: Preprint
DOE Office of Scientific and Technical Information (OSTI.GOV)
Geisz, J. F.; Friedman, D. J.; Kurtz, S. R.
2001-10-01
Presented at the 2001 NCPV Program Review Meeting: Comparison of use of TMB, TEB, and BF3 to diborane for MOCVD growth of BGaInAs. BGaInAs can potentially be used in strain-free high-efficiency III-V solar cells, but the growth of sufficiently high-quality epitaxial BGaInAs using diborane has proven difficult. We compare the use of alternative boron precursors (trimethylboron [TMB], triethylboron [TEB], and boron trifluoride [BF{sub 3}]) with diborane for the MOCVD growth of these boron-containing III-V alloys. We find that TMB and BF{sub 3} do not result in significant boron incorporation into GaAs. TEB does result in boron incorporation in a mannermore » very similar to diborane. Both diborane and TEB incorporate more efficiently using triethylgallium (TEG) rather than trimethylgallium (TMG), making TEG a preferred source of gallium for BGaAs epitaxy. Using TEB together with TEG, a higher boron composition (x = 4%-7%) has been achieved than has been previously reported, but we are still unable to achieve high- quality BGaInAs with the correct composition for solar cell applications.« less
Dielectric relaxation of barium strontium titanate and application to thin films for DRAM capacitors
NASA Astrophysics Data System (ADS)
Baniecki, John David
This thesis examines the issues associated with incorporating the high dielectric constant material Barium Strontium Titanate (BSTO) in to the storage capacitor of a dynamic random access memory (DRAM). The research is focused on two areas: characterizing and understanding the factors that control charge retention in BSTO thin films and modifying the electrical properties using ion implantation. The dielectric relaxation of BSTO thin films deposited by metal-organic chemical vapor deposition (MOCVD) is investigated in the time and frequency domains. It is shown that the frequency dispersion of the complex capacitance of BSTO thin films can be understood in terms of a power-law frequency dependence from 1mHz to 20GHz. From the correspondence between the time and frequency domain measurements, it is concluded that the power-law relaxation currents extend back to the nano second regime of DRAM operation. The temperature, field, and annealing dependence of the dielectric relaxation currents are also investigated and mechanisms for the observed power law relaxation are explored. An equivalent circuit model of a high dielectric constant thin film capacitor is developed based on the electrical measurements and implemented in PSPICE. Excellent agreement is found between the experimental and simulated electrical characteristics showing the utility of the equivalent circuit model in simulating the electrical properties of high dielectric constant thin films. Using the equivalent circuit model, it is shown that the greatest charge loss due to dielectric relaxation occurs during the first read after a refresh time following a write to the opposite logic state for a capacitor that has been written to the same logic state for a long time (opposite state write charge loss). A theoretical closed form expression that is a function of three material parameters is developed which estimates the opposite state write charge loss due to dielectric relaxation. Using the closed form expression, and BSTO thin film electrical characteristics, the charge loss due to dielectric relaxation is estimated to be 6--12% of the initial charge stored on the capacitor plates for MOCVD BSTO thin films with Pt electrodes after a post top electrode anneal in oxygen. In contrast, it is shown that the charge loss due to steady state leakage is only 0.0125--0.125% of the initial charge stored on the capacitor plates. Charge retention is shown to depend strongly on the annealing conditions. Annealing MOCVD BSTO thin films with Pt electrodes in forming gas (95% Ar 5% H2) increases charge loss due to dielectric relaxation to as much as 60%. Ion implantation is used to dope BSTO thin films with Mn. X-ray diffraction and transmission electron microscopy (TEM) shows ion implantation significantly damages the film leaving only short-range order, but post-implant annealing heals the damage. Capacitance recovery after post-implant annealing is as high as 94% for 15 nm BSTO films. At low implant doses, the Mn doped films have substantially lower leakage (up to a factor of ten lower) and only slightly higher relaxation currents and dielectric loss indicating that ion implantation may be a potentially viable way of introducing dopants into high dielectric constant thin films for future DRAM applications.
NASA Astrophysics Data System (ADS)
Feng, Liang; Ping, Chen; De-Gang, Zhao; De-Sheng, Jiang; Zhi-Juan, Zhao; Zong-Shun, Liu; Jian-Jun, Zhu; Jing, Yang; Wei, Liu; Xiao-Guang, He; Xiao-Jing, Li; Xiang, Li; Shuang-Tao, Liu; Hui, Yang; Li-Qun, Zhang; Jian-Ping, Liu; Yuan-Tao, Zhang; Guo-Tong, Du
2016-05-01
We have investigated the electron affinity of Si-doped AlN films (N Si = 1.0 × 1018-1.0 × 1019 cm-3) with thicknesses of 50, 200, and 400 nm, synthesized by metalorganic chemical vapor deposition (MOCVD) under low pressure on the n-type (001)6H-SiC substrates. The positive and small electron affinity of AlN films was observed through the ultraviolet photoelectron spectroscopy (UPS) analysis, where an increase in electron affinity appears with the thickness of AlN films increasing, i.e., 0.36 eV for the 50-nm-thick one, 0.58 eV for the 200-nm-thick one, and 0.97 eV for the 400-nm-thick one. Accompanying the x-ray photoelectron spectroscopy (XPS) analysis on the surface contaminations, it suggests that the difference of electron affinity between our three samples may result from the discrepancy of surface impurity contaminations. Project supported by the National Natural Science Foundation of China (Grant Nos. 61574135, 61574134, 61474142, 61474110, 61377020, 61376089, 61223005, and 61321063), the One Hundred Person Project of the Chinese Academy of Sciences, and the Basic Research Project of Jiangsu Province, China (Grant No. BK20130362).
Composite ceramic superconducting wires for electric motor applications
NASA Astrophysics Data System (ADS)
Halloran, John W.
1988-12-01
This is the Second Quarterly report on a project to develop HTSC wire for an HTSC motor. The raw material for fiber production is an improved YBa2Cu3O(7-x) powder. Continuous spools of green YBa2Cu3O(7-x) fiber are being produced. The major effort in fiber spinning is aimed at improving fiber quality and reducing fiber. Binder burnout and sintering has been intensively investigated. Fiber sintering fibers is done by the rapid zone sintering method. A continuous furnace received near the end of this Quarter will be used for continuous sintering. Continuous silver coated green fiber are produced. We have made progress toward continuous cladding using the mechanical cladding concept. The melt spinning process was successfully applied to YBa2Cu3O(7-x) powders at 50 vol percent solids loadings. The cladding work centered on mechanical cladding of silver treated filaments by solder bonding to copper strips. Aluminum deposits on YBa2Cu3O(7-x) filament surfaces were produced by MOCVD at ATM, but the superconductivity was degraded. Electrical characterization work focused on methods of making low resistance contacts on YBa2Cu3O(7-x) filaments. Emerson Motor Division has begun work on DC heteropolar and homopolar motor designs. The mechanical stresses on conventional copper wires during winding have been characterized to determine the mechanical parameters of motor building.
NASA Astrophysics Data System (ADS)
Zhang, Runchun; Zhao, Beiji; Huang, Kai; You, Tiangui; Jia, Qi; Lin, Jiajie; Zhang, Shibin; Yan, Youquan; Yi, Ailun; Zhou, Min; Ou, Xin
2018-05-01
Heterogeneous integration of materials pave a new way for the development of the microsystem with miniaturization and complex functionalities. Two types of hybrid silicon on insulator (SOI) structures, i.e., Si (100)-on-Si (111) and Si (111)-on-Si (100), were prepared by the smart-cut technique, which is consist of ion-slicing and wafer bonding. The precise calculation of the lattice strain of the transferred films without the epitaxial matching relationship to the substrate was demonstrated based on X-ray diffraction (XRD) measurements. The XRD and Raman measurement results suggest that the transferred films possess single crystalline quality. With a chemical mechanical polishing (CMP) process, the surface roughness of the transferred thin films can be reduced from 5.57 nm to 0.30 nm. The 4-inch GaN thin film epitaxially grown on the as-prepared hybrid SOI of Si (111)-on-Si (100) by metalorganic chemical vapor deposition (MOCVD) is of improved quality with a full width at half maximum (FWHM) of 672.54 arcsec extracted from the XRD rocking curve and small surface roughness of 0.40 nm. The wafer-scale GaN on Si (111)-on-Si (100) can serve as a potential platform for the one chip integration of GaN-based high electron mobility transistors (HEMT) or photonics with the Si (100)-based complementary metal oxide semiconductor (CMOS).
Quantum well infrared photodetectors (QWIP) with selectively regrown N-GaAs plugs
NASA Astrophysics Data System (ADS)
Matsukura, Yusuke; Nishino, Hironori; Tanaka, Hitoshi; Fujii, Toshio
2001-10-01
We fabricated the GaAs/AlGaAs Quantum Well Infrared Photo detector (QWIP) focal plane array with selectively re-grown N- GaAs interconnection plugs and demonstrated its device operation, in order to establish the technology to obtain both complex device functions and device manufacturability. MBE (Molecular Beam Epitaxy) grown QWIP MQW wafers were covered with SiON and SiNx mask films to obtain selectivity of the re-growth process. N-GaAs plugs were re-grown selectively with low-pressure MOCVD (Metal-Organic Chemical Vapor Deposition) with AsH3 and Dimethylgalliumchloride as precursors, only on the bottom surfaces of the holes for the interconnection to extract the electrodes from the underlying epilayer. Cross- sectional SEM observation revealed that the feature of the re- grown N-GaAs plugs was triangular, rather than rectangular as expected. The reason for this discrepancy is not yet clear. The electrical contact between the epilayer and re-grown N- GaAs plug was 'ohmic-like,' without any trace of interfacial barrier. The Current-Voltage characteristics of the fabricated QWIP device showed no tangible leakage current between the N- GaAs plug and device structure, indicating that electrical insulation between the N-GaAs plugs and device structure was sufficient. Fabricated devices were successfully operated as a hybrid focal plane array, indicating the selective re-growth was a promising technique to realize complex QWIP based devices.
Effects of plasma hydrogenation on trapping properties of dislocations in heteroepitaxial InP/GaAs
NASA Technical Reports Server (NTRS)
Ringel, S. A.; Chatterjee, B.
1994-01-01
In previous work, we have demonstrated the effectiveness of a post-growth hydrogen plasma treatment for passivating the electrical activity of dislocations in metalorganic chemical vapor deposition (MOCVD) grown InP on GaAs substrates by a more than two order of magnitude reduction in deep level concentration and an improvement in reverse bias leakage current by a factor of approximately 20. These results make plasma hydrogenation an extremely promising technique for achieving high efficiency large area and light weight heteroepitaxial InP solar cells for space applications. In this work we investigate the carrier trapping process by dislocations in heteroepitaxial InP/GaAs and the role of hydrogen passivation on this process. It is shown that the charge trapping kinetics of dislocations after hydrogen passivation are significantly altered, approaching point defect-like behavior consistent with a transformation from a high concentration of dislocation-related defect bands within the InP bandgap to a low concentration of individual dislocation related deep levels, before and after passivation. It is further shown that the 'apparent' activation energies of dislocation related deep levels, before and after passivation, reduce by approximately 70 meV as DLTS fill pulse times are increased from 1 microsecond to 1 millisecond. A model is proposed which explains these effects based on a reduction of Coulombic interaction between individual core sites along the dislocation cores by hydrogen incorporation. Knowledge of the trapping properties in these specific structures is important to develop optimum, low loss heteroepitaxial InP cells.
High and Low Energy Proton Radiation Damage in p/n InP MOCVD Solar Cells
NASA Technical Reports Server (NTRS)
Rybicki, George; Weinberg, Irv; Scheiman, Dave; Vargas-Aburto, Carlos; Uribe, Roberto
1995-01-01
InP p(+)/n/n(+) solar cells, fabricated by metal organic chemical vapor deposition, (MOCVD) were irradiated with 0.2 MeV and 10 MeV protons to a fluence of 10(exp 13)/sq cm. The power output degradation, IV behavior, carrier concentration and defect concentration were observed at intermediate points throughout the irradiations. The 0.2 MeV proton-irradiated solar cells suffered much greater and more rapid degradation in power output than those irradiated with 10 MeV protons. The efficiency losses were accompanied by larger increases in the recombination currents in the 0.2 MeV proton-irradiated solar cells. The low energy proton irradiations also had a larger impact on the series resistance of the solar cells. Despite the radiation induced damage, the carrier concentration in the base of the solar cells showed no reduction after 10 MeV or 0.2 MeV proton irradiations and even increased during irradiation with 0.2 MeV protons. In a deep level transient spectroscopy (DLTS) study of the irradiated samples, the minority carrier defects H4 and H5 at E(sub v) + 0.33 and E(sub v) + 0.52 eV and the majority carrier defects E7 and El0 at E(sub c) - 0.39 and E(sub c) - 0.74 eV, were observed. The defect introduction rates for the 0.2 MeV proton irradiations were about 20 times higher than for the 10 MeV proton irradiations. The defect El0, observed here after irradiation, has been shown to act as a donor in irradiated n-type InP and may be responsible for obscuring carrier removal. The results of this study are consistent with the much greater damage produced by low energy protons whose limited range causes them to stop in the active region of the solar cell.
NASA Astrophysics Data System (ADS)
Tsvid, Gene
Semiconductor laser active regions are commonly characterized by photo- and electro-luminescence (PL, EL) and cavity length analysis. However quantitative spectral information is not readily extracted from PL and EL data and comparison of different active region materials can be difficult. More quantifiable spectral information is contained in the optical gain spectra. This work reports on spectral gain studies, using multi-segmented interband devices, of InGaAs quantum well and quantum dot active regions grown by metalorganic chemical vapor deposition (MOCVD). Using the fundamental connection between gain and spontaneous emission spectra, the spontaneous radiative current and spontaneous radiative efficiency is evaluated for these active regions. The spectral gain and spontaneous radiative efficiency measurements of 980 nm emitting InGaAs quantum well (QW) material provides a benchmark comparison to previous results obtained on highly-strained, 1200 nm emitting InGaAs QW material. These studies provide insight into carrier recombination and the role of the current injection efficiency in InGaAs QW lasers. The spectral gain of self-assembled MOCVD grown InGaAs quantum dots (QD) active regions are also investigated, allowing for comparison to InGaAs QW material. The second part of my talk will cover intersubband-transition QW and quantum-box (QB) lasers. Quantum cascade (QC) lasers have emerged as compact and technologically important light sources in the mid-infrared (IR) and far-IR wavelength ranges infringing on the near-IR and terahertz spectral regions respectively. However, the overall power conversion efficiency, so-called wallplug efficiency, of the best QC lasers, emitting around 5 microns, is ˜9% in CW operation and very unlikely to exceed 15%. In order to dramatically improve the wallplug efficiency of mid-IR lasers (i.e., to about 50%), intersubband QB (IQB) lasers have been proposed. The basic idea, the optimal design and the progress towards the fabrication of IQB lasers will be presented.
NASA Astrophysics Data System (ADS)
Noh, Ji-yeon; Lee, Ha Young; Lim, Kyung-won; Ahn, Hyung Soo; Yi, Sam Nyung; Jeon, Hunsoo; Shin, Min Jeong; Yu, Young Moon; Ha, Dong Han
2017-09-01
An inorganic-organic hybrid junction has been fabricated by spin coating the p-type poly(3- hexylthiophene-2,5-diyl)(P3HT) and poly(3,4-ethylenedioxythiophene)-poly(styrenesulfonate) (PEDOT: PSS) on an n-type GaN layer. The GaN layer was formed on Al2O3 by metal organic chemical vapor deposition(MOCVD) method. To investigate the effects of P3HT concentration on the electrical properties, we changed P3HT solution concentration and speed of spin coater. The currentvoltage (I-V ) characteristic of Au/PEDOT:PSS/P3HT/n-GaN shows rectifying behavior. The I-V characteristic was examined in the frame work of the thermionic emission model. The most proper rectifying behavior was obtained for 0.6 wt% and thickness below 65 nm of P3HT used diode. We expect that such hybrid structures, suitably developed, might be enable the fabrication of highquality electronic and optoelectronic devices.
Design and simulation of GaN based Schottky betavoltaic nuclear micro-battery.
San, Haisheng; Yao, Shulin; Wang, Xiang; Cheng, Zaijun; Chen, Xuyuan
2013-10-01
The current paper presents a theoretical analysis of Ni-63 nuclear micro-battery based on a wide-band gap semiconductor GaN thin-film covered with thin Ni/Au films to form Schottky barrier for carrier separation. The total energy deposition in GaN was calculated using Monte Carlo methods by taking into account the full beta spectral energy, which provided an optimal design on Schottky barrier width. The calculated results show that an 8 μm thick Schottky barrier can collect about 95% of the incident beta particle energy. Considering the actual limitations of current GaN growth technique, a Fe-doped compensation technique by MOCVD method can be used to realize the n-type GaN with a carrier concentration of 1×10(15) cm(-3), by which a GaN based Schottky betavoltaic micro-battery can achieve an energy conversion efficiency of 2.25% based on the theoretical calculations of semiconductor device physics. Copyright © 2013 Elsevier Ltd. All rights reserved.
Deep inductively coupled plasma etching of ELO-GaN grown with high fill factor
NASA Astrophysics Data System (ADS)
Gao, Haiyong; Lee, Jaesoong; Ni, Xianfeng; Leach, Jacob; Özgür, Ümit; Morkoç, Hadis
2011-02-01
The epitaxial lateral overgrowth (ELO) gallium nitride (GaN) was grown with high fill factor using metal organic chemical vapor deposition (MOCVD). The inductively coupled plasma (ICP) etching of ELO-GaN based on Cl2/Ar/SiCl4 gas mixture was performed. Surface properties of ELO-GaN subjected to ICP etching have been investigated and optimized etching condition in ELO-GaN with ICP etching is presented. Radiofrequency (RF) power and the flow rate of Cl2 gas were modified during the experiments. The window region, wing region and the edge region of ELO-GaN pattern present different etching characteristics. Different etching conditions were studied to get the minimized plasma-induced damage, relatively high etching rates, and excellent surface profiles. Etch depths of the etched ELO-GaN with smooth surface up to about 19 μm were achieved. The most suitable three-step etching condition is discussed with the assessment based on the morphology observation of the etched surface of ELO-GaN patterns.
Growth of quaternary InAlGaN barrier with ultrathin thickness for HEMT application
NASA Astrophysics Data System (ADS)
Li, Zhonghui; Li, Chuanhao; Peng, Daqing; Zhang, Dongguo; Dong, Xun; Pan, Lei; Luo, Weike; Li, Liang; Yang, Qiankun
2018-06-01
Quaternary InAlGaN barriers with thickness of 7 nm for HEMT application were grown on 3-inch semi-insulating 4H-SiC substrates by metal organic chemical vapor deposition (MOCVD). Focused on growth mechanism of the InAlGaN barrier, the surface morphology and characteristics of InAlGaN/AlN/GaN heterostructures were studied with different growth parameters, including the temperature, Al/Ga ratio and chamber pressure. Among the as-grown samples, high electron mobility is consistent with smooth surface morphology, while high crystalline quality of the quaternary barrier is confirmed by measurements of Photoluminescence (PL) and Mercury-probe Capacity-Voltage (C-V). The recommended heterostructures without SiN passivation is characterized by mobility of 1720 cm2/(V·s), 2DEG density of 1.71*1013 cm-2, sheet resistance of about 210 Ω/□ with a smooth surface morphology and moderate tensile state, specially applied for microwave devices.
High-efficiency solar cell and method for fabrication
Hou, Hong Q.; Reinhardt, Kitt C.
1999-01-01
A high-efficiency 3- or 4-junction solar cell is disclosed with a theoretical AM0 energy conversion efficiency of about 40%. The solar cell includes p-n junctions formed from indium gallium arsenide nitride (InGaAsN), gallium arsenide (GaAs) and indium gallium aluminum phosphide (InGaAlP) separated by n-p tunnel junctions. An optional germanium (Ge) p-n junction can be formed in the substrate upon which the other p-n junctions are grown. The bandgap energies for each p-n junction are tailored to provide substantially equal short-circuit currents for each p-n junction, thereby eliminating current bottlenecks and improving the overall energy conversion efficiency of the solar cell. Additionally, the use of an InGaAsN p-n junction overcomes super-bandgap energy losses that are present in conventional multi-junction solar cells. A method is also disclosed for fabricating the high-efficiency 3- or 4-junction solar cell by metal-organic chemical vapor deposition (MOCVD).
NASA Technical Reports Server (NTRS)
Xing, G. C.; Bachmann, K. J.; Posthill, J. B.; Timmons, M. L.
1991-01-01
Epitaxial ZnGeP2-Ge films have been grown on (111)GaP substrates using MOCVD. The films grown with dimethylzinc to germane flow rate ratio R greater than 10 show mirror-smooth surface morphology. Films grown with R less than 10 show a high density of twinning, including both double position and growth twins. Compared to films grown on (001) GaP substrates, the layers on (111) GaP generally show a higher density of microstructural defects. TEM electron diffraction patterns show that the films grown on (111) GaP substrates are more disordered than films grown on (001) GaP under comparable conditions. The growth rate on (111) GaP substrates is about 2.5 times slower than that on (001) GaP, and films grown on Si substrates show extensive twinning formation. Both TEM and SEM examinations indicate that smooth epitaxial overgrowth may be easier on (111) Si substrates than on (001) Si.
Gallium nitride based logpile photonic crystals.
Subramania, Ganapathi; Li, Qiming; Lee, Yun-Ju; Figiel, Jeffrey J; Wang, George T; Fischer, Arthur J
2011-11-09
We demonstrate a nine-layer logpile three-dimensional photonic crystal (3DPC) composed of single crystalline gallium nitride (GaN) nanorods, ∼100 nm in size with lattice constants of 260, 280, and 300 nm with photonic band gap in the visible region. This unique GaN structure is created through a combined approach of a layer-by-layer template fabrication technique and selective metal organic chemical vapor deposition (MOCVD). These GaN 3DPC exhibit a stacking direction band gap characterized by strong optical reflectance between 380 and 500 nm. By introducing a "line-defect" cavity in the fifth (middle) layer of the 3DPC, a localized transmission mode with a quality factor of 25-30 is also observed within the photonic band gap. The realization of a group III nitride 3DPC with uniform features and a band gap at wavelengths in the visible region is an important step toward realizing complete control of the electromagnetic environment for group III nitride based optoelectronic devices.
Growth of Wide Band Gap II-VI Compound Semiconductors by Physical Vapor Transport
NASA Technical Reports Server (NTRS)
Su, Ching-Hua; Sha, Yi-Gao
1995-01-01
The studies on the crystal growth and characterization of II-VI wide band gap compound semiconductors, such as ZnTe, CdS, ZnSe and ZnS, have been conducted over the past three decades. The research was not quite as extensive as that on Si, III-V, or even narrow band gap II-VI semiconductors because of the high melting temperatures as well as the specialized applications associated with these wide band gap semiconductors. In the past several years, major advances in the thin film technology such as Molecular Beam Epitaxy (MBE) and Metal Organic Chemical Vapor Deposition (MOCVD) have demonstrated the applications of these materials for the important devices such as light-emitting diode, laser and ultraviolet detectors and the tunability of energy band gap by employing ternary or even quaternary systems of these compounds. At the same time, the development in the crystal growth of bulk materials has not advanced far enough to provide low price, high quality substrates needed for the thin film growth technology.
Lo Nigro, Raffaella; Toro, Roberta G; Malandrino, Graziella; Fragalà, Ignazio L; Losurdo, Maria; Giangregorio, Michelaria M; Bruno, Giovanni; Raineri, Vito; Fiorenza, Patrick
2006-09-07
A novel approach based on a molten multicomponent precursor source has been applied for the MOCVD fabrication of high-quality CaCu(3)Ti(4)O(12) (CCTO) thin films on various substrates. The adopted in situ strategy involves a molten mixture consisting of Ca(hfa)(2).tetraglyme, Ti(tmhd)(2)(O-iPr)(2), and Cu(tmhd)(2) [Hhfa = 1,1,1,5,5,5-hexafluoro-2,4-pentanedione; tetraglyme = 2,5,8,11,14-pentaoxapentadecane; Htmhd = 2,2,6,6-tetramethyl-3,5-heptandione; O-iPr = isopropoxide] precursors. Film structural and morphological characterizations have been carried out by several techniques [X-ray diffraction (XRD), scanning electron microscopy (SEM), transmission electron microscopy (TEM)], and in particular the energy filtered TEM mapping and X-ray energy dispersive (EDX) analysis in TEM mode provided a suitable correlation between nanostructural properties of CCTO films and deposition conditions and/or the substrate nature. Correlation between the nanostructure and optical/dielectric properties has been investigated exploiting spectroscopic ellipsometry.
Enhanced Ferromagnetism in Nanoscale GaN:Mn Wires Grown on GaN Ridges.
Cheng, Ji; Jiang, Shengxiang; Zhang, Yan; Yang, Zhijian; Wang, Cunda; Yu, Tongjun; Zhang, Guoyi
2017-05-02
The problem of weak magnetism has hindered the application of magnetic semiconductors since their invention, and on the other hand, the magnetic mechanism of GaN-based magnetic semiconductors has been the focus of long-standing debate. In this work, nanoscale GaN:Mn wires were grown on the top of GaN ridges by metalorganic chemical vapor deposition (MOCVD), and the superconducting quantum interference device (SQUID) magnetometer shows that its ferromagnetism is greatly enhanced. Secondary ion mass spectrometry (SIMS) and energy dispersive spectroscopy (EDS) reveal an obvious increase of Mn composition in the nanowire part, and transmission electron microscopy (TEM) and EDS mapping results further indicate the correlation between the abundant stacking faults (SFs) and high Mn doping. When further combined with the micro-Raman results, the magnetism in GaN:Mn might be related not only to Mn concentration, but also to some kinds of built-in defects introduced together with the Mn doping or the SFs.
Novel Quantum Dot Gate FETs and Nonvolatile Memories Using Lattice-Matched II-VI Gate Insulators
NASA Astrophysics Data System (ADS)
Jain, F. C.; Suarez, E.; Gogna, M.; Alamoody, F.; Butkiewicus, D.; Hohner, R.; Liaskas, T.; Karmakar, S.; Chan, P.-Y.; Miller, B.; Chandy, J.; Heller, E.
2009-08-01
This paper presents the successful use of ZnS/ZnMgS and other II-VI layers (lattice-matched or pseudomorphic) as high- k gate dielectrics in the fabrication of quantum dot (QD) gate Si field-effect transistors (FETs) and nonvolatile memory structures. Quantum dot gate FETs and nonvolatile memories have been fabricated in two basic configurations: (1) monodispersed cladded Ge nanocrystals (e.g., GeO x -cladded-Ge quantum dots) site-specifically self-assembled over the lattice-matched ZnMgS gate insulator in the channel region, and (2) ZnTe-ZnMgTe quantum dots formed by self-organization, using metalorganic chemical vapor-phase deposition (MOCVD), on ZnS-ZnMgS gate insulator layers grown epitaxially on Si substrates. Self-assembled GeO x -cladded Ge QD gate FETs, exhibiting three-state behavior, are also described. Preliminary results on InGaAs-on-InP FETs, using ZnMgSeTe/ZnSe gate insulator layers, are presented.
Space radiation effects in InP solar cells
NASA Astrophysics Data System (ADS)
Walters, R. J.; Messenger, S. R.; Summers, G. P.; Burke, E. A.; Keavney, C. J.
1991-12-01
InP solar cells and mesa diodes grown by metalorganic chemical vapor deposition (MOCVD) were irradiated with electrons and protons at room temperature. The radiation-induced defects (RIDs) were characterized by deep level transient spectroscopy (DLTS), and the degradation of the solar cell performance was determined through I-V measurements. The nonionizing energy loss (NIEL) of electrons and protons in InP was calculated as a function of energy from 1 to 200 MeV and compared to the measured defect introduction rates. A linear dependence was evident. InP solar cells showed significantly more radiation resistance than c-Si or GaAs/Ge cells under 1 MeV electron irradiation. Using the calculated InP damage rates and measured damage factors, the performance of InP solar cells as a function of orbital altitude and time in orbit was predicted and compared with the performance of c-Si solar cells in the same environment. In all cases, the InP cells showed highly superior radiation resistance.
High-efficiency solar cell and method for fabrication
Hou, H.Q.; Reinhardt, K.C.
1999-08-31
A high-efficiency 3- or 4-junction solar cell is disclosed with a theoretical AM0 energy conversion efficiency of about 40%. The solar cell includes p-n junctions formed from indium gallium arsenide nitride (InGaAsN), gallium arsenide (GaAs) and indium gallium aluminum phosphide (InGaAlP) separated by n-p tunnel junctions. An optional germanium (Ge) p-n junction can be formed in the substrate upon which the other p-n junctions are grown. The bandgap energies for each p-n junction are tailored to provide substantially equal short-circuit currents for each p-n junction, thereby eliminating current bottlenecks and improving the overall energy conversion efficiency of the solar cell. Additionally, the use of an InGaAsN p-n junction overcomes super-bandgap energy losses that are present in conventional multi-junction solar cells. A method is also disclosed for fabricating the high-efficiency 3- or 4-junction solar cell by metal-organic chemical vapor deposition (MOCVD). 4 figs.
Thermal annealing effect on the Mg-doped AlGaN/GaN superlattice
NASA Astrophysics Data System (ADS)
Wang, Baozhu; An, Shengbiao; Wen, Huanming; Wu, Ruihong; Wang, Xiaojun; Wang, Xiaoliang
2009-11-01
Mg-doped AlGaN/GaN superlattice has been grown by metalorganic chemical vapor deposition (MOCVD). Rapid thermal annealing (RTA) treament are carryied out on the samples under nitrogen as protect gas. Hall, photoluminescence (PL), high resolution x-ray diffraction (HRXRD) and atomic-force microscopy (AFM) are used to characterize the electrical, optical and structural properties of the as-grown and annealed samples, respectively. After annealing, the Hall results indicate more Mg acceptors are activated, which leads to higher hole concentration and lower p-type resistivity. The PL intensity of Mg related defect band shows a strong decrease after annealing. The annealing of the superlattice degrade the interface quality of the AlGaN/GaN from the HRXRD results. Many nanometer-grains can be observed on the surface of AlGaN/GaN superlattice from the AFM image. This maybe related with the decomposing of GaN or the separating of Mg from the AlGaN/GaN superlattice.
The relationship between the dislocations and microstructure in In0.82Ga0.18As/InP heterostructures.
Zhao, Liang; Guo, Zuoxing; Wei, Qiulin; Miao, Guoqing; Zhao, Lei
2016-10-11
In this work, we propose a formation mechanism to explain the relationship between the surface morphology (and microstructure) and dislocations in the In 0.82 Ga 0.18 As/InP heterostructure. The In 0.82 Ga 0.18 As epitaxial layers were grown on the InP (100) substrate at various temperatures (430 °C, 410 °C and 390 °C) using low pressure metalorganic chemical vapor deposition (LP-MOCVD). Obvious protrusions and depressions were obseved on the surface of the In 0.82 Ga 0.18 As/InP heterostructure because of the movement of dislocations from the core to the surface. The surface morphologies of the In 0.82 Ga 0.18 As/InP (100) system became uneven with increasing temperature, which was associated with the formation of dislocations. Such research investigating the dislocation of large lattice mismatch heterostructures may play an important role in the future-design of semiconductor films.
Visible-light vertical-cavity surface-emitting lasers grown by solid-source molecular beam epitaxy
NASA Astrophysics Data System (ADS)
Saarinen, Mika J.; Xiang, Ning; Dumitrescu, Mihail M.; Vilokkinen, Ville; Melanen, Petri; Orsila, Seppo; Uusimaa, Petteri; Savolainen, Pekka; Pessa, Markus
2001-05-01
Visible vertical-cavity surface-emitting lasers (VCSELs) are potential light sources for polymer optical fibre (POF) data transmission systems. Minimum attenuation of light in standard PMMA-POFs occurs at about 650 nm. For POFs of a few tens of meters in length VCSELs at slightly longer wavelengths (670 - 690 nm) are also acceptable. So far, the visible VCSELs have been grown by metal organic chemical vapour deposition (MOCVD). They may also be grown by a novel variant of molecular beam epitaxy (MBE), a so-called all-solid-source MBE or SSMBE. In this paper, we describe growth of the first visible-light VCSELs by SSMBE and present the main results obtained. In particular, we have achieved lasing action at a sub-milliamp cw drive current for a VCSEL having the emission window of 8um in diameter, while a 10um device exhibited an external quantum efficiency of 6.65% in CW operation at room temperature. The lasing action up to temperature of 45°C has been demonstrated.
NASA Astrophysics Data System (ADS)
Choi, Sungwoo
1992-01-01
This thesis describes the growth and characterization of wide gap III-V compound semiconductors such as aluminum gallium arsenide (Al_{rm x} Ga_{rm 1-x}As), gallium nitride (GaN), and gallium phosphide (GaP), deposited by the metalorganic chemical vapor deposition (MOCVD) and remote plasma enhanced chemical vapor deposition (Remote PECVD). In the first part of the thesis, the optimization of GaAs and Al_{rm x}Ga _{rm 1-x}As hetero -epitaxial layers on Ge substrates is described in the context of the application in the construction of cascade solar cells. The emphasis on this study is on the trade-offs in the choice of the temperature related to increasing interdiffusion/autodoping and increasing perfection of the epilayer with increasing temperature. The structural, chemical, optical, and electrical properties of the heterostructures are characterized by x-ray rocking curve measurement, scanning electron microscopy (SEM), electron beam induced current (EBIC), cross-sectional transmission electron microscopy (X-TEM), Raman spectroscopy, secondary ion mass spectrometry (SIMS), and steady-state and time-resolved photoluminescence (PL). Based on the results of this work the optimum growth temperature is 720^circC. The second part of the thesis describes the growth of GaN and GaP layers on silicon and sapphire substrates and the homoepitaxy of GaP by remote PECVD. I have designed and built an ultra high vacuum (UHV) deposition system which includes: the gas supply system, the pumping system, the deposition chamber, the load-lock chamber, and the waste disposal system. The work on the deposition of GaN on Si and sapphire focuses onto the understanding of the growth kinetics. In addition, Auger electron spectroscopy (AES) for surface analysis, x-ray diffraction methods and microscopic analyses using SEM and TEM for structural characterization, infrared (IR) and ultraviolet (UV) absorption measurements for optical characterization, and electrical characterization results on the GaN films are presented. In the deposition GaP thin films by remote PECVD, trimethylgallium and in-situ generated phosphine precursors are employed as source gases which permits homo- and heteroepitaxial growth as substrate temperature of 590-620^ circC. Also, the growth kinetics of gallium phosphide is discussed. As in the case of GaN, the surface, structural, chemical, optical, and electrical properties are characterized and the results are discussed.
Characteristics of indium-gallium-nitride multiple-quantum-well blue laser diodes grown by MOCVD
NASA Astrophysics Data System (ADS)
Mack, M. P.; Abare, A. C.; Hansen, M.; Kozodoy, P.; Keller, S.; Mishra, U.; Coldren, L. A.; DenBaars, S. P.
1998-06-01
Room temperature (RT) pulsed operation of blue (420 nm) nitride-based multi-quantum well (MQW) laser diodes grown on c-plane sapphire substrates has been demonstrated. Atmospheric pressure MOCVD was used to grow the active region of the device which consisted of a 10 pair In 0.21Ga 0.79N (2.5 nm)/In 0.07Ga 0.93N (5 nm) InGaN MQW. Threshold current densities as low as 12.6 kA/cm 2 were observed for 10×1200 μm lasers with uncoated reactive ion etched (RIE) facets. The emission is strongly TE polarized and has a sharp transition in the far-field pattern above threshold. Laser diodes were tested under pulsed conditions lasted up to 6 h at room temperature.
MOCVD grown InP-based materials and a LINK project involving TEM studies of non-planar and localized . M. Jones, Appl. Phys. Lett. 93 (2008) 123505. "Theoretical and experimental examination of the
Russian Activities in Space Photovoltaic Power Modules with Concentrators
NASA Technical Reports Server (NTRS)
Andreev, Vyacheslav M.; Rumyantsev, Valeri D.
2004-01-01
Space concentrator modules with point-and line-focus Fresnel lenses and with reflective parabolic troughs have been developed recently at Ioffe Physico-Technical Institute. PV receivers for these modules are based: on the single junction LPE and MOCVD AlGaAs/GaAs solar cells characterized by AM0 efficiencies of 23.5 - 24% at 20 - 50 suns and 24 - 24.75 at 50 - 200 suns; on the mechanically stacked tandem AlGaAs/GaAs-GaSb cells with efficiency of 27 - 28 at 20 - 100 suns. MOCVD AlGaAs/GaAs cells with internal Bragg reflector have shown a higher radiation resistance as compared to a traditional structure. Monolithic two-terminal tandems AlGaAs (top)-GaAs (bottom) for space application and GaSb (top) - InGaAsSb (bottom) for TRV application are under development as well.
Growth factor of Fe-doped semi-insulating InP by LP-MOCVD
NASA Astrophysics Data System (ADS)
Yan, Xuejin; Zhu, Hongliang; Wang, Wei; Xu, Guoyang; Zhou, Fan; Ma, Chaohua; Wang, Xiaojie; Tian, Huijiang; Zhang, Jingyuan; Wu, Rong Han; Wang, Qiming
1998-08-01
The semi-insulating InP has been grown using ferrocene as a dopant source by low pressure MOCVD. Fe doped semi-insulating InP material whose resistivity is equal to 2.0 X 108(Omega) *cm and the breakdown field is greater than 4.0 X 104Vcm-1 has been achieved. It is found that the magnitude of resistivity increases with growing pressure enhancement under keeping TMIn, PH3, ferrocene [Fe(C5H5)2] flow constant at 620 degrees Celsius growth temperature. Moreover, the experimental results which resistivity varies with ferrocene mole fraction are given. It is estimated that active Fe doping efficiency, (eta) , is equal to 8.7 X 10-4 at 20 mbar growth pressure and 620 degrees Celsius growth temperature by the comparison of calculated and experimental results.
Structural and optical characteristics of GaAs films grown on Si/Ge substrates
NASA Astrophysics Data System (ADS)
Rykov, A. V.; Dorokhin, M. V.; Vergeles, P. S.; Baidus, N. V.; Kovalskiy, V. A.; Yakimov, E. B.; Soltanovich, O. A.
2018-03-01
A GaAs/AlAs heterostructure and a GaAs film grown on Si/Ge substrates have been fabricated and studied. A Ge buffer on a silicon substrate was fabricated using the MBE process. A3B5 films were grown by MOCVD at low pressures. Photoluminescence spectroscopy was used to define the optical quality of A3B5 films. Structural properties were investigated using the electron beam induced current method. It was established that despite a rather high density of dislocations on the epitaxial layers, the detected photoluminescence radiation of layers indicates the acceptable crystalline quality of the top GaAs layer.
Ultra-wide bandgap beta-Ga2O3 for deep-UV solar blind photodetectors(Conference Presentation)
NASA Astrophysics Data System (ADS)
Rafique, Subrina; Han, Lu; Zhao, Hongping
2017-03-01
Deep-ultraviolet (DUV) photodetectors based on wide bandgap (WB) semiconductor materials have attracted strong interest because of their broad applications in military surveillance, fire detection and ozone hole monitoring. Monoclinic β-Ga2O3 with ultra-wide bandgap of 4.9 eV is a promising candidate for such application because of its high optical transparency in UV and visible wavelength region, and excellent thermal and chemical stability at elevated temperatures. Synthesis of high qualityβ-Ga2O3 thin films is still at its early stage and knowledge on the origins of defects in this material is lacking. The conventional epitaxy methods used to grow β-Ga2O3 thin films such as molecular beam epitaxy (MBE) and metal organic chemical vapor deposition (MOCVD) still face great challenges such as limited growth rate and relatively high defects levels. In this work, we present the growth of β-Ga2O3 thin films on c-plane (0001) sapphire substrate by our recently developed low pressure chemical vapor deposition (LPCVD) method. The β-Ga2O3 thin films synthesized using high purity metallic gallium and oxygen as the source precursors and argon as carrier gas show controllable N-type doping and high carrier mobility. Metal-semiconductor-metal (MSM) photodetectors (PDs) were fabricated on the as-grown β-Ga2O3 thin films. Au/Ti thin films deposited by e-beam evaporation served as the contact metals. Optimization of the thin film growth conditions and the effects of thermal annealing on the performance of the PDs were investigated. The responsivity of devices under 250 nm UV light irradiation as well as dark light will be characterized and compared.
Yang, Yu; Jin, Shu; Medvedeva, Julia E; Ireland, John R; Metz, Andrew W; Ni, Jun; Hersam, Mark C; Freeman, Arthur J; Marks, Tobin J
2005-06-22
A series of yttrium-doped CdO (CYO) thin films have been grown on both amorphous glass and single-crystal MgO(100) substrates at 410 degrees C by metal-organic chemical vapor deposition (MOCVD), and their phase structure, microstructure, electrical, and optical properties have been investigated. XRD data reveal that all as-deposited CYO thin films are phase-pure and polycrystalline, with features assignable to a cubic CdO-type crystal structure. Epitaxial films grown on single-crystal MgO(100) exhibit biaxial, highly textured microstructures. These as-deposited CYO thin films exhibit excellent optical transparency, with an average transmittance of >80% in the visible range. Y doping widens the optical band gap from 2.86 to 3.27 eV via a Burstein-Moss shift. Room temperature thin film conductivities of 8,540 and 17,800 S/cm on glass and MgO(100), respectively, are obtained at an optimum Y doping level of 1.2-1.3%. Finally, electronic band structure calculations are carried out to systematically compare the structural, electronic, and optical properties of the In-, Sc-, and Y-doped CdO systems. Both experimental and theoretical results reveal that dopant ionic radius and electronic structure have a significant influence on the CdO-based TCO crystal and band structure: (1) lattice parameters contract as a function of dopant ionic radii in the order Y (1.09 A) < In (0.94 A) < Sc (0.89 A); (2) the carrier mobilities and doping efficiencies decrease in the order In > Y > Sc; (3) the dopant d state has substantial influence on the position and width of the s-based conduction band, which ultimately determines the intrinsic charge transport characteristics.
Y1Ba2Cu3O(7-delta) thin film dc SQUIDs (superconducting quantum interference device)
NASA Astrophysics Data System (ADS)
Racah, Daniel
1991-03-01
Direct current superconducting quantum interferometers (SQUIDs) based on HTSC thin films have been measured and characterized. The thin films used were of different quality: (1) Granular films on Sapphire substrates, prepared either by e-gun evaporation, by laser ablation or by MOCVD (metal oxide chemical vapor deposition), (2) Epitaxial films on MgO substrates. Modulations of the voltage on the SQUIDs as a function of the applied flux have been observed in a wide range of temperatures. The nature of the modulation was found to be strongly dependent on the morphology of the film and on its critical current. The SQUIDs based on granular films were relatively noisy, hysteretic and with a complicated V-phi shape. Those devices based on low quality (lowIc) granular films could be measured only at low temperatures (much lower than 77 K). While those of higher quality (granular films with high Ic) could be measured near to the superconductive transition. The SQUID based on high quality epitaxial film was measured near Tc and showed an anomalous, time dependent behavior.
Short-wavelength light beam in situ monitoring growth of InGaN/GaN green LEDs by MOCVD
2012-01-01
In this paper, five-period InGaN/GaN multiple quantum well green light-emitting diodes (LEDs) were grown by metal organic chemical vapor deposition with 405-nm light beam in situ monitoring system. Based on the signal of 405-nm in situ monitoring system, the related information of growth rate, indium composition and interfacial quality of each InGaN/GaN QW were obtained, and thus, the growth conditions and structural parameters were optimized to grow high-quality InGaN/GaN green LED structure. Finally, a green LED with a wavelength of 509 nm was fabricated under the optimal parameters, which was also proved by ex situ characterization such as high-resolution X-ray diffraction, photoluminescence, and electroluminescence. The results demonstrated that short-wavelength in situ monitoring system was a quick and non-destroyed tool to provide the growth information on InGaN/GaN, which would accelerate the research and development of GaN-based green LEDs. PMID:22650991
Pulsed operation of (Al,Ga,In)N blue laser diodes
NASA Astrophysics Data System (ADS)
Abare, Amber C.; Mack, Michael P.; Hansen, Mark W.; Sink, R. K.; Kozodoy, Peter; Keller, Sarah L.; Hu, Evelyn L.; Speck, James S.; Bowers, John E.; Mishra, Umesh K.; Coldren, Larry A.; DenBaars, Steven P.
1998-04-01
Room temperature (RT) pulsed operation of blue (420 nm) nitride based multi-quantum well (MQW) laser diodes grown on a-plane and c-plane sapphire substrates has been demonstrated. A combination of atmospheric and low pressure metal organic chemical vapor deposition (MOCVD) using a modified two-flow horizontal reactor was employed. The emission is strongly TE polarized and has a sharp transition in the far field pattern above threshold. Threshold current densities as low as 12.6 kA/cm2 were observed for 10 X 1200 micrometer lasers with uncoated reactive ion etched (RIE) facets on c-plane sapphire. Cleaved facet lasers were also demonstrated with similar performance on a-plane sapphire. Differential efficiencies as high as 7% and output powers up to 77 mW were observed. Laser diodes tested under pulsed conditions operated up to 6 hours at room temperature. Performance was limited by resistive heating during the electrical pulses. Lasing was achieved up to 95 degrees Celsius and up to a 150 ns pulse length (RT). Threshold current increased with temperature with a characteristic temperature, T0, of 125 K.
Emission Characteristics of InGaN/GaN Core-Shell Nanorods Embedded in a 3D Light-Emitting Diode.
Jung, Byung Oh; Bae, Si-Young; Lee, Seunga; Kim, Sang Yun; Lee, Jeong Yong; Honda, Yoshio; Amano, Hiroshi
2016-12-01
We report the selective-area growth of a gallium nitride (GaN)-nanorod-based InGaN/GaN multiple-quantum-well (MQW) core-shell structure embedded in a three-dimensional (3D) light-emitting diode (LED) grown by metalorganic chemical vapor deposition (MOCVD) and its optical analysis. High-resolution transmission electron microscopy (HR-TEM) observation revealed the high quality of the GaN nanorods and the position dependence of the structural properties of the InGaN/GaN MQWs on multiple facets. The excitation and temperature dependences of photoluminescence (PL) revealed the m-plane emission behaviors of the InGaN/GaN core-shell nanorods. The electroluminescence (EL) of the InGaN/GaN core-shell-nanorod-embedded 3D LED changed color from green to blue with increasing injection current. This phenomenon was mainly due to the energy gradient and deep localization of the indium in the selectively grown InGaN/GaN core-shell MQWs on the 3D architecture.
Mg Incorporation Efficiency in Pulsed MOCVD of N-Polar GaN:Mg
NASA Astrophysics Data System (ADS)
Marini, Jonathan; Mahaboob, Isra; Hogan, Kasey; Novak, Steve; Bell, L. D.; Shahedipour-Sandvik, F.
2017-10-01
We report on the effect of growth polarity and pulsed or δ -doped growth mode on impurity incorporation in metalorganic chemical vapor deposition-grown GaN. In Ga-polar orientation, up to 12× enhancement in Mg concentration for given Mg flow rate is observed, resulting in enhanced p-type conductivity for these samples. In contrast, this enhancement effect is greatly diminished for N-polar samples, falling off with increasing Mg flow and showing maximum enhancement of 2.7× at 30 nmol/min Mg flow. At higher Mg flow rates, Mg incorporation at normal levels did not correspond to p-type conductivity, which may be due to Mg incorporation at nonacceptor sites. Concentrations of C, O, and Si were also investigated, revealing dependence on Mg flow in N-polar pulsed samples. Carbon incorporation was found to decrease with increasing Mg flow, and oxygen incorporation was found to remain high across varied Mg flow. These effects combine to result in N-polar samples that are not p-type when using the pulsed growth mode.
Effect of low NH3 flux towards high quality semi-polar (11-22) GaN on m-plane sapphire via MOCVD
NASA Astrophysics Data System (ADS)
Omar, Al-Zuhairi; Shuhaimi Bin Abu Bakar, Ahmad; Makinudin, Abdullah Haaziq Ahmad; Khudus, Muhammad Imran Mustafa Abdul; Azman, Adreen; Kamarundzaman, Anas; Supangat, Azzuliani
2018-05-01
The effect of ammonia flux towards the quality of the semi-polar (11-22) gallium nitride thin film on m-plane (10-10) sapphire is presented. Semi-polar (11-22) gallium nitride epi-layers were obtained using a two-step growth method, consisting of high temperature aluminum nitride followed by gallium nitride via metal organic chemical vapor deposition. The surface morphology analysis via field emission scanning electron microscopy and atomic force microscopy of the semi-polar (11-22) gallium nitride has shown that low ammonia flux promotes two-dimensional growth with low surface roughness of 4.08 nm. A dominant diffraction peak of (11-22) gallium nitride was also observed via X-ray diffraction upon utilizing low ammonia flux. The on- and off-axis X-ray rocking curve measurements illustrate the enhancement of the crystal quality, which might result from the reduction of the basal stacking faults and perfect dislocation. The full width half maximum values were reduced by at least 15% for both on- and off-axis measurements.
NASA Astrophysics Data System (ADS)
Ayarcı Kuruoğlu, Neslihan; Özdemir, Orhan; Bozkurt, Kutsal; Sundaram, Suresh; Salvestrini, Jean-Paul; Ougazzaden, Abdallah; Gaimard, Quentin; Belahsene, Sofiane; Merghem, Kamel; Ramdane, Abderrahim
2017-12-01
The electrical response of gallium nitride (GaN), produced through metal-organic chemical vapor deposition in a p-i-n structure was investigated through temperature-dependent current-voltage (I-V) and admittance measurement. The I-V curves showed double diode behavior together with several distinct regions in which trap-assisted tunnelling current has been identified at low and moderate forward/reverse direction and space charge limited current (SCLC) at large forward/reverse bias. The value of extracted energy (˜200 meV in forward and ˜70 meV in reverse direction) marked the tunnelling entity as electron and heavy hole in the present structure. These values were also obtained in space charge limited regime and considered as minority carriers which might originate the experimentally observed negative capacitance issue at low frequencies over the junction under both forward and reverse bias directions. Analytically derived expression for the admittance in the revised versions of SCLC model was also applied to explain the inductance effect, yielding good fits to the experimentally measured admittance data.
LWIR HgCdTe: Innovative detectors in an incumbent technology
NASA Technical Reports Server (NTRS)
Tennant, William E.
1990-01-01
HgCdTe is the current material of choice for high performance imagers operating at relatively high temperatures. Its lack of technological maturity compared with silicon and wide-band gap III-V compounds is more than offset by its outstanding IR sensitivity and by the relatively benign effect of its materials defects. This latter property has allowed non-equilibrium growth techniques, metal oxide chemical vapor deposition (MOCVD) and molecular beam epitaxy (MBE), to produce device quality long wavelength infrared (LWIR) HgCdTe even on common substrates like GaAs and GaAs/Si. Detector performance in these exotic materials structures is comparable in many ways with devices in equilibrium-grown material. Lifetimes are similar. RoA values at 77K as high as several hundred have been seen in HgCdTe/GaAs/Si with 9.5 micron cut-off wavelength. HgCdTe/GaAs layers with approx. 15 micron cut-off wavelengths have given average 77K RoAs of greater than 2. Hybrid focal plane arrays have been evaluated with excellent operability.
Atomic force microscopy studies of homoepitaxial GaN layers grown on GaN template by laser MBE
DOE Office of Scientific and Technical Information (OSTI.GOV)
Choudhary, B. S.; Rajasthan Technical University, Rawatbhata Road, Kota 324010; Singh, A.
We have grown homoepitaxial GaN films on metal organic chemical vapor deposition (MOCVD) grown 3.5 µm thick GaN on sapphire (0001) substrate (GaN template) using an ultra-high vacuum (UHV) laser assisted molecular beam epitaxy (LMBE) system. The GaN films were grown by laser ablating a polycrystalline solid GaN target in the presence of active r.f. nitrogen plasma. The influence of laser repetition rates (10-30 Hz) on the surface morphology of homoepitaxial GaN layers have been studied using atomic force microscopy. It was found that GaN layer grown at 10 Hz shows a smooth surface with uniform grain size compared to the rough surfacemore » with irregular shape grains obtained at 30 Hz. The variation of surface roughness of the homoepitaxial GaN layer with and without wet chemical etching has been also studied and it was observed that the roughness of the film decreased after wet etching due to the curved structure/rough surface.« less
InGaAsN/GaAs heterojunction for multi-junction solar cells
Kurtz, Steven R.; Allerman, Andrew A.; Klem, John F.; Jones, Eric D.
2001-01-01
An InGaAsN/GaAs semiconductor p-n heterojunction is disclosed for use in forming a 0.95-1.2 eV bandgap photodetector with application for use in high-efficiency multi-junction solar cells. The InGaAsN/GaAs p-n heterojunction is formed by epitaxially growing on a gallium arsenide (GaAs) or germanium (Ge) substrate an n-type indium gallium arsenide nitride (InGaAsN) layer having a semiconductor alloy composition In.sub.x Ga.sub.1-x As.sub.1-y N.sub.y with 0
Annealing of gallium nitride under high-N 2 pressure
NASA Astrophysics Data System (ADS)
Porowski, S.; Jun, J.; Krukowski, S.; Grzegory, I.; Leszczynski, M.; Suski, T.; Teisseyre, H.; Foxon, C. T.; Korakakis, D.
1999-04-01
GaN is the key material for blue and ultraviolet optoelectronics. It is a strongly bonded wurztite structure semiconductor with the direct energy gap 3.5 eV. Due to strong bonding, the diffusion processes require high temperatures, above 1300 K. However at this temperature range at ambient pressure, GaN becomes unstable and dissociates into Ga and N 2. Therefore high pressure of N 2 is required to study the diffusion and other annealing related processes. We studied annealing of bulk GaN nitride single crystals grown under high pressure and also annealing of homo- and heteroepitaxial GaN layers grown by MOCVD technique. Annealing at temperatures above 1300 K influences strongly the structural and optical properties of GaN crystals and layers. At this temperature diffusion of the Mg and Zn acceptors have been observed. In spite of very interesting experimental observations the understanding of microscopic mechanisms of these processes is limited.
Measurement of second order susceptibilities of GaN and AlGaN
NASA Astrophysics Data System (ADS)
Sanford, N. A.; Davydov, A. V.; Tsvetkov, D. V.; Dmitriev, A. V.; Keller, S.; Mishra, U. K.; DenBaars, S. P.; Park, S. S.; Han, J. Y.; Molnar, R. J.
2005-03-01
Rotational Maker fringes, scaled with respect to χ11(2) of crystalline quartz, were used to determine the second order susceptibilities χ31(2) and χ33(2) for samples of thin AlxGa1-xN films, a thicker GaN film, and a free-standing GaN platelets. The pump wavelength was 1064nm. The AlxGa1-xN samples, ranging in thickness from roughly 0.5to4.4μm, were grown by metalorganic chemical vapor deposition (MOCVD) and hydride vapor-phase epitaxy (HVPE) on (0001) sapphire substrates. The Al mole fractions x were 0, 0.419, 0.507, 0.618, 0.660, and 0.666, for the MOCVD-grown samples, and x =0, 0.279, 0.363, and 0.593 for the HVPE-grown samples. An additional HVPE-grown GaN sample ˜70μm thick was also examined. The free-standing bulk GaN platelets consisted of an HVPE grown film ˜226μm thick removed from its growth substrate, and a crystal ˜160μm thick grown by high-pressure techniques. For the AlxGa1-xN samples, the magnitudes of χ31(2) and χ33(2) decrease roughly linearly with increasing x and extrapolate to ˜0 for x =1. Furthermore, the constraint expected for a perfect wurtzite structure, namely χ33(2)=-2χ31(2), was seldom observed, and the samples with x =0.660 and x =0.666 showed χ31(2) and χ33(2) having the same sign. These results are consistent with the theoretical studies of nonlinear susceptibilities for AlN and GaN performed by Chen et al. [Appl. Phys. Lett. 66, 1129 (1995)]. The thicker bulk GaN samples displayed a complex superposition of high- and low-frequency Maker fringes due to the multiple-pass interference of the pump and second-harmonic generation beams, and the nonlinear coefficients were approximately consistent with those measured for the thin-film GaN sample.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Feng, Xianjin; Zhao, Cansong; Li, Zhao
2015-10-15
Highlights: • Al{sub 2x}In{sub 2−2x}O{sub 3} films were prepared on the Y-stabilized ZrO{sub 2} (1 1 1) substrates by MOCVD at 700 °C. • A phase transition from the bixbyite In{sub 2}O{sub 3} structure to the amorphous structure was observed. • The lowest resistivity of 4.7 × 10{sup −3} Ω cm was obtained for the Al{sub 0.4}In{sub 1.6}O{sub 3} film. • Tunable optical band gap from 3.7 to 4.8 eV was obtained. - Abstract: The ternary Al{sub 2x}In{sub 2−2x}O{sub 3} films with different Al contents of x [Al/(Al + In) atomic ratio] have been fabricated on the Y-stabilized ZrO{sub 2}more » (1 1 1) substrates by metal organic chemical vapor deposition at 700 °C. The structural, electrical and optical properties of the films as a result of different Al contents (x = 0.1–0.9) were investigated in detail. With the increase of Al content from 10% to 90%, a phase transition from the bixbyite In{sub 2}O{sub 3} structure with a single orientation along (1 1 1) to the amorphous structure was observed. The minimum resistivity of 4.7 × 10{sup −3} Ω cm, a carrier concentration of 1.4 × 10{sup 20} cm{sup −3} and a Hall mobility of 9.8 cm{sup 2} v{sup −1} s{sup −1} were obtained for the sample with x = 0.2. The average transmittances for the Al{sub 2x}In{sub 2−2x}O{sub 3} films in the visible range were all over 78% and the optical band gap of the films could be tuned from 3.7 to 4.8 eV.« less
NASA Astrophysics Data System (ADS)
Tu, Charng-Gan; Chen, Hao-Tsung; Chen, Sheng-Hung; Chao, Chen-Yao; Kiang, Yean-Woei; Yang, Chih-Chung
2017-02-01
In MOCVD growth, two key factors for growing a p-type structure, when the modulation growth or delta-doping technique is used, include Mg memory and diffusion. With high-temperature growth (>900 degree C), doped Mg can diffuse into the under-layer. Also, due to the high-pressure growth and growth chamber coating in MOCVD, plenty Mg atoms exist in the growth chamber for a duration after Mg supply is ended. In this situation, Mg doping continues in the following designated un-doped layers. In this paper, we demonstrate the study results of Mg preflow, memory, and diffusion. The results show that pre-flow of Mg into the growth chamber can lead to a significantly higher Mg doping concentration in growing a p-GaN layer. In other words, a duration for Mg buildup is required for high Mg incorporation. Based on SIMS study, we find that with the pre-flow growth, a high- and a low-doping p-GaN layer are formed. The doping concentration difference between the two layers is about 10 times. The thickness of the high- (low-) doping layer is about 40 (65) nm. The growth of the high-doping layer starts 10-15 min after Mg supply starts (Mg buildup time). The diffusion length of Mg into the AlGaN layer beneath (Mg content reduced to <5%) is about 10 nm. The memory time of Mg in the growth chamber is about 60 min, after which the Mg doping concentration is reduced to <1%.
Highly aligned vertical GaN nanowires using submonolayer metal catalysts
Wang, George T [Albuquerque, NM; Li, Qiming [Albuquerque, NM; Creighton, J Randall [Albuquerque, NM
2010-06-29
A method for forming vertically oriented, crystallographically aligned nanowires (nanocolumns) using monolayer or submonolayer quantities of metal atoms to form uniformly sized metal islands that serve as catalysts for MOCVD growth of Group III nitride nanowires.
Researching the electrical properties of single A3B5 nanowires
NASA Astrophysics Data System (ADS)
Vasiliev, A. A.; Mozharov, A. M.; Komissarenko, F. E.; Cirlin, G. E.; Bouravlev, D. A.; Mukhin, I. S.
2017-11-01
We investigate electrical characteristics of GaN, GaAs and GaP NWs which are grown with MOCVD and MBE. We developed measurement technique and it allows to determine the required properties of the structures.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Zhang, Yachao; Zhou, Xiaowei; Xu, Shengrui
Pulsed metal organic chemical vapor deposition (P-MOCVD) is introduced into the growth of high quality InGaN channel heterostructures. The effects of InGaN channel growth temperature on the structural and transport properties of the heterostructures are investigated in detail. High resolution x-ray diffraction (HRXRD) and Photoluminescence (PL) spectra indicate that the quality of InGaN channel strongly depends on the growth temperature. Meanwhile, the atomic force microscopy (AFM) results show that the interface morphology between the InGaN channel and the barrier layer also relies on the growth temperature. Since the variation of material properties of InGaN channel has a significant influence onmore » the electrical properties of InAlN/InGaN heterostructures, the optimal transport properties can be achieved by adjusting the growth temperature. A very high two dimension electron gas (2DEG) density of 1.92 × 10{sup 13} cm{sup −2} and Hall electron mobility of 1025 cm{sup 2}/(V⋅s) at room temperature are obtained at the optimal growth temperature around 740 °C. The excellent transport properties in our work indicate that the heterostructure with InGaN channel is a promising candidate for the microwave power devices, and the results in this paper will be instructive for further study of the InGaN channel heterostructures.« less
The management of stress in MOCVD-grown InGaN/GaN LED multilayer structures on Si(1 1 1) substrates
NASA Astrophysics Data System (ADS)
Jiang, Quanzhong; Allsopp, Duncan W. E.; Bowen, Chris R.; Wang, Wang N.
2013-09-01
The tensile stress in light-emitting diode (LED)-on-Si(1 1 1) multilayer structures must be reduced so that it does not compromise the multiple quantum well emission wavelength uniformity and structural stability. In this paper it is shown for non-optimized LED structures grown on Si(1 1 1) substrates that both emission wavelength uniformity and structural stability can be achieved within the same growth process. In order to gain a deeper understanding of the stress distribution within such a structure, cross-sectional Raman and photo-luminescence spectroscopy techniques were developed. It is observed that for a Si:GaN layer grown on a low-temperature (LT) AlN intermediate layer there is a decrease in compressive stress with increasing Si:GaN layer thickness during MOCVD growth which leads to a high level of tensile stress in the upper part of the layer. This may lead to the development of cracks during cooling to room temperature. Such a phenomenon may be associated with annihilation of defects such as dislocations. Therefore, a reduction of dislocation intensity should take place at the early stage of GaN growth on an AlN or AlGaN layer in order to reduce a build up of tensile stress with thickness. Furthermore, it is also shown that a prolonged three dimensional GaN island growth on a LT AlN interlayer for the reduction of dislocations may result in a reduction in the compressive stress in the resulting GaN layer.
Optical Properties of ZnCdS:I Orange and ZnSTe:I White Thin Film Phosphor for High Ra White LED
NASA Astrophysics Data System (ADS)
Fujii, Satoshi; Tasaki, Norio; Shinomura, Naohiko; Kurai, Satoshi; Yamada, Yoichi; Taguchi, Tsunemasa
In order to develop visible thin film phosphors, we have for the first time prepared ZnCdS and ZnSTe doped with Iodine (I) using low-pressure MOCVD method. ZnCdS:I, of which Cd composition was calibrated to match the lattice constant to that of substrate and the band gap to absorption peak, showed a orange broad emission consist of yellow near band edge emission and red SA emission. Isoelectronic Te in ZnS indicates strong blue-green emissions, whilst I donor impurity in ZnS shows strong red SA emissions. A typical ZnSTe:I thin film shows two broad emission bands locating at around 500 and 680 nm, respectively, indicating Ra˜90. It was shown that high Ra thin film phosphor can be realized by single material (ZnSTe:I), and that MOCVD method is capable for controlling the thickness and doping profile to obtain uniform white emission pattern.
Broadband full-color monolithic InGaN light-emitting diodes by self-assembled InGaN quantum dots
Li, Hongjian; Li, Panpan; Kang, Junjie; Ding, Jiianfeng; Ma, Jun; Zhang, Yiyun; Yi, Xiaoyan; Wang, Guohong
2016-01-01
We have presented broadband full-color monolithic InGaN light-emitting diodes (LEDs) by self-assembled InGaN quantum dots (QDs) using metal organic chemical vapor deposition (MOCVD). The electroluminescence spectra of the InGaN QDs LEDs are extremely broad span from 410 nm to 720 nm with a line-width of 164 nm, covering entire visible wavelength range. A color temperature of 3370 K and a color rendering index of 69.3 have been achieved. Temperature-dependent photoluminescence measurements reveal a strong carriers localization effect of the InGaN QDs layer by obvious blue-shift of emission peak from 50 K to 300 K. The broadband luminescence spectrum is believed to be attributed to the injected carriers captured by the different localized states of InGaN QDs with various sizes, shapes and indium compositions, leading to a full visible color emission. The successful realization of our broadband InGaN QDs LEDs provide a convenient and practical method for the fabrication of GaN-based monolithic full-color LEDs in wafer scale. PMID:27734917
Ferromagnetism induced by oxygen-vacancy complex in (Mn, in) codoped ZnO
NASA Astrophysics Data System (ADS)
Wu, Kongping; Gu, Shulin; Tang, Kun; Zhu, Shunming; Zhou, Mengran; Huang, Yourui; Xu, Mingxiang; Zhang, Rong; Zheng, Youdou
2012-07-01
Mn doped Zinc oxide (ZnO) thin films were prepared by metal organic chemical vapor deposition (MOCVD) technique. Structural characterizations by X-ray diffraction technique (XRD) and photoluminescence (PL) indicate the crystal quality of ZnO films. PL and Raman show a large fraction of oxygen vacancies (VO2+) are generated by vacuum annealed the film. The enhancement of ferromagnetism in post-annealed (Mn, In) codoped ZnO could result from VO2+ incorporation. The effect of VO2+ on the magnetic properties of (Mn, In) codoped ZnO has been studied by first-principles calculations. It is found that only In donor cannot induce ferromagnetism (FM) in Mn-doped ZnO. Besides, the presence of VO2+ makes the Mn empty 3d-t2g minority state broadened, and a t2g-VO2+ hybrid level at the conduction band minimum forms. The presence of VO2+ can lead to strong ferromagnetic coupling with the nearest neighboring Mn cation by BMP model based on defects reveal that the ferromagnetic exchange is mediated by the donor impurity state, which mainly consists of Mn 3d electrons trapped in oxygen vacancies.
NASA Technical Reports Server (NTRS)
Messenger, S. R.; Walters, R. J.; Summers, G. P.
1993-01-01
Deep level transient spectroscopy was used to monitor thermal annealing of trapping centers in electron irradiated n(+)p InP junctions grown by metalorganic chemical vapor deposition, at temperatures ranging from 500 up to 650K. Special emphasis is given to the behavior of the minority carrier (electron) traps EA (0.24 eV), EC (0.12 eV), and ED (0.31 eV) which have received considerably less attention than the majority carrier (hole) traps H3, H4, and H5, although this work does extend the annealing behavior of the hole traps to higher temperatures than previously reported. It is found that H5 begins to anneal above 500K and is completely removed by 630K. The electron traps begin to anneal above 540K and are reduced to about half intensity by 630K. Although they each have slightly different annealing temperatures, EA, EC, and ED are all removed by 650K. A new hole trap called H3'(0.33 eV) grows as the other traps anneal and is the only trap remaining at 650K. This annealing behavior is much different than that reported for diffused junctions.
The effects of high-energy uranium ion irradiation on Au/n-GaN Schottky diodes
NASA Astrophysics Data System (ADS)
Gou, J.; Zhang, C. H.; Zhang, L. Q.; Song, Y.; Wang, L. X.; Li, J. J.; Meng, Y. C.; Li, H. X.; Yang, Y. T.; Lu, Z. W.
2014-11-01
The I-V and C-V characteristics of Au/n-GaN Schottky diodes irradiated with 290-MeV 238U32+ ions are presented. The U ions can penetrate the n-type GaN epi-layer with a thickness about 3 μm grown on the c-plane of a sapphire substrate using the MOCVD technique, leaving a purely electronic energy deposition. The Au/n-GaN Schottky diodes were irradiated to successively increasing fluences from 1 × 109 to 5 × 1011 ions cm-2. The measured I-V curves show that the height of the Schottky barrier decreases after irradiation and that the Schottky barrier almost disappears when the ion fluence reaches 5 × 1010 ions cm-2. Meanwhile, the irradiation increases the series resistance. The C-V curves show that the capacitance drops sharply when the ion fluence reaches 5 × 1010 ions cm-2. The dielectric constant also decreases following the irradiation. The changes of the electrical properties are ascribed to the neutralization of the donor-like surface state and the acceptor-like surface state due to the migration of Au atoms at the interface of Au/n-GaN under energetic U ions irradiations.
Optical, structural, and nuclear scientific studies of AlGaN with high Al composition
NASA Astrophysics Data System (ADS)
Lin, Tse Yang; Chung, Yee Ling; Li, Lin; Yao, Shude; Lee, Y. C.; Feng, Zhe Chuan; Ferguson, Ian T.; Lu, Weijie
2010-08-01
AlGaN epilayers with higher Al-compositions were grown by Metalorganic Chemical Vapor Deposition (MOCVD) on (0001) sapphire. Trimethylgallium (TMGa), trimethylaluminium (TMAl) and NH3 were used as the source precursors for Ga, Al, and N, respectively. A 25 nm AlN nucleation layer was first grown at low-temperature of 590 °C at 300 Torr. Followed, AlxGa1-xN layers were grown at 1080 °C on low-temperature AlN nucleation layers. The heterostructures were characterized by a series of techniques, including x-ray diffraction (XRD), Rutherford backscattering (RBS), photoluminescence (PL), scanning electron microscopy (SEM) and Raman scattering. Precise Al compositions were determined through XRD, RBS, and SEM combined measurements. Room Temperature Raman Scattering spectra shows three major bands from AlGaN alloys, which are AlN-like, A1 longitudinal optical (LO) phonon modes, and E2 transverse optical (TO) band, respectively, plus several peak comes from the substrate. Raman spectral line shape analysis lead to an optical determination of the electrical property free carrier concentration of AlGaN. The optical properties of AlGaN with high Al composition were presented here.
Vu, Thi Kim Oanh; Lee, Kyoung Su; Lee, Sang Jun; Kim, Eun Kyu
2018-09-01
We studied defect states in In0.53Ga0.47As/InP heterojunctions with interface control by group V atoms during metalorganic chemical vapor (MOCVD) deposition. From deep level transient spectroscopy (DLTS) measurements, two defects with activation energies of 0.28 eV (E1) and 0.15 eV (E2) below the conduction band edge, were observed. The defect density of E1 for In0.53Ga0.47As/InP heterojunctions with an addition of As and P atoms was about 1.5 times higher than that of the heterojunction added P atom only. From the temperature dependence of current- voltage characteristics, the thermal activation energies of In0.53Ga0.47As/InP of heterojunctions were estimated to be 0.27 and 0.25 eV, respectively. It appeared that the reverse light current for In0.53Ga0.47As/InP heterojunction added P atom increased only by illumination of a 940 nm-LED light source. These results imply that only the P addition at the interface can enhance the quality of InGaAs/InP heterojunction.
Effects of surface passivation on twin-free GaAs nanosheets.
Arab, Shermin; Chi, Chun-Yung; Shi, Teng; Wang, Yuda; Dapkus, Daniel P; Jackson, Howard E; Smith, Leigh M; Cronin, Stephen B
2015-02-24
Unlike nanowires, GaAs nanosheets exhibit no twin defects, stacking faults, or dislocations even when grown on lattice mismatched substrates. As such, they are excellent candidates for optoelectronic applications, including LEDs and solar cells. We report substantial enhancements in the photoluminescence efficiency and the lifetime of passivated GaAs nanosheets produced using the selected area growth (SAG) method with metal organic chemical vapor deposition (MOCVD). Measurements are performed on individual GaAs nanosheets with and without an AlGaAs passivation layer. Both steady-state photoluminescence and time-resolved photoluminescence spectroscopy are performed to study the optoelectronic performance of these nanostructures. Our results show that AlGaAs passivation of GaAs nanosheets leads to a 30- to 40-fold enhancement in the photoluminescence intensity. The photoluminescence lifetime increases from less than 30 to 300 ps with passivation, indicating an order of magnitude improvement in the minority carrier lifetime. We attribute these enhancements to the reduction of nonradiative recombination due to the compensation of surface states after passivation. The surface recombination velocity decreases from an initial value of 2.5 × 10(5) to 2.7 × 10(4) cm/s with passivation.
Megalini, Ludovico; Šuran Brunelli, Simone Tommaso; Charles, William O; Taylor, Aidan; Isaac, Brandon; Bowers, John E; Klamkin, Jonathan
2018-02-26
We report on the use of InGaAsP strain-compensated superlattices (SC-SLs) as a technique to reduce the defect density of Indium Phosphide (InP) grown on silicon (InP-on-Si) by Metal Organic Chemical Vapor Deposition (MOCVD). Initially, a 2 μm thick gallium arsenide (GaAs) layer was grown with very high uniformity on exact oriented (001) 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO₂) stripes and oriented along the [110] direction. Undercut at the Si/SiO₂ interface was used to reduce the propagation of defects into the III-V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP) was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD); atomic force microscopy (AFM); transmission electron microscopy (TEM); and electron channeling contrast imaging (ECCI); which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 10⁸/cm² and 1.2 nm; respectively and 7.8 × 10⁷/cm² and 10.8 nm for the GaAs-on-Si layer.
Megalini, Ludovico; Šuran Brunelli, Simone Tommaso; Charles, William O.; Taylor, Aidan; Isaac, Brandon; Klamkin, Jonathan
2018-01-01
We report on the use of InGaAsP strain-compensated superlattices (SC-SLs) as a technique to reduce the defect density of Indium Phosphide (InP) grown on silicon (InP-on-Si) by Metal Organic Chemical Vapor Deposition (MOCVD). Initially, a 2 μm thick gallium arsenide (GaAs) layer was grown with very high uniformity on exact oriented (001) 300 mm Si wafers; which had been patterned in 90 nm V-grooved trenches separated by silicon dioxide (SiO2) stripes and oriented along the [110] direction. Undercut at the Si/SiO2 interface was used to reduce the propagation of defects into the III–V layers. Following wafer dicing; 2.6 μm of indium phosphide (InP) was grown on such GaAs-on-Si templates. InGaAsP SC-SLs and thermal annealing were used to achieve a high-quality and smooth InP pseudo-substrate with a reduced defect density. Both the GaAs-on-Si and the subsequently grown InP layers were characterized using a variety of techniques including X-ray diffraction (XRD); atomic force microscopy (AFM); transmission electron microscopy (TEM); and electron channeling contrast imaging (ECCI); which indicate high-quality of the epitaxial films. The threading dislocation density and RMS surface roughness of the final InP layer were 5 × 108/cm2 and 1.2 nm; respectively and 7.8 × 107/cm2 and 10.8 nm for the GaAs-on-Si layer. PMID:29495381
MOCVD-Grown InGaAsP Double Heterostructure Diode Lasers
1993-08-01
assuming refractive index and its dispersion for InGaAsP and InGaP corresponding to the known values for AIGaAs compounds with the same bandgap [13...in the refractive index between the waveguide and cladding layers provides light confinement within the optical cavity. Separate optical and
Upcoming planetary missions and the applicability of high temperature superconductor bolometers
NASA Technical Reports Server (NTRS)
Brasunas, J.; Kunde, V.; Moseley, H.; Lakew, B.
1991-01-01
Planetary missions to Mars and beyond can last 11 years and longer, making impractical the use of stored cryogens. Passive radiative coolers and single-stage mechanical coolers remain possibilities. Cassini and Comet Rendezvous/Asteroid Fly-by (CRAF), both using the newly developed Mariner Mark 2 spacecraft, will be the next outer planet missions after Galileo; they are intended to provide information on the origin and evolution of the solar system. CRAF is slated for a 1994 launch. Cassini was chosen by ESA and will be launched by a Titan 4/Centaur in 1996. It will fly by Jupiter in 2000, inject an ESA-supplied probe into Titan in 2002, and take data in Saturn's orbit from 2002 to 2006. NASA/Goddard is currently developing a prototype Fourier transform spectrometer, the Composite Infrared Spectrometer (CIRS), for the Cassini mission. The baseline infrared detectors for CIRS are HgCdTe to 16 microns and Schwarz-type thermopiles from 16 to 1000 microns. The far infrared focal plane could be switched from thermopiles to high temperature superconductor (HTS) bolometers between now and 1996. An HTS bolometer could be built using the kinetic inductance effect, or the sharp resistance change at the transition. The transition-edge bolometer is more straightforward to implement, and initial efforts at NASA/Goddard are directed to that device. A working device was made and tested in early 1989. It also has somewhat elevated noise levels below 100 Hz. Upcoming efforts will center on reducing the time constant of the HTS bolometer by attempting to deposit an HTS film on a diamond substrate, and by thinning SrTiO3 substrates. Attempts will be made to improve the film quality to reduce the 1/4 noise level, and to improve the thermal isolation to increase the bolometer sensitivity. An attempt is being made to deposit good-quality HTS films on diamond films using a metal-organic chemical vapor deposition (MOCVD) technique.
NASA Astrophysics Data System (ADS)
Buzby, Scott Edward
Nanosized titanium dioxide has a variety of important applications in everyday life including a photocatalyst for pollution remediation, photovoltaic devices, sunscreen, etc. This study focuses on the various properties of titanium dioxide nanoparticles doped with various cation and anion species. Samples were produced by various methods including metalorganic chemical vapor deposition (MOCVD), plasma assisted metalorganic chemical vapor deposition (PA-MOCVD) and sol-gel. Numerous techniques such as X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), electron microscopy both scanning (SEM) and transmission (TEM) were used for physical characterization. Photocatalytic properties were determined by the oxidation of methylene blue dye and 2-chlorophenol in water as well as gaseous formic acid with results analyzed by high performance liquid chromatography (HPLC), Fourier transform infrared spectroscopy (FTIR) and ultra violet - visible spectroscopy (UV-VIS). For the purpose of enhancement of the photocatalytic activity of titanium dioxide nanoparticles, the effect of anion doping and the anatase-rutile phase ratio were studied. Although anatase, rutile and mixed crystallite phases all show some degree of activity in photocatalytic reactions, these results show that anatase is better suited for the degradation of organic compounds in an aqueous medium any advantage in photocatalytic activity gained through the enhancement in optical response from the smaller band gap by addition of rutile was overcome by the negatives associated with the rutile phase. Furthermore substitutional nitrogen doping showed significant improvement in UV photocatalysis as well as allowing for visible light activation of the catalyst. Further studies on the phase transitions in titanium dioxide nanoparticles were carried out by synthesizing various cation doped samples by sol-gel. Analysis of the phases by XRD showed an inverse relationship between dopant size and rutile percentage. Dopant ions with larger radii than titanium stress the crystal lattice promoting anatase formation, since it has a larger c/a ratio than rutile does. The cation dopants were also found to decrease the average particle size of the titanium dioxide nanoparticles. The defect sites caused by the doping prevent the nucleation and retard particle growth of titanium dioxide particles. Cation doping of titanium dioxide nanoparticles affect other properties of the nanoparticles besides the phase transitions. For example titanium dioxide doped with magnetic materials such as Fe, Ni, Co or Cr has been shown to display room temperature ferromagnetism which are currently being studied for use in spintronic devices. The antibacterial studies of silver doped titanium dioxide nanoparticles were carried out against Escherichia coli, both in nutrient solution and on agar-plates. Both studies show that while pure titanium dioxide has no antibacterial effect, when doped with as little as 0.72 atomic % silver becomes more effective than pure silver nanoparticles of similar size. It has been observed that with concentrations as low as 25mug/cm 2 of silver doped titanium dioxide, completely antibacterial surfaces may be synthesized.
Raman Scattering Study of Lattice Vibrations in the Type-II Superlattice InAs /InAs1 -xSbx
NASA Astrophysics Data System (ADS)
Liu, Henan; Zhang, Yong; Steenbergen, Elizabeth H.; Liu, Shi; Lin, Zhiyuan; Zhang, Yong-Hang; Kim, Jeomoh; Ji, Mi-Hee; Detchprohm, Theeradetch; Dupuis, Russell D.; Kim, Jin K.; Hawkins, Samuel D.; Klem, John F.
2017-09-01
The InAs /InAs1 -xSbx superlattice system distinctly differs from two well-studied superlattice systems GaAs /AlAs and InAs /GaSb in terms of electronic band alignment, common elements at the interface, and phonon spectrum overlapping of the constituents. This fact leads to the unique electronic and vibrational properties of the InAs /InAs1 -xSbx system when compared to the other two systems. In this work, we report a polarized Raman study of the vibrational properties of the InAs /InAs1 -xSbx superlattices (SLs) as well as selected InAs1 -xSbx alloys, all grown on GaSb substrates by either MBE or metalorganic chemical vapor deposition (MOCVD) from both the growth surface and cleaved edge. In the SL, from the (001) backscattering geometry, an InAs-like longitudinal optical (LO) mode is observed as the primary feature, and its intensity is found to increase with increasing Sb composition. From the (110) cleaved-edge backscattering geometry, an InAs-like transverse optical (TO) mode is observed as the main feature in two cross-polarization configurations, but an additional InAs-like "forbidden" LO mode is observed in two parallel-polarization configurations. The InAs1 -xSbx alloys lattice matched to the substrate (xSb˜0.09 ) grown by MBE are also found to exhibit the forbidden LO mode, implying the existence of some unexpected [001] modulation. However, the strained samples (xSb˜0.35 ) grown by MOCVD are found to behave like a disordered alloy. The primary conclusions are (1) the InAs-like LO or TO mode can be either a confined or quasiconfined mode in the InAs layers of the SL or extended mode of the whole structure depending on the Sb composition. (2) InAs /InAs1 -xSbx and InAs /GaSb SLs exhibit significantly different behaviors in the cleaved-edge geometry but qualitatively similar in the (001) geometry. (3) The appearance of the forbidden LO-like mode is a universal signature for SLs and bulk systems resulting from the mixing of phonon modes due to structural modulation or symmetry reduction.
NASA Astrophysics Data System (ADS)
Hurni, Christophe Antoine
Widespread interest in the group III-Nitrides began with the achievement of p-type conductivity in the early 1990s in Mg-doped GaN films grown by metal organic chemical vapor deposition (MOCVD) by Nakamura et al. Indeed, MOCVD-grown Mg-doped GaN is insulating as-grown, because of the formation of neutral Mg-H complexes. Nakamura et al. showed that a rapid thermal anneal removes the hydrogen and enables p-conductivity. Shortly after this discovery, the first LEDs and lasers were demonstrated by Nakamura et al. The necessary annealing step is problematic for devices which need a buried p-layer, such as hetero-junction bipolar transistors. Ammonia molecular beam epitaxy (NH3-MBE) has a great potential for growing vertical III-Nitrides-based devices, thank to its N-rich growth conditions and all the usual advantages of MBE, which include a low-impurity growth environment, in situ monitoring techniques as well as the ability to grow sharp interfaces. We first investigated the growth of p-GaN by NH3-MBE. We found that the hole concentration strongly depends on the growth temperature. Thanks to comprehensive Hall and transfer length measurements, we found evidences for a compensating donor defects in NH3-MBE-grown Mg-doped GaN films. High-quality p-n junctions with very low reverse current and close to unity ideality factor were also grown and investigated. For the design of heterojunction devices such as laser diodes, light emitting diodes or heterojunction bipolar transistors, hetero-interface's characteristics such as the band offset or interface charges are fundamental. A technique developed by Kroemer et al. uses capacitance-voltage (C-V) profiling to extract band-offsets and charges at a hetero-interface. We applied this technique to the III-Nitrides. We discovered that for the polar III-Nitrides, the technique is not applicable because of the very large polarization charge. We nevertheless successfully measured the polarization charge at the AlGaN/GaN hetero-interface though C-V profiling. In the non-polar and semi-polar cases, the hetero-interface charge was low enough to extract the conduction band-offset through C-V profiling, provided that the doping profile had a foreseeable behavior.
Raman Scattering Study of Lattice Vibrations in the Type-II Superlattice InAs / InAs 1 - x Sb x
DOE Office of Scientific and Technical Information (OSTI.GOV)
Liu, Henan; Zhang, Yong; Steenbergen, Elizabeth H.
The InAs/InAs 1-xSb x superlattice system distinctly differs from two well-studied superlattice systems GaAs / AlAs and InAs/GaSb in terms of electronic band alignment, common elements at the interface, and phonon spectrum overlapping of the constituents. This fact leads to the unique electronic and vibrational properties of the InAs/InAs 1-xSb x system when compared to the other two systems. Here, we report a polarized Raman study of the vibrational properties of the InAs/InAs 1-xSb x superlattices (SLs) as well as selected InAs 1-xSb x alloys, all grown on GaSb substrates by either MBE or metalorganic chemical vapor deposition (MOCVD) frommore » both the growth surface and cleaved edge. In the SL, from the (001) backscattering geometry, an InAs-like longitudinal optical (LO) mode is observed as the primary feature, and its intensity is found to increase with increasing Sb composition. From the (110) cleaved-edge backscattering geometry, an InAs-like transverse optical (TO) mode is observed as the main feature in two cross-polarization configurations, but an additional InAs-like “forbidden” LO mode is observed in two parallel-polarization configurations. The InAs 1-xSb x alloys lattice matched to the substrate (x Sb ~ 0.09) grown by MBE are also found to exhibit the forbidden LO mode, implying the existence of some unexpected [001] modulation. However, the strained samples (x Sb ~ 0.35) grown by MOCVD are found to behave like a disordered alloy. The primary conclusions are (1) the InAs-like LO or TO mode can be either a confined or quasiconfined mode in the InAs layers of the SL or extended mode of the whole structure depending on the Sb composition. (2) InAs/InAs 1-xSb x and InAs/GaSb SLs exhibit significantly different behaviors in the cleaved-edge geometry but qualitatively similar in the (001) geometry. (3) The appearance of the forbidden LO-like mode is a universal signature for SLs and bulk systems resulting from the mixing of phonon modes due to structural modulation or symmetry reduction.« less
High-efficiency, deep-junction, epitaxial InP solar cells on (100) and (111)B InP substrates
NASA Technical Reports Server (NTRS)
Venkatasubramanian, R.; Timmons, M. L.; Hutchby, J. A.; Walters, Robert J.; Summers, Geoffrey P.
1994-01-01
We report on the development and performance of deep-junction (approximately 0.25 micron), graded-emitter-doped, n(sup +)-p InP solar cells grown by metallorganic chemical vapor deposition (MOCVD). A novel, diffusion-transport process for obtaining lightly-doped p-type base regions of the solar cell is described. The I-V data and external quantum-efficiency response of these cells are presented. The best active-area AMO efficiency for these deep-junction cells on (100)-oriented InP substrates is 16.8 percent, with a J(sub SC) of 31.8 mA/sq cm, a V(sub OC) of 0.843 V, and a fill-factor of 0.85. By comparison, the best cell efficiency on the (111)B-oriented InP substrates was 15.0 percent. These efficiency values for deep-junction cells are encouraging and compare favorably with performance of thin-emitter (0.03 micron) epitaxial cells as well as that of deep-emitter diffused cells. The cell performance and breakdown voltage characteristics of a batch of 20 cells on each of the orientations are presented, indicating the superior breakdown voltage properties and other characteristics of InP cells on the (111)B orientation. Spectral response, dark I-V data, and photoluminescence (PL) measurements on the InP cells are presented with an analysis on the variation in J(sub SC) and V(sub OC) of the cells. It is observed, under open-circuit conditions, that lower-V(sub OC) cells exhibit higher band-edge PL intensity for both the (100) and (111)B orientations. This anomalous behavior suggests that radiative recombination in the heavily-doped n(sup +)-InP emitter may be detrimental to achieving higher V(sub OC) in n(sup +)-p InP solar cells.
GaN based nanorods for solid state lighting
NASA Astrophysics Data System (ADS)
Li, Shunfeng; Waag, Andreas
2012-04-01
In recent years, GaN nanorods are emerging as a very promising novel route toward devices for nano-optoelectronics and nano-photonics. In particular, core-shell light emitting devices are thought to be a breakthrough development in solid state lighting, nanorod based LEDs have many potential advantages as compared to their 2 D thin film counterparts. In this paper, we review the recent developments of GaN nanorod growth, characterization, and related device applications based on GaN nanorods. The initial work on GaN nanorod growth focused on catalyst-assisted and catalyst-free statistical growth. The growth condition and growth mechanisms were extensively investigated and discussed. Doping of GaN nanorods, especially p-doping, was found to significantly influence the morphology of GaN nanorods. The large surface of 3 D GaN nanorods induces new optical and electrical properties, which normally can be neglected in layered structures. Recently, more controlled selective area growth of GaN nanorods was realized using patterned substrates both by metalorganic chemical vapor deposition (MOCVD) and by molecular beam epitaxy (MBE). Advanced structures, for example, photonic crystals and DBRs are meanwhile integrated in GaN nanorod structures. Based on the work of growth and characterization of GaN nanorods, GaN nanoLEDs were reported by several groups with different growth and processing methods. Core/shell nanoLED structures were also demonstrated, which could be potentially useful for future high efficient LED structures. In this paper, we will discuss recent developments in GaN nanorod technology, focusing on the potential advantages, but also discussing problems and open questions, which may impose obstacles during the future development of a GaN nanorod based LED technology.
Large scale 2D/3D hybrids based on gallium nitride and transition metal dichalcogenides.
Zhang, Kehao; Jariwala, Bhakti; Li, Jun; Briggs, Natalie C; Wang, Baoming; Ruzmetov, Dmitry; Burke, Robert A; Lerach, Jordan O; Ivanov, Tony G; Haque, Md; Feenstra, Randall M; Robinson, Joshua A
2017-12-21
Two and three-dimensional (2D/3D) hybrid materials have the potential to advance communication and sensing technologies by enabling new or improved device functionality. To date, most 2D/3D hybrid devices utilize mechanical exfoliation or post-synthesis transfer, which can be fundamentally different from directly synthesized layers that are compatible with large scale industrial needs. Therefore, understanding the process/property relationship of synthetic heterostructures is priority for industrially relevant material architectures. Here we demonstrate the scalable synthesis of molybdenum disulfide (MoS 2 ) and tungsten diselenide (WSe 2 ) via metal organic chemical vapor deposition (MOCVD) on gallium nitride (GaN), and elucidate the structure, chemistry, and vertical transport properties of the 2D/3D hybrid. We find that the 2D layer thickness and transition metal dichalcogenide (TMD) choice plays an important role in the transport properties of the hybrid structure, where monolayer TMDs exhibit direct tunneling through the layer, while transport in few layer TMDs on GaN is dominated by p-n diode behavior and varies with the 2D/3D hybrid structure. Kelvin probe force microscopy (KPFM), low energy electron microscopy (LEEM) and X-ray photoelectron spectroscopy (XPS) reveal a strong intrinsic dipole and charge transfer between n-MoS 2 and p-GaN, leading to a degraded interface and high p-type leakage current. Finally, we demonstrate integration of heterogeneous 2D layer stacks of MoS 2 /WSe 2 on GaN with atomically sharp interface. Monolayer MoS 2 /WSe 2 /n-GaN stacks lead to near Ohmic transport due to the tunneling and non-degenerated doping, while few layer stacking is Schottky barrier dominated.
Microstructure and conductance-slope of InAs/GaSb tunnel diodes
DOE Office of Scientific and Technical Information (OSTI.GOV)
Iutzi, Ryan M., E-mail: iutzi@mit.edu; Fitzgerald, Eugene A.
2014-06-21
InAs/GaSb and similar materials systems have generated great interest as a heterojunction for tunnel field effect transistors (TFETs) due to favorable band alignment. However, little is currently understood about how such TFETs are affected by materials defects and nonidealities. We present measurements of the conductance slope for various InAs/GaSb heterojunctions via two-terminal electrical measurements, which removes three-terminal parasitics and enables direct study on the effect of microstructure on tunnelling. Using this, we can predict how subthreshold swings in TFETs can depend on microstructure. We also demonstrate growth and electrical characterization for structures grown by metalorganic chemical vapor deposition (MOCVD)—a generallymore » more scalable process compared with molecular beam epitaxy (MBE). We determine that misfit dislocations and point defects near the interface can lead to energy states in the band-gap and local band bending that result in trap-assisted leakage routes and nonuniform band alignment across the junction area that lower the steepness of the conductance slope. Despite the small lattice mismatch, misfit dislocations still form in InAs on GaSb due to relaxation as a result of large strain from intermixed compositions. This can be circumvented by growing GaSb on InAs, straining the GaSb underlayer, or lowering the InAs growth temperature in the region of the interface. The conductance slope can also be improved by annealing the samples at higher temperatures, which we believe acts to annihilate point defects and average out major fluctuations in band alignment across the interface. Using a combination of these techniques, we can greatly improve the steepness of the conductance slope which could result in steeper subthreshold swings in TFETs in the future.« less
Method to grow group III-nitrides on copper using passivation layers
DOE Office of Scientific and Technical Information (OSTI.GOV)
Li, Qiming; Wang, George T; Figiel, Jeffrey T
Group III-nitride epilayers can be grown directly on copper substrates using intermediate passivation layers. For example, single crystalline c-plane GaN can be grown on Cu (110) substrates with MOCVD. The growth relies on a low temperature AlN passivation layer to isolate any alloying reaction between Ga and Cu.
Studies on the effect of ammonia flow rate induced defects in gallium nitride grown by MOCVD
NASA Astrophysics Data System (ADS)
Suresh, S.; Lourdudoss, S.; Landgren, G.; Baskar, K.
2010-10-01
Gallium nitride (GaN) epitaxial layers were grown with different V/III ratios by varying the ammonia (NH 3) flow rate, keeping the flow rate of the other precursor, trimethylgallium (TMG), constant, in an MOCVD system. X-ray rocking curve widths of a (1 0 2) reflection increase with an increase in V/III ratio while the (0 0 2) rocking curve widths decrease. The dislocation density was found to increase with an increase in ammonia flow rate, as determined by hot-wet chemical etching and atomic force microscopy. 77 K photoluminescence studies show near band emission at 3.49 eV and yellow luminescence peaking at 2.2 eV. The yellow luminescence (YL) intensity decreases with an increase in V/III ratio. Positron annihilation spectroscopy studies show that the concentration of Ga-like vacancies increases with an increase in ammonia flow rate. This study confirms that the yellow luminescence in the GaN arises due to deep levels formed by gallium vacancies decorated with oxygen atoms.
Response of single junction GaAs/GaAs and GaAs/Ge solar cells to multiple doses of 1 MeV electrons
NASA Technical Reports Server (NTRS)
Meier, D. L.; Szedon, J. R.; Bartko, J.; Chung, M. A.
1989-01-01
A comparison of the radiation tolerance of MOCVD-grown GaAs cells and GaAs/Ge cells was undertaken using 1 MeV electrons. The GaAs/Ge cells are somewhat more tolerant of 1 MeV electron irradiation and more responsive to annealing than are the GaAs/GaAs cells examined in this study. However, both types of cells suffer a greater degradation in efficiency than has been observed in other recent studies. The reason for this is not certain, but it may be associated with an emitter thickness which appears to be greater than desired. The deep level transient spectroscopy (DLTS) spectra following irradiation are not significantly different for the GaAs/Ge and the GaAs/GaAs cells, with each having just two peaks. The annealing behavior of these peaks is also similar in the two samples examined. It appears that no penalty in radiation tolerance, and perhaps some benefit, is associated with fabricating MOCVD GaAs cells on Ge substrates rather than GaAs substrates.
2G HTS wires made on 30 μm thick Hastelloy substrate
NASA Astrophysics Data System (ADS)
Sundaram, A.; Zhang, Y.; Knoll, A. R.; Abraimov, D.; Brownsey, P.; Kasahara, M.; Carota, G. M.; Nakasaki, R.; Cameron, J. B.; Schwab, G.; Hope, L. V.; Schmidt, R. M.; Kuraseko, H.; Fukushima, T.; Hazelton, D. W.
2016-10-01
REBCO (RE = rare earth) based high temperature superconducting (HTS) wires are now being utilized for the development of electric and electromagnetic devices for various industrial, scientific and medical applications. In the last several years, the increasing efforts in using the so-called second generation (2G) HTS wires for some of the applications require a further increase in their engineering current density (J e). The applications are those typically related to high magnetic fields where the higher J e of a REBCO wire, in addition to its higher irreversibility fields and higher mechanical strength, is already a major advantage over other superconducting wires. An effective way to increase the J e is to decrease the total thickness of a wire, for which using a thinner substrate becomes an obvious and attractive approach. By using our IBAD-MOCVD (ion beam assisted deposition-metal organic chemical vapor deposition) technology we have successfully made 2G HTS wires using a Hastelloy® C276 substrate that is only 30 μm in thickness. By using this thinner substrate instead of the typical 50 μm thick substrate and with a same critical current (I c), the J e of a wire can be increased by 30% to 45% depending on the copper stabilizer thickness. In this paper, we report the fabrication and characterization of the 2G HTS wires made on the 30 μm thick Hastelloy® C276 substrate. It was shown that with the optimization in the processing protocol, the surface of the thinner Hastelloy® C276 substrate can be readily electropolished to the quality needed for the deposition of the buffer stack. Same in the architecture as that on the standard 50 μm thick substrate, the buffer stack made on the 30 μm thick substrate showed an in-plane texture with a Δϕ of around 6.7° in the LaMnO3 cap layer. Low-temperature in-field transport measurement results suggest that the wires on the thinner substrate had achieved equivalent superconducting performance, most importantly the I c, as those on the 50 μm thick substrate. It is expected the 2G HTS wires made on the 30 μm thick Hastelloy® C276 substrate, the thinnest and with the highest J e to date, will greatly benefit such applications as high field magnets and high current cables.
NASA seeking high-power 60-GHz IMPATT diodes
NASA Technical Reports Server (NTRS)
Haugland, E. J.
1984-01-01
Recent progress in the development of high-power 60 GHz GaAs IMPATT diodes for communication links with high-data-rate satellites is discussed. One of the advantages of GaAs over Si as the material for the diodes are that GaAs is likely to have a higher output and efficiency than Si despite recent advances in Si technology. It is therefore in GaAs technology that research is currently concentrating. Some of the design strategies of the various companies working on the technology are described, including a pill process, MOCVD growth, and the use of diethy zinc as a dopant. Reliability testing of the diodes will be performed by NASA. Some of the alternatives to solid state amplifiers are discussed, including optical and traveling wave tube technology (TWT).
NASA Astrophysics Data System (ADS)
Okamoto, Shoji; Sankara Rama Krishnan, P. S.; Okamoto, Satoshi; Yokoyama, Shintaro; Akiyama, Kensuke; Funakubo, Hiroshi
2017-10-01
In-plane orientation-controlled Pb(Zr x ,Ti1- x )O3 (PZT) films with a thickness of approximately 2 µm and a Zr/(Zr + Ti) ratio of 0.39-0.65 were grown on (100) Si substrates by pulsed metal-organic chemical vapor deposition (MOCVD). In-plane-oriented epitaxial PZT films and in-plane random fiber-textured PZT films with {100} out-of-plane orientation were grown on (100)c SrRuO3//(100)c LaNiO3//(100) CeO2//(100) YSZ//(100) Si and (100)c SrRuO3/(100)c LaNiO3/(111) Pt/TiO2/SiO2/(100) Si substrates, respectively. The effects of Zr/(Zr + Ti) ratio and in-plane orientation on the crystal structure, dielectric, ferroelectric, and piezoelectric properties of the films were systematically investigated. The X-ray diffraction measurement showed that the epitaxial PZT films had a higher volume fraction of (100) orientation than the fiber-textured PZT films in the tetragonal Zr/(Zr + Ti) ratio region. A large difference was not detected between the epitaxial films and the fiber-textured films for Zr/(Zr + Ti) ratio dependence of the dielectric constant, and remanent polarization. However, in the rhombohedral phase region [Zr/(Zr + Ti) = 0.65], coercive field was found to be 1.5-fold different between the epitaxial and fiber-textured PZT films. The maximum field-induced strains measured at 0-100 kV/cm by scanning atomic force microscopy were obtained at approximately Zr/(Zr + Ti) = 0.50 and were about 0.5 and 0.3% for the epitaxial and fiber-textured PZT films, respectively.
Homo- and Heterometallic Bis(Pentafluorobenzoyl)Methanide Complexes of Copper(II) and Cobalt(II)
NASA Astrophysics Data System (ADS)
Crowder, Janell M.
beta-Diketones are well known to form metal complexes with practically every known metal and metalloid. Metal complexes of fluorinated beta-diketones generally exhibit increased volatility and thermal stability compared to the non-fluorinated analogues, and thus are used extensively in various chemical vapor deposition (CVD) processes for the deposition of metal, simple or mixed metal oxides, and fluorine-doped metal oxide thin films. Furthermore, the electron-withdrawing nature of the fluorinated ligand enhances the Lewis acidity of a coordinatively unsaturated metal center which facilitates additional coordination reactions. The physical and structural properties of fluorinated beta-diketonate complexes are discussed in Chapter 1 and a few key application examples are given. The focus of this work is the synthesis and single crystal X-ray structural characterization of unsolvated and coordinatively unsaturated metal complexes of bis(pentafluorobenzoyl)- methanide (L, C6F5COCHCOC 6F5-). In Chapter 2, we present the preparation and isolation of the unsolvated complex [Cu(L)2] in pure crystalline form for the first time. We subsequently investigated the reaction of unsolvated [Cu(L)2] with sodium hexafluoroacetylacetonate [Na(hfac)] in a solvent-free environment. This reaction allowed the isolation of the first heterometallic Na-Cu diketonate [Na2Cu2(L) 4(hfac)2] structurally characterized by single crystal X-ray crystallography. Thermal decomposition of [Na2Cu2(L) 4(hfac)2] was investigated for its potential application in MOCVD processes. In the final chapter, we present the first exploration of the anhydrous synthesis of Co(II) complexed with bis(pentafluorobenzoyl)methanide in order to produce a complex without ligated water. Single crystal X-ray crystallographic investigations revealed the isolation of the ethanol adduct, [Co2(L)4(C2H5OH)2], and following the removal of ethanol, a 1,4-dioxane adduct, [{Co 2(L)4}2(C4H8O2)]. In this work, we have provided the first investigation of the synthesis, isolation and single crystal X-ray structural characterization of unsolvated and coordinatively unsaturated Cu(II) and Co(II) complexes of bis(pentafluorobenzoyl)methanide ligand. These studies demonstrate how the electrophilicity of a coordinatively unsaturated metal complexed to highly-fluorinated â-diketone ligands can be utilized for the formation of new adducts or new and interesting heterometallic complexes. This body of work provides a basis upon which future research into unsolvated and unligated bis(pentafluorobenzoyl)methanide metal complexes can expand.
The integration of InGaP LEDs with CMOS on 200 mm silicon wafers
NASA Astrophysics Data System (ADS)
Wang, Bing; Lee, Kwang Hong; Wang, Cong; Wang, Yue; Made, Riko I.; Sasangka, Wardhana Aji; Nguyen, Viet Cuong; Lee, Kenneth Eng Kian; Tan, Chuan Seng; Yoon, Soon Fatt; Fitzgerald, Eugene A.; Michel, Jurgen
2017-02-01
The integration of photonics and electronics on a converged silicon CMOS platform is a long pursuit goal for both academe and industry. We have been developing technologies that can integrate III-V compound semiconductors and CMOS circuits on 200 mm silicon wafers. As an example we present our work on the integration of InGaP light-emitting diodes (LEDs) with CMOS. The InGaP LEDs were epitaxially grown on high-quality GaAs and Ge buffers on 200 mm (100) silicon wafers in a MOCVD reactor. Strain engineering was applied to control the wafer bow that is induced by the mismatch of coefficients of thermal expansion between III-V films and silicon substrate. Wafer bonding was used to transfer the foundry-made silicon CMOS wafers to the InGaP LED wafers. Process trenches were opened on the CMOS layer to expose the underneath III-V device layers for LED processing. We show the issues encountered in the 200 mm processing and the methods we have been developing to overcome the problems.
Efficient removal of UDMH from dilute nitride MOCVD exhaust streams
NASA Astrophysics Data System (ADS)
Pahle, Jörg; Czerniak, Mike; Seeley, Andy; Baker, Derek
2004-12-01
Unsymmetrical dimethyl hydrazine (UDMH) (CH 3) 2N 2H 2 is often used in the deposition of dilute nitride semiconductors because it provides a source of nitrogen with a low thermal decomposition temperature (Temperature-dependent carrier lifetime in GaNAs using resonant-coupled photoconductive decay, NCPV Program Review Meeting, Lakewood, Colorado, 14-17 October, 2001). The problems with using this material, however, are its significant toxicity (0.01 ppm compared to ammonia's 25 ppm) and also the fact that it blocks the action of conventional dosed wet scrubbers sometimes used on nitride applications, resulting in diminished efficiency in removing arsine (the source of arsenic), and arsine being similarly toxic (TLV of 0.05 ppm). Efficient removal of UDMH, AsH 3 and hydrogen (which, though not toxic poses a potential safety hazard) by means of a combined thermal oxidation reaction and wet scrubber in series is described at input gas flow rates exceeding those typically encountered in practice. The detection technique employed was Fourier transform infra red spectroscopy (FTIR), and the calibration and resolution techniques will be described. For input UDMH flows of up to 445 sccm (i.e. 1.85×10 -2 mol/min), destructive reaction efficiencies (DREs) of >99.9% were demonstrated, corresponding to the background detection resolution of 0.4 ppm.
Influence of high Mg doping on the microstructural and opto-electrical properties of AlGaN alloys
NASA Astrophysics Data System (ADS)
Xu, Qingjun; Zhang, Shiying; Liu, Bin; Tao, Tao; Xie, Zili; Xiu, Xiangqian; Chen, Dunjun; Chen, Peng; Han, Ping; Zheng, Youdou; Zhang, Rong
2018-07-01
Mg-doped AlxGa1-xN (x = 0.23 and 0.35) alloys have been grown on GaN templates with high temperature AlN (HT-AlN) interlayer by metalorganic chemical vapor deposition (MOCVD). A combination of secondary ion mass spectrometry (SIMS) and transmission electron microscopy (TEM) indicates the formation of more inversion domains in the high Al mole fraction Mg-doped AlGaN alloys at Mg concentration ∼1020 cm-3. For Mg-doped Al0.23Ga0.77N epilayer, the analysis of cathodoluminescence (CL) spectra supports the existence of self-compensation effects due to the presence of intrinsic defects and Mg-related centers. The energy level of Mg is estimated to be around 193 meV from the temperature dependence of the resistivity measured by Hall effect experiments. And hole concentration and mobility are measured to be 1.2 × 1018 cm-3 and 0.56 cm2/V at room temperature, respectively. The reduction of acceptor activation energy and low hole mobility are attributed to inversion domains and self-compensation. Moreover, impurity band conduction is dominant in carrier transport up to a relatively higher temperature in high Al content Mg-doped AlGaN alloys.
Annealing of irradiated n+p InP buried homojunctions
NASA Technical Reports Server (NTRS)
Walters, Robert J.; Summers, Geoffrey P.; Timmons, M. L.; Venkatasubramanian, R.; Hancock, J. A.; Hills, J. S.
1994-01-01
At the last SPRAT conference, the Naval Research Laboratory (NRL) presented results from two experiments. One studied n+p diffused junction (DJ) InP solar cells, and the other studied n+p shallow homojunction (SHJ) InP mesa diodes grown by metalorganic chemical vapor deposition (MOCVD). The former work showed that a DJ solar cell in which the maximum power P(sub max) had been degraded by nearly 80 percent under irradiation recovered completely under short circuit illumination at 450K. The recovery was accompanied by the removal of all but one of the radiation-induced defect levels. The latter work, on the other hand, showed that the radiation-induced defects in the SHJ diodes did not anneal until the temperature reached 650K. These results suggest that an irradiated DJ solar cell, under illumination, will anneal at a temperature 200K lower than an irradiated SHJ cell. This is an unexpected result considering the similarity of the devices. The goal of the present research is to explain this different behavior. This paper investigates two points which arose from the previous studies. The first point is that the DJ cells were annealed under illumination while the SHJ diodes were annealed without bias. The second point investigated here is that the emitters of the DJ and SHJ devices were significantly different.
Growth behavior and growth rate dependency in LEDs performance for Mg-doped a-plane GaN
NASA Astrophysics Data System (ADS)
Song, Keun-Man; Kim, Jong-Min; Lee, Dong-Hun; Shin, Chan-Soo; Ko, Chul-Gi; Kong, Bo-Hyun; Cho, Hyung-Koun; Yoon, Dae-Ho
2011-07-01
We investigated the influence of growth rate of Mg-doped a-plane GaN on the surface morphological and electrical properties, and the characteristics of InGaN-based nonpolar LEDs. Mg-doped a-plane GaN layers were grown on r-plane sapphire substrate by metalorganic chemical vapor deposition (MOCVD). Scanning electron microscopy (SEM), transmission electron microscopy (TEM) and cathode luminescence (CL) analysis exhibited that the surface morphology changed from stripe features with large triangular pits to rough and rugged surface with small asymmetric V-shape pits, as the growth rate increased. The Mg incorporation into a-plane GaN layers increased with increasing growth rate of Mg-doped a-plane GaN, while the activation efficiency of Mg dopants decreased in a-plane GaN. Additionally, it was found that operation voltage at 20 mA decreased in characteristics of LEDs, as the growth rate of Mg-doped a-plane GaN decreased. Meanwhile, the EL intensity of LEDs with p-GaN layers grown at higher growth rate was improved compared to that of LEDs with p-GaN layers grown at lower growth rate. Such an increase of EL intensity is attributed to the rougher surface morphology with increasing growth rate of Mg-doped a-plane GaN.
Zhang, Feng; Ikeda, Masao; Zhang, Shu-Ming; Liu, Jian-Ping; Tian, Ai-Qin; Wen, Peng-Yan; Cheng, Yang; Yang, Hui
2016-12-01
The polarization fields in c-plane InGaN/(In)GaN multiple quantum well (MQW) structures grown on sapphire substrate by metal-organic chemical vapor deposition are investigated in this paper. The indium composition in the quantum wells varies from 14.8 to 26.5% for different samples. The photoluminescence wavelengths are calculated theoretically by fully considering the related effects and compared with the measured wavelengths. It is found that when the indium content is lower than 17.3%, the measured wavelengths agree well with the theoretical values. However, when the indium content is higher than 17.3%, the measured ones are much shorter than the calculation results. This discrepancy is attributed to the reduced polarization field in the MQWs. For the MQWs with lower indium content, 100% theoretical polarization can be maintained, while, when the indium content is higher, the polarization field decreases significantly. The polarization field can be weakened down to 23% of the theoretical value when the indium content is 26.5%. Strain relaxation is excluded as the origin of the polarization reduction because there is no sign of lattice relaxation in the structures, judging by the X-ray diffraction reciprocal space mapping. The possible causes of the polarization reduction are discussed.
Development of a Miniature Snapshot Multispectral Imager
2010-09-01
ZnS ) and SiO2, however since ITC had no prior experience with ZnS , the next best choice of TiO2 and SiO2 was selected for fabrication of dielectric...Bass, S. J.; Apsley, N. High Quality InP /InGaAs Fabry-Perrot Etalons Grown by AP MOCVD. Semicon. Sci. Technol. 1987, 2, 466–467. 13. Szipocs, R
New quantum cascade laser sources for sensing applications (Conference Presentation)
NASA Astrophysics Data System (ADS)
Troccoli, Mariano
2017-05-01
In this presentation we will review our most recent results on development of Quantum Cascade Lasers (QCLs) for analytical and industrial applications. QCLs have demonstrated the capability to cover the entire range of Mid-IR, Far-IR, and THz wavelengths by skillful tuning of the material design and composition and by use of intrinsic material properties via a set of techniques collectively called "bandgap engineering". The use of MOCVD, pioneered on industrial scale by AdTech Optics, has enabled the deployment of QCL devices into a diverse range of environments and applications. QCLs can be tailored to the specific application requirements due to their unprecedented flexibility in design and thanks to the leveraging of well-known III-V fabrication technologies inherited from the NIR domain. Nevertheless, several applications and new frontiers in R and D need the constant support of new developments in device features, capabilities, and performances. We have developed a wide range of devices, from high power, high efficiency multi-mode sources, to narrow-band, single mode devices with low-power consumption, and from non-linear, multi-wavelength generating devices to broadband sources and multi-emitter arrays. All our devices are grown and processed using MOCVD technology and allow us to attain competitive performances across the whole mid-IR spectral range. This talk will present an overview of our current achievements. References 1. M. Troccoli, "High power emission and single mode operation of quantum cascade lasers for industrial applications", J. Sel. Topics in Quantum Electron., 21 (6), 1-7 (2015). Invited Review. 2. Seungyong Jung, Aiting Jiang, Yifan Jiang, Karun Vijayraghavan, Xiaojun Wang, Mariano Troccoli, and Mikhail A. Belkin, "Broadly Tunable Monolithic Terahertz Quantum Cascade Laser Sources", Nature Comm. 5, 4267 (2014).. 3. Mariano Troccoli, Arkadiy Lyakh, Jenyu Fan, Xiaojun Wang, Richard Maulini, Alexei G Tsekoun, Rowel Go, C Kumar N Patel, "Long-Wave IR Quantum Cascade Lasers for emission in the λ = 8-12μm spectral region", Opt. Mat. Expr., 3 (9), 1546-1560 (2013).
Mocvd Growth of Group-III Nitrides on Silicon Carbide: From Thin Films to Atomically Thin Layers
NASA Astrophysics Data System (ADS)
Al Balushi, Zakaria Y.
Group-III nitride semiconductors (AlN, GaN, InN and their alloys) are considered one of the most important class of materials for electronic and optoelectronic devices. This is not limited to the blue light-emitting diode (LED) used for efficient solid-state lighting, but other applications as well, such as solar cells, radar and a variety of high frequency power electronics, which are all prime examples of the technological importance of nitride based wide bandgap semiconductors in our daily lives. The goal of this dissertation work was to explore and establish new growth schemes to improve the structural and optical properties of thick to atomically thin films of group-III nitrides grown by metalorganic chemical vapor deposition (MOCVD) on SiC substrates for future novel devices. The first research focus of this dissertation was on the growth of indium gallium nitride (InGaN). This wide bandgap semiconductor has attracted much research attention as an active layer in LEDs and recently as an absorber material for solar cells. InGaN has superior material properties for solar cells due to its wavelength absorption tunability that nearly covers the entire solar spectrum. This can be achieved by controlling the indium content in thick grown material. Thick InGaN films are also of interest as strain reducing based layers for deep-green and red light emitters. The growth of thick films of InGaN is, however, hindered by several combined problems. This includes poor incorporation of indium in alloys, high density of structural and morphological defects, as well as challenges associated with the segregation of indium in thick films. Overcoming some of these material challenges is essential in order integrate thick InGaN films into future optoelectronics. Therefore, this dissertation research investigated the growth mechanism of InGaN layers grown in the N-polar direction by MOCVD as a route to improve the structural and optical properties of thick InGaN films. The growth of N-polar InGaN by MOCVD is challenging. These challenges arise from the lack of available native substrates suitable for N-polar film growth. As a result, InGaN layers are conventionally grown in the III-polar direction (i.e. III-polar InGaN) and typically grow under considerable amounts of stress on III-polar GaN base layers. While the structure-property relations of thin III-polar InGaN layers have been widely studied in quantum well structures, insight into the growth of thick films and N-polar InGaN layers have been limited. Therefore, this dissertation research compared the growth of both thick III-polar and N-polar InGaN films grown on optimized GaN base layers. III-polar InGaN films were rough and exhibited a high density of V-pits, while the growth of thick N-polar InGaN films showed improved structural quality and low surface roughness. The results of this dissertation work thereby provide an alternative route to the fabrication of thick InGaN films for potential use in solar cells as well as strain reducing schemes for deep-green and red light emitters. Moreover, this dissertation investigated stress relaxation in thick N-polar films using in situ reflectivity and curvature measurements. The results showed that stress relaxation in N-polar InGaN significantly differed from III-polar InGaN due to the absence of V-pits and it was hypothesized that plastic relaxation in N-polar InGaN could occur by dislocation glide, which typically is kinetically limited at such low growth temperatures required for InGaN. The second part of this dissertation research work focused on buffer free growth of GaN directly on SiC and on epitaxial graphene produced on SiC for potential vertical devices. The studies presented in this dissertation work on the growth of GaN directly on SiC compared the stress evolution of GaN films grown with and without an AlN buffer layer. Films grown directly on SiC showed reduced threading dislocation densities and improved surface roughness when compared to the growth of GaN on an AlN buffer layer. The dislocations in the GaN films grown di
Production status of GaAs/Ge solar cells and panels
NASA Technical Reports Server (NTRS)
Smith, B.; Gillanders, M.; Vijayakumar, P.; Lillington, D.; Yang, H.; Rolph, R.
1991-01-01
GaAs/Ge solar cells with lot average efficiencies in excess of 18 percent were produced by MOCVD growth techniques. A description of the cell, its performance and the production facility are discussed. Production GaAs/Ge cells of this type were recently assembled into circuits and bonded to aluminum honeycomb panels to be used as the solar array for the British UOSAT-F program.
Production status of GaAs/Ge solar cells and panels
NASA Astrophysics Data System (ADS)
Smith, B.; Gillanders, M.; Vijayakumar, P.; Lillington, D.; Yang, H.; Rolph, R.
1991-08-01
GaAs/Ge solar cells with lot average efficiencies in excess of 18 percent were produced by MOCVD growth techniques. A description of the cell, its performance and the production facility are discussed. Production GaAs/Ge cells of this type were recently assembled into circuits and bonded to aluminum honeycomb panels to be used as the solar array for the British UOSAT-F program.
An Aluminum Salvage Station for the External Tank (ASSET)
1990-12-01
34 High Efficiency GaAs-Ge Tandem Solar Cells Grown by MOCVD." In NASA Conference Publication 3030, Space Photovoltaic Re- search and Technology 1988...Solar Dynamic vs. PV Array Comparisons .... ............ C-8 E.1. ASSET Thermal Model Results ...... .................. E-16 G.I. Scenario I CER...during the salvage operation. A thermal model is developed and the thermal impacts of on-orbit salvage are included in all scenarios. A probabilistic
DOE Office of Scientific and Technical Information (OSTI.GOV)
Jain, Nikhil; Simon, John; Schulte, Kevin L.
Hydride vapor phase epitaxy (HVPE) has recently reemerged as a low-cost, high-throughput alternative to metalorganic chemical vapor deposition (MOCVD) for the growth of high-efficiency III-V solar cells. Quaternary InGaAsP solar cells in the bandgap range of ~1.7-1.8 eV are promising top-cell candidates for integration in Ill-V/Si tandem cells with projected one-sun efficiencies exceeding 30%. In this work, we report on the development of lattice-matched InGaAsP solar cells grown on GaAs substrates via HVPE at very high growth rates of ~0.7 um/min. We demonstrate prototype 1.7 eV InGaAsP solar cells with an open-circuit voltage of 1.11 V. The short-circuit current ismore » limited by the lack of a window layer in these early stage devices. The photo response of 1.7 InGaAsP solar cell with ~1.1 um thick base layer is found to be nearly insensitive to variation in p-type base doping concentration in the range from Na - 4x1016 to - 1x1017 cm-3, indicating an effective carrier collection length on the order of - 1.1 um or higher in our devices. These initial InGaAsP cell results are encouraging and highlight the viability of HVPE to produce mixed arsenide-phosphide solar cells grown lattice-matched on GaAs.« less
Hao, Guanghui; Liu, Junle; Ke, Senlin
2017-12-10
In order to research spectral response characteristics of transmission-mode nanostructure aluminum gallium nitride (AlGaN) photocathodes, the AlGaN photocathodes materials with varied aluminum (Al) composition were grown by metalorganic chemical vapor deposition (MOCVD) and its optical properties were measured. The Al compositions of each AlGaN film of the photocathodes were analyzed from their adsorption properties curves; their thickness was also calculated by the matrix formula of thin-film optics. The nanostructure AlGaN photocathodes were activated with the Caesium-Oxygen (Cs-O) alternation, and after the photocathode was packaged in vacuum, their spectrum responses were measured. The experimental results showed that the trend of spectrum response curves first increased and then decreased along with the increasing of the incident light wavelength. The peak spectrum response value was 17.5 mA/W at 255 nm, and its quantum efficiency was 8.5%. The lattice defects near the interface of the AlGaN heterostructure could impede the electron motion crossing this region and moving toward the photocathode surface; this was a factor that reduces the electron emission performance of the photocathodes. Also, the experimental result showed that the thickness of each AlGaN layer affected the electron diffusion characteristics; this was a key factor that influenced the spectrum response performance.
2014-01-01
Indium gallium nitride (InGaN) samples with single heterojunction (SH) and double heterojunction (DH) were prepared using metal-organic chemical vapor deposition. SH has a layer of InGaN thin film (thicknesses, 25, 50, 100, and 200 nm) grown on an uGaN film (thickness, 2 μm). The DH samples are distinguished by DH uGaN film (thickness, 120 nm) grown on the InGaN layer. Reciprocal space mapping measurements reveal that the DH samples are fully strained with different thicknesses, whereas the strain in the SH samples are significantly relaxed with the increasing thickness of the InGaN film. Scanning electron microscopy results show that the surface roughness of the sample increases when the sample is relaxed. High-resolution transmission electron microscopy images of the structure of indium droplets in the DH sample indicate that the thickness of the InGaN layer decreases with the density of indium droplets. The formation of these droplets is attributed to the insufficient kinetic energy of indium atom to react with the elements of group V, resulting to aggregation. The gallium atoms in the GaN thin film will not be uniformly replaced by indium atoms; the InGaN thin film has an uneven distribution of indium atoms and the quality of the epitaxial layer is degraded. PMID:25024692
Madaria, Anuj R; Yao, Maoqing; Chi, Chunyung; Huang, Ningfeng; Lin, Chenxi; Li, Ruijuan; Povinelli, Michelle L; Dapkus, P Daniel; Zhou, Chongwu
2012-06-13
Vertically aligned, catalyst-free semiconducting nanowires hold great potential for photovoltaic applications, in which achieving scalable synthesis and optimized optical absorption simultaneously is critical. Here, we report combining nanosphere lithography (NSL) and selected area metal-organic chemical vapor deposition (SA-MOCVD) for the first time for scalable synthesis of vertically aligned gallium arsenide nanowire arrays, and surprisingly, we show that such nanowire arrays with patterning defects due to NSL can be as good as highly ordered nanowire arrays in terms of optical absorption and reflection. Wafer-scale patterning for nanowire synthesis was done using a polystyrene nanosphere template as a mask. Nanowires grown from substrates patterned by NSL show similar structural features to those patterned using electron beam lithography (EBL). Reflection of photons from the NSL-patterned nanowire array was used as a measure of the effect of defects present in the structure. Experimentally, we show that GaAs nanowires as short as 130 nm show reflection of <10% over the visible range of the solar spectrum. Our results indicate that a highly ordered nanowire structure is not necessary: despite the "defects" present in NSL-patterned nanowire arrays, their optical performance is similar to "defect-free" structures patterned by more costly, time-consuming EBL methods. Our scalable approach for synthesis of vertical semiconducting nanowires can have application in high-throughput and low-cost optoelectronic devices, including solar cells.
NASA Astrophysics Data System (ADS)
Suvarna, Puneet Harischandra
Solar-blind ultraviolet avalanche photodiodes are an enabling technology for applications in the fields of astronomy, communication, missile warning systems, biological agent detection and particle physics research. Avalanche photodiodes (APDs) are capable of detecting low-intensity light with high quantum efficiency and signal-to-noise ratio without the need for external amplification. The properties of III-N materials (GaN and AlGaN) are promising for UV photodetectors that are highly efficient, radiation-hard and capable of visible-blind or solar-blind operation without the need for external filters. However, the realization of reliable and high performance III-N APDs and imaging arrays has several technological challenges. The high price and lack of availability of bulk III-N substrates necessitates the growth of III-Ns on lattice mismatched substrates leading to a high density of dislocations in the material that can cause high leakage currents, noise and premature breakdown in APDs. The etched sidewalls of III-N APDs and high electric fields at contact edges are also detrimental to APD performance and reliability. In this work, novel technologies have been developed and implemented that address the issues of performance and reliability in III-Nitride based APDs. To address the issue of extended defects in the bulk of the material, a novel pulsed MOCVD process was developed for the growth of AlGaN. This process enables growth of high crystal quality AlxGa1-xN with excellent control over composition, doping and thickness. The process has also been adapted for the growth of high quality III-N materials on silicon substrate for devices such as high electron mobility transistors (HEMTs). A novel post-growth defect isolation technique is also discussed that can isolate the impact of conductive defects from devices. A new sidewall passivation technique using atomic layer deposition (ALD) of dielectric materials was developed for III-N APDs that is effective in reducing the dark-current and trap states at sidewalls by close to an order of magnitude, leading to improved APD performance. Development and implementation of an ion implantation based contact edge termination technique for III-N APDs that helps prevent premature breakdown from the contact edge of the devices, has further lead to improved reliability. Finally novel improved III-N APD device designs are proposed using preliminary experiments and numerical simulations for future implementations.
Size effects and realiability of barium strontium titanate thin films
NASA Astrophysics Data System (ADS)
Parker, Charles Bernard
Thin films of (Ba,Sr)TiO3 (BST) deposited by Liquid Source MOCVD were investigated. BST is a candidate dielectric for future-generation DRAM and as a tunable dielectric. Two areas of both scientific and commercial interest were investigated. The first area is the effect of decreasing dimension on ferroelectric properties. Several theories of size effects in ferroelectrics were evaluated. The dielectric response of a set of BST films of thicknesses from 15 to 580 nm was measured from 85 to 580 K. These films were extensively characterized and the boundary conditions that often influence size effects measurements were considered, including strain, finite screening length in the electrode, depolarization fields in the ferroelectric, atmospheric effects, control of stochiometry, and others. The data set was compared to the theoretical predictions and it was determined that Finite Size Scaling provided the best fit to the data. Using this theory, the predicted dielectric response was compared to the requirements of future generations of DRAM and was found to be sufficient, if film strain can be controlled. The second area is reliability. The types of lifetime-limiting electrical failure observed in BST are resistance degradation, time dependant dielectric breakdown (tddb), and noisy breakdown. Previous work on BST reliability has largely focused on resistance degradation at high temperature. This condition is only a small subset of experimental space. This work extends the understanding of BST failure into the low temperature regime and evaluates the effects of both DC and AC stress. It was found that tddb is the dominant failure mode at low temperature and resistance degradation is the dominant failure modes at high temperature. Synthesizing this work with previous work on resistance degradation allowed a failure framework to be developed. Rigorous extrapolation of resistance degradation and tddb lifetimes was compared to the requirements of future generations of DRAM and was found that while resistance degradation will not limit device lifetimes, tddb will. Refinement of BST processing will be necessary to reduce the defect causing tddb failure.
Nanostructural engineering of nitride nucleation layers for GaN substrate dislocation reduction.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Koleske, Daniel David; Lee, Stephen Roger; Lemp, Thomas Kerr
2009-07-01
With no lattice matched substrate available, sapphire continues as the substrate of choice for GaN growth, because of its reasonable cost and the extensive prior experience using it as a substrate for GaN. Surprisingly, the high dislocation density does not appear to limit UV and blue LED light intensity. However, dislocations may limit green LED light intensity and LED lifetime, especially as LEDs are pushed to higher current density for high end solid state lighting sources. To improve the performance for these higher current density LEDs, simple growth-enabled reductions in dislocation density would be highly prized. GaN nucleation layers (NLs)more » are not commonly thought of as an application of nano-structural engineering; yet, these layers evolve during the growth process to produce self-assembled, nanometer-scale structures. Continued growth on these nuclei ultimately leads to a fully coalesced film, and we show in this research program that their initial density is correlated to the GaN dislocation density. In this 18 month program, we developed MOCVD growth methods to reduce GaN dislocation densities on sapphire from 5 x 10{sup 8} cm{sup -2} using our standard delay recovery growth technique to 1 x 10{sup 8} cm{sup -2} using an ultra-low nucleation density technique. For this research, we firmly established a correlation between the GaN nucleation thickness, the resulting nucleation density after annealing, and dislocation density of full GaN films grown on these nucleation layers. We developed methods to reduce the nuclei density while still maintaining the ability to fully coalesce the GaN films. Ways were sought to improve the GaN nuclei orientation by improving the sapphire surface smoothness by annealing prior to the NL growth. Methods to eliminate the formation of additional nuclei once the majority of GaN nuclei were developed using a silicon nitride treatment prior to the deposition of the nucleation layer. Nucleation layer thickness was determined using optical reflectance and the nucleation density was determined using atomic force microscopy (AFM) and Nomarski microscopy. Dislocation density was measured using X-ray diffraction and AFM after coating the surface with silicon nitride to delineate all dislocation types. The program milestone of producing GaN films with dislocation densities of 1 x 10{sup 8} cm{sup -2} was met by silicon nitride treatment of annealed sapphire followed by the multiple deposition of a low density of GaN nuclei followed by high temperature GaN growth. Details of this growth process and the underlying science are presented in this final report along with problems encountered in this research and recommendations for future work.« less
1993-09-17
Taguchi and A. Hiraki , J. Crystal Growth 89 (1988) 331. In summary, we have reported the MOCVD [61 P.J. Wright and B. Cockayne. J. Crystal Growth 59...Kawakami, T. Taguchi and A. Hiraki , J. Crystalwas located between E,, - E,, 17 meV (the Growth 89 (1988) 331. bound exciton energy) and Eex - E, = 0 (the
NASA Astrophysics Data System (ADS)
Yang, Yi-Bin; Liu, Ming-Gang; Chen, Wei-Jie; Han, Xiao-Biao; Chen, Jie; Lin, Xiu-Qi; Lin, Jia-Li; Luo, Hui; Liao, Qiang; Zang, Wen-Jie; Chen, Yin-Song; Qiu, Yun-Ling; Wu, Zhi-Sheng; Liu, Yang; Zhang, Bai-Jun
2015-09-01
In this work, the wafer bowing during growth can be in-situ measured by a reflectivity mapping method in the 3×2″ Thomas Swan close coupled showerhead metal organic chemical vapor deposition (MOCVD) system. The reflectivity mapping method is usually used to measure the film thickness and growth rate. The wafer bowing caused by stresses (tensile and compressive) during the epitaxial growth leads to a temperature variation at different positions on the wafer, and the lower growth temperature leads to a faster growth rate and vice versa. Therefore, the wafer bowing can be measured by analyzing the discrepancy of growth rates at different positions on the wafer. Furthermore, the wafer bowings were confirmed by the ex-situ wafer bowing measurement. High-resistivity and low-resistivity Si substrates were used for epitaxial growth. In comparison with low-resistivity Si substrate, GaN grown on high-resistivity substrate shows a larger wafer bowing caused by the highly compressive stress introduced by compositionally graded AlGaN buffer layer. This transition of wafer bowing can be clearly in-situ measured by using the reflectivity mapping method. Project supported by the National Natural Science Foundation of China (Grant Nos. 61274039 and 51177175), the National Basic Research Program of China (Grant No. 2011CB301903), the Ph.D. Programs Foundation of Ministry of Education of China (Grant No. 20110171110021), the International Science and Technology Collaboration Program of China (Grant No. 2012DFG52260), the International Science and Technology Collaboration Program of Guangdong Province, China (Grant No. 2013B051000041), the Science and Technology Plan of Guangdong Province, China (Grant No. 2013B010401013), the National High Technology Research and Development Program of China (Grant No. 2014AA032606), and the Opened Fund of the State Key Laboratory on Integrated Optoelectronics, China (Grant No. IOSKL2014KF17).
Upcoming planetary missions and the applicability of high temperature superconductor bolometers
NASA Technical Reports Server (NTRS)
Brasunas, J.; Kunde, V.; Moseley, H.; Lakew, B.
1990-01-01
Planetary missions to Mars and beyond can last 11 years and longer, making impractical the use of stored cryogens. Passive radiative coolers and single-stage mechanical coolers remain possibilities. CRAF and CASSINI, both using the newly developed Mariner Mark 2 spacecraft, will be the next outer planet missions after Galileo; they are intended to provide information on the origin and evolution of the solar system. CRAF is a cometary rendezvous mission slated for a 1994 launch. CASSINI has been chosen by ESA and will be launched by a Titan 4/Centaur in 1996. It will fly by Jupiter in 2000, inject an ESA-supplied probe into Titan in 2002, and take data in Saturn orbit from 2002 to 2006. NASA/Goddard is currently developing a prototype Fourier transform spectrometer (CIRS) that will be proposed for the CASSINI mission. The baseline infrared detectors for CIRS are HgCdTe to 16 microns and Schwarz-type thermopiles from 16 to 1000 microns. The far infrared focal plane could be switched from thermopiles to high temperature superconductor (HTS) bolometers between now and 1996. An HTS bolometer could be built using the kinetic inductance effect, or the sharp resistance change at the transition. The transition-edge bolometer is more straightforward to implement and initial efforts at NASA/Goddard are directed to that device. A working device was made and tested in early 1989. It also has somewhat elevated noise levels below 100 Hz. Upcoming efforts will center on reducing the time constant of the HTS bolometer by attempting to deposit an HTS film on a diamond substrate, and by thinning SrTiO3 substrates. Attempts will be made to improve the film quality to reduce th 1/f noise level, and to improve the thermal isolation to increase the bolometer sensitivity. An attempt is being made to deposit good-quality HTS films on diamond films using an MOCVD technique.
Investigation of Low Cost Substrate Approaches for III-V Solar Cells
NASA Astrophysics Data System (ADS)
Lichty, Marlene Lydia
With the need for cleaner energy sources, which can displace fossil fuel, the solar cell industry is of particular interest due to the abundancy of the Sun. Silicon currently dominates terrestrial applications, but efficiency improvements have saturated. III-V based solar cells have reported the highest efficiencies, however, high costs due to substrates and fabrication processes have limited these devices to specialty applications, such as space. In order to reduce the cost associated with fabricating III-V semiconductor substrate material, two different approaches were taken in this work with a particular focus on making III-Vs more applicable outside of specialty applications, including InP, InAsnd Ge. Typical material characterization techniques were used to analyze the samples and processes studied in this thesis. The first process examined was the direct epitaxial growth of III-V materials by MOCVD on cheaper substrates. More specifically, the direct growth of InP and InAs on metal foils. A growth time study and surface coverage analysis was performed for the growth of InP. A characterization study was then conducted on the second process, the aluminum- induced crystallization of germanium to determine the effects this process had on the surface. Crystalline InP, InAs and Ge were successfully characterized in this work, and show promise for use in cheaper III-V alternatives to terrestrial energy solutions.
ZnO for solar cell and thermoelectric applications
NASA Astrophysics Data System (ADS)
Zhou, Chuanle; Ghods, Amirhossein; Yunghans, Kelcy L.; Saravade, Vishal G.; Patel, Paresh V.; Jiang, Xiaodong; Kucukgok, Bahadir; Lu, Na; Ferguson, Ian
2017-03-01
ZnO-based materials show promise in energy harvesting applications, such as piezoelectric, photovoltaic and thermoelectric. In this work, ZnO-based vertical Schottky barrier solar cells were fabricated by MOCVD de- position of ZnO thin films on ITO back ohmic contact, while Ag served as the top Schottky contact. Various rapid thermal annealing conditions were studied to modify the carrier density and crystal quality. Greater than 200 nm thick ZnO films formed polycrystalline crystal structure, and were used to demonstrate Schottky solar cells. I-V characterizations of the devices showed photovoltaic performance, but but need further development. This is the first demonstration of vertical Schottky barrier solar cell based on wide bandgap ZnO film. Thin film and bulk ZnO grown by MOCVD or melt growth were also investigated in regards to their room- temperature thermoelectric properties. The Seebeck coefficient of bulk ZnO was found to be much larger than that of thin film ZnO at room temperature due to the higher crystal quality in bulk materials. The Seebeck coefficients decrease while the carrier concentration increases due to the crystal defects caused by the charge carriers. The co-doped bulk Zn0:96Ga0:02Al0:02O showed enhanced power factors, lower thermal conductivities and promising ZT values in the whole temperature range (300-1300 K).
NASA Astrophysics Data System (ADS)
Young, Nathan Garrett
The III-Nitride materials system provides a fascinating platform for developing optoelectronic devices, such as solar cells and LEDs, which have the power to dramatically improve the efficiency of our power consumption and reduce our environmental footprint. Finding ways to make these devices more efficient is key to driving their widespread adoption. This dissertation focuses on the intersection of challenges in physics and metalorganic chemical vapor deposition (MOCVD) growth at the nanoscale when designing for device efficiency. In order to create the best possible InGaN solar cell, a multiple quantum well (MQW) active region design had to be employed to prevent strain relaxation related degradation. There were two competing challenges for MQW active region design and growth. First, it was observed current collection efficiency improved with thinner quantum barriers, which promoted efficient tunneling transport instead of inefficiency thermally activated escape. Second, GaN barriers could planarize surface defects in the MQW region under the right conditions and when grown thick enough. A two-step growth method for thinner quantum barriers was developed that simultaneously allowed for tunneling transport and planarized V-defects. Barriers as thin as 4 nm were employed in MQW active regions with up to 30 periods without structural or electrical degradation, leading to record performance. Application of dielectric optical coatings greatly reduced surface reflections and allowed a second pass of light through the device. This both demonstrated the feasibility of multijunction solar integration and boosted conversion efficiency to record levels for an InGaN solar cell. III-N LEDs have achieved state-of-the-art performance for decades, but still suffer from the phenomena of efficiency droop, where device efficiency drops dramatically at high power operation. Droop is exacerbated by the polarization-induced electric fields in InGaN quantum wells, which originate from a lack of inversion symmetry in GaN's wurtzite crystal structure. These fields can be screened by using highly doped layers, but the extreme dopant densities predicted by simulation for complete screening may require using Ge as an alternative n-type dopant to Si. GaN:Ge layers with excellent electrical characteristics were grown by MOCVD with doping densities exceeding 1020 cm -3. However, their surface morphologies were very poor and they proved a poor screening dopant in LED structures. Using Si as the n-type screening dopant, LEDs with single QW active regions were grown, packaged, and tested. Biased photoluminescence showed strong evidence of complete polarization screening. The LEDs had low droop, but also low peak efficiencies. Possible explanations for trends in efficiency with varying QW width and field screening will be discussed.
NASA Astrophysics Data System (ADS)
Zhou, Wei
Analytical Transmission Electron Microscopy (TEM) and High Resolution Electron Microscopy have been carried out to characterize microstructures and nanostructures in various III-V compound semiconductor devices by metalorganic chemical vapor deposition (MOCVD). The low-defect GaN nonplanar templates by lateral epitaxial overgrowth (LEO) has a trapezoidal cross-section with smooth (0001) and {112¯2} facets. Penetration of threading dislocations (TDs) beyond mask windows is observed in ordinary LEO substrates. In two-step LEO substrates, where TDs are engineered to bend 90° in the TD bending layer after the first LEO step, only perfect a-type dislocations with Burgers vector b = 1/3 <112¯0> are generated in the upper Post-bending layer with a density of ˜8 x 107cm-2. The demonstrated 3-dimensional dislocation spatial distribution in the LEO nonplanar substrate substantiates the dislocation reaction mechanism. Al0.07GaN/GaN superlattice can further decrease dislocations. InGaN QW thickness enhancement on top of GaN nonplanar templates has been verified to influence the optoelectronic properties significantly. Dense arrays of hexagonally ordered MOCVD-grown (In)(Ga)As nano-QDs by block copolymer nanolithography & selective area growth (SAG), approximately 20nm in diameter and 40nm apart with a density of 1011/cm 2, are perfect crystals by TEM. V-shaped defects and worse InAs growth uniformity have been observed in multiple layers of vertically coupled self-assembled InAs nanostructure arrays on strain-modulated GaAs substrates. TEM shows a smooth coalesced GaN surface with a thickness as thin as ˜200nm after Nano-LEO and a defect reduction of 70%-75%. The (In)GaAs 20 nm twist bonded compliant substrates have almost no compliant effect and higher dislocation density, but the 10nm compliant substrates are on the contrary. A 60nm oxygen-infiltrated crystallized transition layer is observed between the amorphous oxidized layer and the crystallized unoxidized aperture in Al xGa1-xAs wet lateral oxidation, potentially influencing the current confinement characteristic of the sub-micron oxide aperture. Almost no dislocation is aroused by the wet lateral oxidation of In0.52Al 0.48As in the InP microresonator waveguides. XTEM was performed to compare InP SAG regions with 10˜50mum masks, which shows the performance deterioration of laser threshold current densities in the case of 50mum mask results from high density of dislocations induced from the highly strained QW structures caused by the high enhancements.
MOCVD Growth and Characterization of n-type Zinc Oxide Thin Films
NASA Astrophysics Data System (ADS)
Ben-Yaacov, Tammy
In the past decade, there has been widespread effort in the development of zinc oxide as a II-V1 semiconductor material. ZnO has potential advantages in optoelectronip device applications due to its unique electrical and optical properties. What stands out among these properties is its wide direct bandgap of 3.37 eV and its high electrical conductivity and transparency in the visible and near-UV regions of the spectrum. ZnO can be grown heteroepitaxially on GaN under near lattice-matched conditions and homoepitaxially as well, as high-quality bulk ZnO substrates are commercially available. This dissertation focuses on the development of the growth of high-quality, single crystal n-type ZnO films, control of n-type conductivity, as well as its application as a transparent contact material in GaN-based devices. The first part of this dissertation is an extensive heteroepitaxial and homoepitaxial growth study presenting the properties of ZnO(0001) layers grown on GaN(0001) templates and ZnO(0001) substrates. We show that deposition on GaN requires a two-step growth technique involving the growth of a low temperature nucleation layer before growing a high temperature epitaxial layer in order to obtain smooth ZnO films with excellent crystal quality and step-flow surface morphology. We obtained homoepitaxial ZnO(0001) films of structural quality and surface morphology that is comparable to the as-received substrates, and showed that a high growth temperature (≥1000°C) is needed in order to achieve step-flow growth mode. We performed n-type doping experiments, and established the conditions for which Indium effectively controls the n-type conductivity of ZnO films grown on GaN(0001) templates. A peak carrier concentration of 3.22x 10 19cm-3 and minimum sheet resistance of 97 O/square was achieved, while simultaneously maintaining good morphology and crystal quality. Finally, we present In-doped ZnO films implemented as p-contacts for GaN-based solar cells and LEDs, and we investigate the n-ZnO/p-GaN interface. We show that ZnO has potential as an effective p-contact for these devices, and determine properties that still need improvement in order for ZnO to compete with other contact materials. We also compare the device performance to metal-contacted devices. In summary, this thesis describes the growth of ZnO(0001) films by MOCVD, the progress in developing ZnO material with excellent surface morphology, high crystal quality, and controllable n-type doping, as well as its application to GaN-based optoelectronic devices as a p-contact material.
MOCVD growth and study of thin films of indium nitride
NASA Astrophysics Data System (ADS)
Jain, Abhishek
This thesis is focused on a study of MOCVD growth of InN with the goal of providing new information on the effects of growth conditions and buffer/substrate materials on InN film properties. Initial studies, using both (111) Si and (0001) sapphire substrates, identified an optimum growth temperature window of 540--560°C for the formation of stable InN films. When attempting to grow InN films on sapphire with thicknesses greater than approximately 150 nanometers using an AlN buffer layer, the InN films were observed to delaminate from the buffer/substrate at growth temperature. The combined effect of compressive stress due to high lattice mismatch between InN and AlN (˜14%) and tensile stress due to grain coalescence along with the relatively weak bond strength of InN compared to GaN and AlN, is believed to cause the InN film to crack along the interface and delaminate. To further investigate the effect of the buffer layer on InN growth, studies were carried out using GaN films grown on sapphire as the growth template. Recent MBE results had indicated a significant difference in the thermal stability and growth mode of In-polar and N-polar InN, with improved properties reported for N-polar material grown on N-polar GaN. MOCVD growth of N-polar GaN is very difficult; consequently, all of the results reported in the literature for InN growth on GaN were likely carried out on Ga-polar material resulting in films with a high surface roughness. By utilizing N-polar and Ga-polar GaN films, it was possible to produce N-polar and In-polar InN films by MOCVD, as determined by convergent beam electron diffraction (CBED) analysis. Furthermore, the polarity was found to dramatically alter the surface roughness and growth mode of the InN films with enhanced lateral growth and reduced surface roughness obtained for N-polar InN. A qualitative model was proposed to explain the different growth mechanisms observed for In-polar and N-polar InN. In spite of the improvements in surface morphology obtained with growth of N-polar InN, delamination at the InN/GaN interface was still observed in these films, and was also present in In-polar InN samples. Attempts were made to further reduce the lattice mismatch and improve the adhesion between InN and GaN by using a compositionally graded InGaN buffer layer. The fabrication of InGaN over its entire composition range is challenging since the optimal growth parameters window for InGaN varies with composition and film quality is strongly dependent on temperature and precursor flow rates. The structural properties of the InN films grown on the graded InGaN layers were comparable to films grown directly on GaN, however, the film adhesion was significantly improved with no evidence of interfacial cracks between the InN and GaN. These preliminary results indicate that graded InGaN layers can be used to improve the adhesion of InN on both Ga-polar and N-polar GaN, however, further work is needed to develop graded InGaN buffer layers or constant composition InGaN interlayers with improved structural properties for InN growth. (Abstract shortened by UMI.)
Development and characterization of ultrathin hafnium titanates as high permittivity gate insulators
NASA Astrophysics Data System (ADS)
Li, Min
High permittivity or high-kappa materials are being developed for use as gate insulators for future ultrascaled metal oxide semiconductor field effect transistors (MOSFETs). Hafnium containing compounds are the leading candidates. Due to its moderate permittivity, however, it is difficult to achieve HfO2 gate structures with an EOT well below 1.0 nm. One approach to increase HfO2 permittivity is combining it with a very high-kappa material, such as TiO2. In this thesis, we systematically studied the electrical and physical characteristics of high-kappa hafnium titanates films as gate insulators. A series of HfxTi1-xO2 films with well-controlled composition were deposited using an MOCVD system. The physical properties of the films were analyzed using a variety of characterization techniques. X-ray micro diffraction indicates that the Ti-rich thin film is more immune to crystallization. TEM analysis showed that the thick stoichiometric HfTiO 4 film has an orthorhombic structure and large anisotropic grains. The C-V curves from the devices with the hafnium titanates films displayed relatively low hysteresis. In a certain composition range, the interfacial layer (IL) EOT and permittivity of HfxTi1-x O2 increases linearly with increasing Ti. The charge is negative for HfxTi1-xO2/IL and positive for Si/IL interface, and the magnitude increases as Hf increases. For ultra-thin films (less than 2 nm EOT), the leakage current increases with increasing HE Moreover, the Hf-rich sample has weaker temperature dependence of the current. In the MOSFET devices with the hafnium titanates films, normal transistor characteristics were observed, also electron mobility degradation. Next, we investigated the effects that different pre-deposition surface treatments, including HF dipping, NH3 surface nitridation, and HfO2 deposition, have on the electrical properties of hafnium titanates. Surface nitridation shows stronger effect than the thin HfO2 layer. The nitrided samples displayed a negative flat band voltage shift and larger hysteresis relative to the HF-dipped samples. The IL EOT reduction by mtridation increases with increasing HE Surface nitridation also induces extra charge, more considerable at the Si/IL interface. The leakage current is reduced in the Hf-rich samples with a nitride layer. Electron mobility degradation by surface nitridation was also observed.
Developments in abatement technology for MOCVD processing
NASA Astrophysics Data System (ADS)
Sweeney, Joseph; Marganski, Paul; Olander, Karl; Watanabe, Tadaharu; Tomita, Nobuyasu; Orlando, Gary; Torres, Robert
2004-12-01
A newly developed technical solution has been developed for hydride gas abatement that utilizes a new material. The ULTIMA-Sorb™ material provides high capacity but low heat of reaction with the hydride gases. The new technology results in a low cost of ownership (COO) with stable operation and also reduces the cost and quantity of waste disposal. This can be significant benefit for device manufacturers since it provides a viable and cost effective solution without any risk of arsenic leakage that is a primary concern with wet chemical scrubber systems. The contents of this paper will discuss the technical and economic benefits of the newly developed material in comparison to conventional abatement materials and systems. The capacity of the dry abatement materials significantly influences both COO relating to cash outflow and the cost of lost production. High capacity materials enable significant savings in cost of lost production in cases of low and high factory utilization conditions. Capacity of the abatement material appears to be the largest single factor to reduce COO of dry abatement systems.
1993-05-04
a highly coherent output beam that can be focused’. MOCVD is used to fabricate the unstble resonator waveguide in these devices and to ensure a high...investigated. Single-mode VCSELs with excellent electrical characteristics were fabricated with a threshold voltage below 2V and an operating voltage of...resulting eye diagram shows that large-signal electrical modulation at 1-2 GB/s is possible. These VCSELs are therefore suitable for multi-GB/s optical
1992-09-01
SI by Ion-Assisted Molecular Beam Enltaxy Currently there is considerable interest in misfit accommodation in hetero- epitaxy for integration of device...of misfit accommodation. In the last quarter, we have demonstrated, using ion-assisted molecular beam epitaxy : * Reduction of dislocation density in... beam epitaxy (MOMBE) hardware, and demonstration of state-of-the-art MOMBE AlGaAs (1990). MOCVD Materials Growth Facilities and Eauipment Extension to
Time-Resolved Photoluminescence Studies of Si-doped AlGaN alloys
NASA Astrophysics Data System (ADS)
Nam, K. B.; Li, J.; Nakarmi, M. L.; Lin, J. Y.; Jiang, H. X.
2002-03-01
Si-doped n-type Al x Ga_1-x N alloys with x between 0.3 and 0.5 were grown by metal-organic chemical vapor deposition (MOCVD) on sapphire substrates. Time-resolved photoluminescence (PL) emission spectroscopy and variable temperature Hall-effect measurements were employed to study the optical and electrical properties of these epilayers. Our electrical data revealed that the conductivity of Si-doped Al x Ga_1-x N alloys (x > 0.4) increases with an increase of the Si doping concentration (N_Si) for a fixed x value and exhibits a sharp increase around N_Si= 1x10 ^18cm-3, suggesting the existence of a critical Si doping concentration needed to convert insulating Al x Ga_1-x N alloys (x > 0.4) to n-type conductivity. Time-resolved PL studies also showed that PL decay lifetime and activation energy decrease sharply when Si-doping concentration increases from N_Si= 0 to 1x10 ^18cm-3and then followed by gradual decreases as N_Si further increases. Our results thus suggest that Si-doping reduces the effect of carrier localization in Al x Ga_1-x N alloys and a sharp drop in carrier localization energy occurs at N_Si= 1x10 ^18cm-3, which is the critical Si-doping concentration needed to fill up the localized states in Al x Ga_1-x N alloys (x > 0.4). The implications of these results to UV optoelectronic devices are also discussed.
NASA Astrophysics Data System (ADS)
Liu, Yao; Li, Qing Xuan; Wan, Ling Yu; Kucukgok, Bahadir; Ghafari, Ehsan; Ferguson, Ian T.; Zhang, Xiong; Wang, Shuchang; Feng, Zhe Chuan; Lu, Na
2017-11-01
A series of AlxGa1-xN/AlN/Sapphire films with x = 0.35-0.75 and different thickness of epi-layer were prepared by metalorganic chemical vapor deposition (MOCVD). Spectroscopic ellipsometry (SE) was used to study the temperature-dependent refractive indices and optical bandgaps of the AlxGa1-xN films ranging from 300 to 823 K. Parametric semiconductor (PSEMI) models were used to describe the dielectric functions of AlGaN/AlN layers. The fitting results of refractive index, energy bandgap, thickness and surface roughness at 300 K are in good agreement with photoluminescence (PL), scanning electron microscopy (SEM) measurements and the existing literature. Our finding indicates that the crystal quality of the samples with x = 0.47 and 0.60 are better than those with x = 0.35 and 0.75. As the temperature rises, the increasing of refractive index for the low Al content AlxGa1-xN layers is stronger than that of high Al content in the transparent region, and the reduction of bandgap with high Al content is larger than that of low Al content. For all the samples (x = 0.35-0.75), an analytical expression for temperature-dependent refractive index in the wavelength range of 195-1650 nm was obtained using the Sellmeier law, and the quantitative analysis of the SE-derived temperature-dependent bandgap was conducted by using the Bose-Einstein equation.
The Effect of Buffer Types on the In0.82Ga0.18As Epitaxial Layer Grown on an InP (100) Substrate.
Zhang, Min; Guo, Zuoxing; Zhao, Liang; Yang, Shen; Zhao, Lei
2018-06-08
In 0.82 Ga 0.18 As epitaxial layers were grown on InP (100) substrates at 530 °C by a low-pressure metalorganic chemical vapor deposition (LP-MOCVD) technique. The effects of different buffer structures, such as a single buffer layer, compositionally graded buffer layers, and superlattice buffer layers, on the crystalline quality and property were investigated. Double-crystal X-ray diffraction (DC-XRD) measurement, Raman scattering spectrum, and Hall measurements were used to evaluate the crystalline quality and electrical property. Scanning electron microscope (SEM), atomic force microscope (AFM), and transmission electron microscope (TEM) were used to characterize the surface morphology and microstructure, respectively. Compared with the In 0.82 Ga 0.18 As epitaxial layer directly grown on an InP substrate, the quality of the sample is not obviously improved by using a single In 0.82 Ga 0.18 As buffer layer. By introducing the graded In x Ga 1−x As buffer layers, it was found that the dislocation density in the epitaxial layer significantly decreased and the surface quality improved remarkably. In addition, the number of dislocations in the epitaxial layer greatly decreased under the combined action of multi-potential wells and potential barriers by the introduction of a In 0.82 Ga 0.18 As/In 0.82 Al 0.18 As superlattice buffer. However, the surface subsequently roughened, which may be explained by surface undulation.
NASA Astrophysics Data System (ADS)
Liu, H. F.; Liu, W.; Guo, S.; Chi, D. Z.
2016-03-01
High-resolution x-ray diffraction (HRXRD) was used to investigate the crystallographic tilts and structural anisotropies in epitaxial nonpolar a-plane InGaN/GaN grown by metal-organic chemical vapor deposition on r-plane sapphire using a ZnO buffer. The substrate had an unintentional miscut of 0.14° towards its [-4 2 2 3] axis. However, HRXRD revealed a tilt of 0.26° (0.20°) between the ZnO (GaN) (11-20) and the Al2O3 (1-102) atomic planes, with the (11-20) axis of ZnO (GaN) tilted towards its c-axis, which has a difference of 163° in azimuth from that of the substrate’s miscut. Excess broadenings in the GaN/ZnO (11-20) rocking curves (RCs) were observed along its c-axis. Specific analyses revealed that partial dislocations and anisotropic in-plane strains, rather than surface-related effects, wafer curvature or stacking faults, are the dominant factors for the structural anisotropy. The orientation of the partial dislocations is most likely affected by the miscut of the substrate, e.g. via tilting of the misfit dislocation gliding planes created during island coalescences. Their Burgers vector components in the growth direction, in turn, gave rise to crystallographic tilts in the same direction as that of the excess RC-broadenings.
Adsorption properties of AlN on Si(111) surface: A density functional study
NASA Astrophysics Data System (ADS)
Yuan, Yinmei; Zuo, Ran; Mao, Keke; Tang, Binlong; Zhang, Zhou; Liu, Jun; Zhong, Tingting
2018-04-01
In the process of preparing GaN on Si substrate by MOCVD, an AlN buffer layer is very important. In this study, we conducted density functional theory calculations on the adsorption of AlN molecule on Si(111)-(2 × 2) surface, with the AlN molecule located horizontally or vertically above Si(111) surface at different adsorption sites. The calculations revealed that the lowest adsorption energy was at the N-top-Al-bridge site in the horizontal configuration, with the narrowest band gap, indicating that it was the most preferential adsorption growth status of AlN. In the vertical configurations, N adatom was more reactive and convenient to form bonds with the topmost Si atoms than Al adatom. When the N-end of the AlN molecule was located downward, the hollow site was the preferred adsorption site; when the Al-end was located downward, the bridge site was the most energetically favorable. Moreover, we investigated some electronic properties such as partial density of states, electron density difference, Mulliken populations, etc., revealing the microscale mechanism for AlN adsorption on Si(111) surface and providing theoretical support for adjusting the processing parameters during AlN or GaN production.
InP tunnel junction for InGaAs/InP tandem solar cells
NASA Technical Reports Server (NTRS)
Vilela, M. F.; Freundlich, A.; Bensaoula, A.; Medelci, N.; Renaud, P.
1995-01-01
Chemical beam epitaxy (CBE) has been shown to allow the growth of high quality materials with reproducible complex compositional and doping profiles. The main advantage of CBE compared to metalorganic chemical vapor deposition (MOCVD), the most popular technique for InP-based photovoltaic device fabrication, is the ability to grow high purity epilayers at much lower temperatures (450-530 C). We have previously shown that CBE is perfectly suited toward the fabrication of complex photovoltaic devices such as InP/InGaAs monolithically integrated tandem solar cells, because its low process temperature preserves the electrical characteristics of the InGaAs tunnel junction commonly used as an ohmic interconnect. In this work using CBE for the fabrication of optically transparent (with respect to the bottom cell) InP tunnel diodes is demonstrated. Epitaxial growth were performed in a Riber CBE 32 system using PH3 and TMIn as III and V precursors. Solid Be (p-type) and Si (n-type) have been used as doping sources, allowing doping levels up to 2 x 10(exp -19)/cu cm and 1 x 10(exp -19)/cu cm for n and p type respectively. The InP tunnel junction characteristics and the influence of the growth's conditions (temperature, growth rate) over its performance have been carefully investigated. InP p(++)/n(++) tunnel junction with peak current densities up to 1600 A/sq cm and maximum specific resistivities (V(sub p)/I(sub p) - peak voltage to peak current ratio) in the range of 10(exp -4) Omega-sq cm were obtained. The obtained peak current densities exceed the highest results previously reported for their lattice matched counterparts, In(0.53)Ga( 0.47)As and should allow the realization of improved minimal absorption losses in the interconnect InP/InGaAs tandem devices for Space applications. Owing to the low process temperature required for the top cell, these devices exhibit almost no degradation of its characteristics after the growth of subsequent thick InP layer suggesting minimal doping cross diffusion in the narrow space-charge region (approximately 1-5 nm) of the device. The fabrication of tandem devices using InP tunnel diodes as interconnect is in progress and will be reported at the conference.
Organic electronic devices with multiple solution-processed layers
Forrest, Stephen R.; Lassiter, Brian E.; Zimmerman, Jeramy D.
2015-08-04
A method of fabricating a tandem organic photosensitive device involves depositing a first layer of an organic electron donor type material film by solution-processing of the organic electron donor type material dissolved in a first solvent; depositing a first layer of an organic electron acceptor type material over the first layer of the organic electron donor type material film by a dry deposition process; depositing a conductive layer over the interim stack by a dry deposition process; depositing a second layer of the organic electron donor type material over the conductive layer by solution-processing of the organic electron donor type material dissolved in a second solvent, wherein the organic electron acceptor type material and the conductive layer are insoluble in the second solvent; depositing a second layer of an organic electron acceptor type material over the second layer of the organic electron donor type material film by a dry deposition process, resulting in a stack.
NASA Astrophysics Data System (ADS)
Melkozyorova, N. A.; Zinkevich, K. G.; Lebedev, E. A.; Alekseyev, A. V.; Gromov, D. G.; Kitsyuk, E. P.; Ryazanov, R. M.; Sysa, A. V.
2017-11-01
The features of electrophoretic deposition process of composite LiCoO2-based cathode and Si-based anode materials were researched. The influence of the deposition process parameters on the structure and composition of the deposit was revealed. The possibility of a local deposition of composites on a planar lithium-ion battery structure was demonstrated.
Process for the preparation of fiber-reinforced ceramic composites by chemical vapor deposition
Lackey, Jr., Walter J.; Caputo, Anthony J.
1986-01-01
A chemical vapor deposition (CVD) process for preparing fiber-reinforced ceramic composites. A specially designed apparatus provides a steep thermal gradient across the thickness of a fibrous preform. A flow of gaseous ceramic matrix material is directed into the fibrous preform at the cold surface. The deposition of the matrix occurs progressively from the hot surface of the fibrous preform toward the cold surface. Such deposition prevents the surface of the fibrous preform from becoming plugged. As a result thereof, the flow of reactant matrix gases into the uninfiltrated (undeposited) portion of the fibrous preform occurs throughout the deposition process. The progressive and continuous deposition of ceramic matrix within the fibrous preform provides for a significant reduction in process time over known chemical vapor deposition processes.
Process simulation for advanced composites production
DOE Office of Scientific and Technical Information (OSTI.GOV)
Allendorf, M.D.; Ferko, S.M.; Griffiths, S.
1997-04-01
The objective of this project is to improve the efficiency and lower the cost of chemical vapor deposition (CVD) processes used to manufacture advanced ceramics by providing the physical and chemical understanding necessary to optimize and control these processes. Project deliverables include: numerical process models; databases of thermodynamic and kinetic information related to the deposition process; and process sensors and software algorithms that can be used for process control. Target manufacturing techniques include CVD fiber coating technologies (used to deposit interfacial coatings on continuous fiber ceramic preforms), chemical vapor infiltration, thin-film deposition processes used in the glass industry, and coatingmore » techniques used to deposit wear-, abrasion-, and corrosion-resistant coatings for use in the pulp and paper, metals processing, and aluminum industries.« less
Forrest, Stephen R.; Vartanian, Garen; Rolin, Cedric
2015-06-23
A method for in-situ monitoring of gas-phase photoactive organic molecules in real time while depositing a film of the photoactive organic molecules on a substrate in a processing chamber for depositing the film includes irradiating the gas-phase photoactive organic molecules in the processing chamber with a radiation from a radiation source in-situ while depositing the film of the one or more organic materials and measuring the intensity of the resulting photoluminescence emission from the organic material. One or more processing parameters associated with the deposition process can be determined from the photoluminescence intensity data in real time providing useful feedback on the deposition process.
Visible Light Emitting Materials and Injection Devices
1993-01-01
view to preventing the occurrence of faceting in these films. (II) MOCVD growth of II-VI materials (Tim Anderson) (11.1) Growth of novel Zn ZCd SSeI ...E.J. Stofko and R.J, Paff, "Synthesis and some properties of BeTe, BeSe, and BeS", J, Phys, Chem. Soiid. 33 (1972) 501. 2. R. Yamamoto, M . Inoue, K...Technology," SID Seminar Lecture Notes, 1988, Vol. 1 7. R.M. Park, M ,B. Troffer, and C.M. Rouleau, "p-type ZnSe by nitrogen atom beam doping during molecular
Inert gas enhanced laser-assisted purification of platinum electron-beam-induced deposits
DOE Office of Scientific and Technical Information (OSTI.GOV)
Stanford, Michael G.; Lewis, Brett B.; Noh, Joo Hyon
Electron-beam-induced deposition patterns, with composition of PtC 5, were purified using a pulsed laser-induced purification reaction to erode the amorphous carbon matrix and form pure platinum deposits. Enhanced mobility of residual H 2O molecules via a localized injection of inert Ar–H 2 (4%) is attributed to be the reactive gas species for purification of the deposits. Surface purification of deposits was realized at laser exposure times as low as 0.1 s. The ex situ purification reaction in the deposit interior was shown to be rate-limited by reactive gas diffusion into the deposit, and deposit contraction associated with the purification processmore » caused some loss of shape retention. To circumvent the intrinsic flaws of the ex situ anneal process, in situ deposition and purification techniques were explored that resemble a direct write atomic layer deposition (ALD) process. First, we explored a laser-assisted electron-beam-induced deposition (LAEBID) process augmented with reactive gas that resulted in a 75% carbon reduction compared to standard EBID. Lastly, a sequential deposition plus purification process was also developed and resulted in deposition of pure platinum deposits with high fidelity and shape retention.« less
Inert gas enhanced laser-assisted purification of platinum electron-beam-induced deposits
Stanford, Michael G.; Lewis, Brett B.; Noh, Joo Hyon; ...
2015-06-30
Electron-beam-induced deposition patterns, with composition of PtC 5, were purified using a pulsed laser-induced purification reaction to erode the amorphous carbon matrix and form pure platinum deposits. Enhanced mobility of residual H 2O molecules via a localized injection of inert Ar–H 2 (4%) is attributed to be the reactive gas species for purification of the deposits. Surface purification of deposits was realized at laser exposure times as low as 0.1 s. The ex situ purification reaction in the deposit interior was shown to be rate-limited by reactive gas diffusion into the deposit, and deposit contraction associated with the purification processmore » caused some loss of shape retention. To circumvent the intrinsic flaws of the ex situ anneal process, in situ deposition and purification techniques were explored that resemble a direct write atomic layer deposition (ALD) process. First, we explored a laser-assisted electron-beam-induced deposition (LAEBID) process augmented with reactive gas that resulted in a 75% carbon reduction compared to standard EBID. Lastly, a sequential deposition plus purification process was also developed and resulted in deposition of pure platinum deposits with high fidelity and shape retention.« less
Thin Film Deposition Using Energetic Ions
Manova, Darina; Gerlach, Jürgen W.; Mändl, Stephan
2010-01-01
One important recent trend in deposition technology is the continuous expansion of available processes towards higher ion assistance with the subsequent beneficial effects to film properties. Nowadays, a multitude of processes, including laser ablation and deposition, vacuum arc deposition, ion assisted deposition, high power impulse magnetron sputtering and plasma immersion ion implantation, are available. However, there are obstacles to overcome in all technologies, including line-of-sight processes, particle contaminations and low growth rates, which lead to ongoing process refinements and development of new methods. Concerning the deposited thin films, control of energetic ion bombardment leads to improved adhesion, reduced substrate temperatures, control of intrinsic stress within the films as well as adjustment of surface texture, phase formation and nanotopography. This review illustrates recent trends for both areas; plasma process and solid state surface processes. PMID:28883323
Hydrogen passivation of n+p and p+n heteroepitaxial InP solar cell structures
NASA Technical Reports Server (NTRS)
Chatterjee, B.; Ringel, S. A.; Hoffman, R., Jr.
1995-01-01
High-efficiency, heteroepitaxial (HE) InP solar cells, grown on GaAs, Si or Ge substrates, are desirable for their mechanically strong, light-weight and radiation-hard properties. However, dislocations, caused by lattice mismatch, currently limit the performance of the HE cells. This occurs through shunting paths across the active photovoltaic junction and by the formation of deep levels. In previous work we have demonstrated that plasma hydrogenation is an effective and stable means to passivate the electrical activity of dislocations in specially designed HE InP test structures. In this work, we present the first report of successful hydrogen passivation in actual InP cell structures grown on GaAs substrates by metalorganic chemical vapor deposition (MOCVD). We have found that a 2 hour exposure to a 13.56 MHz hydrogen plasma at 275 C reduces the deep level concentration in HE n+n InP cell structures from as-grown values of approximately 10(exp 15)/cm(exp -3), down to 1-2 x 10(exp 13)/cm(exp -3). The deep levels in the p-type base region of the cell structure match those of our earlier p-type test structures, which were attributed to dislocations or related point defect complexes. All dopants were successfully reactivated by a 400 C, 5 minute anneal with no detectable activation of deep levels. I-V analysis indicated a subsequent approximately 10 fold decrease in reverse leakage current at -1 volt reverse bias, and no change in the forward biased series resistance of the cell structure which indicates complete reactivation of the n+ emitter. Furthermore, electrochemical C-V profiling indicates greatly enhanced passivation depth, and hence hydrogen diffusion, for heteroepitaxial structures when compared with identically processed homoepitaxial n+p InP structures. An analysis of hydrogen diffusion in dislocated InP will be discussed, along with comparisons of passivation effectiveness for n+p versus p+n heteroepitaxial cell configurations. Preliminary hydrogen-passivated HE InP cell results will also be presented.
NASA Astrophysics Data System (ADS)
McCann, Ronán; Hughes, Cian; Bagga, Komal; Stalcup, Apryll; Vázquez, Mercedes; Brabazon, Dermot
2017-06-01
In this paper, we outline a novel technique for the deposition of nanostructured thin films utilizing a modified form of pulsed laser deposition (PLD). We demonstrate confined atmospheric PLD (CAP) for the deposition of gold on cyclic olefin polymer substrates. The deposition process is a simplified form of conventional PLD, with deposition conducted under atmospheric conditions and the substrate and target in close proximity. It was found that this confinement results in the deposition of nanostructured thin films on the substrate. Infrared spectroscopy showed no significant change of polymer surface chemistry as a result of the deposition process, and optical spectroscopy revealed plasmonic behavior of the resulting thin film. The effect of laser fluence on the deposition process was also examined with more uniform films deposited at higher fluences.
Wallace, Alan R.
2003-01-01
Freshwater diatomite deposits are present in all of the Western United States, including the Great Basin and surrounding regions. These deposits are important domestic sources of diatomite, and a better understanding of their formation and geologic settings may aid diatomite exploration and land-use management. Diatomite deposits in the Great Basin are the products of two stages: (1) formation in Late Cenozoic lacustrine basins and (2) preservation after formation. Processes that favored long-lived diatom activity and diatomite formation range in decreasing scale from global to local. The most important global process was climate, which became increasingly cool and dry from 15 Ma to the present. Regional processes included tectonic setting and volcanism, which varied considerably both spatially and temporally in the Great Basin region. Local processes included basin formation, sedimentation, hydrology, and rates of processes, including diatom growth and accumulation; basin morphology and nutrient and silica sources were important for robust activity of different diatom genera. Only optimum combinations of these processes led to the formation of large diatomite deposits, and less than optimum combinations resulted in lakebeds that contained little to no diatomite. Postdepositional processes can destroy, conceal, or preserve a diatomite deposit. These processes, which most commonly are local in scale, include uplift, with related erosion and changes in hydrology; burial beneath sedimentary deposits or volcanic flows and tuffs; and alteration during diagenesis and hydrothermal activity. Some sedimentary basins that may have contained diatomite deposits have largely been destroyed or significantly modified, whereas others, such as those in western Nevada, have been sufficiently preserved along with their contained diatomite deposits. Future research on freshwater diatomite deposits in the Western United States and Great Basin region should concentrate on the regional and local processes that led to the formation and preservation of the deposits. Major questions that need to be answered include (1) why were some basins favorable for diatomite formation, whereas others were not; (2) what post-depositional conditions are needed for diatomite preservation; and (3) what were the optimum process combinations that led to the formation and preservation of economic diatomite deposits?
NASA Astrophysics Data System (ADS)
Park, Jun Seok; Park, Joo Hyun; Lee, Min-Gyu; Sung, Ji Hyun; Cha, Kyoung Je; Kim, Da Hye
2016-05-01
Among the many additive manufacturing technologies, the directed energy deposition (DED) process has attracted significant attention because of the application of metal products. Metal deposited by the DED process has different properties than wrought metal because of the rapid solidification rate, the high thermal gradient between the deposited metal and substrate, etc. Additionally, many operating parameters, such as laser power, beam diameter, traverse speed, and powder mass flow rate, must be considered since the characteristics of the deposited metal are affected by the operating parameters. In the present study, the effect of energy input on the characteristics of H13 and D2 steels deposited by a direct metal tooling process based on the DED process was investigated. In particular, we report that the hardness of the deposited H13 and D2 steels decreased with increasing energy input, which we discuss by considering microstructural observations and thermodynamics.
NASA Astrophysics Data System (ADS)
Lai, Kun-Yu
Nonpolar (m-plane or a-plane) gallium nitride (GaN) is predicted to be a potential substrate material to improve luminous efficiencies of nitride-based quantum wells (QWs). Numerical calculations indicated that the spontaneous emission rate in a single In0.15Ga0.85N/GaN QW could be improved by ˜2.2 times if the polarization-induced internal field was avoided by epitaxial deposition on nonpolar substrates. A challenge for nonpolar GaN is the limited size (less than 10x10 mm2) of substrates, which was addressed by expansion during the regrowth by Hydride Vapor Phase Epitaxy (HVPE). Subsurface damage in GaN substrates were reduced by annealing with NH3 and N2 at 950°C for 60 minutes. It was additionally found that the variation of m-plane QWs' emission properties was significantly increased when the substrate miscut toward a-axis was increased from 0° to 0.1°. InGaN/GaN QWs were grown by Metalorganic Chemical Vapor Deposition (MOCVD) on c-plane and m-plane GaN substrates. The QWs were studied by cathodoluminescence spectroscopy with different incident electron beam probe currents (0.1 nA ˜ 1000 nA). Lower emission intensities and longer peak wavelengths from c-plane QWs were attributed to the Quantum-confined Stark Effect (QCSE). The emission intensity ratios of m-plane QWs to c-plane QWs decreased from 3.04 at 1 nA to 1.53 at 1000 nA. This was identified as the stronger screening effects of QCSE at higher current densities in c-plane QWs. To further investigate these effects in a fabricated structure, biased photoluminescence measurements were performed on m-plane InGaN/GaN QWs. The purpose was to detect the possible internal fields induced by the dot-like structure in the InGaN layer through the response of these internal fields under externally applied fields. No energy shifts of the QWs were observed, which was attributed to strong surface leakage currents.
NASA Astrophysics Data System (ADS)
Takahashi, Yuriko
Last decades have witnessed a large expansion of the organometallic heavier alkaline earth metal species. However, continued growth of this promising area of chemistry has been slowed by severe restrictions and limitations in viable synthetic methodologies leading to difficulties in preparing and characterizing the target compounds. There is clearly a need for the further development of synthetic methodologies and detailed structure function analysis that will promote the further advancement of organoalkaline earth metal chemistry in applications as diverse as materials chemistry and catalysis. This thesis work greatly extends the synthetic options currently available towards organoalkaline earth metal species by introducing redox transmetallation protolysis (RTP), a reaction based on the readily available Ph3Bi as a non-toxic transmetallation agent. Based on a straightforward one-pot procedure and work-up, Ph3Bi based RTP presents a powerful synthetic alternative for the facile preparation of a large variety of heavy alkaline earth metal compounds. The second part of the thesis explores the effect of secondary non covalent interactions on the coordination chemistry as well as thermal properties of a series of novel alkali, alkaline earth, rare earth as well as heterobimetallic alkali/alkaline earth fluoroalkoxides. These compounds showcase the significance of non-covalent M···F-C and agostic interactions on metal stabilization and structural features, providing critical input on ligand design for the design of advanced metal organic vapor deposition (MOCVD) precursor materials. This work also showcases the impact of M···F-C interactions over M---co-ligand coordination, a critical precursor design element as well.
NASA Astrophysics Data System (ADS)
Fontana, S.; Amendola, R.; Chevalier, S.; Piccardo, P.; Caboche, G.; Viviani, M.; Molins, R.; Sennour, M.
One of challenges in improving the performance and cost-effectiveness of solid oxide fuel cells (SOFCs) is the development of suitable interconnect materials. Recent researches have enabled to decrease the operating temperature of the SOFC from 1000 to 800 °C. Chromia forming alloys are then among the best candidates for interconnects. However, low electronic conductivity and volatility of chromium oxide scale need to be solved to improve interconnect performances. In the field of high temperature oxidation of metals, it is well known that the addition of reactive element into alloys or as thin film coatings, improves their oxidation resistance at high temperature. The elements of beginning of the lanthanide group and yttrium are the most efficient. The goal of this study is to make reactive element oxides (La 2O 3, Nd 2O 3 and Y 2O 3) coatings by metal organic chemical vapour deposition (MOCVD) on Crofer 22 APU, AL 453 and Haynes 230 in order to form perovskite oxides which present a good conductivity at high temperature. The coatings were analysed after 100 h ageing at 800 °C in air under atmospheric pressure by scanning electron microscopy (SEM), energy dispersive X-ray (EDX) analyses, X-ray diffraction (XRD) and transmission electron microscopy (TEM) analyses. Area-specific resistance (ASR) was measured in air for the same times and temperature, using a sandwich technique with Pt paste for electrical contacts between surfaces. The ASR values for the best coating were estimated to be limited to 0.035 Ω cm 2, even after 40,000 h use.
NASA Astrophysics Data System (ADS)
Okamoto, Shoji; Okamoto, Satoshi; Yokoyama, Shintaro; Akiyama, Kensuke; Funakubo, Hiroshi
2016-10-01
{100}-oriented Pb(Zr x ,Ti1- x )O3 (PZT) thin films of approximately 2 µm thickness and Zr/(Zr + Ti) ratios of 0.39-0.65 were epitaxially grown on (100)cSrRuO3//(100)SrTiO3 (STO) and (100)cSrRuO3//(100)cLaNiO3//(100)CeO2//(100)YSZ//(100)Si (Si) substrates having different thermal expansion coefficients by pulsed metal-organic chemical vapor deposition (MOCVD). The effects of Zr/(Zr + Ti) ratio and type of substrate on the crystal structure and dielectric, ferroelectric and piezoelectric properties of the films were systematically investigated. The X-ray diffraction measurement showed that both films changed from having a tetragonal symmetry to rhombohedral symmetry through the coexisting region with increasing Zr/(Zr + Ti) ratio. This region showed the Zr/(Zr + Ti) ratios of 0.45-0.59 for the films on the STO substrates that were wider than the films on the Si substrates. Saturation polarization values were minimum at approximately Zr/(Zr + Ti) = 0.50 for the films on the STO substrates, and no obvious Zr/(Zr + Ti) ratio dependence was detected in the films on the Si substrates. On the other hand, the maximum field-induced strain values measured by scanning force microscopy at approximately Zr/(Zr + Ti) = 0.50 at 100 kV/cm were about 0.5 and 0.1% in the films on the Si and STO, respectively.
GaN transistors on Si for switching and high-frequency applications
NASA Astrophysics Data System (ADS)
Ueda, Tetsuzo; Ishida, Masahiro; Tanaka, Tsuyoshi; Ueda, Daisuke
2014-10-01
In this paper, recent advances of GaN transistors on Si for switching and high-frequency applications are reviewed. Novel epitaxial structures including superlattice interlayers grown by metal organic chemical vapor deposition (MOCVD) relieve the strain and eliminate the cracks in the GaN over large-diameter Si substrates up to 8 in. As a new device structure for high-power switching application, Gate Injection Transistors (GITs) with a p-AlGaN gate over an AlGaN/GaN heterostructure successfully achieve normally-off operations maintaining high drain currents and low on-state resistances. Note that the GITs on Si are free from current collapse up to 600 V, by which the drain current would be markedly reduced after the application of high drain voltages. Highly efficient operations of an inverter and DC-DC converters are presented as promising applications of GITs for power switching. The high efficiencies in an inverter, a resonant LLC converter, and a point-of-load (POL) converter demonstrate the superior potential of the GaN transistors on Si. As for high-frequency transistors, AlGaN/GaN heterojuction field-effect transistors (HFETs) on Si designed specifically for microwave and millimeter-wave frequencies demonstrate a sufficiently high output power at these frequencies. Output powers of 203 W at 2.5 GHz and 10.7 W at 26.5 GHz are achieved by the fabricated GaN transistors. These devices for switching and high-frequency applications are very promising as future energy-efficient electronics because of their inherent low fabrication cost and superior device performance.
NASA Astrophysics Data System (ADS)
Jiang, Nian
III-V semiconductor nanowires have been investigated as key components for future electronic and optoelectronic devices and systems due to their direct band gap and high electron mobility. Amongst the III-V semiconductors, the planar GaAs material system has been extensively studied and used in industries. Accordingly, GaAs nanowires are the prime candidates for nano-scale devices. However, the electronic performance of GaAs nanowires has yet to match that of state-of-the-art planar GaAs devices. The present deficiency of GaAs nanowires is typically attributed to the large surface-to- volume ratio and the tendency for non-radiative recombination centres to form at the surface. The favoured solution of this problem is by coating GaAs nanowires with AlGaAs shells, which replaces the GaAs surface with GaAs/AlGaAs interface. This thesis presents a systematic study of GaAs/AlGaAs core-shell nanowires grown by metal organic chemical vapour deposition (MOCVD), including understanding the growth, and characterisation of their structural and optical properties. The structures of the nanowires were mainly studied by scanning electron microscopy and transmis- sion electron microscopy (TEM). A procedure of microtomy was developed to prepare the cross-sectional samples for the TEM studies. The optical properties were charac- terised by photoluminescence (PL) spectroscopy. Carrier lifetimes were measured by time-resolved PL. The growth of AlGaAs shell was optimised to obtain the best optical properties, e.g. the strongest PL emission and the longest minority carrier lifetimes. (Abstract shortened by ProQuest.).
Uncooled infrared photodetectors in Poland
NASA Astrophysics Data System (ADS)
Piotrowski, Jozef; Piotrowski, Adam
2005-09-01
The history and present status of the middle and long wavelength Hg1xCdxTe infrared detectors in Poland are reviewed. Research and development efforts in Poland were concentrated mostly on uncooled market niche. Technology of the infrared photodetectors has been developed by several research groups. The devices are based on mercury-based variable band gap semiconductor alloys. Modified isothermal vapor phase epitaxy (ISOVPE) has been used for many years for research and commercial fabrication of photoconductive, photoelectromagnetic and other devices. Bulk growth and liquid phase epitaxy was also used. At present, the fabrication of IR devices relies on low temperature epitaxial technique, namely metalorganic vapor phase deposition (MOCVD), frequently in combination with the ISOVPE. Photoconductive and photoelectromagnetic detectors are still in production. The devices are gradually replaced with photovoltaic devices which offer inherent advantages of no electric or magnetic bias, no heat load and no flicker noise. Potentially, the PV devices could offer high performance and very fast response. Actually, the uncooled long wavelength devices of conventional design suffer from two issues; namely low quantum efficiency and very low junction resistance. It makes them useless for practical applications. The problems have been solved with advanced 3D band gap engineered architecture, multiple cell heterojunction devices connected in series, monolithic integration of the detectors with microoptics and other improvements. Present fabrication program includes devices which are optimized for operation at any wavelength within a wide spectral range 1-15 μm and 200-300 K temperature range. Special solutions have been applied to improve speed of response. Some devices show picoseconds range response time. The devices have found numerous civilian and military applications.
NASA Astrophysics Data System (ADS)
Park, Jun Seok; Lee, Min-Gyu; Cho, Yong-Jae; Sung, Ji Hyun; Jeong, Myeong-Sik; Lee, Sang-Kon; Choi, Yong-Jin; Kim, Da Hye
2016-01-01
The directed energy deposition process has been mainly applied to re-work and the restoration of damaged steel. Differences in material properties between the base and the newly deposited materials are unavoidable, which may affect the mechanical properties and durability of the part. We investigated the effect of heat treatment on the characteristics of tool steel deposited by the DED process. We prepared general tool steel materials of H13 and D2 that were deposited onto heat-treated substrates of H13 and D2, respectively, using a direct metal tooling process. The hardness and microstructure of the deposited steel before and after heat treatment were investigated. The hardness of the deposited H13 steel was higher than that of wrought H13 steel substrate, while that of the deposited D2 was lower than that of wrought D2. The evolution of the microstructures by deposition and heat treatment varied depending on the materials. In particular, the microstructure of the deposited D2 steel after heat treatment consisted of fine carbides in tempered martensite and it is expected that the deposited D2 steel will have isotropic properties and high hardness after heat treatment.
Building of nested components by a double-nozzle droplet deposition process
NASA Astrophysics Data System (ADS)
Li, SuLi; Wei, ZhengYing; Du, Jun; Zhao, Guangxi; Wang, Xin; Lu, BingHeng
2016-07-01
According to the nested components jointed with multiple parts,a double-nozzle droplet deposition process was put forward in this paper, and the experimental system was developed. Through the research on the properties of support materials and the process of double-nozzle droplet deposition, the linkage control of the metal droplet deposition and the support material extrusion was realized, and a nested component with complex construction was fabricated directly. Compared with the traditional forming processes, this double-nozzle deposition process has the advantages of short cycle, low cost and so on. It can provide an approach way to build the nested parts.
Organic electronic devices with multiple solution-processed layers
DOE Office of Scientific and Technical Information (OSTI.GOV)
Forrest, Stephen R.; Lassiter, Brian E.; Zimmerman, Jeramy D.
2016-07-05
A method for fabricating an organic light emitting device stack involves depositing a first conductive electrode layer over a substrate; depositing a first set of one or more organic layers, wherein at least one of the first set of organic layers is a first emissive layer and one of the first set of organic layers is deposited by a solution-based process that utilizes a first solvent; depositing a first conductive interlayer by a dry deposition process; and depositing a second set of one or more organic layers, wherein at least one of the second set of organic layers is amore » second emissive layer and one of the second set of organic layers is deposited by a solution-based process that utilizes a second solvent, wherein all layers that precede the layer deposited using the second solvent are insoluble in the second solvent.« less
Contributive research in compound semiconductor material and related devices
NASA Astrophysics Data System (ADS)
Twist, James R.
1988-05-01
The objective of this program was to provide the Electronic Device Branch (AFWAL/AADR) with the support needed to perform state of the art electronic device research. In the process of managing and performing on the project, UES has provided a wide variety of scientific and engineering talent who worked in-house for the Avionics Laboratory. These personnel worked on many different types of research programs from gas phase microwave driven lasers, CVD and MOCVD of electronic materials to Electronic Device Technology for new devices. The fields of research included MBE and theoretical research in this novel growth technique. Much of the work was slanted towards the rapidly developing technology of GaAs and the general thrust of the research that these tasks started has remained constant. This work was started because the Avionics Laboratory saw a chance to advance the knowledge and level of the current device technology by working in the compounds semiconductor field. UES is pleased to have had the opportunity to perform on this program and is looking forward to future efforts with the Avionics Laboratory.
NASA Technical Reports Server (NTRS)
1986-01-01
The report gives the results of feasibility studies and a cost analysis done on GaAs solar battery cells for space stations. The studies and their results are as follows: (1) Cell size - The 2 x 4 cm cell size was found superior to the 4 x 4 cm cell; (2) Manufacturing technology - Overall, LPE crystal growth was found more suitable than MO-CVD. Current technology for post-growth processes and applying large-area cover glass can be used with few or no modifications; (3) Cell assemblies - Tests for mechanical and thermal stresses encountered from assembly through operation are recommended; (4) Procuring materials - Steps should be taken to avoid sharp price increases due to a speculative gallium market. There are no problems with arsenic materials; (5) Production facilities - The capital investment needed remains to be determined, but a working area of 4000 m2 will be required; (6) Cell costs to be determined; (7) Cell development-supply plan - Two-year lead time will be needed to develop the necessary technology and prepare for production.
NASA Astrophysics Data System (ADS)
Liu, Lei; Chen, Hongde; Zhong, Yijiang; Wang, Jun; Xu, Changgui; Chen, Anqing; Du, Xiaofeng
2017-10-01
Sediment gravity flow deposits are common, particularly in sandy formations, but their origin has been a matter of debate and there is no consensus about the classification of such deposits. However, sediment gravity flow sandstones are economically important and have the potential to meet a growing demand in oil and gas exploration, so there is a drive to better understand them. This study focuses on sediment gravity flow deposits identified from well cores in Palaeogene deposits from the Liaodong Bay Depression in Bohai Bay Basin, China. We classify the sediment gravity flow deposits into eight lithofacies using lithological characteristics, grain size, and sedimentary structures, and interpret the associated depositional processes. Based on the scale, spatial distribution, and contact relationships of sediment gravity flow deposits, we defined six types of lithofacies associations (LAs) that reflect transformation processes and depositional morphology: LA1 (unconfined proximal breccia deposits), LA2 (confined channel deposits), LA3 (braided-channel lobe deposits), LA4 (unconfined lobe deposits), LA5 (distal sheet deposits), and LA6 (non-channelized sheet deposits). Finally, we established three depositional models that reflect the sedimentological characteristics and depositional processes of sediment gravity flow deposits: (1) slope-apron gravel-rich depositional model, which involves cohesive debris flows deposited as LA1 and dilute turbidity currents deposited as LA5; (2) non-channelized surge-like turbidity current depositional model, which mainly comprises sandy slumping, suspended load dominated turbidity currents, and dilute turbidity currents deposited as LA5 and LA6; and (3) channelized subaqueous-fan depositional model, which consists of non-cohesive bedload dominated turbidity currents, suspended load dominated turbidity currents, and dilute turbidity currents deposited as LA2-LA5, originating from sustained extrabasinal turbidity currents (hyperpycnal flow). The depositional models may be applicable to oil and gas exploration and production from sediment gravity flow systems in similar lacustrine depositional environments elsewhere.
Improved process for epitaxial deposition of silicon on prediffused substrates
NASA Technical Reports Server (NTRS)
Clarke, M. G.; Halsor, J. L.; Word, J. C.
1968-01-01
Process for fabricating integrated circuits uniformly deposits silicon epitaxially on prediffused substrates without affecting the sublayer diffusion pattern. Two silicon deposits from different sources, and deposited at different temperatures, protect the sublayer pattern from the silicon tetrachloride reaction.
Coating Carbon Fibers With Platinum
NASA Technical Reports Server (NTRS)
Effinger, Michael R.; Duncan, Peter; Coupland, Duncan; Rigali, Mark J.
2007-01-01
A process for coating carbon fibers with platinum has been developed. The process may also be adaptable to coating carbon fibers with other noble and refractory metals, including rhenium and iridium. The coated carbon fibers would be used as ingredients of matrix/fiber composite materials that would resist oxidation at high temperatures. The metal coats would contribute to oxidation resistance by keeping atmospheric oxygen away from fibers when cracks form in the matrices. Other processes that have been used to coat carbon fibers with metals have significant disadvantages: Metal-vapor deposition processes yield coats that are nonuniform along both the lengths and the circumferences of the fibers. The electrical resistivities of carbon fibers are too high to be compatible with electrolytic processes. Metal/organic vapor deposition entails the use of expensive starting materials, it may be necessary to use a furnace, and the starting materials and/or materials generated in the process may be hazardous. The present process does not have these disadvantages. It yields uniform, nonporous coats and is relatively inexpensive. The process can be summarized as one of pretreatment followed by electroless deposition. The process consists of the following steps: The surfaces of the fiber are activated by deposition of palladium crystallites from a solution. The surface-activated fibers are immersed in a solution that contains platinum. A reducing agent is used to supply electrons to effect a chemical reduction in situ. The chemical reduction displaces the platinum from the solution. The displaced platinum becomes deposited on the fibers. Each platinum atom that has been deposited acts as a catalytic site for the deposition of another platinum atom. Hence, the deposition process can also be characterized as autocatalytic. The thickness of the deposited metal can be tailored via the duration of immersion and the chemical activity of the solution.
Processing of sputter targets using current activated pressure assisted densification
NASA Astrophysics Data System (ADS)
Chaney, Neil Russell
Thin Film deposition is a process that has been around since the beginning of the twentieth century and has become an integral part of the microfabrication and nanofabrication industries. Sputter deposition is a method of physical vapor deposition (PVD) in which a target is bombarded with ions and atoms are ejected and deposited as a thin film on a substrate. Despite extensive research on the direct process of sputtering thin films from targets to substrates, not much work has been done on studying the effect of processing on the microstructure of a target. In the first part of this work, the development of a PVD chamber is explored along with a few modifications and improvements developed along the way. A multiple process PVD chamber was equipped with three different types of PVD processes: sputtering, evaporation, and electron-beam deposition. In the second part of this work, the effect of processing of sputter targets on deposited films is explored. Multiple targets of Copper and yttria stabilized zirconia were produced using CAPAD. The effect of the processing on the microstructure of the targets was determined. The targets were then sputtered into films to study the effects of the target grain size on their properties. The effect of power and pressure were also measured. Increased power led to increased deposition rates while higher vacuum caused deposition rates to decrease.
Code of Federal Regulations, 2010 CFR
2010-10-01
... 49 Transportation 7 2010-10-01 2010-10-01 false Fee for reimbursement of bond processing costs and costs for processing offers of cash deposits or obligations of the United States in lieu of sureties on... indirect costs the agency incurs for receipt, processing, handling, and disbursement of cash deposits or...
NASA Astrophysics Data System (ADS)
Sin, Yongkun; Presser, Nathan; Brodie, Miles; Lingley, Zachary; Foran, Brendan; Moss, Steven C.
2015-03-01
Laser diode manufacturers perform accelerated multi-cell lifetests to estimate lifetimes of lasers using an empirical model. Since state-of-the-art laser diodes typically require a long period of latency before they degrade, significant amount of stress is applied to the lasers to generate failures in relatively short test durations. A drawback of this approach is the lack of mean-time-to-failure data under intermediate and low stress conditions, leading to uncertainty in model parameters (especially optical power and current exponent) and potential overestimation of lifetimes at usage conditions. This approach is a concern especially for satellite communication systems where high reliability is required of lasers for long-term duration in the space environment. A number of groups have studied reliability and degradation processes in GaAs-based lasers, but none of these studies have yielded a reliability model based on the physics of failure. The lack of such a model is also a concern for space applications where complete understanding of degradation mechanisms is necessary. Our present study addresses the aforementioned issues by performing long-term lifetests under low stress conditions followed by failure mode analysis (FMA) and physics of failure investigation. We performed low-stress lifetests on both MBE- and MOCVD-grown broad-area InGaAs- AlGaAs strained QW lasers under ACC (automatic current control) mode to study low-stress degradation mechanisms. Our lifetests have accumulated over 36,000 test hours and FMA is performed on failures using our angle polishing technique followed by EL. This technique allows us to identify failure types by observing dark line defects through a window introduced in backside metal contacts. We also investigated degradation mechanisms in MOCVD-grown broad-area InGaAs-AlGaAs strained QW lasers using various FMA techniques. Since it is a challenge to control defect densities during the growth of laser structures, we chose to control defect densities by introducing extrinsic point defects to the laser via proton irradiation with different energies and fluences. These lasers were subsequently lifetested to study degradation processes in the lasers with different defect densities and also to study precursor signatures of failures - traps and non-radiative recombination centers (NRCs) in pre- and post-stressed lasers. Lastly, we employed focused ion beam (FIB), electron beam induced current (EBIC), and highresolution TEM (HR-TEM) techniques to further study dark line defects and dislocations in both post-aged and postproton irradiated lasers. We report on our long-term low-stress lifetest results and physics of failure investigation results.
2007-12-04
emitting diodes 6. Optical and material characterization of ZnO nanostructures 7. Fabrication of anodized - aluminum - oxide ( AAO ) ? preparing for patterned...Using InGaN for improving the efficiency of solar cell Theme: MOCVD and MBE growths of nitride and oxide semiconductor nanostructures for energy...0 20 40 60 80 100 120 P L E n h a n c e m e n t R a t i o Wavelength (nm) Silver -- 13X Gold --4X Aluminum -- 10X 0.0 0.5 1.0 1.5 2.0 2.5 I n
Compact high reliability fiber coupled laser diodes for avionics and related applications
NASA Astrophysics Data System (ADS)
Daniel, David R.; Richards, Gordon S.; Janssen, Adrian P.; Turley, Stephen E. H.; Stockton, Thomas E.
1993-04-01
This paper describes a newly developed compact high reliability fiber coupled laser diode which is capable of providing enhanced performance under extreme environmental conditions including a very wide operating temperature range. Careful choice of package materials to minimize thermal and mechanical stress, used with proven manufacturing methods, has resulted in highly stable coupling of the optical fiber pigtail to a high performance MOCVD-grown Multi-Quantum Well laser chip. Electro-optical characteristics over temperature are described together with a demonstration of device stability over a range of environmental conditions. Real time device lifetime data is also presented.
InP-based millimeter-wave PIN diodes for switching and phase-shifting application
NASA Astrophysics Data System (ADS)
Pavlidis, Dimitris; Alekseev, Egor; Hong, Kyushik; Cui, Delong
1997-10-01
InP-based PIN design, technology and circuit implementation were addressed and successfully applied to millimeter-wave MMIC switches and phase shifters. A wet etchant based via technology was developed and applied to InP MMIC fabrication. MOCVD and MBE material growth was used for PIN realization and PIN specific growth optimization is discussed. Experimentally determined electrical characteristics and good performance is presented for a variety of InP-based PIN MMICs including coplanar and microstrip Ka-band SPST switches, W-band microstrip SPST switches and a 90-degree phase shifter.
Laser diode arrays based on AlGaAs/GaAs quantum-well heterostructures with an efficiency up to 62%
NASA Astrophysics Data System (ADS)
Ladugin, M. A.; Marmalyuk, A. A.; Padalitsa, A. A.; Telegin, K. Yu; Lobintsov, A. V.; Sapozhnikov, S. M.; Danilov, A. I.; Podkopaev, A. V.; Simakov, V. A.
2017-08-01
The results of development of quasi-cw laser diode arrays operating at a wavelength of 808 nm with a high efficiency are demonstrated. The laser diodes are based on semiconductor AlGaAs/GaAs quantum-well heterostructures grown by MOCVD. The measured spectral, spatial, electric and power characteristics are presented. The output optical power of the array with an emitting area of 5 × 10 mm is 2.7 kW at a pump current of 100 A, and the maximum efficiency reaches 62%.
Investigation of high efficiency GaAs solar cells
NASA Technical Reports Server (NTRS)
Olsen, Larry C.; Dunham, Glen; Addis, F. W.; Huber, Dan; Linden, Kurt
1989-01-01
Investigations of basic mechanisms which limit the performance of high efficiency GaAs solar cells are discussed. P/N heteroface structures have been fabricated from MOCVD epiwafers. Typical AM1 efficiencies are in the 21 to 22 percent range, with a SERI measurement for one cell being 21.5 percent. The cells are nominally 1.5 x 1.5 cm in size. Studies have involved photoresponse, T-I-V analyses, and interpretation of data in terms of appropriate models to determine key cell parameters. Results of these studies are utilized to determine future approaches for increasing GaAs solar cell efficiencies.
Advanced methods for processing ceramics
DOE Office of Scientific and Technical Information (OSTI.GOV)
Carter, W.B.
1997-04-01
Combustion chemical vapor deposition (combustion CVD) is being developed for the deposition of high temperature oxide coatings. The process is being evaluated as an alternative to more capital intensive conventional coating processes. The thrusts during this reporting period were the development of the combustion CVD process for depositing lanthanum monazite, the determination of the influence of aerosol size on coating morphology, the incorporation of combustion CVD coatings into thermal barrier coatings (TBCs) and related oxidation research, and continued work on the deposition of zirconia-yttria coatings.
Density of Spray-Formed Materials
DOE Office of Scientific and Technical Information (OSTI.GOV)
Kevin M. McHugh; Volker Uhlenwinkel; Nils Ellendr
2008-06-01
Spray Forming is an advanced materials processing technology that transforms molten metal into a near-net-shape solid by depositing atomized droplets onto a substrate. Depending on the application, the spray-formed material may be used in the as-deposited condition or it may undergo post-deposition processing. Regardless, the density of the as-deposited material is an important issue. Porosity is detrimental because it can significantly reduce strength, toughness, hardness and other properties. While it is not feasible to achieve fully-dense material in the as-deposited state, density greater than 99% of theoretical density is possible if the atomization and impact conditions are optimized. Thermal conditionsmore » at the deposit surface and droplet impact angle are key processing parameters that influence the density of the material. This paper examines the factors that contribute to porosity formation during spray forming and illustrates that very high as-deposited density is achieved by optimizing processing parameters.« less
What controls deposition rate in electron-beam chemical vapor deposition?
White, William B; Rykaczewski, Konrad; Fedorov, Andrei G
2006-08-25
The key physical processes governing electron-beam-assisted chemical vapor deposition are analyzed via a combination of theoretical modeling and supporting experiments. The scaling laws that define growth of the nanoscale deposits are developed and verified using carefully designed experiments of carbon deposition from methane onto a silicon substrate. The results suggest that the chamber-scale continuous transport of the precursor gas is the rate controlling process in electron-beam chemical vapor deposition.
Surface roughness analysis of SiO2 for PECVD, PVD and IBD on different substrates
NASA Astrophysics Data System (ADS)
Amirzada, Muhammad Rizwan; Tatzel, Andreas; Viereck, Volker; Hillmer, Hartmut
2016-02-01
This study compares surface roughness of SiO2 thin layers which are deposited by three different processes (plasma-enhanced chemical vapor deposition, physical vapor deposition and ion beam deposition) on three different substrates (glass, Si and polyethylene naphthalate). Plasma-enhanced chemical vapor deposition (PECVD) processes using a wide range of deposition temperatures from 80 to 300 °C have been applied and compared. It was observed that the nature of the substrate does not influence the surface roughness of the grown layers very much. It is also perceived that the value of the surface roughness keeps on increasing as the deposition temperature of the PECVD process increases. This is due to the increase in the surface diffusion length with the rise in substrate temperature. The layers which have been deposited on Si wafer by ion beam deposition (IBD) process are found to be smoother as compared to the other two techniques. The layers which have been deposited on the glass substrates using PECVD reveal the highest surface roughness values in comparison with the other substrate materials and techniques. Different existing models describing the dynamics of clusters on surfaces are compared and discussed.
Lewis, Brett B; Stanford, Michael G; Fowlkes, Jason D; Lester, Kevin; Plank, Harald; Rack, Philip D
2015-01-01
Platinum-carbon nanostructures deposited via electron beam induced deposition from MeCpPt(IV)Me3 are purified during a post-deposition electron exposure treatment in a localized oxygen ambient at room temperature. Time-dependent studies demonstrate that the process occurs from the top-down. Electron beam energy and current studies demonstrate that the process is controlled by a confluence of the electron energy loss and oxygen concentration. Furthermore, the experimental results are modeled as a 2nd order reaction which is dependent on both the electron energy loss density and the oxygen concentration. In addition to purification, the post-deposition electron stimulated oxygen purification process enhances the resolution of the EBID process due to the isotropic carbon removal from the as-deposited materials which produces high-fidelity shape retention.
DOE Office of Scientific and Technical Information (OSTI.GOV)
Oswald, R.; Morris, J.
1994-11-01
The objective of this subcontract over its three-year duration is to advance Solarex`s photovoltaic manufacturing technologies, reduce its a-Si:H module production costs, increase module performance and expand the Solarex commercial production capacity. Solarex shall meet these objectives by improving the deposition and quality of the transparent front contact, by optimizing the laser patterning process, scaling-up the semiconductor deposition process, improving the back contact deposition, scaling-up and improving the encapsulation and testing of its a-Si:H modules. In the Phase 2 portion of this subcontract, Solarex focused on improving deposition of the front contact, investigating alternate feed stocks for the front contact,more » maximizing throughput and area utilization for all laser scribes, optimizing a-Si:H deposition equipment to achieve uniform deposition over large-areas, optimizing the triple-junction module fabrication process, evaluating the materials to deposit the rear contact, and optimizing the combination of isolation scribe and encapsulant to pass the wet high potential test. Progress is reported on the following: Front contact development; Laser scribe process development; Amorphous silicon based semiconductor deposition; Rear contact deposition process; Frit/bus/wire/frame; Materials handling; and Environmental test, yield and performance analysis.« less
Method for deposition of a conductor in integrated circuits
Creighton, J. Randall; Dominguez, Frank; Johnson, A. Wayne; Omstead, Thomas R.
1997-01-01
A method is described for fabricating integrated semiconductor circuits and, more particularly, for the selective deposition of a conductor onto a substrate employing a chemical vapor deposition process. By way of example, tungsten can be selectively deposited onto a silicon substrate. At the onset of loss of selectivity of deposition of tungsten onto the silicon substrate, the deposition process is interrupted and unwanted tungsten which has deposited on a mask layer with the silicon substrate can be removed employing a halogen etchant. Thereafter, a plurality of deposition/etch back cycles can be carried out to achieve a predetermined thickness of tungsten.
Dual ion beam assisted deposition of biaxially textured template layers
Groves, James R.; Arendt, Paul N.; Hammond, Robert H.
2005-05-31
The present invention is directed towards a process and apparatus for epitaxial deposition of a material, e.g., a layer of MgO, onto a substrate such as a flexible metal substrate, using dual ion beams for the ion beam assisted deposition whereby thick layers can be deposited without degradation of the desired properties by the material. The ability to deposit thicker layers without loss of properties provides a significantly broader deposition window for the process.
Earth Surface Processes, Landforms and Sediment Deposits
NASA Astrophysics Data System (ADS)
Bridge, John; Demicco, Robert
Earth surface processes, landforms and sediment deposits are intimately related - involving erosion of rocks, generation of sediment, and transport and deposition of sediment through various Earth surface environments. These processes, and the landforms and deposits that they generate, have a fundamental bearing on engineering, environmental and public safety issues; on recovery of economic resources; and on our understanding of Earth history. This unique textbook brings together the traditional disciplines of sedimentology and geomorphology to explain Earth surface processes, landforms and sediment deposits in a comprehensive and integrated way. It is the ideal resource for a two-semester course in sedimentology, stratigraphy, geomorphology, and Earth surface processes from the intermediate undergraduate to beginning graduate level. The book is also accompanied by a website hosting illustrations and material on field and laboratory methods for measuring, describing and analyzing Earth surface processes, landforms and sediments.
NASA Astrophysics Data System (ADS)
Rokni, M. R.; Nutt, S. R.; Widener, C. A.; Champagne, V. K.; Hrabe, R. H.
2017-08-01
In the cold spray (CS) process, deposits are produced by depositing powder particles at high velocity onto a substrate. Powders deposited by CS do not undergo melting before or upon impacting the substrate. This feature makes CS suitable for deposition of a wide variety of materials, most commonly metallic alloys, but also ceramics and composites. During processing, the particles undergo severe plastic deformation and create a more mechanical and less metallurgical bond with the underlying material. The deformation behavior of an individual particle depends on multiple material and process parameters that are classified into three major groups—powder characteristics, geometric parameters, and processing parameters, each with their own subcategories. Changing any of these parameters leads to evolution of a different microstructure and consequently changes the mechanical properties in the deposit. While cold spray technology has matured during the last decade, the process is inherently complex, and thus, the effects of deposition parameters on particle deformation, deposit microstructure, and mechanical properties remain unclear. The purpose of this paper is to review the parameters that have been investigated up to now with an emphasis on the existent relationships between particle deformation behavior, microstructure, and mechanical properties of various cold spray deposits.
Process for ion-assisted laser deposition of biaxially textured layer on substrate
Russo, R.E.; Reade, R.P.; Garrison, S.M.; Berdahl, P.
1995-07-11
A process for depositing a biaxially aligned intermediate layer over a non-single crystal substrate is disclosed which permits the subsequent deposition thereon of a biaxially oriented superconducting film. The process comprises depositing on a substrate by laser ablation a material capable of being biaxially oriented and also capable of inhibiting the migration of substrate materials through the intermediate layer into such a superconducting film, while simultaneously bombarding the substrate with an ion beam. In a preferred embodiment, the deposition is carried out in the same chamber used to subsequently deposit a superconducting film over the intermediate layer. In a further aspect of the invention, the deposition of the superconducting layer over the biaxially oriented intermediate layer is also carried out by laser ablation with optional additional bombardment of the coated substrate with an ion beam during the deposition of the superconducting film. 8 figs.
Process for ion-assisted laser deposition of biaxially textured layer on substrate
Russo, Richard E.; Reade, Ronald P.; Garrison, Stephen M.; Berdahl, Paul
1995-01-01
A process for depositing a biaxially aligned intermediate layer over a non-single crystal substrate is disclosed which permits the subsequent deposition thereon of a biaxially oriented superconducting film. The process comprises depositing on a substrate by laser ablation a material capable of being biaxially oriented and also capable of inhibiting the migration of substrate materials through the intermediate layer into such a superconducting film, while simultaneously bombarding the substrate with an ion beam. In a preferred embodiment, the deposition is carried out in the same chamber used to subsequently deposit a superconducting film over the intermediate layer. In a further aspect of the invention, the deposition of the superconducting layer over the biaxially oriented intermediate layer is also carried out by laser ablation with optional additional bombardment of the coated substrate with an ion beam during the deposition of the superconducting film.
High and low energy proton radiation damage in p/n InP MOCVD solar cells
NASA Technical Reports Server (NTRS)
Rybicki, George; Weinberg, Irving; Scheiman, Dave; Vargas-Aburto, Carlos
1995-01-01
InP p(+)nn(+) MOCVD solar cells were irradiated with 0.2 MeV and 10 MeV protons to a fluence of 10(exp 13)/sq cm. The degradation of power output, IV behavior, carrier concentration and defect concentration were observed at intermediate points throughout the irradiations. The 0.2 MeV proton irradiated solar cells suffered much greater and more rapid degradation in power output than those irradiated with 10 meV protons. The efficiency losses were accompanied by larger increases in the recombination currents in the 0.2 MeV proton irradiated solar cells. The low energy proton irradiations also had a larger impact on the series resistance of the solar cells. Despite the radiation induced damage, the carrier concentration in the base of the solar cells showed no reduction after 10 MeV or 0.2 MeV proton irradiations and even increased during irradiation with 0.2 MeV protons. In a DLTS study of the irradiated samples, the minority carrier defects H4 and H5 at E(v) + 0.33 and E(v) + 0.52 eV and the majority carrier defects E7 and E10 at E(c)- 0.39 and E(c)-0.74 eV, were observed. The defect introduction rates for the 0.2 MeV proton irradiations were about 20 times higher than for the 10 MeV proton irradiations. The defect E10, observed here after irradiation, has been shown to act as a donor in irradiated n-type InP and may be responsible for obscuring carrier removal. The results of this study are consistent with the much greater damage produced by low energy protons whose limited range causes them to stop in the active region of the solar cell.
X-ray diffraction study of A- plane non-polar InN epilayer grown by MOCVD
NASA Astrophysics Data System (ADS)
Moret, Matthieu; Briot, Olivier; Gil, Bernard
2015-03-01
Strong polarisation-induced electric fields in C-plane oriented nitrides semiconductor layers reduce the performance of devices. Eliminating the polarization fields can be achieved by growing nitrides along non polar direction. We have grown non polar A-plane oriented InN on R-plane (1‾102) nitridated sapphire substrate by MOCVD. We have studied the structural anisotropy observed in these layers by analyzing High Resolution XRay Diffraction rocking curve (RC) experiments as a function of the in-plane beam orientation. A-plane InN epilayer have a unique epitaxial relationship on R-Plane sapphire and show a strong structural anisotropy. Full width at half maximum (FWHM) of the InN(11‾20) XRD RC values are contained between 44 and 81 Arcmin. FWHM is smaller when the diffraction occurs along the [0001] and the largest FWHM values, of the (11‾20) RC, are obtained when the diffraction occurs along the [1‾100] in-plane direction. Atomic Force Microscopy imaging revealed morphologies with well organized crystallites. The grains are structured along a unique crystallographic orientation of InN, leading to larger domains in this direction. This structural anisotropy can be, in first approximation, attributed to the difference in the domain sizes observed. XRD reciprocal space mappings (RSM) were performed in asymmetrical configuration on (13‾40) and (2‾202) diffraction plane. RSM are measured with a beam orientation corresponding to a maximal and a minimal width of the (11‾20) Rocking curves, respectively. A simple theoretical model is exposed to interpret the RSM. We concluded that the dominant contribution to the anisotropy is due to the scattering coherence length anisotropy present in our samples.
Method for deposition of a conductor in integrated circuits
Creighton, J.R.; Dominguez, F.; Johnson, A.W.; Omstead, T.R.
1997-09-02
A method is described for fabricating integrated semiconductor circuits and, more particularly, for the selective deposition of a conductor onto a substrate employing a chemical vapor deposition process. By way of example, tungsten can be selectively deposited onto a silicon substrate. At the onset of loss of selectivity of deposition of tungsten onto the silicon substrate, the deposition process is interrupted and unwanted tungsten which has deposited on a mask layer with the silicon substrate can be removed employing a halogen etchant. Thereafter, a plurality of deposition/etch back cycles can be carried out to achieve a predetermined thickness of tungsten. 2 figs.
Optical in situ monitoring of plasma-enhanced atomic layer deposition process
NASA Astrophysics Data System (ADS)
Zeeshan Arshad, Muhammad; Jo, Kyung Jae; Kim, Hyun Gi; Jeen Hong, Sang
2018-06-01
An optical in situ process monitoring method for the early detection of anomalies in plasma process equipment is presented. Cyclic process steps of precursor treatment and plasma reaction for the deposition of an angstrom-scale film increase their complexity to ensure the process quality. However, a small deviation in process parameters, for instance, gas flow rate, process temperature, or RF power, may jeopardize the deposited film quality. As a test vehicle for the process monitoring, we have investigated the aluminum-oxide (Al2O3) encapsulation process in plasma-enhanced atomic layer deposition (PEALD) to form a moisture and oxygen diffusion barrier in organic-light emitting diodes (OLEDs). By optical in situ monitoring, we successfully identified the reduction in oxygen flow rates in the reaction steps, which resulted in a 2.67 times increase in the water vapor transmission ratio (WVTR) of the deposited Al2O3 films. Therefore, we are convinced that the suggested in situ monitoring method is useful for the detection of process shifts or drifts that adversely affect PEALD film quality.
NASA Astrophysics Data System (ADS)
Robinson, Joel E.; Bacon, Charles R.; Major, Jon J.; Wright, Heather M.; Vallance, James W.
2017-08-01
Large explosive eruptions of silicic magma can produce widespread pumice fall, extensive ignimbrite sheets, and collapse calderas. The surfaces of voluminous ignimbrites are rarely preserved or documented because most terrestrial examples are heavily vegetated, or severely modified by post-depositional processes. Much research addresses the internal sedimentary characteristics, flow processes, and depositional mechanisms of ignimbrites, however, surface features of ignimbrites are less well documented and understood, except for comparatively small-volume deposits of historical eruptions. The 7700 calendar year B.P. climactic eruption of Mount Manama, USA, vented 50 km3 of magma, deposited first as rhyodacite pumice fall and then as a zoned rhyodacite-to-andesite ignimbrite as Crater Lake caldera collapsed. Lidar collected during summer 2010 reveals the remarkably well-preserved surface of the Manama ignimbrite and related deposits surrounding Crater Lake caldera in unprecedented detail despite forest cover. The ± 1 m lateral and ± 4 cm vertical resolution lidar allows surface morphologies to be classified. Surface morphologies are created by internal depositional processes and can point to the processes at work when pyroclastic flows come to rest. We describe nine surface features including furrow-ridge sets and wedge-shaped mounds in pumice fall eroded by high-energy pyroclastic surges, flow-parallel ridges that record the passage of multiple pyroclastic flows, perched benches of marginal deposits stranded by more-mobile pyroclastic-flow cores, hummocks of dense clasts interpreted as lag deposit, transverse ridges that mark the compression and imbrication of flows as they came to rest, scarps indicating ignimbrite remobilization, fields of closely spaced pits caused by phreatic explosions, fractures and cracks due to extensional processes resulting from ignimbrite volume loss, and stream channels eroded in the newly formed surface. The nine morphologies presented here illustrate a dynamic depositional environment that varied spatially and with time during the eruption, and show that multiple processes modified the ignimbrite after deposition, both during and after the eruption.
Open Air Silicon Deposition by Atmospheric Pressure Plasma under Local Ambient Gas Control
NASA Astrophysics Data System (ADS)
Naito, Teruki; Konno, Nobuaki; Yoshida, Yukihisa
2015-09-01
In this paper, we report open air silicon (Si) deposition by combining a silane free Si deposition technology and a newly developed local ambient gas control technology. Recently, material processing in open air has been investigated intensively. While a variety of materials have been deposited, there were only few reports on Si deposition due to the susceptibility to contamination and the hazardous nature of source materials. Since Si deposition is one of the most important processes in device fabrication, we have developed open air silicon deposition technologies in BEANS project. For a clean and safe process, a local ambient gas control head was designed. Process gas leakage was prevented by local evacuation, and air contamination was shut out by inert curtain gas. By numerical and experimental investigations, a safe and clean process condition with air contamination less than 10 ppm was achieved. Si film was deposited in open air by atmospheric pressure plasma enhanced chemical transport under the local ambient gas control. The film was microcrystalline Si with the crystallite size of 17 nm, and the Hall mobility was 2.3 cm2/V .s. These properties were comparable to those of Si films deposited in a vacuum chamber. This research has been conducted as one of the research items of New Energy and Industrial Technology Development Organization ``BEANS'' project.
Ceramic Top Coats of Plasma-Sprayed Thermal Barrier Coatings: Materials, Processes, and Properties
NASA Astrophysics Data System (ADS)
Bakan, Emine; Vaßen, Robert
2017-08-01
The ceramic top coat has a major influence on the performance of the thermal barrier coating systems (TBCs). Yttria-partially-stabilized zirconia (YSZ) is the top coat material frequently used, and the major deposition processes of the YSZ top coat are atmospheric plasma spraying and electron beam physical vapor deposition. Recently, also new thermal spray processes such as suspension plasma spraying or plasma spray-physical vapor deposition have been intensively investigated for TBC top coat deposition. These new processes and particularly the different coating microstructures that can be deposited with them will be reviewed in this article. Furthermore, the properties and the intrinsic-extrinsic degradation mechanisms of the YSZ will be discussed. Following the TBC deposition processes and standard YSZ material, alternative ceramic materials such as perovskites and hexaaluminates will be summarized, while properties of pyrochlores with regard to their crystal structure will be discussed more in detail. The merits of the pyrochlores such as good CMAS resistance as well as their weaknesses, e.g., low fracture toughness, processability issues, will be outlined.
Study on electrochemically deposited Mg metal
NASA Astrophysics Data System (ADS)
Matsui, Masaki
An electrodeposition process of magnesium metal from Grignard reagent based electrolyte was studied by comparing with lithium. The electrodeposition of magnesium was performed at various current densities. The obtained magnesium deposits did not show dendritic morphologies while all the lithium deposits showed dendritic products. Two different crystal growth modes in the electrodeposition process of magnesium metal were confirmed by an observation using scanning electron micro scope (SEM) and a crystallographic analysis using X-ray diffraction (XRD). An electrochemical study of the deposition/dissolution process of the magnesium showed a remarkable dependency of the overpotential of magnesium deposition on the electrolyte concentration compared with lithium. This result suggests that the dependency of the overpotential on the electrolyte concentration prevent the locally concentrated current resulting to form very uniform deposits.
NASA Astrophysics Data System (ADS)
Mousa, MoatazBellah Mahmoud
Atomic Layer Deposition (ALD) is a vapor phase nano-coating process that deposits very uniform and conformal thin film materials with sub-angstrom level thickness control on various substrates. These unique properties made ALD a platform technology for numerous products and applications. However, most of these applications are limited to the lab scale due to the low process throughput relative to the other deposition techniques, which hinders its industrial adoption. In addition to the low throughput, the process development for certain applications usually faces other obstacles, such as: a required new processing mode (e.g., batch vs continuous) or process conditions (e.g., low temperature), absence of an appropriate reactor design for a specific substrate and sometimes the lack of a suitable chemistry. This dissertation studies different aspects of ALD process development for prospect applications in the semiconductor, textiles, and battery industries, as well as novel organic-inorganic hybrid materials. The investigation of a high pressure, low temperature ALD process for metal oxides deposition using multiple process chemistry revealed the vital importance of the gas velocity over the substrate to achieve fast depositions at these challenging processing conditions. Also in this work, two unique high throughput ALD reactor designs are reported. The first is a continuous roll-to-roll ALD reactor for ultra-fast coatings on porous, flexible substrates with very high surface area. While the second reactor is an ALD delivery head that allows for in loco ALD coatings that can be executed under ambient conditions (even outdoors) on large surfaces while still maintaining very high deposition rates. As a proof of concept, part of a parked automobile window was coated using the ALD delivery head. Another process development shown herein is the improvement achieved in the selective synthesis of organic-inorganic materials using an ALD based process called sequential vapor infiltration. Finally, the development of a new ALD chemistry for novel metal deposition is discussed and was used to deposit thin films of tin metal for the first time in literature using an ALD process. The various challenges addressed in this work for the development of different ALD processes help move ALD closer to widespread use and industrial integration.