Sample records for dry etching resistance

  1. Dry etching of chrome for photomasks for 100-nm technology using chemically amplified resist

    NASA Astrophysics Data System (ADS)

    Mueller, Mark; Komarov, Serguie; Baik, Ki-Ho

    2002-07-01

    Photo mask etching for the 100nm technology node places new requirements on dry etching processes. As the minimum-size features on the mask, such as assist bars and optical proximity correction (OPC) patterns, shrink down to 100nm, it is necessary to produce etch CD biases of below 20nm in order to reproduce minimum resist features into chrome with good pattern fidelity. In addition, vertical profiles are necessary. In previous generations of photomask technology, footing and sidewall profile slope were tolerated, since this dry etch profile was an improvement from wet etching. However, as feature sizes shrink, it is extremely important to select etch processes which do not generate a foot, because this will affect etch linearity and also limit the smallest etched feature size. Chemically amplified resist (CAR) from TOK is patterned with a 50keV MEBES eXara e-beam writer, allowing for patterning of small features with vertical resist profiles. This resist is developed for raster scan 50 kV e-beam systems. It has high contrast, good coating characteristics, good dry etch selectivity, and high environmental stability. Chrome etch process development has been performed using Design of Experiments to optimize parameters such as sidewall profile, etch CD bias, etch CD linearity for varying sizes of line/space patterns, etch CD linearity for varying sizes of isolated lines and spaces, loading effects, and application to contact etching.

  2. Impact of recess etching and surface treatments on ohmic contacts regrown by molecular-beam epitaxy for AlGaN/GaN high electron mobility transistors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Joglekar, S.; Azize, M.; Palacios, T.

    Ohmic contacts fabricated by regrowth of n{sup +} GaN are favorable alternatives to metal-stack-based alloyed contacts in GaN-based high electron mobility transistors. In this paper, the influence of reactive ion dry etching prior to regrowth on the contact resistance in AlGaN/GaN devices is discussed. We demonstrate that the dry etch conditions modify the surface band bending, dangling bond density, and the sidewall depletion width, which influences the contact resistance of regrown contacts. The impact of chemical surface treatments performed prior to regrowth is also investigated. The sensitivity of the contact resistance to the surface treatments is found to depend uponmore » the dangling bond density of the sidewall facets exposed after dry etching. A theoretical model has been developed in order to explain the observed trends.« less

  3. Design of a new bottom antireflective coating composition for KrF resist

    NASA Astrophysics Data System (ADS)

    Mizutani, Kazuyoshi; Momota, Makoto; Aoai, Toshiaki; Yagihara, Morio

    1999-06-01

    A study for a new organic bottom antireflective coating (BARC) composition is described. A structural design of a light-absorbing dye was most important because dye structure not only plays a role in eliminating reflection from a substrate but also shows influence on dry etch rate of BARC material to a considerable extent. For example, an anthracene moiety with large absorption at 248 nm had undesirable dry etch resistance. 3-Hydroxy-2-naphthoic acid moiety was found to be one of suitable dyes for KrF BARC compositions, and the polymer bearing the dye showed enough absorbance and good erodability in dry etch. The BARC polymer was eroded as one and a half times faster than a novolak resin, and a little faster than an anthracene incorporated polymer. The result was discussed from the concepts of Ohnishi parameter and the ring parameter for dry etch durability of resist materials. BARC polymer should be thermoset by hard bake to eliminate intermixing with resist compositions. The BARC polymer bearing hydroxy group which is useful for a crosslinking reaction was thermoset in the presence of melamine-formaldehyde crosslinker and an acid catalyst after baking over 200 degrees C.

  4. Use of KRS-XE positive chemically amplified resist for optical mask manufacturing

    NASA Astrophysics Data System (ADS)

    Ashe, Brian; Deverich, Christina; Rabidoux, Paul A.; Peck, Barbara; Petrillo, Karen E.; Angelopoulos, Marie; Huang, Wu-Song; Moreau, Wayne M.; Medeiros, David R.

    2002-03-01

    The traditional mask making process uses chain scission-type resists such as PBS, poly(butene-1-sulfone), and ZEP, poly(methyl a-chloroacrylate-co-a-methylstyrene) for making masks with dimensions greater than 180nm. PBS resist requires a wet etch process to produce patterns in chrome. ZEP was employed for dry etch processing to meet the requirements of shrinking dimensions, optical proximity corrections and phase shift masks. However, ZEP offers low contrast, marginal etch resistance, organic solvent development, and concerns regarding resist heating with its high dose requirements1. Chemically Amplified Resist (CAR) systems are a very good choice for dimensions less than 180nm because of their high sensitivity and contrast, high resolution, dry etch resistance, aqueous development, and process latitude2. KRS-XE was developed as a high contrast CA resist based on ketal protecting groups that eliminate the need for post exposure bake (PEB). This resist can be used for a variety of electron beam exposures, and improves the capability to fabricate masks for devices smaller than 180nm. Many factors influence the performance of resists in mask making such as post apply bake, exposure dose, resist develop, and post exposure bake. These items will be discussed as well as the use of reactive ion etching (RIE) selectivity and pattern transfer.

  5. Bi/In thermal resist for both Si anisotropic wet etching and Si/SiO2 plasma etching

    NASA Astrophysics Data System (ADS)

    Chapman, Glenn H.; Tu, Yuqiang; Peng, Jun

    2004-01-01

    Bi/In thermal resist is a bilayer structure of Bi over In films which can be exposed by laser with a wide range of wavelengths and can be developed by diluted RCA2 solutions. Current research shows bimetallic resist can work as etch masking layer for both dry plasma etching and wet anisotropic etching. It can act as both patterning and masking layers for Si and SiO2 with plasma "dry" etch using CF4/CHF3. The etching condition is CF4 flow rate 50 sccm, pressure 150 mTorr, and RF power 100 - 600W. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1 nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In. Bi/In also creates etch masking layers for alkaline-based (KOH, TMAH and EDP) "wet" anisotropic bulk Si etch without the need of SiO2 masking steps. The laser exposed Bi/In etches two times more slowly than SiO2. Experiment result shows that single metal Indium film exhibits thermal resist characteristics but at twice the exposure levels. It can be developed in diluted RCA2 solution and used as an etch mask layer for Si anisotropic etch. X-ray diffraction analysis shows that laser exposure causes both Bi and In single film to oxidize. In film may become amorphous when exposed to high laser power.

  6. Exploration of suitable dry etch technologies for directed self-assembly

    NASA Astrophysics Data System (ADS)

    Yamashita, Fumiko; Nishimura, Eiichi; Yatsuda, Koichi; Mochiki, Hiromasa; Bannister, Julie

    2012-03-01

    Directed self-assembly (DSA) has shown the potential to replace traditional resist patterns and provide a lower cost alternative for sub-20-nm patterns. One of the possible roadblocks for DSA implementation is the ability to etch the polymers to produce quality masks for subsequent etch processes. We have studied the effects of RF frequency and etch chemistry for dry developing DSA patterns. The results of the study showed a capacitively-coupled plasma (CCP) reactor with very high frequency (VHF) had superior pattern development after the block co-polymer (BCP) etch. The VHF CCP demonstrated minimal BCP height loss and line edge roughness (LER)/line width roughness (LWR). The advantage of CCP over ICP is the low dissociation so the etch rate of BCP is maintained low enough for process control. Additionally, the advantage of VHF is the low electron energy with a tight ion energy distribution that enables removal of the polymethyl methacrylate (PMMA) with good selectivity to polystyrene (PS) and minimal LER/LWR. Etch chemistries were evaluated on the VHF CCP to determine ability to treat the BCPs to increase etch resistance and feature resolution. The right combination of RF source frequencies and etch chemistry can help overcome the challenges of using DSA patterns to create good etch results.

  7. Dry etching technologies for the advanced binary film

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Yoshimori, Tomoaki; Azumano, Hidehito; Muto, Makoto; Nonaka, Mikio

    2011-11-01

    ABF (Advanced Binary Film) developed by Hoya as a photomask for 32 (nm) and larger specifications provides excellent resistance to both mask cleaning and 193 (nm) excimer laser and thereby helps extend the lifetime of the mask itself compared to conventional photomasks and consequently reduces the semiconductor manufacturing cost [1,2,3]. Because ABF uses Ta-based films, which are different from Cr film or MoSi films commonly used for photomask, a new process is required for its etching technology. A patterning technology for ABF was established to perform the dry etching process for Ta-based films by using the knowledge gained from absorption layer etching for EUV mask that required the same Ta-film etching process [4]. Using the mask etching system ARES, which is manufactured by Shibaura Mechatronics, and its optimized etching process, a favorable CD (Critical Dimension) uniformity, a CD linearity and other etching characteristics were obtained in ABF patterning. Those results are reported here.

  8. Inorganic Bi/In thermal resist as a high-etch-ratio patterning layer for CF4/CHF3/O2 plasma etch

    NASA Astrophysics Data System (ADS)

    Tu, Yuqiang; Chapman, Glenn H.; Peng, Jun

    2004-05-01

    Bimetallic thin films containing indium and with low eutectic points, such as Bi/In, have been found to form highly sensitive thermal resists. They can be exposed by lasers with a wide range of wavelengths and be developed by diluted RCA2 solutions. The exposed bimetallic resist Bi/In can work as an etch masking layer for alkaline-based (KOH, TMAH and EDP) "wet" Si anisotropic etching. Current research shows that it can also act as a patterning and masking layer for Si and SiO2 plasma "dry" etch using CF4/CHF3. The profile of etched structures can be tuned by adding CHF3 and other gases such as Ar, and by changing the CF4/CHF3 ratio. Depending on the fluorocarbon plasma etching recipe the etch rate of laser exposed Bi/In can be as low as 0.1nm/min, 500 times lower than organic photoresists. O2 plasma ashing has little etching effect on exposed Bi/In, indicating that laser exposure is an oxidation process. Experiment result shows that single metal Indium film and bilayer Sn/In exhibit thermal resist characteristics but at higher exposure levels. They can be developed in diluted RCA2 solution and used as etch mask layers for Si anisotropic etch and plasma etch.

  9. Dry etching technologies for reflective multilayer

    NASA Astrophysics Data System (ADS)

    Iino, Yoshinori; Karyu, Makoto; Ita, Hirotsugu; Kase, Yoshihisa; Yoshimori, Tomoaki; Muto, Makoto; Nonaka, Mikio; Iwami, Munenori

    2012-11-01

    We have developed a highly integrated methodology for patterning Extreme Ultraviolet (EUV) mask, which has been highlighted for the lithography technique at the 14nm half-pitch generation and beyond. The EUV mask is characterized as a reflective-type mask which is completely different compared with conventional transparent-type of photo mask. And it requires not only patterning of absorber layer without damaging the underlying multi reflective layers (40 Si/Mo layers) but also etching multi reflective layers. In this case, the dry etch process has generally faced technical challenges such as the difficulties in CD control, etch damage to quartz substrate and low selectivity to the mask resist. Shibaura Mechatronics ARESTM mask etch system and its optimized etch process has already achieved the maximal etch performance at patterning two-layered absorber. And in this study, our process technologies of multi reflective layers will be evaluated by means of optimal combination of process gases and our optimized plasma produced by certain source power and bias power. When our ARES™ is used for multilayer etching, the user can choose to etch the absorber layer at the same time or etch only the multilayer.

  10. Fabrication of ultra-high aspect ratio (>160:1) silicon nanostructures by using Au metal assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Li, Hailiang; Ye, Tianchun; Shi, Lina; Xie, Changqing

    2017-12-01

    We present a facile and effective approach for fabricating high aspect ratio, dense and vertical silicon nanopillar arrays, using a combination of metal etching following electron-beam lithography and Au metal assisted chemical etching (MacEtch). Ti/Au nanostructures used as catalysts in MacEtch are formed by single layer resist-based electron-beam exposure followed by ion beam etching. The effects of MacEtch process parameters, including half period, etching time, the concentrations of H2O2 and HF, etching temperature and drying method are systematically investigated. Especially, we demonstrate an enhancement of etching quality by employing cold MacEtch process, and an enhancement in preventing the collapse of high aspect ratio nanostructures by employing low surface tension rinse liquid and natural evaporation in the drying stage. Using an optimized MacEtch process, vertical silicon nanopillar arrays with a period of 250 nm and aspect ratio up to 160:1 are realized. Our results should be instructive for exploring the achievable aspect ratio limit in silicon nanostructures and may find potential applications in photovoltaic devices, thermoelectric devices and x-ray diffractive optics.

  11. Exposure characteristics of positive tone electron beam resist containing p-chloro-α-methylstyrene

    NASA Astrophysics Data System (ADS)

    Ochiai, Shunsuke; Takayama, Tomohiro; Kishimura, Yukiko; Asada, Hironori; Sonoda, Manae; Iwakuma, Minako; Hoshino, Ryoichi

    2017-07-01

    The positive tone resist consisted of methyl-α-chloroacrylate (ACM) and α-methylstyrene (MS) has higher sensitivity and higher dry etching resistance than poly (methylmethacrylate) (PMMA) due to the presence of a chlorine atom and a phenyl group. Copolymers consisted of ACM and p-chloro-α-methylstyrene (PCMS), where the additional chlorine atom is introduced in phenyl group compared with ACM-MS resist are synthesized and their exposure characteristics are investigated. ACM-PCMS resist with the ACM:PCMS composition ratio of 49:51 indicates the high solubility for amyl acetate developer. As the ACM composition ratio increases, the solubility of ACM-PCMS resist is suppressed. In both ACM-PCMS and ACM-MS resists, the sensitivity decreases while the contrast increases with increasing ACM ratio. When the composition ratio of ACM:PCMS is 69:31, 100/100 nm line and space pattern having a good shape is obtained at 120 μC/cm2 which is comparable to the required exposure dose for conventional ACM-MS resist with ACM:MS=50:50. Dry etching resistance of ACM:PCMS resists for Ar gas is also presented.

  12. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2013-10-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45 nm through 14/10 nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques, such as litho-etch-litho-etch, sidewall image transfer, line/cut mask, and self-aligned structures, have been implemented to solution required device scaling. Advances in dry plasma etch process control across wafer uniformity and etch selectivity to both masking materials have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes, such as trilayer etches, aggressive critical dimension shrink techniques, and the extension of resist trim processes, have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across-design variation, defectivity, profile stability within wafer, within lot, and across tools has been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated total patterning solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. We will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  13. Advanced plasma etch technologies for nanopatterning

    NASA Astrophysics Data System (ADS)

    Wise, Rich

    2012-03-01

    Advances in patterning techniques have enabled the extension of immersion lithography from 65/45nm through 14/10nm device technologies. A key to this increase in patterning capability has been innovation in the subsequent dry plasma etch processing steps. Multiple exposure techniques such as litho-etch-litho-etch, sidewall image transfer, line/cut mask and self-aligned structures have been implemented to solution required device scaling. Advances in dry plasma etch process control, across wafer uniformity and etch selectivity to both masking materials and have enabled adoption of vertical devices and thin film scaling for increased device performance at a given pitch. Plasma etch processes such as trilayer etches, aggressive CD shrink techniques, and the extension of resist trim processes have increased the attainable device dimensions at a given imaging capability. Precise control of the plasma etch parameters affecting across design variation, defectivity, profile stability within wafer, within lot, and across tools have been successfully implemented to provide manufacturable patterning technology solutions. IBM has addressed these patterning challenges through an integrated Total Patterning Solutions team to provide seamless and synergistic patterning processes to device and integration internal customers. This paper will discuss these challenges and the innovative plasma etch solutions pioneered by IBM and our alliance partners.

  14. Microfabricated Cantilevers Based on Sputtered Thin-Film Ni50Ti50 Shape Memory Alloy (SMA)

    DTIC Science & Technology

    2015-08-01

    surface coating developed during the NiTi deposition or anneal that is relatively resistant to the wet etch. Fig. 2 SEMs after the NiTi wet -etch...SEMs of NiTi devices after the 600 °C anneal , wet -etch patterning of the NiTi. A 120-nm Au capping layer was also sputtered. Figure 3a shows a 200-nm...Ni50Ti50 Cantilever 2 3. Results and Discussion 3 3.1 Wet -Etch Patterning NiTi 3 3.2 Dry-Etch Release of NiTi Devices 5 3.3 Thermal Actuation of

  15. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Douglas, E. A.; Reza, S.; Sanchez, C.

    Due to the ultra-wide bandgap of Al-rich AlGaN, up to 5.8 eV for the structures in this study, obtaining low resistance ohmic contacts is inherently difficult to achieve. A comparative study of three different fabrication schemes is presented for obtaining ohmic contacts to an Al-rich AlGaN channel. Schottky-like behavior was observed for several different planar metallization stacks (and anneal temperatures), in addition to a dry-etch recess metallization contact scheme on Al 0.85Ga 0.15N/Al 0.66Ga 0.34N. However, a dry etch recess followed by n +-GaN regrowth fabrication process is reported as a means to obtain lower contact resistivity ohmic contacts onmore » a Al 0.85Ga 0.15N/Al 0.66Ga 0.34N heterostructure. In conclusion, specific contact resistivity of 5×10 -3 Ω cm 2 was achieved after annealing Ti/Al/Ni/Au metallization.« less

  16. Resistless lithography - selective etching of silicon with gallium doping regions

    NASA Astrophysics Data System (ADS)

    Abdullaev, D.; Milovanov, R.; Zubov, D.

    2016-12-01

    This paper presents the results for used of resistless lithography with a further reactive-ion etching (RIE) in various chemistry after local (Ga+) implantation of silicon with different doping dose and different size doped regions. We describe the different etching regimes for pattern transfer of FIB implanted Ga masks in silicon. The paper studied the influence of the implantation dose on the silicon surface, the masking effect and the mask resistance to erosion at dry etching. Based on these results we conclude about the possibility of using this method to create micro-and nanoscale silicon structures.

  17. Development of new resist materials for 193-nm dry and immersion lithography

    NASA Astrophysics Data System (ADS)

    Sasaki, Takashi; Shirota, Naoko; Takebe, Yoko; Yokokoji, Osamu

    2006-03-01

    We earlier developed new monocyclic fluoropolymers (FUGU) for F II resist materials. But, it is necessary for FUGU to improve of their characteristics, especially the dry-etching resistance, in order to apply for ArF lithography at fine design rules. We have tried to combine FUGUs with Adamntyl methacrylates based conventional ArF resist polymer. In this paper, we have investigated the role of cyclic fluorinated unit, FUGU, in 193 nm resist polymers by analyzing the dissolution behavior. We found that FGEAM showed high sensitivity and good dissolution contrast, compared with acrylate based conventional samples at low PEB temperature (100 °C). And this difference of sensitivity was clearly found when weak acidity PAGs were used. From the dissolution behaviors of FGEAM, FUGU unit can work to improve the resist sensitivity in acrylate based ArF resist polymers. And we also found that FGEAM showed long acid diffusion length on PEB process, compared with Conventional samples. These result show that FUGU unit has a unique characteristics of the sensitivity with 193nm exposure and the acid diffusion behavior. We also investigated a new series of fluorinated copolymers for 193-nm lithography, combination of FUGU monomer and acrylate units which are used in conventional ArF resist. Six ter-polymers of FUGU, combination of FUGU monomers and EAdMA, GBLMA and HAdMA were prepared. We found that FUGU ter-polymers had a good dry etching resistance keeping high transparency at 193nm. And FUGU ter-polymers showed high sensitivity toward 193nm exposure. FUGU ter-polymers also had a high hydrophobic properties compared conventional type ArF resist polymers. So we also expect FUGU ter-polymers to be useful for ArF dry and immersion lithography.

  18. Pretreatment of lubricated surfaces with sputtered cadmium oxide

    NASA Technical Reports Server (NTRS)

    Fusaro, Robert L. (Inventor)

    1991-01-01

    Cadmium oxide is used with a dry solid lubricant on a surface to improve wear resistance. The surface topography is first altered by photochemical etching to a predetermined pattern. The cadmium oxide is then sputtered onto the altered surface to form an intermediate layer to more tightly hold the dry lubricant, such as graphite.

  19. Utilization of optical emission endpoint in photomask dry etch processing

    NASA Astrophysics Data System (ADS)

    Faure, Thomas B.; Huynh, Cuc; Lercel, Michael J.; Smith, Adam; Wagner, Thomas

    2002-03-01

    Use of accurate and repeatable endpoint detection during dry etch processing of photomask is very important for obtaining good mask mean-to-target and CD uniformity performance. It was found that the typical laser reflectivity endpoint detecting system used on photomask dry etch systems had several key limitations that caused unnecessary scrap and non-optimum image size performance. Consequently, work to develop and implement use of a more robust optical emission endpoint detection system for chrome dry etch processing of photomask was performed. Initial feasibility studies showed that the emission technique was sensitive enough to monitor pattern loadings on contact and via level masks down to 3 percent pattern coverage. Additional work was performed to further improve this to 1 percent pattern coverage by optimizing the endpoint detection parameters. Comparison studies of mask mean-to-target performance and CD uniformity were performed with the use of optical emission endpoint versus laser endpoint for masks built using TOK IP3600 and ZEP 7000 resist systems. It was found that an improvement in mean-to-target performance and CD uniformity was realized on several types of production masks. In addition, part-to-part endpoint time repeatability was found to be significantly improved with the use of optical emission endpoint.

  20. Ohmic contacts to Al-rich AlGaN heterostructures

    DOE PAGES

    Douglas, E. A.; Reza, S.; Sanchez, C.; ...

    2017-06-06

    Due to the ultra-wide bandgap of Al-rich AlGaN, up to 5.8 eV for the structures in this study, obtaining low resistance ohmic contacts is inherently difficult to achieve. A comparative study of three different fabrication schemes is presented for obtaining ohmic contacts to an Al-rich AlGaN channel. Schottky-like behavior was observed for several different planar metallization stacks (and anneal temperatures), in addition to a dry-etch recess metallization contact scheme on Al 0.85Ga 0.15N/Al 0.66Ga 0.34N. However, a dry etch recess followed by n +-GaN regrowth fabrication process is reported as a means to obtain lower contact resistivity ohmic contacts onmore » a Al 0.85Ga 0.15N/Al 0.66Ga 0.34N heterostructure. In conclusion, specific contact resistivity of 5×10 -3 Ω cm 2 was achieved after annealing Ti/Al/Ni/Au metallization.« less

  1. A Study on Ohmic Contact to Dry-Etched p-GaN

    NASA Astrophysics Data System (ADS)

    Hu, Cheng-Yu; Ao, Jin-Ping; Okada, Masaya; Ohno, Yasuo

    Low-power dry-etching process has been adopted to study the influence of dry-etching on Ohmic contact to p-GaN. When the surface layer of as-grown p-GaN was removed by low-power SiCl4/Cl2-etching, no Ohmic contact can be formed on the low-power dry-etched p-GaN. The same dry-etching process was also applied on n-GaN to understand the influence of the low-power dry-etching process. By capacitance-voltage (C-V) measurement, the Schottky barrier heights (SBHs) of p-GaN and n-GaN were measured. By comparing the change of measured SBHs on p-GaN and n-GaN, it was suggested that etching damage is not the only reason responsible for the degraded Ohmic contacts to dry-etched p-GaN and for Ohmic contact formatin, the original surface layer of as-grown p-GaN have some special properties, which were removed by dry-etching process. To partially recover the original surface of as-grown p-GaN, high temperature annealing (1000°C 30s) was tried on the SiCl4/Cl2-etched p-GaN and Ohmic contact was obtained.

  2. Seebeck Coefficient of Thermocouples from Nickel-Coated Carbon Fibers: Theory and Experiment.

    PubMed

    Hardianto, Hardianto; De Mey, Gilbert; Ciesielska-Wrόbel, Izabela; Hertleer, Carla; Van Langenhove, Lieva

    2018-05-30

    Thermocouples made of etched and non-etched nickel-coated carbon yarn (NiCCY) were investigated. Theoretic Seebeck coefficients were compared to experimental results from measurements of generated electric voltage by these thermocouples. The etching process for making thermocouples was performed by immersion of NiCCY in the solution containing a mixture of hydrochloric acid (HCl) (37% of concentration), and hydrogen peroxide (H₂O₂) in three different concentrations-3%, 6%, and 10%. Thirty minutes of etching to remove Ni from NiCCY was followed by washing and drying. Next, the ability to generate electrical voltage by the thermocouples (being a junction of the etched and the non-etched NiCCY) was measured in different ranges of temperatures, both a cold junction (291.15⁻293.15 K) and a hot junction (293.15⁻325.15 K). A formula predicting the Seebeck coefficient of this thermocouple was elaborated, taking into consideration resistance values of the tested samples. It was proven that there is a good agreement between the theoretical and experimental data, especially for the yarns etched with 6% and 10% peroxide (both were mixed with HCl). The electrical resistance of non-fully etched nickel remaining on the carbon fiber surface ( R 1 ) can have a significant effect on the thermocouples' characteristics.

  3. Dry etching, surface passivation and capping processes for antimonide based photodetectors

    NASA Astrophysics Data System (ADS)

    Dutta, Partha; Langer, Jeffery; Bhagwat, Vinay; Juneja, Jasbir

    2005-05-01

    III-V antimonide based devices suffer from leakage currents. Surface passivation and subsequent capping of the surfaces are absolutely essential for any practical applicability of antimonide based devices. The quest for a suitable surface passivation technology is still on. In this paper, we will present some of the promising recent developments in this area based on dry etching of GaSb based homojunction photodiodes structures followed by various passivation and capping schemes. We have developed a damage-free, universal dry etching recipe based on unique ratios of Cl2/BCl3/CH4/Ar/H2 in ECR plasma. This novel dry plasma process etches all III-V compounds at different rates with minimal damage to the side walls. In GaSb based photodiodes, an order of magnitude lower leakage current, improved ideality factor and higher responsivity has been demonstrated using this recipe compared to widely used Cl2/Ar and wet chemical etch recipes. The dynamic zero bias resistance-area product of the Cl2/BCl3/CH4/Ar/H2 etched diodes (830 Ω cm2) is higher than the Cl2/Ar (300 Ω cm2) and wet etched (330 Ω cm2) diodes. Ammonium sulfide has been known to passivate surfaces of III-V compounds. In GaSb photodiodes, the leakage current density reduces by a factor of 3 upon sulfur passivation using ammonium sulfide. However, device performance degrades over a period of time in the absence of any capping or protective layer. Silicon Nitride has been used as a cap layer by various researchers. We have found that by using silicon nitride caps, the devices exhibit higher leakage than unpassivated devices probably due to plasma damage during SiNx deposition. We have experimented with various polymers for capping material. It has been observed that ammonium sulfide passivation when combined with parylene capping layer (150 Å), devices retain their improved performance for over 4 months.

  4. REAP (raster e-beam advanced process) using 50-kV raster e-beam system for sub-100-nm node mask technology

    NASA Astrophysics Data System (ADS)

    Baik, Ki-Ho; Dean, Robert L.; Mueller, Mark; Lu, Maiying; Lem, Homer Y.; Osborne, Stephen; Abboud, Frank E.

    2002-07-01

    A chemically amplified resist (CAR) process has been recognized as an approach to meet the demanding critical dimension (CD) specifications of 100nm node technology and beyond. Recently, significant effort has been devoted to optimizing CAR materials, which offer the characteristics required for next generation photomask fabrication. In this paper, a process established with a positive-tone CAR from TOK and 50kV MEBES eXara system is discussed. This resist is developed for raster scan 50 kV e-beam systems. It has high contrast, good coating characteristics, good dry etch selectivity, and high environmental stability. The coating process is conducted in an environment with amine concentration less than 2 ppb. A nitrogen environment is provided during plate transfer steps. Resolution using a 60nm writing grid is 90nm line and space patterns. CD linearity is maintained down to 240nm for isolated lines or spaces by applying embedded proximity effect correction (emPEC). Optimizations of post-apply bake (PAB) and post-expose bake (PEB) time, temperature, and uniformity are completed to improve adhesion, coating uniformity, and resolution. A puddle develop process is optimized to improve line edge roughness, edge slope, and resolution. Dry etch process is optimized on a TetraT system to transfer the resist image into the chrome layer with minimum etch bias.

  5. Fabrication of wear-resistant silicon microprobe tips for high-speed surface roughness scanning devices

    NASA Astrophysics Data System (ADS)

    Wasisto, Hutomo Suryo; Yu, Feng; Doering, Lutz; Völlmeke, Stefan; Brand, Uwe; Bakin, Andrey; Waag, Andreas; Peiner, Erwin

    2015-05-01

    Silicon microprobe tips are fabricated and integrated with piezoresistive cantilever sensors for high-speed surface roughness scanning systems. The fabrication steps of the high-aspect-ratio silicon microprobe tips were started with photolithography and wet etching of potassium hydroxide (KOH) resulting in crystal-dependent micropyramids. Subsequently, thin conformal wear-resistant layer coating of aluminum oxide (Al2O3) was demonstrated on the backside of the piezoresistive cantilever free end using atomic layer deposition (ALD) method in a binary reaction sequence with a low thermal process and precursors of trimethyl aluminum and water. The deposited Al2O3 layer had a thickness of 14 nm. The captured atomic force microscopy (AFM) image exhibits a root mean square deviation of 0.65 nm confirming the deposited Al2O3 surface quality. Furthermore, vacuum-evaporated 30-nm/200-nm-thick Au/Cr layers were patterned by lift-off and served as an etch mask for Al2O3 wet etching and in ICP cryogenic dry etching. By using SF6/O2 plasma during inductively coupled plasma (ICP) cryogenic dry etching, micropillar tips were obtained. From the preliminary friction and wear data, the developed silicon cantilever sensor has been successfully used in 100 fast measurements of 5- mm-long standard artifact surface with a speed of 15 mm/s and forces of 60-100 μN. Moreover, the results yielded by the fabricated silicon cantilever sensor are in very good agreement with those of calibrated profilometer. These tactile sensors are targeted for use in high-aspect-ratio microform metrology.

  6. Ga Lithography in Sputtered Niobium for Superconductive Micro and Nanowires.

    DOE PAGES

    Henry, Michael David; Lewis, Rupert M.; Wolfley, Steven L.; ...

    2014-08-18

    This work demonstrates the use of FIB implanted Ga as a lithographic mask for plasma etching of Nb films. Using a highly collimated Ga beam of a FIB, Nb is implanted 12 nm deep with a 14 nm thick Ga layer providing etch selectivity better than 15:1 with fluorine based etch chemistry. Implanted square test patterns, both 10 um by and 10 um and 100 um by 100 um, demonstrate that doses above than 7.5 x 1015 cm-2 at 30 kV provide adequate mask protection for a 205 nm thick, sputtered Nb film. The resolution of this dry lithographic techniquemore » is demonstrated by fabrication of nanowires 75 nm wide by 10 um long connected to 50 um wide contact pads. The residual resistance ratio of patterned Nb films was 3. The superconducting transition temperature, Tc =7.7 K, was measured using MPMS. This nanoscale, dry lithographic technique was extended to sputtered TiN and Ta here and could be used on other fluorine etched superconductors such as NbN, NbSi, and NbTi.« less

  7. Dry etching of metallization

    NASA Technical Reports Server (NTRS)

    Bollinger, D.

    1983-01-01

    The production dry etch processes are reviewed from the perspective of microelectronic fabrication applications. The major dry etch processes used in the fabrication of microelectronic devices can be divided into two categories - plasma processes in which samples are directly exposed to an electrical discharge, and ion beam processes in which samples are etched by a beam of ions extracted from a discharge. The plasma etch processes can be distinguished by the degree to which ion bombardment contributes to the etch process. This, in turn is related to capability for anisotropic etching. Reactive Ion Etching (RIE) and Ion Beam Etching are of most interest for etching of thin film metals. RIE is generally considered the best process for large volume, anisotropic aluminum etching.

  8. Farbrication of diffractive optical elements on a Si chip by an imprint lithography using nonsymmetrical silicon mold

    NASA Astrophysics Data System (ADS)

    Hirai, Yoshihiko; Okano, Masato; Okuno, Takayuki; Toyota, Hiroshi; Yotsuya, Tsutomu; Kikuta, Hisao; Tanaka, Yoshio

    2001-11-01

    Fabrication of a fine diffractive optical element on a Si chip is demonstrated using imprint lithography. A chirped diffraction grating, which has modulated pitched pattern with curved cross section is fabricated by an electron beam lithography, where the exposure dose profile is automatically optimized by computer aided system. Using the resist pattern as an etching mask, anisotropic dry etching is performed to transfer the resist pattern profile to the Si chip. The etched Si substrate is used as a mold in the imprint lithography. The Si mold is pressed to a thin polymer (poly methyl methacrylate) on a Si chip. After releasing the mold, a fine diffractive optical pattern is successfully transferred to the thin polymer. This method is exceedingly useful for fabrication of integrated diffractive optical elements with electric circuits on a Si chip.

  9. Silylated Acid Hardened Resist [SAHR] Technology: Positive, Dry Developable Deep UV Resists

    NASA Astrophysics Data System (ADS)

    Thackeray, James W.; Bohland, John F.; Pavelchek, , Edward K.; Orsula, George W.; McCullough, Andrew W.; Jones, Susan K.; Bobbio, Stephen M.

    1990-01-01

    This paper describes continuing efforts in the development of Acid Hardened Resist (AHR) systems for use in deep UV photolithography. The Silylated AHR (SAHR) process treats a highly absorbing resist, such as XP-8928, with trimethylsilyldiethylamine. The exposed, crosslinked areas show virtually no reactivity with the silylating agent, and the unexposed areas incorporate 10 to 12% by weight silicon in the film. The silicon appears to incorporate from the exterior in a constant concentration, consistent with Case II diffusion. Subsequent dry etching leads to a positive tone image. The contrast is 5, and the photospeed is ~10 mJ/cm2. Resolution of 0.5 μm line/space pairs has been demonstrated, although substantial proximity effects are encountered.

  10. Comparative study of two negative CAR resists: EN-024M and NEB 31

    NASA Astrophysics Data System (ADS)

    Baik, Ki-Ho; Dean, Robert; Lem, Homer Y.; Osborne, Stephen P.; Mueller, Mark A.; Cole, Damon M.

    2004-08-01

    In this paper, two negative-tone chemically amplified resists (CAR) are evaluated. The methodology and results are compared and discussed. The resists include EN-024M from TOK, and NEB 31 from Sumitomo. Both resists show high contrast, good dry etch selectivity, and high environmental stability. EN-024M showed good coating uniformity while NEB31 showed a coating uniformity problem. This was a round "dimple" approximately one centimeter in diameter of different thickness and density at the center of the plate. We addressed the "dimple" coating problem as described in the paper. Optimum PAB and PEB temperatures and nominal to maximum doses for isolated features were determined by running a matrix of PAB and PEB temperatures along with a dose series. We evaluated the process and compared the lithographic performance in terms of dose sensitivity, dose and bake latitude, resolution, resist profile, OPC (Optical Proximity Correction) pattern fidelity, CD uniformity, environmental stability, Line Edge Roughness (LER) and etching bias and resistance.

  11. Low damage dry etch for III-nitride light emitters

    NASA Astrophysics Data System (ADS)

    Nedy, Joseph G.; Young, Nathan G.; Kelchner, Kathryn M.; Hu, Yanling; Farrell, Robert M.; Nakamura, Shuji; DenBaars, Steven P.; Weisbuch, Claude; Speck, James S.

    2015-08-01

    We have developed a dry etch process for the fabrication of lithographically defined features close to light emitting layers in the III-nitride material system. The dry etch was tested for its effect on the internal quantum efficiency of c-plane InGaN quantum wells using the photoluminescence of a test structure with two active regions. No change was observed in the internal quantum efficiency of the test active region when the etched surface was greater than 71 nm away. To demonstrate the application of the developed dry etch process, surface-etched air gaps were fabricated 275 nm away from the active region of an m-plane InGaN/GaN laser diode and served as the waveguide upper cladding. Electrically injected lasing was observed without the need for regrowth or recovery anneals. This dry etch opens up a new design tool that can be utilized in the next generation of GaN light emitters.

  12. Method for dry etching of transition metals

    DOEpatents

    Ashby, C.I.H.; Baca, A.G.; Esherick, P.; Parmeter, J.E.; Rieger, D.J.; Shul, R.J.

    1998-09-29

    A method for dry etching of transition metals is disclosed. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorus-containing {pi}-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/{pi}-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the {pi}-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the {pi}-acceptor ligand for forming the volatile transition metal/{pi}-acceptor ligand complex.

  13. Method for dry etching of transition metals

    DOEpatents

    Ashby, Carol I. H.; Baca, Albert G.; Esherick, Peter; Parmeter, John E.; Rieger, Dennis J.; Shul, Randy J.

    1998-01-01

    A method for dry etching of transition metals. The method for dry etching of a transition metal (or a transition metal alloy such as a silicide) on a substrate comprises providing at least one nitrogen- or phosphorous-containing .pi.-acceptor ligand in proximity to the transition metal, and etching the transition metal to form a volatile transition metal/.pi.-acceptor ligand complex. The dry etching may be performed in a plasma etching system such as a reactive ion etching (RIE) system, a downstream plasma etching system (i.e. a plasma afterglow), a chemically-assisted ion beam etching (CAIBE) system or the like. The dry etching may also be performed by generating the .pi.-acceptor ligands directly from a ligand source gas (e.g. nitrosyl ligands generated from nitric oxide), or from contact with energized particles such as photons, electrons, ions, atoms, or molecules. In some preferred embodiments of the present invention, an intermediary reactant species such as carbonyl or a halide ligand is used for an initial chemical reaction with the transition metal, with the intermediary reactant species being replaced at least in part by the .pi.-acceptor ligand for forming the volatile transition metal/.pi.-acceptor ligand complex.

  14. Overcoming Etch Challenges on a 6″ Hg1- x Cd x Te MBE on Si Wafer

    NASA Astrophysics Data System (ADS)

    Apte, Palash; Norton, Elyse; Robinson, Solomon

    2017-10-01

    The effect of increasing photoresist (PR) thickness on the inductively coupled plasma (ICP) dry etched characteristics of a 6″ (c.15 cm) molecular beam epitaxy Hg1- x Cd x Te/Si wafer is investigated. It is determined that the Hg1- x Cd x Te etch rate (ER) does not vary significantly with a change in the PR thickness. Also, the vertical ER of the PR is seen to be independent of the PR thickness, but the lateral ER is seen to reduce significantly with increased PR thickness. Indeed, very little reduction in the pixel mesa area post-dry etch is seen for the thicker PR. Consequently, the trench sidewall angle is also seen to vary as a function of the PR thickness. Since ICP is the more attractive choice for dry etching Hg1- x Cd x Te, this simple, cost-effective way to extend the capabilities of dry etching (larger mesa top area post-dry etch, ability to create tailor-made trench sidewall angles for optimal conformal passivation deposition, and potential for reduced dry etch damage) described here would allow for the fabrication of next generation infrared detectors with increased yield and reduced cost. Although similar results have been presented using the electron cyclotron resonance system to dry etch Hg1- x Cd x Te, to the best of our knowledge, this is the first time that such results have been presented using an ICP system.

  15. Analysis of InP-based single photon avalanche diodes based on a single recess-etching process

    NASA Astrophysics Data System (ADS)

    Lee, Kiwon

    2018-04-01

    Effects of the different etching techniques have been investigated by analyzing electrical and optical characteristics of two-types of single-diffused single photon avalanche diodes (SPADs). The fabricated two-types of SPADs have no diffusion depth variation by using a single diffusion process at the same time. The dry-etched SPADs show higher temperature dependence of a breakdown voltage, larger dark-count-rate (DCR), and lower photon-detection-efficiency (PDE) than those of the wet-etched SPADs due to plasma-induced damage of dry-etching process. The results show that the dry etching damages can more significantly affect the performance of the SPADs based on a single recess-etching process.

  16. Nanofabrication of 10-nm T-shaped gates using a double patterning process with electron beam lithography and dry etch

    NASA Astrophysics Data System (ADS)

    Shao, Jinhai; Deng, Jianan; Lu, W.; Chen, Yifang

    2017-07-01

    A process to fabricate T-shaped gates with the footprint scaling down to 10 nm using a double patterning procedure is reported. One of the keys in this process is to separate the definition of the footprint from that for the gate-head so that the proximity effect originated from electron forward scattering in the resist is significantly minimized, enabling us to achieve as narrow as 10-nm foot width. Furthermore, in contrast to the reported technique for 10-nm T-shaped profile in resist, this process utilizes a metallic film with a nanoslit as an etch mask to form a well-defined 10-nm-wide foot in a SiNx layer by reactive ion etch. Such a double patterning process has demonstrated enhanced reliability. The detailed process is comprehensively described, and its advantages and limitations are discussed. Nanofabrication of InP-based high-electron-mobility transistors using the developed process for 10- to 20-nm T-shaped gates is currently under the way.

  17. Direct comparison of the performance of commonly used e-beam resists during nano-scale plasma etching of Si, SiO2, and Cr

    NASA Astrophysics Data System (ADS)

    Goodyear, Andy; Boettcher, Monika; Stolberg, Ines; Cooke, Mike

    2015-03-01

    Electron beam writing remains one of the reference pattern generation techniques, and plasma etching continues to underpin pattern transfer. We report a systematic study of the plasma etch resistance of several e-beam resists, both negative and positive as well as classical and Chemically Amplified Resists: HSQ[1,2] (Dow Corning), PMMA[3] (Allresist GmbH), AR-P6200 (Allresist GmbH), ZEP520 (Zeon Corporation), CAN028 (TOK), CAP164 (TOK), and an additional pCAR (non-disclosed provider). Their behaviour under plasma exposure to various nano-scale plasma etch chemistries was examined (SF6/C4F8 ICP silicon etch, CHF3/Ar RIE SiO2 etch, Cl2/O2 RIE and ICP chrome etch, and HBr ICP silicon etch). Samples of each resist type were etched simultaneously to provide a direct comparison of their etch resistance. Resist thicknesses (and hence resist erosion rates) were measured by spectroscopic ellipsometer in order to provide the highest accuracy for the resist comparison. Etch selectivities (substrate:mask etch rate ratio) are given, with recommendations for the optimum resist choice for each type of etch chemistry. Silicon etch profiles are also presented, along with the exposure and etch conditions to obtain the most vertical nano-scale pattern transfer. We identify one resist that gave an unusually high selectivity for chlorinated and brominated etches which could enable pattern transfer below 10nm without an additional hard mask. In this case the resist itself acts as a hard mask. We also highlight the differing effects of fluorine and bromine-based Silicon etch chemistries on resist profile evolution and hence etch fidelity.

  18. Etch challenges for DSA implementation in CMOS via patterning

    NASA Astrophysics Data System (ADS)

    Pimenta Barros, P.; Barnola, S.; Gharbi, A.; Argoud, M.; Servin, I.; Tiron, R.; Chevalier, X.; Navarro, C.; Nicolet, C.; Lapeyre, C.; Monget, C.; Martinez, E.

    2014-03-01

    This paper reports on the etch challenges to overcome for the implementation of PS-b-PMMA block copolymer's Directed Self-Assembly (DSA) in CMOS via patterning level. Our process is based on a graphoepitaxy approach, employing an industrial PS-b-PMMA block copolymer (BCP) from Arkema with a cylindrical morphology. The process consists in the following steps: a) DSA of block copolymers inside guiding patterns, b) PMMA removal, c) brush layer opening and finally d) PS pattern transfer into typical MEOL or BEOL stacks. All results presented here have been performed on the DSA Leti's 300mm pilot line. The first etch challenge to overcome for BCP transfer involves in removing all PMMA selectively to PS block. In our process baseline, an acetic acid treatment is carried out to develop PMMA domains. However, this wet development has shown some limitations in terms of resists compatibility and will not be appropriated for lamellar BCPs. That is why we also investigate the possibility to remove PMMA by only dry etching. In this work the potential of a dry PMMA removal by using CO based chemistries is shown and compared to wet development. The advantages and limitations of each approach are reported. The second crucial step is the etching of brush layer (PS-r-PMMA) through a PS mask. We have optimized this step in order to preserve the PS patterns in terms of CD, holes features and film thickness. Several integrations flow with complex stacks are explored for contact shrinking by DSA. A study of CD uniformity has been addressed to evaluate the capabilities of DSA approach after graphoepitaxy and after etching.

  19. Direct mapping and characterization of dry etch damage-induced PN junction for long-wavelength HgCdTe infrared detector arrays.

    PubMed

    Li, Yantao; Hu, Weida; Ye, Zhenhua; Chen, Yiyu; Chen, Xiaoshuang; Lu, Wei

    2017-04-01

    Mercury cadmium telluride is the standard material to fabricate high-performance infrared focal plane array (FPA) detectors. However, etch-induced damage is a serious obstacle for realizing highly uniform and damage-free FPA detectors. In this Letter, the high signal-to-noise ratio and high spatial resolution scanning photocurrent microscopy (SPCM) is used to characterize the dry etch-induced inversion layer of vacancy-doped p-type Hg1-xCdxTe (x=0.22) material under different etching temperatures. It is found that the peak-to-peak magnitude of the SPCM profile decreases with a decrease in etching temperature, showing direct proof of controlling dry etch-induced type conversion. Our work paves the way toward seeking optimal etching processes in large-scale infrared FPAs.

  20. Characterization of gate recessed GaN/AlGaN/GaN high electron mobility transistors fabricated using a SiCl4/SF6 dry etch recipe

    NASA Astrophysics Data System (ADS)

    Green, R. T.; Luxmoore, I. J.; Lee, K. B.; Houston, P. A.; Ranalli, F.; Wang, T.; Parbrook, P. J.; Uren, M. J.; Wallis, D. J.; Martin, T.

    2010-07-01

    Incorporating GaN capping layers in conjunction with recessing has been identified as a means to maximize the high frequency performance of AlGaN/GaN high electron mobility transistors (HEMTs). Doping the cap heavily n-type is required in order to ensure minimal loss of carriers from the channel. Using a SiCl4/SF6 dry etch plasma recipe, 250 nm gate length HEMTs with recess lengths varying from 300 nm to 5 μm are fabricated. Heavily doped n+GaN caps enabled contact resistances of 0.3 Ω mm to be achieved. Recessing using a SiCl4/SF6 recipe does not introduce significant numbers of bulk traps. Gate recessing in conjunction with Si3N4 passivation reduces rf dispersion to negligible levels.

  1. High rate dry etching of InGaZnO by BCl3/O2 plasma

    NASA Astrophysics Data System (ADS)

    Park, Wanjae; Whang, Ki-Woong; Gwang Yoon, Young; Hwan Kim, Jeong; Rha, Sang-Ho; Seong Hwang, Cheol

    2011-08-01

    This paper reports the results of the high-rate dry etching of indium gallium zinc oxide (IGZO) at room temperature using BCl3/O2 plasma. We achieved an etch rate of 250 nm/min. We inferred from the x-ray photoelectron spectroscopy analysis that BOx or BOClx radicals generated from BCl3/O2 plasma cause the etching of the IGZO material. O2 initiates the etching of IGZO, and Ar removes nonvolatile byproducts from the surface during the etching process. Consequently, a smooth etched surface results when these gases are added to the etch gas.

  2. Dry etching method for compound semiconductors

    DOEpatents

    Shul, Randy J.; Constantine, Christopher

    1997-01-01

    A dry etching method. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators.

  3. Dry etching method for compound semiconductors

    DOEpatents

    Shul, R.J.; Constantine, C.

    1997-04-29

    A dry etching method is disclosed. According to the present invention, a gaseous plasma comprising, at least in part, boron trichloride, methane, and hydrogen may be used for dry etching of a compound semiconductor material containing layers including aluminum, or indium, or both. Material layers of a compound semiconductor alloy such as AlGaInP or the like may be anisotropically etched for forming electronic devices including field-effect transistors and heterojunction bipolar transistors and for forming photonic devices including vertical-cavity surface-emitting lasers, edge-emitting lasers, and reflectance modulators. 1 fig.

  4. Characterization and modeling of low energy ion-induced damage in III-V semiconductors

    NASA Astrophysics Data System (ADS)

    Chen, Ching-Hui

    1997-11-01

    Low energy ion-induced damage (sub-keV) created during dry etching processes can extend quite deeply into materials. A systematic study on the deep penetration of dry etch-induced damage is necessary to improve device performance and helpful in further understanding the nature of defect propagation in semiconductors. In this study, a phenomenological model of dry etching damage that includes both effects of ion channeling and defect diffusion has been developed. It underscores that in addition to ion channeling, enhanced defect diffusion also plays an important role in establishing the damage profile. Further, the enhanced diffusion of dry etch- induced damage was experimentally observed for the first time by investigating the influences of concurrent above- bandgap laser illumination and low energy Ar+ ion bombardment on the damage profiles of GaAs/AlGaAs and InP-GaAs/InP heterostructures. The results indicate that non-radiative recombination of electron and hole pairs at defect sites is responsible for the observed radiation enhanced diffusion. DLTS measurements are also employed to characterize the nature of the enhanced diffusion in n-GaAs and reveal that a major component of the ion- induced defects is associated with primary point defects. Using the better understanding of the damage propagation in dry etched materials, a thin layer of low temperature grown GaAs (~200A) was utilized to stop defect propagation during dry etching process. This approach has been successfully applied to reduce ion damage that would occur during the formation of a dry-etch gate recess of a high electron mobility transistor. Finally, some future experiments are proposed and conceptually described, which would further clarify some of the many outstanding issues in the understanding and mitigation of etch- induced damage.

  5. SiC/GaN Based Optically Triggered MESFET for High Power Efficiency and High Radiation Resistance Solid State Switch Application for Actuator System

    DTIC Science & Technology

    2016-06-23

    somnath.chattopadhyay@csun.edu 1-818-677-7197 clean/etch. Excessively hard- baked photoresist can usually be dissolved in piranha etching solution. 48 hours of...coated onto the freshly cleaned and dried wafer at 3000RPM, then soft- baked at 180ºC for 120 seconds. This gives a PMGI layer of about 0.4µm. Then the...PR is spin coated onto the wafer at about 4000RPM and soft baked at 115ºC for 90seconds, resulting in a PR layer about 1.3µm thick. The wafer is

  6. Capabilities of ICP-RIE cryogenic dry etching of silicon: review of exemplary microstructures

    NASA Astrophysics Data System (ADS)

    Sökmen, Ü.; Stranz, A.; Fündling, S.; Wehmann, H.-H.; Bandalo, V.; Bora, A.; Tornow, M.; Waag, A.; Peiner, E.

    2009-10-01

    Inductively coupled plasma (ICP) cryogenic dry etching was used to etch submicron pores, nano contact lines, submicron diameter pillars, thin and thick cantilevers, membrane structures and anisotropic deep structures with high aspect ratios in silicon for bio-nanoelectronics, optoelectronics and nano-micro electromechanical systems (NMEMS). The ICP cryogenic dry etching gives us the advantage of switching plasmas between etch rates of 13 nm min-1 and 4 µm min-1 for submicron pores and for membrane structures, respectively. A very thin photoresist mask can endure at -75 °C even during etching 70 µm deep for cantilevers and 300 µm deep for membrane structures. Coating the backsides of silicon membrane substrates with a thin photoresist film inhibited the lateral etching of cantilevers during their front release. Between -95 °C and -140 °C, we realized crystallographic-plane-dependent etching that creates facets only at the etch profile bottom. By varying the oxygen content and the process temperature, we achieved good control over the shape of the etched structures. The formation of black silicon during membrane etching down to 300 µm was delayed by reducing the oxygen content.

  7. Multilayer ultra thick resist development for MEMS

    NASA Astrophysics Data System (ADS)

    Washio, Yasushi; Senzaki, Takahiro; Masuda, Yasuo; Saito, Koji; Obiya, Hiroyuki

    2005-05-01

    MEMS (Micro-Electro-Mechanical Systems) is achieved through a process technology, called Micro-machining. There are two distinct methods to manufacture a MEMS-product. One method is to form permanent film through photolithography, and the other is to form a non-permanent film resist after photolithography proceeded by etch or plating process. The three-dimensional ultra-fine processing technology based on photolithography, and is assembled by processes, such as anode junction, and post lithography processes such as etching and plating. Currently ORDYL PR-100 (Dry Film Type) is used for the permanent resist process. TOK has developed TMMR S2000 (Liquid Type) and TMMF S2000 (Dry Film Type) also. TOK has developed a new process utilizing these resist. The electro-forming method by photolithography is developed as one of the methods for enabling high resolution and high aspect formation. In recent years, it has become possible to manufacture conventionally difficult multilayer through our development with material and equipment project (M&E). As for material for electro-forming, it was checked that chemically amplified resist is optimal from the reaction mechanism as it is easily removed by the clean solution. Moreover, multiple plating formations were enabled with the resist through a new process. As for the equipment, TOK developed Applicator (It can apply 500 or more μms) and Developer, which achieves high throughput and quality. The detailed plating formations, which a path differs, and air wiring are realizable through M&E. From the above results, opposed to metallic mold plating, electro-forming method by resist, enabled to form high resolution and aspect pattern, at low cost. It is thought that the infinite possibility spreads by applying this process.

  8. Comparative study of resist stabilization techniques for metal etch processing

    NASA Astrophysics Data System (ADS)

    Becker, Gerry; Ross, Matthew F.; Wong, Selmer S.; Minter, Jason P.; Marlowe, Trey; Livesay, William R.

    1999-06-01

    This study investigates resist stabilization techniques as they are applied to a metal etch application. The techniques that are compared are conventional deep-UV/thermal stabilization, or UV bake, and electron beam stabilization. The electron beam tool use din this study, an ElectronCure system from AlliedSignal Inc., ELectron Vision Group, utilizes a flood electron source and a non-thermal process. These stabilization techniques are compared with respect to a metal etch process. In this study, two types of resist are considered for stabilization and etch: a g/i-line resist, Shipley SPR-3012, and an advanced i-line, Shipley SPR 955- Cm. For each of these resist the effects of stabilization on resist features are evaluated by post-stabilization SEM analysis. Etch selectivity in all cases is evaluated by using a timed metal etch, and measuring resists remaining relative to total metal thickness etched. Etch selectivity is presented as a function of stabilization condition. Analyses of the effects of the type of stabilization on this method of selectivity measurement are also presented. SEM analysis was also performed on the features after a compete etch process, and is detailed as a function of stabilization condition. Post-etch cleaning is also an important factor impacted by pre-etch resist stabilization. Results of post- etch cleaning are presented for both stabilization methods. SEM inspection is also detailed for the metal features after resist removal processing.

  9. Process margin enhancement for 0.25-μm metal etch process

    NASA Astrophysics Data System (ADS)

    Lee, Chung Y.; Ma, Wei Wen; Lim, Eng H.; Cheng, Alex T.; Joy, Raymond; Ross, Matthew F.; Wong, Selmer S.; Marlowe, Trey

    2000-06-01

    This study evaluates electron beam stabilization of UV6, a positive tone Deep-UV (DUV) resist from Shipley, for a 0.25 micrometer metal etch application. Results are compared between untreated resist and resist treated with different levels of electron beam stabilization. The electron beam processing was carried out in an ElectronCureTM flood electron beam exposure system from Honeywell International Inc., Electron Vision. The ElectronCureTM system utilizes a flood electron beam source which is larger in diameter than the substrate being processed, and is capable of variable energy so that the electron range is matched to the resist film thickness. Changes in the UV6 resist material as a result of the electron beam stabilization are monitored via spectroscopic ellipsometry for film thickness and index of refraction changes and FTIR for analysis of chemical changes. Thermal flow stability is evaluated by applying hot plate bakes of 150 degrees Celsius and 200 degrees Celsius, to patterned resist wafers with no treatment and with an electron beam dose level of 2000 (mu) C/cm2. A significant improvement in the thermal flow stability of the patterned UV6 resist features is achieved with the electron beam stabilization process. Etch process performance of the UV6 resist was evaluated by performing a metal pattern transfer process on wafers with untreated resist and comparing these with etch results on wafers with different levels of electron beam stabilization. The etch processing was carried out in an Applied Materials reactor with an etch chemistry including BCl3 and Cl2. All wafers were etched under the same conditions and the resist was treated after etch to prevent further erosion after etch but before SEM analysis. Post metal etch SEM cross-sections show the enhancement in etch resistance provided by the electron beam stabilization process. Enhanced process margin is achieved as a result of the improved etch resistance, and is observed in reduced resist side-wall angles after etch. Only a slight improvement is observed in the isolated to dense bias effects of the etch process. Improved CD control is also achieved by applying the electron beam process, as more consistent CDs are observed after etch.

  10. Grafted Polystyrene Monolayer Brush as Both Negative and Positive Tone Electron Beam Resist.

    PubMed

    Aydinoglu, Ferhat; Yamada, Hirotaka; Dey, Ripon K; Cui, Bo

    2017-05-23

    Although spin coating is the most widely used electron-beam resist coating technique in nanolithography, it cannot typically be applied for nonflat or irregular surfaces. Here, we demonstrate that monolayer polystyrene brush can be grafted on substrates and used as both positive and negative electron-beam resist, which can be applied for such unconventional surfaces. Polystyrene is a popular negative resist when using solvent developer but solvent cannot be used for grafted polystyrene brush that is firmly bonded to the substrate. Instead, we employed two unconventional development methods to lead polystyrene brush to positive or negative tone behavior. Negative tone was achieved by thermal development at 300 °C because exposed thus cross-linked polystyrene brush is more thermally stable against vaporization than unexposed linear one. Surprisingly, positive tone behavior occurred when the brush was grafted onto an aluminum (Al) layer and the film stack was developed using diluted hydrofluoric acid (HF) that etched the underlying Al layer. By transferring the patterns into the silicon (Si) substrates using the thin Al layer as a sacrificial hard mask for dry etch, well-defined structures in Si were obtained in two different electron-beam resist tones as well as in nonflat surfaces.

  11. A study of GaN-based LED structure etching using inductively coupled plasma

    NASA Astrophysics Data System (ADS)

    Wang, Pei; Cao, Bin; Gan, Zhiyin; Liu, Sheng

    2011-02-01

    GaN as a wide band gap semiconductor has been employed to fabricate optoelectronic devices such as light-emitting diodes (LEDs) and laser diodes (LDs). Recently several different dry etching techniques for GaN-based materials have been developed. ICP etching is attractive because of its superior plasma uniformity and strong controllability. Most previous reports emphasized on the ICP etching characteristics of single GaN film. In this study dry etching of GaN-based LED structure was performed by inductively coupled plasmas (ICP) etching with Cl2 as the base gas and BCl3 as the additive gas. The effects of the key process parameters such as etching gases flow rate, ICP power, RF power and chamber pressure on the etching properties of GaN-based LED structure including etching rate, selectivity, etched surface morphology and sidewall was investigated. Etch depths were measured using a depth profilometer and used to calculate the etch rates. The etch profiles were observed with a scanning electron microscope (SEM).

  12. GaN MOSFET with Boron Trichloride-Based Dry Recess Process

    NASA Astrophysics Data System (ADS)

    Jiang, Y.; Wang, Q. P.; Tamai, K.; Miyashita, T.; Motoyama, S.; Wang, D. J.; Ao, J. P.; Ohno, Y.

    2013-06-01

    The dry recessed-gate GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) on AlGaN/GaN heterostructure using boron trichloride (BCl3) as etching gas were fabricated and characterized. Etching with different etching power was conducted. Devices with silicon tetrachloride (SiCl4) etching gas were also prepared for comparison. Field-effect mobility and interface state density were extracted from current-voltage (I-V) characteristics. GaN MOSFETs on AlGaN/GaN heterostructure with BCl3 based dry recess achieved a high maximum electron mobility of 141.5 cm2V-1s-1 and a low interface state density.

  13. Fabrication of Cantilever-Bump Type Si Probe Card

    NASA Astrophysics Data System (ADS)

    Park, Jeong-Yong; Lee, Dong-Seok; Kim, Dong-Kwon; Lee, Jong-Hyun

    2000-12-01

    Probe card is most important part in the test system which selects the good or bad chip of integrated circuit (IC) chips. Silicon vertical probe card is able to test multiple semiconductor chips simultaneously. We presented cantilever-bump type vertical probe card. It was fabricated by dry etching using RIE(reactive ion etching) technique and porous silicon micromachining using silicon direct bonded (SDB) wafer. Cantilevers and bumps were fabricated by isotropic etching using RIE@. 3-dimensional structures were formed by porous silicon micromachining technique using SDB wafer. Contact resistance of fabricated probe card was less than 2 Ω and its life time was more than 200,000 turns. The process used in this work is very simple and reproducible, which has good controllability in the tip dimension and spacing. It is expected that the fabricated probe card can reduce testing time, can promote productivity and enables burn-in test.

  14. Introduction of pre-etch deposition techniques in EUV patterning

    NASA Astrophysics Data System (ADS)

    Xiang, Xun; Beique, Genevieve; Sun, Lei; Labonte, Andre; Labelle, Catherine; Nagabhirava, Bhaskar; Friddle, Phil; Schmitz, Stefan; Goss, Michael; Metzler, Dominik; Arnold, John

    2018-04-01

    The thin nature of EUV (Extreme Ultraviolet) resist has posed significant challenges for etch processes. In particular, EUV patterning combined with conventional etch approaches suffers from loss of pattern fidelity in the form of line breaks. A typical conventional etch approach prevents the etch process from having sufficient resist margin to control the trench CD (Critical Dimension), minimize the LWR (Line Width Roughness), LER (Line Edge Roughness) and reduce the T2T (Tip-to-Tip). Pre-etch deposition increases the resist budget by adding additional material to the resist layer, thus enabling the etch process to explore a wider set of process parameters to achieve better pattern fidelity. Preliminary tests with pre-etch deposition resulted in blocked isolated trenches. In order to mitigate these effects, a cyclic deposition and etch technique is proposed. With optimization of deposition and etch cycle time as well as total number of cycles, it is possible to open the underlying layers with a beneficial over etch and simultaneously keep the isolated trenches open. This study compares the impact of no pre-etch deposition, one time deposition and cyclic deposition/etch techniques on 4 aspects: resist budget, isolated trench open, LWR/LER and T2T.

  15. CDU improvement technology of etching pattern using photo lithography

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Jyousaka, Megumi; Ogata, Kunie; Morimoto, Tamotsu; Konishi, Yoshitaka

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving Resist Pattern CDU is to control post-exposure bake (PEB) temperature. When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. Resist Pattern CDU improvement contributes to Etching Pattern CDU improvement to a certain degree. To further improve Etching Pattern CDU, etcher-specific CD variation needs to be controlled. In this evaluation, 1. We verified whether etcher-specific CD variation can be controlled and consequently Etching Pattern CDU can be further improved by controlling resist patterns through PEB control. 2. Verifying whether Etching Pattern CDU improvement through has any effect on the reduction in wiring resistance variation. The evaluation procedure is as follows.1. Wafers with base film of Doped Poly-Si (D-Poly) were prepared. 2. Resist patterns were created on them. 3. To determine etcher-specific characteristics, the first etching was performed, and after cleaning off the resist and BARC, CD of etched D-Poly was measured. 4. Using the obtained within-wafer CD distribution of the etching patterns, within-wafer temperature distribution in the PEB process was modified. 5. Resist patterns were created again, followed by the second etching and cleaning, which was followed by CD measurement. We used Optical CD Measurement (OCD) for measurement of resist patterns and etching patterns as OCD is minimally affected by Line Edge Roughness (LER). As a result, 1. We confirmed the effect of Resist Pattern CD control through PEB control on the reduction in etcher-specific CD variation and the improvement in Etching Pattern CDU. 2. The improvement in Etching Pattern CDU has an effect on the reduction in wiring resistance variation. The method for Etching Pattern CDU improvement through PEB control reduces within-wafer variation of MOS transistor's gate length. Therefore, with this method, we can expect to observe uniform within-wafer MOS transistor characteristics.

  16. Fatigue resistance of bovine teeth restored with resin-bonded fiber posts: effect of post surface conditioning.

    PubMed

    Zamboni, Sandra C; Baldissara, Paolo; Pelogia, Fernanda; Bottino, Marco Antonio; Scotti, Roberto; Valandro, Luiz Felipe

    2008-01-01

    This study evaluated the effect of post surface conditioning on the fatigue resistance of bovine teeth restored with resin-bonded fiber-reinforced composite (FRC). Root canals of 20 single-rooted bovine teeth (16 mm long) were prepared to 12 mm using a preparation drill of a double-tapered fiber post system. Using acrylic resin, each specimen was embedded (up to 3.0 mm from the cervical part of the specimen) in a PVC cylinder and allocated into one of two groups (n = 10) based on the post surface conditioning method: acid etching plus silanization or tribochemical silica coating (30 pm SiO(x) + silanization). The root canal dentin was etched (H2PO3 for 30 seconds), rinsed, and dried. A multi-step adhesive system was applied to the root dentin and the fiber posts were cemented with resin cement. The specimens were submitted to one million fatigue cycles. After fatigue testing, a score was given based on the number of fatigue cycles until fracture. All of the specimens were resistant to fatigue. No fracture of the root or the post and no loss of retention of the post were observed. The methodology and the results of this study indicate that tribochemical silica coating and acid etching performed equally well when dynamic mechanical loading was used.

  17. Method for forming suspended micromechanical structures

    DOEpatents

    Fleming, James G.

    2000-01-01

    A micromachining method is disclosed for forming a suspended micromechanical structure from {111} crystalline silicon. The micromachining method is based on the use of anisotropic dry etching to define lateral features of the structure which are etched down into a {111}-silicon substrate to a first etch depth, thereby forming sidewalls of the structure. The sidewalls are then coated with a protection layer, and the substrate is dry etched to a second etch depth to define a spacing of the structure from the substrate. A selective anisotropic wet etchant (e.g. KOH, EDP, TMAH, NaOH or CsOH) is used to laterally undercut the structure between the first and second etch depths, thereby forming a substantially planar lower surface of the structure along a {111} crystal plane that is parallel to an upper surface of the structure. The lateral extent of undercutting by the wet etchant is controlled and effectively terminated by either timing the etching, by the location of angled {111}-silicon planes or by the locations of preformed etch-stops. This present method allows the formation of suspended micromechanical structures having large vertical dimensions and large masses while allowing for detailed lateral features which can be provided by dry etch definition. Additionally, the method of the present invention is compatible with the formation of electronic circuitry on the substrate.

  18. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg.sub.1 in the presence of a second semiconductor material of a different composition and direct bandgap Eg.sub.2, wherein Eg.sub.2 >Eg.sub.1, said second semiconductor material substantially not being etched during said method, comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg.sub.1 but less than Eg.sub.2, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  19. Dopant Selective Reactive Ion Etching of Silicon Carbide

    NASA Technical Reports Server (NTRS)

    Okojie, Robert (Inventor)

    2016-01-01

    A method for selectively etching a substrate is provided. In one embodiment, an epilayer is grown on top of the substrate. A resistive element may be defined and etched into the epilayer. On the other side of the substrate, the substrate is selectively etched up to the resistive element, leaving a suspended resistive element.

  20. Manufacturing Methods and Technology Project Summary Reports.

    DTIC Science & Technology

    1980-12-01

    deposition of chrome-copper (Cr- Cu ), dry-film photoresist application, photolithographic masking, spray etching, die bonding, ultrasonic...4) cold roll forging. Of these, the cold roll forging process is the most widely used for the pro- duction of steel and low alloy blades. It provides... sprayed Mo- Al -Ni both provide relatively good wear resistance, see Figure 1. The powder -flame sprayed aluminum bronze did not perform as well. 147 -S t. I

  1. Composition/bandgap selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.I.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition and direct bandgap Eg/sub 1/ in the presence of a second semiconductor material of a different composition and direct bandgap Eg/sub 2/, wherein Eg/sub 2/ > Eg/sub 1/, said second semiconductor material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux and to the same gaseous etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said photons being of an energy greater than Eg/sub 1/ but less than Eg/sub 2/, whereby said first semiconductor material is photochemically etched and said second material is substantially not etched.

  2. Ridge-width dependence of the threshold of long wavelength (λ ≈ 14 µm) Quantum Cascade lasers with sloped and vertical sidewalls.

    PubMed

    Huang, Xue; Chiu, Yenting; Charles, William O; Gmachl, Claire

    2012-01-30

    We investigate the ridge-width dependence of the threshold of Quantum Cascade lasers fabricated by wet and dry etching, respectively. The sloped sidewalls resulting from wet etching affect the threshold in two ways as the ridge gets narrower. First, the transverse modes are deeper in the substrate, hence reducing the optical confinement factor. Second, more important, a non-negligible field exists in the lossy SiO2 insulation layer, as a result of transverse magnetic mode coupling to the surface plamon mode at the insulator/metal surface, which increases the waveguide loss. By contrast, dry etching is anisotropic and leads to waveguides with vertical sidewalls, which avoids the shift of the modes to the substrate layer and coupling to the surface plasmons, resulting in improved threshold compared with wet-etched lasers, e.g., for narrow ridge widths below 20 µm, the threshold of a 14 µm wide λ ≈ 14 µm laser by dry etching is ~60% lower than that of a wet-etched laser of the same width, at 80 K.

  3. Polystyrene negative resist for high-resolution electron beam lithography

    PubMed Central

    2011-01-01

    We studied the exposure behavior of low molecular weight polystyrene as a negative tone electron beam lithography (EBL) resist, with the goal of finding the ultimate achievable resolution. It demonstrated fairly well-defined patterning of a 20-nm period line array and a 15-nm period dot array, which are the densest patterns ever achieved using organic EBL resists. Such dense patterns can be achieved both at 20 and 5 keV beam energies using different developers. In addition to its ultra-high resolution capability, polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life. It is also considerably more resistant to dry etching than PMMA. With a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern. PMID:21749679

  4. A Dry-Etch Process for Low Temperature Superconducting Transition Edge Sensors for Far Infrared Bolometer Arrays

    NASA Technical Reports Server (NTRS)

    Allen, Christine A.; Chervenak, James A.; Hsieh, Wen-Ting; McClanahan, Richard A.; Miller, Timothy M.; Mitchell, Robert; Moseley, S. Harvey; Staguhn, Johannes; Stevenson, Thomas R.

    2003-01-01

    The next generation of ultra-low power bolometer arrays, with applications in far infrared imaging, spectroscopy and polarimetry, utilizes a superconducting bilayer as the sensing element to enable SQUID multiplexed readout. Superconducting transition edge sensors (TES s) are being produced with dual metal systems of superconductinghormal bilayers. The transition temperature (Tc) is tuned by altering the relative thickness of the superconductor with respect to the normal layer. We are currently investigating MoAu and MoCu bilayers. We have developed a dry-etching process for MoAu TES s with integrated molybdenum leads, and are working on adapting the process to MoCu. Dry etching has the advantage over wet etching in the MoAu system in that one can achieve a high degree of selectivity, greater than 10, using argon ME, or argon ion milling, for patterning gold on molybdenum. Molybdenum leads are subsequently patterned using fluorine plasma.. The dry-etch technique results in a smooth, featureless TES with sharp sidewalls, no undercutting of the Mo beneath the normal metal, and Mo leads with high critical current. The effects of individual processing parameters on the characteristics of the transition will be reported.

  5. Vertical Si nanowire arrays fabricated by magnetically guided metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Chun, Dong Won; Kim, Tae Kyoung; Choi, Duyoung; Caldwell, Elizabeth; Kim, Young Jin; Paik, Jae Cheol; Jin, Sungho; Chen, Renkun

    2016-11-01

    In this work, vertically aligned Si nanowire arrays were fabricated by magnetically guided metal-assisted directional chemical etching. Using an anodized aluminum oxide template as a shadow mask, nanoscale Ni dot arrays were fabricated on an Si wafer to serve as a mask to protect the Si during the etching. For the magnetically guided chemical etching, we deposited a tri-layer metal catalyst (Au/Fe/Au) in a Swiss-cheese configuration and etched the sample under the magnetic field to improve the directionality of the Si nanowire etching and increase the etching rate along the vertical direction. After the etching, the nanowires were dried with minimal surface-tension-induced aggregation by utilizing a supercritical CO2 drying procedure. High-resolution transmission electron microscopy (HR-TEM) analysis confirmed the formation of single-crystal Si nanowires. The method developed here for producing vertically aligned Si nanowire arrays could find a wide range of applications in electrochemical and electronic devices.

  6. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, C.I.H.; Myers, D.R.; Vook, F.L.

    1988-06-16

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  7. Electronic-carrier-controlled photochemical etching process in semiconductor device fabrication

    DOEpatents

    Ashby, Carol I. H.; Myers, David R.; Vook, Frederick L.

    1989-01-01

    An electronic-carrier-controlled photochemical etching process for carrying out patterning and selective removing of material in semiconductor device fabrication includes the steps of selective ion implanting, photochemical dry etching, and thermal annealing, in that order. In the selective ion implanting step, regions of the semiconductor material in a desired pattern are damaged and the remainder of the regions of the material not implanted are left undamaged. The rate of recombination of electrons and holes is increased in the damaged regions of the pattern compared to undamaged regions. In the photochemical dry etching step which follows ion implanting step, the material in the undamaged regions of the semiconductor are removed substantially faster than in the damaged regions representing the pattern, leaving the ion-implanted, damaged regions as raised surface structures on the semiconductor material. After completion of photochemical dry etching step, the thermal annealing step is used to restore the electrical conductivity of the damaged regions of the semiconductor material.

  8. Silicon etching using only Oxygen at high temperature: An alternative approach to Si micro-machining on 150 mm Si wafers

    NASA Astrophysics Data System (ADS)

    Chai, Jessica; Walker, Glenn; Wang, Li; Massoubre, David; Tan, Say Hwa; Chaik, Kien; Hold, Leonie; Iacopi, Alan

    2015-12-01

    Using a combination of low-pressure oxygen and high temperatures, isotropic and anisotropic silicon (Si) etch rates can be controlled up to ten micron per minute. By varying the process conditions, we show that the vertical-to-lateral etch rate ratio can be controlled from 1:1 isotropic etch to 1.8:1 anisotropic. This simple Si etching technique combines the main respective advantages of both wet and dry Si etching techniques such as fast Si etch rate, stiction-free, and high etch rate uniformity across a wafer. In addition, this alternative O2-based Si etching technique has additional advantages not commonly associated with dry etchants such as avoiding the use of halogens and has no toxic by-products, which improves safety and simplifies waste disposal. Furthermore, this process also exhibits very high selectivity (>1000:1) with conventional hard masks such as silicon carbide, silicon dioxide and silicon nitride, enabling deep Si etching. In these initial studies, etch rates as high as 9.2 μm/min could be achieved at 1150 °C. Empirical estimation for the calculation of the etch rate as a function of the feature size and oxygen flow rate are presented and used as proof of concepts.

  9. Elemental depth profiles and plasma etching rates of positive-tone electron beam resists after sequential infiltration synthesis of alumina

    NASA Astrophysics Data System (ADS)

    Ozaki, Yuki; Ito, Shunya; Hiroshiba, Nobuya; Nakamura, Takahiro; Nakagawa, Masaru

    2018-06-01

    By scanning transmission electron microscopy and energy dispersive X-ray spectroscopy (STEM–EDS), we investigated the elemental depth profiles of organic electron beam resist films after the sequential infiltration synthesis (SIS) of inorganic alumina. Although a 40-nm-thick poly(methyl methacrylate) (PMMA) film was entirely hybridized with alumina, an uneven distribution was observed near the interface between the substrate and the resist as well as near the resist surface. The uneven distribution was observed around the center of a 100-nm-thick PMMA film. The thicknesses of the PMMA and CSAR62 resist films decreased almost linearly as functions of plasma etching period. The comparison of etching rate among oxygen reactive ion etching, C3F8 reactive ion beam etching (RIBE), and Ar ion beam milling suggested that the SIS treatment enhanced the etching resistance of the electron beam resists to chemical reactions rather than to ion collisions. We proposed oxygen- and Ar-assisted C3F8 RIBE for the fabrication of silica imprint molds by electron beam lithography.

  10. Inductively coupled plasma etching of GaAs low loss waveguides for a traveling waveguide polarization converter, using chlorine chemistry

    NASA Astrophysics Data System (ADS)

    Lu, J.; Meng, X.; Springthorpe, A. J.; Shepherd, F. R.; Poirier, M.

    2004-05-01

    A traveling waveguide polarization converter [M. Poirier et al.] has been developed, which involves long, low loss, weakly confined waveguides etched in GaAs (epitaxially grown by molecular beam epitaxy), with electroplated ``T electrodes'' distributed along the etched floor adjacent to the ridge walls, and airbridge interconnect metallization. This article describes the development of the waveguide fabrication, based on inductively coupled plasma (ICP) etching of GaAs using Cl2 chemistry; the special processes required to fabricate the electrodes and metallization [X. Meng et al.], and the device characteristics [M. Poirier et al.], are described elsewhere. The required waveguide has dimensions nominally 4 μm wide and 2.1 μm deep, with dimensional tolerances ~0.1 μm across the wafer and wafer to wafer. A vertical etch profile with very smooth sidewalls and floors is required to enable the plated metal electrodes to be fabricated within 0.1 μm of the ridge. The ridges were fabricated using Cl2 ICP etching and a photoresist mask patterned with an I-line stepper; He backside cooling, combined with an electrostatic chuck, was employed to ensure good heat transfer to prevent resist reticulation. The experimental results showed that the ridge profile is very sensitive to ICP power and platen rf power. High ICP power and low platen power tend to result in more isotropic etching, whereas increasing platen power increases the photoresist etch rate, which causes rougher ridge sidewalls. No strong dependence of GaAs etch rate and ridge profile were observed with small changes in process temperature (chuck temperature). However, when the chuck temperature was decreased from 25 to 0 °C, etch uniformity across a 3 in. wafer improved from 6% to 3%. Photoresist and polymer residues present after the ICP etch were removed using a combination of wet and dry processes. .

  11. A review on plasma-etch-process induced damage of HgCdTe

    NASA Astrophysics Data System (ADS)

    Liu, Lingfeng; Chen, Yiyu; Ye, Zhenhua; Ding, Ruijun

    2018-05-01

    Dry etching techniques with minimal etch induced damage are required to develop highly anisotropic etch for pixel delineation of HgCdTe infrared focal plane arrays (IRFPAs). High density plasma process has become the main etching technique for HgCdTe in the past twenty years, In this paper, high density plasma electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etching of HgCdTe are summarized. Common plasma-etch-process induced type conversion and related mechanisms are reviewed particularly.

  12. Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor

    DOEpatents

    Britten, Jerald A.

    1997-01-01

    A surface tension gradient driven flow (a Marangoni flow) is used to remove the thin film of water remaining on the surface of an object following rinsing. The process passively introduces by natural evaporation and diffusion of minute amounts of alcohol (or other suitable material) vapor in the immediate vicinity of a continuously refreshed meniscus of deionized water or another aqueous-based, nonsurfactant rinsing agent. Used in conjunction with cleaning, developing or wet etching application, rinsing coupled with Marangoni drying provides a single-step process for 1) cleaning, developing or etching, 2) rinsing, and 3) drying objects such as flat substrates or coatings on flat substrates without necessarily using heat, forced air flow, contact wiping, centrifugation or large amounts of flammable solvents. This process is useful in one-step cleaning and drying of large flat optical substrates, one-step developing/rinsing and drying or etching/rinsing/drying of large flat patterned substrates and flat panel displays during lithographic processing, and room-temperature rinsing/drying of other large parts, sheets or continuous rolls of material.

  13. Moving zone Marangoni drying of wet objects using naturally evaporated solvent vapor

    DOEpatents

    Britten, J.A.

    1997-08-26

    A surface tension gradient driven flow (a Marangoni flow) is used to remove the thin film of water remaining on the surface of an object following rinsing. The process passively introduces by natural evaporation and diffusion of minute amounts of alcohol (or other suitable material) vapor in the immediate vicinity of a continuously refreshed meniscus of deionized water or another aqueous-based, nonsurfactant rinsing agent. Used in conjunction with cleaning, developing or wet etching application, rinsing coupled with Marangoni drying provides a single-step process for (1) cleaning, developing or etching, (2) rinsing, and (3) drying objects such as flat substrates or coatings on flat substrates without necessarily using heat, forced air flow, contact wiping, centrifugation or large amounts of flammable solvents. This process is useful in one-step cleaning and drying of large flat optical substrates, one-step developing/rinsing and drying or etching/rinsing/drying of large flat patterned substrates and flat panel displays during lithographic processing, and room-temperature rinsing/drying of other large parts, sheets or continuous rolls of material. 5 figs.

  14. Response of murine bone marrow-derived mesenchymal stromal cells to dry-etched porous silicon scaffolds.

    PubMed

    Hajj-Hassan, Mohamad; Khayyat-Kholghi, Maedeh; Wang, Huifen; Chodavarapu, Vamsy; Henderson, Janet E

    2011-11-01

    Porous silicon shows great promise as a bio-interface material due to its large surface to volume ratio, its stability in aqueous solutions and to the ability to precisely regulate its pore characteristics. In the current study, porous silicon scaffolds were fabricated from single crystalline silicon wafers by a novel xenon difluoride dry etching technique. This simplified dry etch fabrication process allows selective formation of porous silicon using a standard photoresist as mask material and eliminates the post-formation drying step typically required for the wet etching techniques, thereby reducing the risk of damaging the newly formed porous silicon. The porous silicon scaffolds supported the growth of primary cultures of bone marrow derived mesenchymal stromal cells (MSC) plated at high density for up to 21 days in culture with no significant loss of viability, assessed using Alamar Blue. Scanning electron micrographs confirmed a dense lawn of cells at 9 days of culture and the presence of MSC within the pores of the porous silicon scaffolds. Copyright © 2011 Wiley Periodicals, Inc.

  15. DDR process and materials for novel tone reverse technique

    NASA Astrophysics Data System (ADS)

    Shigaki, Shuhei; Shibayama, Wataru; Takeda, Satoshi; Tamura, Mamoru; Nakajima, Makoto; Sakamoto, Rikimaru

    2018-03-01

    We developed the novel process and material which can be created reverse-tone pattern without any collapse. The process was Dry Development Rinse (DDR) process, and the material used in this process was DDR material. DDR material was containing siloxane polymer which could be replaced the space area of the photo resist pattern. And finally, the reverse-tone pattern could be obtained by dry etching process without any pattern collapse issue. DDR process could be achieved fine line and space patterning below hp14nm without any pattern collapse by combination of PTD or NTD photo resist. DDR materials were demonstrated with latest coater track at imec. DDR process was fully automated and good CD uniformity was achieved after dry development. Detailed evaluation could be achieved with whole wafer such a study of CD uniformity (CDU). CDU of DDR pattern was compared to pre-pattern's CDU. Lower CDU was achieved and CDU healing was observed with special DDR material. By further evaluation, special DDR material showed relatively small E-slope compared to another DDR material. This small E-slope caused CDU improvement.

  16. Bottom-up and top-down fabrication of nanowire-based electronic devices: In situ doping of vapor liquid solid grown silicon nanowires and etch-dependent leakage current in InGaAs tunnel junctions

    NASA Astrophysics Data System (ADS)

    Kuo, Meng-Wei

    Semiconductor nanowires are important components in future nanoelectronic and optoelectronic device applications. These nanowires can be fabricated using either bottom-up or top-down methods. While bottom-up techniques can achieve higher aspect ratio at reduced dimension without having surface and sub-surface damage, uniform doping distributions with abrupt junction profiles are less challenging for top-down methods. In this dissertation, nanowires fabricated by both methods were systematically investigated to understand: (1) the in situ incorporation of boron (B) dopants in Si nanowires grown by the bottom-up vapor-liquid-solid (VLS) technique, and (2) the impact of plasma-induced etch damage on InGaAs p +-i-n+ nanowire junctions for tunnel field-effect transistors (TFETs) applications. In Chapter 2 and 3, the in situ incorporation of B in Si nanowires grown using silane (SiH4) or silicon tetrachloride (SiCl4) as the Si precursor and trimethylboron (TMB) as the p-type dopant source is investigated by I-V measurements of individual nanowires. The results from measurements using a global-back-gated test structure reveal nonuniform B doping profiles on nanowires grown from SiH4, which is due to simultaneous incorporation of B from nanowire surface and the catalyst during VLS growth. In contrast, a uniform B doping profile in both the axial and radial directions is achieved for TMBdoped Si nanowires grown using SiCl4 at high substrate temperatures. In Chapter 4, the I-V characteristics of wet- and dry-etched InGaAs p+-i-n+ junctions with different mesa geometries, orientations, and perimeter-to-area ratios are compared to evaluate the impact of the dry etch process on the junction leakage current properties. Different post-dry etch treatments, including wet etching and thermal annealing, are performed and the effectiveness of each is assessed by temperaturedependent I-V measurements. As compared to wet-etched control devices, dry-etched junctions have a significantly higher leakage current and a current kink in the reverse bias regime, which is likely due to additional trap states created by plasma-induced damage during the Cl2/Ar/H2 mesa isolation step. These states extend more than 60 nm from the mesa surface and can only be partially passivated after a thermal anneal at 350°C for 20 minutes. The evolution of the electrical properties with post-dry etch treatments indicates that the shallow and deep-level trap states resulting from ion-induced point defects, arsenic vacancies and hydrogen-dopant complexes are the primary cause of degradation in the electrical properties of the dry-etched junctions.

  17. Applications of MICP source for next-generation photomask process

    NASA Astrophysics Data System (ADS)

    Kwon, Hyuk-Joo; Chang, Byung-Soo; Choi, Boo-Yeon; Park, Kyung H.; Jeong, Soo-Hong

    2000-07-01

    As critical dimensions of photomask extends into submicron range, critical dimension uniformity, edge roughness, macro loading effect, and pattern slope become tighter than before. Fabrication of photomask relies on the ability to pattern features with anisotropic profile. To improve critical dimension uniformity, dry etcher is one of the solution and inductively coupled plasma (ICP) sources have become one of promising high density plasma sources for dry etcher. In this paper, we have utilized dry etcher system with multi-pole ICP source for Cr etch and MoSi etch and have investigated critical dimension uniformity, slope, and defects. We will present dry etch process data by process optimization of newly designed dry etcher system. The designed pattern area is 132 by 132 mm2 with 23 by 23 matrix test patterns. 3 (sigma) of critical dimension uniformity is below 12 nm at 0.8 - 3.0 micrometers . In most cases, we can obtain zero defect masks which is operated by face- down loading.

  18. Single-crystal silicon trench etching for fabrication of highly integrated circuits

    NASA Astrophysics Data System (ADS)

    Engelhardt, Manfred

    1991-03-01

    The development of single crystal silicon trench etching for fabrication of memory cells in 4 16 and 64Mbit DRAMs is reviewed in this paper. A variety of both etch tools and process gases used for the process development is discussed since both equipment and etch chemistry had to be improved and changed respectively to meet the increasing requirements for high fidelity pattern transfer with increasing degree of integration. In additon to DRAM cell structures etch results for deep trench isolation in advanced bipolar ICs and ASICs are presented for these applications grooves were etched into silicon through a highly doped buried layer and at the borderline of adjacent p- and n-well areas respectively. Shallow trench etching of large and small exposed areas with identical etch rates is presented as an approach to replace standard LOCOS isolation by an advanced isolation technique. The etch profiles were investigated with SEM TEM and AES to get information on contathination and damage levels and on the mechanism leading to anisotropy in the dry etch process. Thermal wave measurements were performed on processed single crystal silicon substrates for a fast evaluation of the process with respect to plasma-induced substrate degradation. This useful technique allows an optimization ofthe etch process regarding high electrical performance of the fully processed memory chip. The benefits of the use of magnetic fields for the development of innovative single crystal silicon dry

  19. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains.

    PubMed

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-23

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H 2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  20. Defect-selective dry etching for quick and easy probing of hexagonal boron nitride domains

    NASA Astrophysics Data System (ADS)

    Wu, Qinke; Lee, Joohyun; Park, Sangwoo; Woo, Hwi Je; Lee, Sungjoo; Song, Young Jae

    2018-03-01

    In this study, we demonstrate a new method to selectively etch the point defects or the boundaries of as-grown hexagonal boron nitride (hBN) films and flakes in situ on copper substrates using hydrogen and argon gases. The initial quality of the chemical vapor deposition-grown hBN films and flakes was confirmed by UV-vis absorption spectroscopy, atomic force microscopy, and transmission electron microscopy. Different gas flow ratios of Ar/H2 were then employed to etch the same quality of samples and it was found that etching with hydrogen starts from the point defects and grows epitaxially, which helps in confirming crystalline orientations. However, etching with argon is sensitive to line defects (boundaries) and helps in visualizing the domain size. Finally, based on this defect-selective dry etching technique, it could be visualized that the domains of a polycrystalline hBN monolayer merged together with many parts, even with those that grew from a single nucleation seed.

  1. High density circuit technology, part 3

    NASA Technical Reports Server (NTRS)

    Wade, T. E.

    1982-01-01

    Dry processing - both etching and deposition - and present/future trends in semiconductor technology are discussed. In addition to a description of the basic apparatus, terminology, advantages, glow discharge phenomena, gas-surface chemistries, and key operational parameters for both dry etching and plasma deposition processes, a comprehensive survey of dry processing equipment (via vendor listing) is also included. The following topics are also discussed: fine-line photolithography, low-temperature processing, packaging for dense VLSI die, the role of integrated optics, and VLSI and technology innovations.

  2. Dopant type and/or concentration selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, Carol I. H.; Dishman, James L.

    1987-01-01

    A method of selectively photochemically dry etching a first semiconductor material of a given composition in the presence of a second semiconductor material which is of a composition different from said first material, said second material substantially not being etched during said method, comprises subjecting both materials to the same photon flux of an energy greater than their respective direct bandgaps and to the same gaseous chemical etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said conditions also being such that the resultant electronic structure of the first semiconductor material under said photon flux is sufficient for the first material to undergo substantial photochemical etching under said conditions and being such that the resultant electronic structure of the second semiconductor material under said photon flux is not sufficient for the second material to undergo substantial photochemical etching under said conditions. In a preferred mode, the materials are subjected to a bias voltage which suppresses etching in n- or p- type material but not in p- or n-type material, respectively; or suppresses etching in the more heavily doped of two n-type or two p-type materials.

  3. Dopant type and/or concentration selective dry photochemical etching of semiconductor materials

    DOEpatents

    Ashby, C.R.H.; Dishman, J.L.

    1985-10-11

    Disclosed is a method of selectively photochemically dry etching a first semiconductor material of a given composition in the presence of a second semiconductor material which is of a composition different from said first material, said second material substantially not being etched during said method. The method comprises subjecting both materials to the same photon flux of an energy greater than their respective direct bandgaps and to the same gaseous chemical etchant under conditions where said etchant would be ineffective for chemical etching of either material were the photons not present, said conditions also being such that the resultant electronic structure of the first semiconductor material under said photon flux is sufficient for the first material to undergo substantial photochemical etching under said conditions and being such that the resultant electronic structure of the second semiconductor material under said photon flux is not sufficient for the second material to undergo substantial photochemical etching under said conditions. In a preferred mode, the materials are subjected to a bias voltage which suppresses etching in n- or p-type material but not in p- or n-type material, respectively; or suppresses etching in the more heavily doped of two n-type or two p-type materials.

  4. Adaptive wettability-enhanced surfaces ordered on molded etched substrates using shrink film

    NASA Astrophysics Data System (ADS)

    Jayadev, Shreshta; Pegan, Jonathan; Dyer, David; McLane, Jolie; Lim, Jessica; Khine, Michelle

    2013-01-01

    Superhydrophobic surfaces in nature exhibit desirable properties including self-cleaning, bacterial resistance, and flight efficiency. However, creating such intricate multi-scale features with conventional fabrication approaches is difficult, expensive, and not scalable. By patterning photoresist on pre-stressed shrink-wrap film, which contracts by 95% in surface area when heated, such features over large areas can be obtained easily. Photoresist serves as a dry etch mask to create complex and high-aspect ratio microstructures in the film. Using a double-shrink process, we introduce adaptive wettability-enhanced surfaces ordered on molded etched (AWESOME) substrates. We first create a mask out of the children’s toy ‘Shrinky-Dinks’ by printing dots using a laserjet printer. Heating this thermoplastic sheet causes the printed dots to shrink to a fraction of their original size. We then lithographically transfer the inverse pattern onto photoresist-coated shrink-wrap polyolefin film. The film is then plasma etched. After shrinking, the film serves as a high-aspect ratio mold for polydimethylsiloxane, creating a superhydrophobic surface with water contact angles >150° and sliding angles <10°. We pattern a microarray of ‘sticky’ spots with a dramatically different sliding angle compared to that of the superhydrophobic region, enabling microtiter-plate type assays without the need for a well plate.

  5. Sequential infiltration synthesis for advanced lithography

    DOEpatents

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih; Peng, Qing

    2015-03-17

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned using photolithography, electron-beam lithography or a block copolymer self-assembly process.

  6. Wafer level fabrication of single cell dispenser chips with integrated electrodes for particle detection

    NASA Astrophysics Data System (ADS)

    Schoendube, Jonas; Yusof, Azmi; Kalkandjiev, Kiril; Zengerle, Roland; Koltay, Peter

    2015-02-01

    This work presents the microfabrication and experimental evaluation of a dispenser chip, designed for isolation and printing of single cells by combining impedance sensing and drop-on-demand dispensing. The dispenser chip features 50  ×  55 µm (width × height) microchannels, a droplet generator and microelectrodes for impedance measurements. The chip is fabricated by sandwiching a dry film photopolymer (TMMF) between a silicon and a Pyrex wafer. TMMF has been used to define microfluidic channels, to serve as low temperature (75 °C) bonding adhesive and as etch mask during 300 µm deep HF etching of the Pyrex wafer. Due to the novel fabrication technology involving the dry film resist, it became possible to fabricate facing electrodes at the top and bottom of the channel and to apply electrical impedance sensing for particle detection with improved performance. The presented microchip is capable of dispensing liquid and detecting microparticles via impedance measurement. Single polystyrene particles of 10 µm size could be detected with a mean signal amplitude of 0.39  ±  0.13 V (n=439 ) at particle velocities of up to 9.6 mm s-1 inside the chip.

  7. Isotropic plasma etching of Ge Si and SiN x films

    DOE PAGES

    Henry, Michael David; Douglas, Erica Ann

    2016-08-31

    This study reports on selective isotropic dry etching of chemically vapor deposited (CVD) Ge thin film, release layers using a Shibaura chemical downstream etcher (CDE) with NF 3 and Ar based plasma chemistry. Relative etch rates between Ge, Si and SiN x are described with etch rate reductions achieved by adjusting plasma chemistry with O 2. Formation of oxides reducing etch rates were measured for both Ge and Si, but nitrides or oxy-nitrides created using direct injection of NO into the process chamber were measured to increase Si and SiN x etch rates while retarding Ge etching.

  8. Optimization of the etch-and-rinse technique: New perspectives to improve resin-dentin bonding and hybrid layer integrity by reducing residual water using dimethyl sulfoxide pretreatments.

    PubMed

    Stape, Thiago Henrique Scarabello; Tjäderhane, Leo; Abuna, Gabriel; Sinhoreti, Mário Alexandre Coelho; Martins, Luís Roberto Marcondes; Tezvergil-Mutluay, Arzu

    2018-04-13

    To determine whether bonding effectiveness and hybrid layer integrity on acid-etched dehydrated dentin would be comparable to the conventional wet-bonding technique through new dentin biomodification approaches using dimethyl sulfoxide (DMSO). Etched dentin surfaces from extracted sound molars were randomly bonded in wet or dry conditions (30s air drying) with DMSO/ethanol or DMSO/H 2 O as pretreatments using a simplified (Scotchbond Universal Adhesive, 3M ESPE: SU) and a multi-step (Adper Scotchbond Multi-Purpose, 3M ESPE: SBMP) etch-and-rinse adhesives. Untreated dentin surfaces served as control. Bonded teeth (n=8) were stored in distilled water for 24h and sectioned into resin-dentin beams (0.8mm 2 ) for microtensile bond strength test and quantitative interfacial nanoleakage analysis (n=8) under SEM. Additional teeth (n=2) were prepared for micropermeability assessment by CFLSM under simulated pulpar pressure (20cm H 2 O) using 5mM fluorescein as a tracer. Microtensile data was analyzed by 3-way ANOVA followed by Tukey Test and nanoleakage by Kruskal-Wallis and Dunn-Bonferroni multiple comparison test (α=0.05). While dry-bonding of SBMP produced significantly lower bond strengths than wet-bonding (p<0.05), DMSO/H 2 O and DMSO/ethanol produced significantly higher bond strengths for SBMP irrespective of dentin condition (p<0.05). SU presented significantly higher nanoleakage levels (p<0.05) and micropermeability than SBMP. Improvement in hybrid layer integrity occurred for SBMP and SU for both pretreatments, albeit most pronouncedly for DMSO/ethanol regardless of dentin moisture. DMSO pretreatments may be used as a new suitable strategy to improve bonding of water-based adhesives to demineralized air-dried dentin beyond conventional wet-bonding. Less porous resin-dentin interfaces with higher bond strengths on air-dried etched dentin were achieved; nonetheless, overall efficiency varied according to DMSO's co-solvent and adhesive type. DMSO pretreatments permit etched dentin to be air-dried before hybridization facilitating residual water removal and thus improving bonding effectiveness. This challenges the current paradigm of wet-bonding requirement for the etch-and-rinse approach creating new possibilities to enhance the clinical longevity of resin-dentin interfaces. Copyright © 2018 The Academy of Dental Materials. Published by Elsevier Inc. All rights reserved.

  9. Method of fabricating vertically aligned group III-V nanowires

    DOEpatents

    Wang, George T; Li, Qiming

    2014-11-25

    A top-down method of fabricating vertically aligned Group III-V micro- and nanowires uses a two-step etch process that adds a selective anisotropic wet etch after an initial plasma etch to remove the dry etch damage while enabling micro/nanowires with straight and smooth faceted sidewalls and controllable diameters independent of pitch. The method enables the fabrication of nanowire lasers, LEDs, and solar cells.

  10. Selective dry etching of silicon containing anti-reflective coating

    NASA Astrophysics Data System (ADS)

    Sridhar, Shyam; Nolan, Andrew; Wang, Li; Karakas, Erdinc; Voronin, Sergey; Biolsi, Peter; Ranjan, Alok

    2018-03-01

    Multi-layer patterning schemes involve the use of Silicon containing Anti-Reflective Coating (SiARC) films for their anti-reflective properties. Patterning transfer completion requires complete and selective removal of SiARC which is very difficult due to its high silicon content (>40%). Typically, SiARC removal is accomplished through a non-selective etch during the pattern transfer process using fluorine containing plasmas, or an ex-situ wet etch process using hydrofluoric acid is employed to remove the residual SiARC, post pattern transfer. Using a non-selective etch may result in profile distortion or wiggling, due to distortion of the underlying organic layer. The drawbacks of using wet etch process for SiARC removal are increased overall processing time and the need for additional equipment. Many applications may involve patterning of active structures in a poly-Si layer with an underlying oxide stopping layer. In such applications, SiARC removal selective to oxide using a wet process may prove futile. Removing SiARC selectively to SiO2 using a dry etch process is also challenging, due to similarity in the nature of chemical bonds (Si - O) in the two materials. In this work, we present highly selective etching of SiARC, in a plasma driven by a surface wave radial line slot antenna. The first step in the process involves an in-situ modification of the SiARC layer in O2 plasma followed by selective etching in a NF3/H2 plasma. Surface treatment in O2 plasma resulted in enhanced etching of the SiARC layer. For the right processing conditions, in-situ NF3/H2 dry etch process demonstrated selectivity values greater than 15:1 with respect to SiO2. The etching chemistry, however, was sensitive to NF3:H2 gas ratio. For dilute NF3 in H2, no SiARC etching was observed. Presumably, this is due to the deposition of ammonium fluorosilicate layer that occurs for dilute NF3/H2 plasmas. Additionally, challenges involved in selective SiARC removal (selective to SiO2, organic and Si layers) post pattern transfer, in a multi-layer structure will be discussed.

  11. Sequential infiltration synthesis for advanced lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih

    A plasma etch resist material modified by an inorganic protective component via sequential infiltration synthesis (SIS) and methods of preparing the modified resist material. The modified resist material is characterized by an improved resistance to a plasma etching or related process relative to the unmodified resist material, thereby allowing formation of patterned features into a substrate material, which may be high-aspect ratio features. The SIS process forms the protective component within the bulk resist material through a plurality of alternating exposures to gas phase precursors which infiltrate the resist material. The plasma etch resist material may be initially patterned usingmore » photolithography, electron-beam lithography or a block copolymer self-assembly process.« less

  12. Method for providing an arbitrary three-dimensional microstructure in silicon using an anisotropic deep etch

    DOEpatents

    Morales, Alfredo M.; Gonzales, Marcela

    2004-06-15

    The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.

  13. Microleakage of self-etching sealant on noncontaminated and saliva-contaminated enamel.

    PubMed

    2011-01-01

    The purpose of this study was to compare the microleakage of a self-etching sealant with a traditional phosphoric acid-etched sealant under noncontaminated and saliva-contaminated conditions. Fifty-two sound extracted human molars were randomly divided into 4 groups (N=13). Teeth in Groups 1 and 2 were cleaned with pumice, etched with phosphoric acid, rinsed, coated with a drying agent, placed with sealants (UltraSeal XT Plus), and light cured. Teeth in Groups 3 and 4 were cleaned with a proprietary flour pumice and rinsed prior to being sealed with a self-etching sealant (Enamel Loc). Teeth in Groups 2 and 4 were contaminated with saliva and thoroughly air-dried prior to the sealant placement. All teeth were subjected to a thermocycling process, stained with silver nitrate, and sectioned, and images of the sealant on the occlusal surface were recorded. Microleakage distance was measured in millimeters and subjected to a 2-way analysis of variance. Significantly larger microleakage distances were found for the self-etching sealant vs the traditional sealant (P<.001). Saliva contamination did not significantly affect the microleakage distance (P<.17). Under the conditions used in this in vitro study, the self-etching sealant, regardless of contamination condition, had extensive microleakage distances vs. little microleakage in the traditional phosphoric acid-etched sealant.

  14. Fabrication of high aspect ratio structure and its releasing for silicon on insulator MEMS/MOEMS device application

    NASA Astrophysics Data System (ADS)

    Fan, Ji; Zhang, Wen Ting; Liu, Jin Quan; Wu, Wen Jie; Zhu, Tao; Tu, Liang Cheng

    2015-04-01

    We systematically investigate the fabrication and dry-release technology for a high aspect ratio (HAR) structure with vertical and smooth silicon etching sidewalls. One-hundred-micrometer silicon on insulator (SOI) wafers are used in this work. By optimizing the process parameters of inductively coupled plasma deep reactive-ion etching, a HAR (˜25∶1) structure with a microtrench width of 4 μm has been demonstrated. A perfect etching profile has been obtained in which the structures present an almost perfect verticality of 0.10 μm and no sidewall scallops. The root-mean square roughness of silicon sidewalls is 20 to 29 nm. An in situ dry-release method using notching effect is employed after etching. By analysis, we found that the final notch length is typically an aspect-ratio-dependent process. The structure designed in this work has been successfully released by this in situ dry-release method, and the released bottom roughness effectively prohibits the stiction mechanism. The results demonstrate potential applications for design and fabrication of HAR SOI MEMS/MOEMS.

  15. The acid-base resistant zone in three dentin bonding systems.

    PubMed

    Inoue, Go; Nikaido, Toru; Foxton, Richard M; Tagami, Junji

    2009-11-01

    An acid-base resistant zone has been found to exist after acid-base challenge adjacent to the hybrid layer using SEM. The aim of this study was to examine the acid-base resistant zone using three different bonding systems. Dentin disks were applied with three different bonding systems, and then a resin composite was light-cured to make dentin disk sandwiches. After acid-base challenge, the polished surfaces were observed using SEM. For both one- and two-step self-etching primer systems, an acid-base resistant zone was clearly observed adjacent to the hybrid layer - but with differing appearances. For the wet bonding system, the presence of an acid-base resistant zone was unclear. This was because the self-etching primer systems etched the dentin surface mildly, such that the remaining mineral phase of dentin and the bonding agent yielded clear acid-base resistant zones. In conclusion, the acid-base resistant zone was clearly observed when self-etching primer systems were used, but not so for the wet bonding system.

  16. Diffractive optics fabricated by direct write methods with an electron beam

    NASA Technical Reports Server (NTRS)

    Kress, Bernard; Zaleta, David; Daschner, Walter; Urquhart, Kris; Stein, Robert; Lee, Sing H.

    1993-01-01

    State-of-the-art diffractive optics are fabricated using e-beam lithography and dry etching techniques to achieve multilevel phase elements with very high diffraction efficiencies. One of the major challenges encountered in fabricating diffractive optics is the small feature size (e.g. for diffractive lenses with small f-number). It is not only the e-beam system which dictates the feature size limitations, but also the alignment systems (mask aligner) and the materials (e-beam and photo resists). In order to allow diffractive optics to be used in new optoelectronic systems, it is necessary not only to fabricate elements with small feature sizes but also to do so in an economical fashion. Since price of a multilevel diffractive optical element is closely related to the e-beam writing time and the number of etching steps, we need to decrease the writing time and etching steps without affecting the quality of the element. To do this one has to utilize the full potentials of the e-beam writing system. In this paper, we will present three diffractive optics fabrication techniques which will reduce the number of process steps, the writing time, and the overall fabrication time for multilevel phase diffractive optics.

  17. Introducing etch kernels for efficient pattern sampling and etch bias prediction

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2018-01-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels, as well as the choice of calibration patterns, is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels-"internal, external, curvature, Gaussian, z_profile"-designed to represent the finest details of the resist geometry to characterize precisely the etch bias at any point along a resist contour. By evaluating the etch kernels on various structures, it is possible to map their etch signatures in a multidimensional space and analyze them to find an optimal sampling of structures. The etch kernels evaluated on these structures were combined with experimental etch bias derived from scanning electron microscope contours to train artificial neural networks to predict etch bias. The method applied to contact and line/space layers shows an improvement in etch model prediction accuracy over standard etch model. This work emphasizes the importance of the etch kernel definition to characterize and predict complex etch effects.

  18. Comparative study between REAP 200 and FEP171 CAR with 50-kV raster e-beam system for sub-100-nm technology

    NASA Astrophysics Data System (ADS)

    Baik, Ki-Ho; Lem, Homer Y.; Dean, Robert L.; Osborne, Stephen; Mueller, Mark; Abboud, Frank E.

    2003-08-01

    In this paper, a process established with a positive-tone chemically amplified resist (CAR) from TOK REAP200 and Fujifilm Arch FEP171 and 50kV MEBES system is discussed. This TOK resist is developed for raster scan 50 kV e-beam systems. It has high contrast, good coating characteristics, good dry etch selectivity, and high environmental stability. In the mask industries, the most popular positive tone CAR is FEP171, which is a high activation energy type CAR. REAP (Raster E-beam Advanced Process) 200 is low activation energy type and new acetal protecting polymer. In this study, we compared to these different type resists in terms of contrast, PAB and PEB latitude, resist profile, footing, T-topping, PED stability, LER, Global CDU (Critical Dimension Uniformity) and resolution. The REAP200 Resist obtained 75nm isolated lines and spaces, 90nm dense patterns with vertical profile, and a good stability of delay time.

  19. Method for applying photographic resists to otherwise incompatible substrates

    NASA Technical Reports Server (NTRS)

    Fuhr, W. (Inventor)

    1981-01-01

    A method for applying photographic resists to otherwise incompatible substrates, such as a baking enamel paint surface, is described wherein the uncured enamel paint surface is coated with a non-curing lacquer which is, in turn, coated with a partially cured lacquer. The non-curing lacquer adheres to the enamel and a photo resist material satisfactorily adheres to the partially cured lacquer. Once normal photo etching techniques are employed the lacquer coats can be easily removed from the enamel leaving the photo etched image. In the case of edge lighted instrument panels, a coat of uncured enamel is placed over the cured enamel followed by the lacquer coats and the photo resists which is exposed and developed. Once the etched uncured enamel is cured, the lacquer coats are removed leaving an etched panel.

  20. Selective Dry Etch for Defining Ohmic Contacts for High Performance ZnO TFTs

    DTIC Science & Technology

    2014-03-27

    scale, high-frequency ZnO thin - film transistors (TFTs) could be fabricated. Molybdenum, tantalum, titanium tungsten 10-90, and tungsten metallic contact... thin - film transistor layout utilized in the thesis research . . . . . 42 3.4 Process Flow Diagram for Optical and e-Beam Devices...TFT thin - film transistor TLM transmission line model UV ultra-violet xvii SELECTIVE DRY ETCH FOR DEFINING OHMIC CONTACTS FOR HIGH PERFORMANCE ZnO TFTs

  1. Effects of solvent drying time on micro-shear bond strength and mechanical properties of two self-etching adhesive systems.

    PubMed

    Sadr, Alireza; Shimada, Yasushi; Tagami, Junji

    2007-09-01

    The all-in-one adhesives are simplified forms of two-step self-etching adhesive systems that must be air dried to remove solvent and water before curing. It was investigated whether those two systems perform equally well and if their performance is affected by air-drying of the solvent containing agent. Two adhesive systems (both by Kuraray Medical) were evaluated; Clearfil Tri-S bond (TS) and Clearfil SE bond (SE). Micro-shear bond strengths to human dentin after solvent air-drying times of 2, 5 or 10 s for each group were measured (n=10). The indentation creep and hardness of the bonding layer were also determined for each group. The lowest micro-shear bond strength, nano-indentation hardness and creep stress exponents were obtained for 2 s air dried specimens of each material. After 10 s air blowing, SE showed superior properties compared to TS groups (p<0.05). When properly handled, two step self-etching material performs better than the all-in-one adhesive. Air-drying is a crucial step in the application of solvent containing adhesives and may affect the overall clinical performance of them, through changes in the bond strength and altering nano-scale mechanical properties.

  2. A thermal microprobe fabricated with wafer-stage processing

    NASA Astrophysics Data System (ADS)

    Zhang, Yongxia; Zhang, Yanwei; Blaser, Juliana; Sriram, T. S.; Enver, Ahsan; Marcus, R. B.

    1998-05-01

    A thermal microprobe has been designed and built for high resolution temperature sensing. The thermal sensor is a thin-film thermocouple junction at the tip of an atomic force microprobe (AFM) silicon probe needle. Only wafer-stage processing steps are used for the fabrication. For high resolution temperature sensing it is essential that the junction be confined to a short distance at the AFM tip. This confinement is achieved by a controlled photoresist coating process. Experiment prototypes have been made with an Au/Pd junction confined to within 0.5 μm of the tip, with the two metals separated elsewhere by a thin insulating oxide layer. Processing begins with double-polished, n-type, 4 in. diameter, 300-μm-thick silicon wafers. Atomically sharp probe tips are formed by a combination of dry and wet chemical etching, and oxidation sharpening. The metal layers are sputtering deposited and the cantilevers are released by a combination of KOH and dry etching. A resistively heated calibration device was made for temperature calibration of the thermal microprobe over the temperature range 25-110 °C. Over this range the thermal outputs of two microprobes are 4.5 and 5.6 μV/K and is linear. Thermal and topographical images are also obtained from a heated tungsten thin film fuse.

  3. Recent progress on the scalable fabrication of hybrid polymer/SiO2 nanophotonic cavity arrays with an encapsulated MoS2 film

    NASA Astrophysics Data System (ADS)

    Hammer, Sebastian; Mangold, Hans-Moritz; Nguyen, Ariana E.; Martinez-Ta, Dominic; Naghibi Alvillar, Sahar; Bartels, Ludwig; Krenner, Hubert J.

    2018-02-01

    We review1 the fully-scalable fabrication of a large array of hybrid molybdenum disulfide (MoS2) - silicon dioxide (SiO2) one-dimensional (1D), freestanding photonic-crystal cavities (PCCs) capable of enhancement of the MoS2 photoluminescence (PL) at the narrow cavity resonance. As demonstrated in our prior work [S. Hammer et al., Sci. Rep. 7, 7251 (2017)]1, geometric mode tuning over the wide spectral range of MoS2 PL can be achieved by changing the PC period. In this contribution, we provide a step-by-step description of the fabrication process and give additional detailed information on the degradation of MoS2 by XeF2 vapor. We avoid potential damage of the MoS2 monolayer during the crucial XeF2 etch by refraining from stripping the electron beam (e-beam) resist after dry etching of the photonic crystal pattern. The remaining resist on top of the samples encapsulates and protects the MoS2 film during the entire fabrication process. Albeit the thickness of the remaining resists strongly depends on the fabrication process, the resulting encapsulation of the MoS2 layer improves the confinement to the optical modes and gives rise to a potential enhancement of the light-matter interaction.

  4. Resistance of dichromated gelatin as photoresist

    NASA Astrophysics Data System (ADS)

    Lin, Pang; Yan, Yingbai; Jin, Guofan; Wu, Minxian

    1999-09-01

    Based on the photographic chemistry, chemically hardening method was selected to enhance the anti-etch capability of gelatin. With the consideration of hardener and permeating processing, formaldehyde is the most ideal option due to the smallest molecule size and covalent cross-link with gelatin. After hardened in formaldehyde, the resistance of the gelatin was obtained by etched in 1% HF solution. The result showed that anti-etch capability of the gelatin layer increased with tanning time, but the increasing rate reduced gradually and tended to saturation. Based on the experimental results, dissolving-flaking hypothesis for chemically hardening gelatin was presented. Sol-gel coatings were etched with 1% HF solution. Compared with the etching rate of gelatin layer, it showed that gelatin could be used as resist to fabricate optical elements in sol-gel coating. With the cleaving-etch method and hardening of dichromated gelatin (DCG), DCG was used as a photoresist for fabricating sol-gel optical elements. As an application, a sol-gel random phase plate was fabricated.

  5. Gray scale x-ray mask

    DOEpatents

    Morales, Alfredo M [Livermore, CA; Gonzales, Marcela [Seattle, WA

    2006-03-07

    The present invention describes a method for fabricating an embossing tool or an x-ray mask tool, providing microstructures that smoothly vary in height from point-to-point in etched substrates, i.e., structure which can vary in all three dimensions. The process uses a lithographic technique to transfer an image pattern in the surface of a silicon wafer by exposing and developing the resist and then etching the silicon substrate. Importantly, the photoresist is variably exposed so that when developed some of the resist layer remains. The remaining undeveloped resist acts as an etchant barrier to the reactive plasma used to etch the silicon substrate and therefore provides the ability etch structures of variable depths.

  6. Modeling, Fabrication, and Analysis of Vertical Conduction Gallium Nitride Fin MOSFET

    NASA Astrophysics Data System (ADS)

    Tahhan, Maher Bishara

    Gallium Nitride has seen much interest in the field of electronics due to its large bandgap and high mobility. In the field of power electronics, this combination leads to a low on-resistance for a given breakdown voltage. To take full advantage of this, vertical conduction transistors in GaN can give high breakdown voltages independent of chip area, leading to transistors with nominally low on resistance with high breakdown at a low cost. Acknowledging this, a vertical transistor design is presented with a small footprint area. This design utilizes a fin structure as a double gated insulated MESFET with electrons flowing from the top of the fin downward. The transistor's characteristics and design is initially explored via simulation and modelling. In this modelling, it is found that the narrow dimension of the fin must be sub-micron to allow for the device to be turned off with no leakage current and have a positive threshold voltage. Several process modules are developed and integrated to fabricate the device. A smooth vertical etch leaving low damage to the surfaces is demonstrated and characterized, preventing micromasking during the GaN dry etch. Methods of removing damage from the dry etch are tested, including regrowth and wet etching. Several hard masks were developed to be used in conjunction with this GaN etch for various requirements of the process, such as material constraints and self-aligning a metal contact. Multiple techniques are tested to deposit and pattern the gate oxide and metal to ensure good contact with the channel without causing unwanted shorts. To achieve small fin dimensions, a self-aligned transistor process flow is presented allowing for smaller critical dimensions at increased fabrication tolerances by avoiding the use of lithographic steps that require alignments to very high accuracy. In the case of the device design presented, the fins are lithographically defined at the limit of i-line stepper system. From this single lithography, the sources are formed, fins are etched, and the gate insulator and metal are deposited. The first functional fabricated devices are presented, but exhibit a few differences from the model. A threshold voltage of -6 V, was measured, with an ID of 5 kA/cm2 at 3 V, and Ron of 0.6 mO/cm 2. The current is limited by the Schottky nature of the top contacts and show a turn-on voltage as a result. These measurements are comparable to recently published GaN fin MOSFET data, whose devices were defined by e-beam lithography. This dissertation work sought to show that a vertical conduction fin MOSFET can be fabricated on GaN. Furthermore, it aimed to provide a self-aligned process that does not require e-beam lithography. With further development, such devices can be designed to hold large voltages while maintaining a small footprint.

  7. Fabrication of vertical nanowire resonators for aerosol exposure assessment

    NASA Astrophysics Data System (ADS)

    Merzsch, Stephan; Wasisto, Hutomo Suryo; Stranz, Andrej; Hinze, Peter; Weimann, Thomas; Peiner, Erwin; Waag, Andreas

    2013-05-01

    Vertical silicon nanowire (SiNW) resonators are designed and fabricated in order to assess exposure to aerosol nanoparticles (NPs). To realize SiNW arrays, nanolithography and inductively coupled plasma (ICP) deep reactive ion etching (DRIE) at cryogenic temperature are utilized in a top-down fabrication of SiNW arrays which have high aspect ratios (i.e., up to 34). For nanolithography process, a resist film thickness of 350 nm is applied in a vacuum contact mode to serve as a mask. A pattern including various diameters and distances for creating pillars is used (i.e., 400 nm up to 5 μm). In dry etching process, the etch rate is set high of 1.5 μm/min to avoid underetching. The etch profiles of Si wires can be controlled aiming to have either perpendicularly, negatively or positively profiled sidewalls by adjusting the etching parameters (e.g., temperature and oxygen content). Moreover, to further miniaturize the wire, multiple sacrificial thermal oxidations and subsequent oxide stripping are used yielding SiNW arrays of 650 nm in diameter and 40 μm in length. In the resonant frequency test, a piezoelectric shear actuator is integrated with the SiNWs inside a scanning electron microscope (SEM) chamber. The observation of the SiNW deflections are performed and viewed from the topside of the SiNWs to reduce the measurement redundancy. Having a high deflection of ~10 μm during its resonant frequency of 452 kHz and a low mass of 31 pg, the proposed SiNW is potential for assisting the development of a portable aerosol resonant sensor.

  8. High rate dry etching of (BiSb)2Te3 film by CH4/H2-based plasma

    NASA Astrophysics Data System (ADS)

    Song, Junqiang; Shi, Xun; Chen, Lidong

    2014-10-01

    Etching characteristics of p-type (BiSb)2Te3 films were studied with CH4/H2/Ar gas mixture using an inductively coupled plasma (ICP)-reactive ion etching (RIE) system. The effects of gas mixing ratio, working pressure and gas flow rate on the etch rate and the surface morphology were investigated. The vertical etched profile with the etch rate of 600 nm/min was achieved at the optimized processing parameters. X-ray photoelectron spectroscopy (XPS) analysis revealed the non-uniform etching of (BiSb)2Te3 films due to disparate volatility of the etching products. Micro-masking effects caused by polymer deposition and Bi-rich residues resulted in roughly etched surfaces. Smooth surfaces can be obtained by optimizing the CH4/H2/Ar mixing ratio.

  9. In vivo effect of a self-etching primer on dentin.

    PubMed

    Milia, E; Lallai, M R; García-Godoy, F

    1999-08-01

    To determine the ultrastructural aspects of the dentin collagen area in the cavity preparation floor produced in vivo after phosphoric acid acid-etching or after using Clearfil Liner Bond 2 self-etching primer (LB2 Primer). Twenty-four non-carious third molars scheduled for extraction from young adult patients (16-30 years old) were used. Conventional Class I cavities (+/- 2 mm deep) were prepared on the occlusal surfaces of all teeth using a cylindrical diamond bur on a high-speed handpiece with copious water spray. To avoid dehydration of the dentin, the smear layer-covered dentin was briefly air-dried for 2 seconds. Cavities were assigned at random to the following groups: Group A: Dentin etched for 15 seconds with 34% phosphoric acid, rinsed for 20 seconds and then briefly air-dried for 2 seconds with oil-free compressed air leaving the surfaces slightly moist. Group B: LB2 Primer was applied to the cavity surfaces for 30 seconds and then briefly air-dried to remove the solvent. Group C: The untreated dentin smear layer was used as a control. In all three groups, the cavities were filled incrementally with a resin-based composite (APX), light curing every increment for 40 seconds. After 30 minutes, the teeth were extracted atraumatically and the samples immediately prepared for evaluation with the transmission electron microscope. The use of a self-etching primer did not produce significant morphological changes in the moist dentin substrate. Adverse morphological conditions where observed when there was an excess water on the dentin surface. Phosphoric acid altered the collagen more severely than the self-etching primer.

  10. Influence of drying time and temperature on bond strength of contemporary adhesives to dentine.

    PubMed

    Garcia, Fernanda C P; Almeida, Júlio C F; Osorio, Raquel; Carvalho, Ricardo M; Toledano, Manuel

    2009-04-01

    To evaluate the bond strength (microTBS) of self-etching adhesives in different solvent evaporation conditions. Flat dentine surfaces from extracted human third molars were bonded with: (1) 2 two-steps self-etching adhesives (Clearfil SE Bond-CSEB); (Protect Bond-PB) and (2) 2 one-step self-etch systems (Adper Prompt L Pop-ADPLP); (Xeno III-XIII). Bonded dentine surfaces were air-dried for 5s, 20s, 30s or 40s at either 21 degrees C or 38 degrees C. Composite build-ups were constructed incrementally. After storage in water for 24h at 37 degrees C, the specimens were prepared for microtensile bond strength testing. Data were analyzed by two-way ANOVA and Student-Newman-Keuls at alpha=0.05. CSEB and PB performed better at warm temperature with only 20s of air-blowing. The bond strength increased when XIII was performed at warm temperature at 40s air-blowing. Extended air-blowing not affect the performance of ADPLP, except at 30s air-blowing time at warm temperature. The use of a warm air-dry stream seems to be a clinical tool to improve the bond strength to self-etching adhesives.

  11. Influence of Si wafer thinning processes on (sub)surface defects

    NASA Astrophysics Data System (ADS)

    Inoue, Fumihiro; Jourdain, Anne; Peng, Lan; Phommahaxay, Alain; De Vos, Joeri; Rebibis, Kenneth June; Miller, Andy; Sleeckx, Erik; Beyne, Eric; Uedono, Akira

    2017-05-01

    Wafer-to-wafer three-dimensional (3D) integration with minimal Si thickness can produce interacting multiple devices with significantly scaled vertical interconnections. Realizing such a thin 3D structure, however, depends critically on the surface and subsurface of the remaining backside Si after the thinning processes. The Si (sub)surface after mechanical grinding has already been characterized fruitfully for a range of few dozen of μm. Here, we expand the characterization of Si (sub)surface to 5 μm thickness after thinning process on dielectric bonded wafers. The subsurface defects and damage layer were investigated after grinding, chemical mechanical polishing (CMP), wet etching and plasma dry etching. The (sub)surface defects were characterized using transmission microscopy, atomic force microscopy, and positron annihilation spectroscopy. Although grinding provides the fastest removal rate of Si, the surface roughness was not compatible with subsequent processing. Furthermore, mechanical damage such as dislocations and amorphous Si cannot be reduced regardless of Si thickness and thin wafer handling systems. The CMP after grinding showed excellent performance to remove this grinding damage, even though the removal amount is 1 μm. For the case of Si thinning towards 5 μm using grinding and CMP, the (sub)surface is atomic scale of roughness without vacancy. For the case of grinding + dry etch, vacancy defects were detected in subsurface around 0.5-2 μm. The finished surface after wet etch remains in the nm scale in the strain region. By inserting a CMP step in between grinding and dry etch it is possible to significantly reduce not only the roughness, but also the remaining vacancies at the subsurface. The surface of grinding + CMP + dry etching gives an equivalent mono vacancy result as to that of grinding + CMP. This combination of thinning processes allows development of extremely thin 3D integration devices with minimal roughness and vacancy surface.

  12. Etch bias inversion during EUV mask ARC etch

    NASA Astrophysics Data System (ADS)

    Lajn, Alexander; Rolff, Haiko; Wistrom, Richard

    2017-07-01

    The introduction of EUV lithography to high volume manufacturing is now within reach for 7nm technology node and beyond (1), at least for some steps. The scheduling is in transition from long to mid-term. Thus, all contributors need to focus their efforts on the production requirements. For the photo mask industry, these requirements include the control of defectivity, CD performance and lifetime of their masks. The mask CD performance including CD uniformity, CD targeting, and CD linearity/ resolution, is predominantly determined by the photo resist performance and by the litho and etch processes. State-of-the-art chemically amplified resists exhibit an asymmetric resolution for directly and indirectly written features, which usually results in a similarly asymmetric resolution performance on the mask. This resolution gap may reach as high as multiple tens of nanometers on the mask level in dependence of the chosen processes. Depending on the printing requirements of the wafer process, a reduction or even an increase of this gap may be required. A potential way of tuning via the etch process, is to control the lateral CD contribution during etch. Aside from process tuning knobs like pressure, RF powers and gases, which usually also affect CD linearity and CD uniformity, the simplest knob is the etch time itself. An increased over etch time results in an increased CD contribution in the normal case. , We found that the etch CD contribution of ARC layer etch on EUV photo masks is reduced by longer over etch times. Moreover, this effect can be demonstrated to be present for different etch chambers and photo resists.

  13. Comparative surface studies on wet and dry sacrificial thermal oxidation on silicon carbide

    NASA Astrophysics Data System (ADS)

    Koh, A.; Kestle, A.; Wright, C.; Wilks, S. P.; Mawby, P. A.; Bowen, W. R.

    2001-04-01

    A comparative study on the effect of wet and dry thermal oxidation on 4H-silicon carbide (SiC) and on sacrificial silicon (Si) thermal oxidation on 4H-SiC surface has been conducted using atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The AFM images show the formation of 'nano-islands' of varying density on the SiC surface after the removal of thermal oxide using hydrofluoric (HF) acid etch. These nano-islands are resistant to HF acid and have been previously linked to residual carbon [1-3] resulting from the oxidation process. This paper presents the use of a sacrificial silicon oxidation (SSO) step as a form of surface preparation that gives a reproducible clean SiC surface. XPS results show a slight electrical shift in binding energy between the wet and dry thermal oxidation on the standard SiC surface, while the surface produced by the SSO technique shows a minimal shift.

  14. Pattern sampling for etch model calibration

    NASA Astrophysics Data System (ADS)

    Weisbuch, François; Lutich, Andrey; Schatz, Jirka

    2017-06-01

    Successful patterning requires good control of the photolithography and etch processes. While compact litho models, mainly based on rigorous physics, can predict very well the contours printed in photoresist, pure empirical etch models are less accurate and more unstable. Compact etch models are based on geometrical kernels to compute the litho-etch biases that measure the distance between litho and etch contours. The definition of the kernels as well as the choice of calibration patterns is critical to get a robust etch model. This work proposes to define a set of independent and anisotropic etch kernels -"internal, external, curvature, Gaussian, z_profile" - designed to capture the finest details of the resist contours and represent precisely any etch bias. By evaluating the etch kernels on various structures it is possible to map their etch signatures in a multi-dimensional space and analyze them to find an optimal sampling of structures to train an etch model. The method was specifically applied to a contact layer containing many different geometries and was used to successfully select appropriate calibration structures. The proposed kernels evaluated on these structures were combined to train an etch model significantly better than the standard one. We also illustrate the usage of the specific kernel "z_profile" which adds a third dimension to the description of the resist profile.

  15. Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

    NASA Astrophysics Data System (ADS)

    De Simone, Danilo; Mao, Ming; Kocsis, Michael; De Schepper, Peter; Lazzarino, Frederic; Vandenberghe, Geert; Stowers, Jason; Meyers, Stephen; Clark, Benjamin L.; Grenville, Andrew; Luong, Vinh; Yamashita, Fumiko; Parnell, Doni

    2016-03-01

    Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.

  16. Recovery of Mo/Si multilayer coated optical substrates

    DOEpatents

    Baker, Sherry L.; Vernon, Stephen P.; Stearns, Daniel G.

    1997-12-16

    Mo/Si multilayers are removed from superpolished ZERODUR and fused silica substrates with a dry etching process that, under suitable processing conditions, produces negligible change in either the substrate surface figure or surface roughness. The two step dry etching process removes SiO.sub.2 overlayer with a fluroine-containing gas and then moves molybdenum and silicon multilayers with a chlorine-containing gas. Full recovery of the initial normal incidence extreme ultra-violet (EUV) reflectance response has been demonstrated on reprocessed substrates.

  17. Recovery of Mo/Si multilayer coated optical substrates

    DOEpatents

    Baker, S.L.; Vernon, S.P.; Stearns, D.G.

    1997-12-16

    Mo/Si multilayers are removed from superpolished ZERODUR and fused silica substrates with a dry etching process that, under suitable processing conditions, produces negligible change in either the substrate surface figure or surface roughness. The two step dry etching process removes SiO{sub 2} overlayer with a fluroine-containing gas and then moves molybdenum and silicon multilayers with a chlorine-containing gas. Full recovery of the initial normal incidence extreme ultra-violet (EUV) reflectance response has been demonstrated on reprocessed substrates. 5 figs.

  18. Effects of dry etching processes on exciton and polariton characteristics in ZnTe

    NASA Astrophysics Data System (ADS)

    Sun, J. H.; Xie, W. B.; Shen, W. Z.; Ogawa, H.; Guo, Q. X.

    2003-12-01

    We have employed temperature-dependent reflection spectra to study the effects of reactive ion etching (RIE) on the exciton and polariton characteristics in ZnTe crystals exposed to CH4/H2 gases under different rf plasma powers. Classic exciton-polariton theory has been used to calculate the reflection spectra. By comparing with an as-grown ZnTe crystal and the temperature-dependent behavior, we are able to identify the excitons and RIE-induced polariton structures in these dry etched ZnTe crystals. An increase of the rf plasma power will lead to an increase of defect density in the surface damage layers, resulting in a decrease of the photon energies of the observed exciton and polariton structures.

  19. Release of MEMS devices with hard-baked polyimide sacrificial layer

    NASA Astrophysics Data System (ADS)

    Boroumand Azad, Javaneh; Rezadad, Imen; Nath, Janardan; Smith, Evan; Peale, Robert E.

    2013-03-01

    Removal of polyimides used as sacrificial layer in fabricating MEMS devices can be challenging after hardbaking, which may easily result by the end of multiple-step processing. We consider the specific commercial co-developable polyimide ProLift 100 (Brewer Science). Excessive heat hardens this material, so that during wet release in TMAH based solvents, intact sheets break free from the substrate, move around in the solution, and break delicate structures. On the other hand, dry reactive-ion etching of hard-baked ProLift is so slow, that MEMS structures are damaged from undesirably-prolonged physical bombardment by plasma ions. We found that blanket exposure to ultraviolet light allows rapid dry etch of the ProLift surrounding the desired structures without damaging them. Subsequent removal of ProLift from under the devices can then be safely performed using wet or dry etch. We demonstrate the approach on PECVD-grown silicon-oxide cantilevers of 100 micron × 100 micron area supported 2 microns above the substrate by ~100-micron-long 8-micron-wide oxide arms.

  20. Novel passivation dielectrics-The boron- or phosphorus-doped hydrogenated amorphous silicon carbide films

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chang, C.Y.; Fang, Y.K.; Huang, C.F.

    1985-02-01

    Hydrogenated amorphous silicon carbide (a-SiC:H) thin films were prepared and studied in a radiofrequency glowdischarge system, using a gas mixture of SiH/sub 4/ and one of the following carbon sources: methane (CH/sub 4/), benzene (C/sub 6/H/sub 6/), toluene (C/sub 7/H/sub 8/), sigma-xylene (C/sub 8/H/sub 10/), trichloroethane (C/sub 2/H/sub 3/Cl/sub 3/), trichloroethylene (C/sub 2/HCl/sub 3/), or carbon tetrachloride (CCl/sub 4/). The effect of doping phosphorus and boron into those a-SiC:H films on chemical etching rate, electrica dc resistivity, breakdown strength, and optical refractive index have been systematically investigated. Their chemical etching properties were examined by immersing in 49% HF, buffered HF,more » 180/sup 0/C H/sub 3/PO/sub 4/ solutions, or in CF/sub 4/ + O/sub 2/ plasma. It was found that the boron-doped a-SiC:H film possesses five times slower etching rate than the undoped one, while phosphorus-doped a-SiC:H film shows about three times slower. Among those a-SiC:H films, the one obtained from a mixture of SiH/sub 4/ and benzene shows the best etch-resistant property, while the ones obtained from a mixture of SiH/sub 4/ and chlorine containing carbon sources (e.g., trichloroethylene, trichloroethane, or carbon tetrachloride) shows that they are poor in etching resistance (i.e., the etching rate is higher). By measuring dc resistivity, dielectric breakdown strength, and effective refractive index, it was found that boron- or phosphorus-doped a-SiC:H films exhibit much higher dielectric strength and resistivity, but lower etching rate, presumably because of higher density.« less

  1. Overlapping double etch technique for evaluation of metallic alloys to stress corrosion cracking

    DOEpatents

    Steeves, Arthur F.; Stewart, James C.

    1981-01-01

    A double overlapping etch zone technique for evaluation of the resistance of metallic alloys to stress corrosion cracking. The technique involves evaluating the metallic alloy along the line of demarcation between an overlapping double etch zone and single etch zone formed on the metallic alloy surface.

  2. Sequential infiltration synthesis for enhancing multiple-patterning lithography

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Darling, Seth B.; Elam, Jeffrey W.; Tseng, Yu-Chih

    Simplified methods of multiple-patterning photolithography using sequential infiltration synthesis to modify the photoresist such that it withstands plasma etching better than unmodified resist and replaces one or more hard masks and/or a freezing step in MPL processes including litho-etch-litho-etch photolithography or litho-freeze-litho-etch photolithography.

  3. Overview Of Dry-Etch Techniques

    NASA Astrophysics Data System (ADS)

    Salzer, John M.

    1986-08-01

    With pattern dimensions shrinking, dry methods of etching providing controllable degrees of anisotropy become a necessity. A number of different configurations of equipment - inline, hex, planar, barrel - have been offered, and within each type, there are numerous significant variations. Further, each specific type of machine must be perfected over a complex, interactive parameter space to achieve suitable removal of various materials. Among the most critical system parameters are the choice of cathode or anode to hold the wafers, the chamber pressure, the plasma excitation frequency, and the electrode and magnetron structures. Recent trends include the use of vacuum load locks, multiple chambers, multiple electrodes, downstream etching or stripping, and multistep processes. A major percentage of etches in production handle the three materials: polysilicon, oxide and aluminum. Recent process developments have targeted refractory metals, their silicides, and with increasing emphasis, silicon trenching. Indeed, with new VLSI structures, silicon trenching has become the process of greatest interest. For stripping, dry processes provide advantages other than anisotropy. Here, too, new configurations and methods have been introduced recently. While wet processes are less than desirable from a number of viewpoints (handling, safety, disposal, venting, classes of clean room, automatability), dry methods are still being perfected as a direct, universal replacement. The paper will give an overview of these machine structures and process solutions, together with examples of interest. These findings and the trends discussed are based on semiannual survey of manufacturers and users of the various types of equipment.

  4. Creation of superhydrophobic stainless steel surfaces by acid treatments and hydrophobic film deposition.

    PubMed

    Li, Lester; Breedveld, Victor; Hess, Dennis W

    2012-09-26

    In this work, we present a method to render stainless steel surfaces superhydrophobic while maintaining their corrosion resistance. Creation of surface roughness on 304 and 316 grade stainless steels was performed using a hydrofluoric acid bath. New insight into the etch process is developed through a detailed analysis of the chemical and physical changes that occur on the stainless steel surfaces. As a result of intergranular corrosion, along with metallic oxide and fluoride redeposition, surface roughness was generated on the nano- and microscales. Differences in alloy composition between 304 and 316 grades of stainless steel led to variations in etch rate and different levels of surface roughness for similar etch times. After fluorocarbon film deposition to lower the surface energy, etched samples of 304 and 316 stainless steel displayed maximum static water contact angles of 159.9 and 146.6°, respectively. However, etching in HF also caused both grades of stainless steel to be susceptible to corrosion. By passivating the HF-etched samples in a nitric acid bath, the corrosion resistant properties of stainless steels were recovered. When a three step process was used, consisting of etching, passivation and fluorocarbon deposition, 304 and 316 stainless steel samples exhibited maximum contact angles of 157.3 and 134.9°, respectively, while maintaining corrosion resistance.

  5. Device physics of Cu(In,Ga)Se2 solar cells for long-term operation

    NASA Astrophysics Data System (ADS)

    Nishinaga, J.; Shibata, H.

    2017-02-01

    The degradation mechanism of Cu(In,Ga)Se2 (CIGS) solar cells on exposure to air has been investigated. Exposure to air at room temperature slightly reduces the conversion efficiency of CIGS solar cells, and the conversion efficiency decreases significantly under damp heat testing at 85 °C and 85% relative humidity due to low shunt resistance. On the other hand, shunt resistance increases after dry nitrogen heating. Therefore, oxygen and humidity should degenerate the solar cell performance. The low shunt resistance and conversion efficiency are completely recovered after removing the side edges of the CIGS solar cells by mechanical scribing. These results suggest that low-resistive layers are formed on the sidewalls of the solar cells during damp heat testing. The low-resistive layers on the sidewalls are identified to be molybdenum oxides and sodium molybdate by Auger electron spectroscopy. After etching the oxides on the sidewalls by alkaline solution, the saturation current density and ideality factor are confirmed to be improved. These results suggest that metal oxides on the sidewalls of CIGS solar cells may act as recombination centers.

  6. Successful photoresist removal: incorporating chemistry, conditions, and equipment

    NASA Astrophysics Data System (ADS)

    Moore, John C.

    2002-07-01

    The material make-up of photoresists span a wide polarity range and chemistry. Resists contain reactive components which are photochemically triggered to convert and condense to forms that result in a solubility change. When designing a cleaning process, a knowledge of the resist chemistry is fundamental. A DNQ/novolak system may follow a simple dissolution model under normal conditions. However, when the same resist is sent through a dry etch process, crosslinking and metallic impregnation occurs to form a residue that is insoluble by simple dissolution. The same applies for negative-tone resists, where bonds must be broken and a high chemical interaction is needed to facilitate solvent penetration. Negative resists of different chemistry, such as the benzoin/acrylic, trazine/novolak, and azide/isoprene, must be addressed separately for specific polarity and reactant requirements. When dissolving and removing these crosslinked systems, benefits in formulated chemistries such as GenSolveTM and GenCleanTM are immediately observed. Once the chemistry is identified, conditions can be optimized with process design using temperature, agitation, and rinsing to achieve a robust process with a wide process latitude.

  7. Ultra-sensitive EUV resists based on acid-catalyzed polymer backbone breaking

    NASA Astrophysics Data System (ADS)

    Manouras, Theodoros; Kazazis, Dimitrios; Koufakis, Eleftherios; Ekinci, Yasin; Vamvakaki, Maria; Argitis, Panagiotis

    2018-03-01

    The main target of the current work was to develop new sensitive polymeric materials for lithographic applications, focusing in particular to EUV lithography, the main chain of which is cleaved under the influence of photogenerated acid. Resist materials based on the cleavage of polymer main chain are in principle capable to create very small structures, to the dimensions of the monomers that they consist of. Nevertheless, in the case of the commonly used nonchemically amplified materials of this type issues like sensitivity and poor etch resistance limit their areas of application, whereas inadequate etch resistance and non- satisfactory process reliability are the usual problems encountered in acid catalysed materials based on main chain scission. In our material design the acid catalyzed chain cleavable polymers contain very sensitive moieties in their backbone while they remain intact in alkaline ambient. These newly synthesized polymers bear in addition suitable functional groups for the achievement of desirable lithographic characteristics (thermal stability, acceptable glass transition temperature, etch resistance, proper dissolution behavior, adhesion to the substrate). Our approach for achieving acceptable etch resistance, a main drawback in other main chain cleavable resists, is based on the introduction of polyaromatic hydrocarbons in the polymeric backbone, whereas the incorporation of an inorganic component further enhances the etch resistance. Single component systems can also be designed following the proposed approach by the incorporation of suitable PAGs and base quencher molecules in the main chain. Resist formulations based on a random copolymer designed according to the described rules evaluated in EUV exhibit ultrahigh sensitivity, capability for high resolution patterning and overall processing characteristics that make them strong candidates for industrial use upon further optimization.

  8. Overlapping double etch technique for evaluation of metallic alloys to stress corrosion cracking

    DOEpatents

    Not Available

    1980-05-28

    A double overlapping etch zone technique for evaluation of the resistance of metallic alloys to stress corrosion cracking is described. The technique involves evaluating the metallic alloy along the line of demarcation between an overlapping double etch zone and single etch zone formed on the metallic alloy surface.

  9. Consideration of VT5 etch-based OPC modeling

    NASA Astrophysics Data System (ADS)

    Lim, ChinTeong; Temchenko, Vlad; Kaiser, Dieter; Meusel, Ingo; Schmidt, Sebastian; Schneider, Jens; Niehoff, Martin

    2008-03-01

    Including etch-based empirical data during OPC model calibration is a desired yet controversial decision for OPC modeling, especially for process with a large litho to etch biasing. While many OPC software tools are capable of providing this functionality nowadays; yet few were implemented in manufacturing due to various risks considerations such as compromises in resist and optical effects prediction, etch model accuracy or even runtime concern. Conventional method of applying rule-based alongside resist model is popular but requires a lot of lengthy code generation to provide a leaner OPC input. This work discusses risk factors and their considerations, together with introduction of techniques used within Mentor Calibre VT5 etch-based modeling at sub 90nm technology node. Various strategies are discussed with the aim of better handling of large etch bias offset without adding complexity into final OPC package. Finally, results were presented to assess the advantages and limitations of the final method chosen.

  10. Cl 2-based dry etching of the AlGaInN system in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Cho, Hyun; Vartuli, C. B.; Abernathy, C. R.; Donovan, S. M.; Pearton, S. J.; Shul, R. J.; Han, J.

    1998-12-01

    Cl 2-Based inductively coupled plasmas with low additional d.c. self-biases (-100 V) produce convenient etch rates (500-1500 Å·min -1) for GaN, AlN, InN, InAlN and InGaN. A systematic study of the effects of additive gas (Ar, N 2, H 2), discharge composition and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl 2 in the discharge for all three mixtures and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately 6 for InN over the other nitrides were obtained.

  11. Surface Nanostructures Formed by Phase Separation of Metal Salt-Polymer Nanocomposite Film for Anti-reflection and Super-hydrophobic Applications

    NASA Astrophysics Data System (ADS)

    Con, Celal; Cui, Bo

    2017-12-01

    This paper describes a simple and low-cost fabrication method for multi-functional nanostructures with outstanding anti-reflective and super-hydrophobic properties. Our method employed phase separation of a metal salt-polymer nanocomposite film that leads to nanoisland formation after etching away the polymer matrix, and the metal salt island can then be utilized as a hard mask for dry etching the substrate or sublayer. Compared to many other methods for patterning metallic hard mask structures, such as the popular lift-off method, our approach involves only spin coating and thermal annealing, thus is more cost-efficient. Metal salts including aluminum nitrate nonahydrate (ANN) and chromium nitrate nonahydrate (CNN) can both be used, and high aspect ratio (1:30) and high-resolution (sub-50 nm) pillars etched into silicon can be achieved readily. With further control of the etching profile by adjusting the dry etching parameters, cone-like silicon structure with reflectivity in the visible region down to a remarkably low value of 2% was achieved. Lastly, by coating a hydrophobic surfactant layer, the pillar array demonstrated a super-hydrophobic property with an exceptionally high water contact angle of up to 165.7°.

  12. Surface Nanostructures Formed by Phase Separation of Metal Salt-Polymer Nanocomposite Film for Anti-reflection and Super-hydrophobic Applications.

    PubMed

    Con, Celal; Cui, Bo

    2017-12-16

    This paper describes a simple and low-cost fabrication method for multi-functional nanostructures with outstanding anti-reflective and super-hydrophobic properties. Our method employed phase separation of a metal salt-polymer nanocomposite film that leads to nanoisland formation after etching away the polymer matrix, and the metal salt island can then be utilized as a hard mask for dry etching the substrate or sublayer. Compared to many other methods for patterning metallic hard mask structures, such as the popular lift-off method, our approach involves only spin coating and thermal annealing, thus is more cost-efficient. Metal salts including aluminum nitrate nonahydrate (ANN) and chromium nitrate nonahydrate (CNN) can both be used, and high aspect ratio (1:30) and high-resolution (sub-50 nm) pillars etched into silicon can be achieved readily. With further control of the etching profile by adjusting the dry etching parameters, cone-like silicon structure with reflectivity in the visible region down to a remarkably low value of 2% was achieved. Lastly, by coating a hydrophobic surfactant layer, the pillar array demonstrated a super-hydrophobic property with an exceptionally high water contact angle of up to 165.7°.

  13. GaN nanowire arrays with nonpolar sidewalls for vertically integrated field-effect transistors

    NASA Astrophysics Data System (ADS)

    Yu, Feng; Yao, Shengbo; Römer, Friedhard; Witzigmann, Bernd; Schimpke, Tilman; Strassburg, Martin; Bakin, Andrey; Schumacher, Hans Werner; Peiner, Erwin; Suryo Wasisto, Hutomo; Waag, Andreas

    2017-03-01

    Vertically aligned gallium nitride (GaN) nanowire (NW) arrays have attracted a lot of attention because of their potential for novel devices in the fields of optoelectronics and nanoelectronics. In this work, GaN NW arrays have been designed and fabricated by combining suitable nanomachining processes including dry and wet etching. After inductively coupled plasma dry reactive ion etching, the GaN NWs are subsequently treated in wet chemical etching using AZ400K developer (i.e., with an activation energy of 0.69 ± 0.02 eV and a Cr mask) to form hexagonal and smooth a-plane sidewalls. Etching experiments using potassium hydroxide (KOH) water solution reveal that the sidewall orientation preference depends on etchant concentration. A model concerning surface bonding configuration on crystallography facets has been proposed to understand the anisotropic wet etching mechanism. Finally, NW array-based vertical field-effect transistors with wrap-gated structure have been fabricated. A device composed of 99 NWs exhibits enhancement mode operation with a threshold voltage of 1.5 V, a superior electrostatic control, and a high current output of >10 mA, which prevail potential applications in next-generation power switches and high-temperature digital circuits.

  14. GaN nanowire arrays with nonpolar sidewalls for vertically integrated field-effect transistors.

    PubMed

    Yu, Feng; Yao, Shengbo; Römer, Friedhard; Witzigmann, Bernd; Schimpke, Tilman; Strassburg, Martin; Bakin, Andrey; Schumacher, Hans Werner; Peiner, Erwin; Wasisto, Hutomo Suryo; Waag, Andreas

    2017-03-03

    Vertically aligned gallium nitride (GaN) nanowire (NW) arrays have attracted a lot of attention because of their potential for novel devices in the fields of optoelectronics and nanoelectronics. In this work, GaN NW arrays have been designed and fabricated by combining suitable nanomachining processes including dry and wet etching. After inductively coupled plasma dry reactive ion etching, the GaN NWs are subsequently treated in wet chemical etching using AZ400K developer (i.e., with an activation energy of 0.69 ± 0.02 eV and a Cr mask) to form hexagonal and smooth a-plane sidewalls. Etching experiments using potassium hydroxide (KOH) water solution reveal that the sidewall orientation preference depends on etchant concentration. A model concerning surface bonding configuration on crystallography facets has been proposed to understand the anisotropic wet etching mechanism. Finally, NW array-based vertical field-effect transistors with wrap-gated structure have been fabricated. A device composed of 99 NWs exhibits enhancement mode operation with a threshold voltage of 1.5 V, a superior electrostatic control, and a high current output of >10 mA, which prevail potential applications in next-generation power switches and high-temperature digital circuits.

  15. Bond strengths of Scotchbond Multi-Purpose to moist dentin and enamel.

    PubMed

    Swift, E J; Triolo, P T

    1992-12-01

    This in vitro study tested the shear bond strengths of the Scotchbond Multi-Purpose adhesive system to moist and dry enamel and dentin. After the tooth was etched, the surface was either dried with compressed air or blotted with tissue paper, leaving the surface visibly moist. Primer and adhesive were applied according to the manufacturer's directions. Resin composite posts were applied, and the specimens were thermocycled. Shear bond strengths were determined using an Instron universal testing machine. For both enamel and dentin, mean shear bond strengths were higher when the surface was left visibly moist after etching. Bond strengths to moist and dry dentin were 21.8 and 17.8 MPa, respectively. Enamel bond strengths were slightly lower, with values of 17.0 and 14.2 MPa to moist and dry enamel, respectively.

  16. A nontransferring dry adhesive with hierarchical polymer nanohairs.

    PubMed

    Jeong, Hoon Eui; Lee, Jin-Kwan; Kim, Hong Nam; Moon, Sang Heup; Suh, Kahp Y

    2009-04-07

    We present a simple yet robust method for fabricating angled, hierarchically patterned high-aspect-ratio polymer nanohairs to generate directionally sensitive dry adhesives. The slanted polymeric nanostructures were molded from an etched polySi substrate containing slanted nanoholes. An angled etching technique was developed to fabricate slanted nanoholes with flat tips by inserting an etch-stop layer of silicon dioxide. This unique etching method was equipped with a Faraday cage system to control the ion-incident angles in the conventional plasma etching system. The polymeric nanohairs were fabricated with tailored leaning angles, sizes, tip shapes, and hierarchical structures. As a result of controlled leaning angle and bulged flat top of the nanohairs, the replicated, slanted nanohairs showed excellent directional adhesion, exhibiting strong shear attachment (approximately 26 N/cm(2) in maximum) in the angled direction and easy detachment (approximately 2.2 N/cm(2)) in the opposite direction, with a hysteresis value of approximately 10. In addition to single scale nanohairs, monolithic, micro-nanoscale combined hierarchical hairs were also fabricated by using a 2-step UV-assisted molding technique. These hierarchical nanoscale patterns maintained their adhesive force even on a rough surface (roughness <20 microm) because of an increase in the contact area by the enhanced height of hierarchy, whereas simple nanohairs lost their adhesion strength. To demonstrate the potential applications of the adhesive patch, the dry adhesive was used to transport a large-area glass (47.5 x 37.5 cm(2), second-generation TFT-LCD glass), which could replace the current electrostatic transport/holding system with further optimization.

  17. A nontransferring dry adhesive with hierarchical polymer nanohairs

    PubMed Central

    Jeong, Hoon Eui; Lee, Jin-Kwan; Kim, Hong Nam; Moon, Sang Heup; Suh, Kahp Y.

    2009-01-01

    We present a simple yet robust method for fabricating angled, hierarchically patterned high-aspect-ratio polymer nanohairs to generate directionally sensitive dry adhesives. The slanted polymeric nanostructures were molded from an etched polySi substrate containing slanted nanoholes. An angled etching technique was developed to fabricate slanted nanoholes with flat tips by inserting an etch-stop layer of silicon dioxide. This unique etching method was equipped with a Faraday cage system to control the ion-incident angles in the conventional plasma etching system. The polymeric nanohairs were fabricated with tailored leaning angles, sizes, tip shapes, and hierarchical structures. As a result of controlled leaning angle and bulged flat top of the nanohairs, the replicated, slanted nanohairs showed excellent directional adhesion, exhibiting strong shear attachment (≈26 N/cm2 in maximum) in the angled direction and easy detachment (≈2.2 N/cm2) in the opposite direction, with a hysteresis value of ≈10. In addition to single scale nanohairs, monolithic, micro-nanoscale combined hierarchical hairs were also fabricated by using a 2-step UV-assisted molding technique. These hierarchical nanoscale patterns maintained their adhesive force even on a rough surface (roughness <20 μm) because of an increase in the contact area by the enhanced height of hierarchy, whereas simple nanohairs lost their adhesion strength. To demonstrate the potential applications of the adhesive patch, the dry adhesive was used to transport a large-area glass (47.5 × 37.5 cm2, second-generation TFT-LCD glass), which could replace the current electrostatic transport/holding system with further optimization. PMID:19304801

  18. Measurement of the Electron Density and the Attachment Rate Coefficient in Silane/Helium Discharges.

    DTIC Science & Technology

    1986-09-01

    materials -- in this case hydrogenated amorphous silicon . One of the biggest problems in such a task is the fact that the discharge creates complex radicals...electron density is enhanced -- even on a time-averaged basis, and the silicon deposition rate is also increased. The physical process for the density...etching and deposition of semiconductor materials. Plasma etching (also known as dry etching) Of silicon using flourine bearing gases has made it possible

  19. Optimization of plasma etching of SiO2 as hard mask for HgCdTe dry etching

    NASA Astrophysics Data System (ADS)

    Chen, Yiyu; Ye, Zhenhua; Sun, Changhong; Zhang, Shan; Xin, Wen; Hu, Xiaoning; Ding, Ruijun; He, Li

    2016-10-01

    HgCdTe is one of the dominating materials for infrared detection. To pattern this material, our group has proven the feasibility of SiO2 as a hard mask in dry etching process. In recent years, the SiO2 mask patterned by plasma with an auto-stopping layer of ZnS sandwiched between HgCdTe and SiO2 has been developed by our group. In this article, we will report the optimization of SiO2 etching on HgCdTe. The etching of SiO2 is very mature nowadays. Multiple etching recipes with deferent gas mixtures can be used. We utilized a recipe containing Ar and CHF3. With strictly controlled photolithography, the high aspect-ratio profile of SiO2 was firstly achieved on GaAs substrate. However, the same recipe could not work well on MCT because of the low thermal conductivity of HgCdTe and CdTe, resulting in overheated and deteriorated photoresist. By decreasing the temperature, the photoresist maintained its good profile. A starting table temperature around -5°C worked well enough. And a steep profile was achieved as checked by the SEM. Further decreasing of temperature introduced profile with beveled corner. The process window of the temperature is around 10°C. Reproducibility and uniformity were also confirmed for this recipe.

  20. Correlation between border traps and exposed surface properties in gate recessed normally-off Al2O3/GaN MOSFET

    NASA Astrophysics Data System (ADS)

    Yin, Ruiyuan; Li, Yue; Sun, Yu; Wen, Cheng P.; Hao, Yilong; Wang, Maojun

    2018-06-01

    We report the effect of the gate recess process and the surface of as-etched GaN on the gate oxide quality and first reveal the correlation between border traps and exposed surface properties in normally-off Al2O3/GaN MOSFET. The inductively coupled plasma (ICP) dry etching gate recess with large damage presents a rough and active surface that is prone to form detrimental GaxO validated by atomic force microscopy and X-ray photoelectron spectroscopy. Lower drain current noise spectral density of the 1/f form and less dispersive ac transconductance are observed in GaN MOSFETs fabricated with oxygen assisted wet etching compared with devices based on ICP dry etching. One decade lower density of border traps is extracted in devices with wet etching according to the carrier number fluctuation model, which is consistent with the result from the ac transconductance method. Both methods show that the density of border traps is skewed towards the interface, indicating that GaxO is of higher trap density than the bulk gate oxide. GaxO located close to the interface is the major location of border traps. The damage-free oxidation assisted wet etching gate recess technique presents a relatively smooth and stable surface, resulting in lower border trap density, which would lead to better MOS channel quality and improved device reliability.

  1. Micro-pyramidal structure fabrication on polydimethylsiloxane (PDMS) by Si (100) KOH wet etching

    NASA Astrophysics Data System (ADS)

    Hwang, Shinae; Lim, Kyungsuk; Shin, Hyeseon; Lee, Seongjae; Jang, Moongyu

    2017-10-01

    A high degree of accuracy in bulk micromachining is essential to fabricate micro-electro-mechanical systems (MEMS) devices. A series of etching experiments is carried out using 40 wt% KOH solutions at the constant temperature of 70 °C. Before wet etching, SF6 and O2 are used as the dry etching gas to etch the masking layers of a 100 nm thick Si3N4 and SiO2, respectively. The experimental results indicate that (100) silicon wafer form the pyramidal structures with (111) single crystal planes. All the etch profiles are analyzed using Scanning Electron Microscope (SEM) and the wet etch rates depend on the opening sizes. The manufactured pyramidal structures are used as the pattern of silicon mold. After a short hardening of coated polydimethylsiloxane (PDMS) layer, micro pyramidal structures are easily transferred to PDMS layer.

  2. Adhesive performance of a multi-mode adhesive system: 1-year in vitro study.

    PubMed

    Marchesi, Giulio; Frassetto, Andrea; Mazzoni, Annalisa; Apolonio, Fabianni; Diolosà, Marina; Cadenaro, Milena; Di Lenarda, Roberto; Pashley, David H; Tay, Franklin; Breschi, Lorenzo

    2014-05-01

    The aim of this study was to investigate the adhesive stability over time of a multi-mode one-step adhesive applied using different bonding techniques on human coronal dentine. The hypotheses tested were that microtensile bond strength (μTBS), interfacial nanoleakage expression and matrix metalloproteinases (MMPs) activation are not affected by the adhesive application mode (following the use of self-etch technique or with the etch-and-rinse technique on dry or wet dentine) or by ageing for 24h, 6 months and 1year in artificial saliva. Human molars were cut to expose middle/deep dentine and assigned to one of the following bonding systems (N=15): (1) Scotchbond Universal (3M ESPE) self-etch mode, (2) Scotchbond Universal etch-and-rinse technique on wet dentine, (3) Scotchbond Universal etch-and-rinse technique on dry dentine, and (4) Prime&Bond NT (Dentsply De Trey) etch-and-rinse technique on wet dentine (control). Specimens were processed for μTBS test in accordance with the non-trimming technique and stressed to failure after 24h, 6 months or 1 year. Additional specimens were processed and examined to assay interfacial nanoleakage and MMP expression. At baseline, no differences between groups were found. After 1 year of storage, Scotchbond Universal applied in the self-etch mode and Prime&Bond NT showed higher μTBS compared to the other groups. The lowest nanoleakage expression was found for Scotchbond Universal applied in the self-etch mode, both at baseline and after storage. MMPs activation was found after application of each tested adhesive. The results of this study support the use of the self-etch approach for bonding the tested multi-mode adhesive system to dentine due to improved stability over time. Improved bonding effectiveness of the tested universal adhesive system on dentine may be obtained if the adhesive is applied with the self-etch approach. Copyright © 2014 Elsevier Ltd. All rights reserved.

  3. Scanning electron microscopy evaluation of the effect of etching agents on human enamel surface.

    PubMed

    Zanet, Caio G; Arana-Chavez, Victor E; Fava, Marcelo

    2006-01-01

    Acid etching promotes microporosities on enamel surface, which provide a better bonding surface to adhesive materials. The purpose of this study was to comparatively analyze the microstructure of enamel surface after etching with 37% phosphoric acid or with two self-etching primers, Non-rinse conditioner (NRC) and Clearfil SE Bond (CSEB) using scanning electron microscopy. Thirty sound premolars were divided into 3 groups with ten teeth each: Group 1: the buccal surface was etched with 37% phosphoric acid for 15 seconds; Group 2: the buccal surface was etched with NRC for 20 seconds; Group 3: the buccal surface was etched with CSEB for 20 seconds. Teeth from Group 1 were rinsed with water; teeth from all groups were air-dried for 15 seconds. After that, all specimens were processed for scanning electron microscopy and analyzed in a Jeol 6100 SEM. The results showed deeper etching when the enamel surface was etched with 37% phosphoric acid, followed by NRC and CSEB. It is concluded that 37% phosphoric acid is still the best agent for a most effective enamel etching.

  4. Sputtered gold mask for deep chemical etching of silicon

    NASA Technical Reports Server (NTRS)

    Pisciotta, B. P.; Gross, C.; Olive, R. S.

    1975-01-01

    Sputtered mask resists chemical attack from acid and has adherence to withstand prolonged submergence in etch solution without lifting from silicon surface. Even under prolonged etch conditions with significant undercutting, gold mask maintained excellent adhesion to silicon surface and imperviousness to acid.

  5. Development and evaluation of magnesium oxide-based ceramics for chamber parts in mass-production plasma etching equipment

    NASA Astrophysics Data System (ADS)

    Kasashima, Yuji; Tsutsumi, Kota; Mitomi, Shinzo; Uesugi, Fumihiko

    2017-06-01

    In mass-production plasma etching equipment, the corrosion of ceramic chamber parts reduces the production yield of LSI and overall equipment effectiveness (OEE) owing to contamination, short useful life, and particle generation. Novel ceramics that can improve the production yield and OEE are highly required. We develop magnesium oxide (MgO)-based ceramics and evaluate them under mass-production plasma etching conditions. The results of this study indicate that the developed MgO-based ceramics with high mechanical properties and low electric resistivity have a higher resistance to corrosion in plasma etching using CF4 gas than Si and conventional ceramic materials such as aluminum oxide and yttrium oxide.

  6. The effect of reactive ion etch (RIE) process conditions on ReRAM device performance

    NASA Astrophysics Data System (ADS)

    Beckmann, K.; Holt, J.; Olin-Ammentorp, W.; Alamgir, Z.; Van Nostrand, J.; Cady, N. C.

    2017-09-01

    The recent surge of research on resistive random access memory (ReRAM) devices has resulted in a wealth of different materials and fabrication approaches. In this work, we describe the performance implications of utilizing a reactive ion etch (RIE) based process to fabricate HfO2 based ReRAM devices, versus a more unconventional shadow mask fabrication approach. The work is the result of an effort to increase device yield and reduce individual device size. Our results show that choice of RIE etch gas (SF6 versus CF4) is critical for defining the post-etch device profile (cross-section), and for tuning the removal of metal layers used as bottom electrodes in the ReRAM device stack. We have shown that etch conditions leading to a tapered profile for the device stack cause poor electrical performance, likely due to metal re-deposition during etching, and damage to the switching layer. These devices exhibit nonlinear I-V during the low resistive state, but this could be improved to linear behavior once a near-vertical etch profile was achieved. Device stacks with vertical etch profiles also showed an increase in forming voltage, reduced switching variability and increased endurance.

  7. Low-damage direct patterning of silicon oxide mask by mechanical processing

    PubMed Central

    2014-01-01

    To realize the nanofabrication of silicon surfaces using atomic force microscopy (AFM), we investigated the etching of mechanically processed oxide masks using potassium hydroxide (KOH) solution. The dependence of the KOH solution etching rate on the load and scanning density of the mechanical pre-processing was evaluated. Particular load ranges were found to increase the etching rate, and the silicon etching rate also increased with removal of the natural oxide layer by diamond tip sliding. In contrast, the local oxide pattern formed (due to mechanochemical reaction of the silicon) by tip sliding at higher load was found to have higher etching resistance than that of unprocessed areas. The profile changes caused by the etching of the mechanically pre-processed areas with the KOH solution were also investigated. First, protuberances were processed by diamond tip sliding at lower and higher stresses than that of the shearing strength. Mechanical processing at low load and scanning density to remove the natural oxide layer was then performed. The KOH solution selectively etched the low load and scanning density processed area first and then etched the unprocessed silicon area. In contrast, the protuberances pre-processed at higher load were hardly etched. The etching resistance of plastic deformed layers was decreased, and their etching rate was increased because of surface damage induced by the pre-processing. These results show that etching depth can be controlled by controlling the etching time through natural oxide layer removal and mechanochemical oxide layer formation. These oxide layer removal and formation processes can be exploited to realize low-damage mask patterns. PMID:24948891

  8. Relation between film character and wafer alignment: critical alignment issues on HV device for VLSI manufacturing

    NASA Astrophysics Data System (ADS)

    Lo, Yi-Chuan; Lee, Chih-Hsiung; Lin, Hsun-Peng; Peng, Chiou-Shian

    1998-06-01

    Several continuous splits for wafer alignment target topography conditions to improve epitaxy film alignment were applied. The alignment evaluation among former layer pad oxide thickness (250 angstrom - 500 angstrom), drive oxide thickness (6000 angstrom - 10000 angstrom), nitride film thickness (600 angstrom - 1500 angstrom), initial oxide etch (fully wet etch, fully dry etch and dry plus wet etch) will be split to this experiment. Also various epitaxy deposition recipe such as: epitaxy source (SiHCl2 or SiCHCl3) and growth rate (1.3 micrometer/min approximately 2.0 micrometer/min) will be used to optimize the process window for alignment issue. All the reflectance signal and cross section photography of alignment target during NIKON stepper alignment process will be examined. Experimental results show epitaxy recipe plays an important role to wafer alignment. Low growth rate with good performance conformity epitaxy lead to alignment target avoid washout, pattern shift and distortion. All the results (signal monitor and film character) combined with NIKON's stepper standard laser scanning alignment system will be discussed in this paper.

  9. Mechanisms of Hydrocarbon Based Polymer Etch

    NASA Astrophysics Data System (ADS)

    Lane, Barton; Ventzek, Peter; Matsukuma, Masaaki; Suzuki, Ayuta; Koshiishi, Akira

    2015-09-01

    Dry etch of hydrocarbon based polymers is important for semiconductor device manufacturing. The etch mechanisms for oxygen rich plasma etch of hydrocarbon based polymers has been studied but the mechanism for lean chemistries has received little attention. We report on an experimental and analytic study of the mechanism for etching of a hydrocarbon based polymer using an Ar/O2 chemistry in a single frequency 13.56 MHz test bed. The experimental study employs an analysis of transients from sequential oxidation and Ar sputtering steps using OES and surface analytics to constrain conceptual models for the etch mechanism. The conceptual model is consistent with observations from MD studies and surface analysis performed by Vegh et al. and Oehrlein et al. and other similar studies. Parameters of the model are fit using published data and the experimentally observed time scales.

  10. Bi-stage time evolution of nano-morphology on inductively coupled plasma etched fused silica surface caused by surface morphological transformation

    NASA Astrophysics Data System (ADS)

    Jiang, Xiaolong; Zhang, Lijuan; Bai, Yang; Liu, Ying; Liu, Zhengkun; Qiu, Keqiang; Liao, Wei; Zhang, Chuanchao; Yang, Ke; Chen, Jing; Jiang, Yilan; Yuan, Xiaodong

    2017-07-01

    In this work, we experimentally investigate the surface nano-roughness during the inductively coupled plasma etching of fused silica, and discover a novel bi-stage time evolution of surface nano-morphology. At the beginning, the rms roughness, correlation length and nano-mound dimensions increase linearly and rapidly with etching time. At the second stage, the roughening process slows down dramatically. The switch of evolution stage synchronizes with the morphological change from dual-scale roughness comprising long wavelength underlying surface and superimposed nano-mounds to one scale of nano-mounds. A theoretical model based on surface morphological change is proposed. The key idea is that at the beginning, etched surface is dual-scale, and both larger deposition rate of etch inhibitors and better plasma etching resistance at the surface peaks than surface valleys contribute to the roughness development. After surface morphology transforming into one-scale, the difference of plasma resistance between surface peaks and valleys vanishes, thus the roughening process slows down.

  11. The imaging study of a novel photopolymer used in I-line negative-tone resist

    NASA Astrophysics Data System (ADS)

    Liu, Lu; Zou, Yingquan

    2010-04-01

    By copolymerization of 2-(2-diazo-3-oxo-3-(4-dimethylaminophenyl)propionyloxy)ethyl methacrylate (DODMAPPEA), methyl methacrylate (MMA) and 2-hydroxyethyl methacrylate (HEMA), a photoactive polymer for negative-tone resist is synthesized and its photolithographic properties are investigated. Since the maximum-absorption wavelength of the photoactive monomer DODMAPPEA is 356nm and it still has a comparatively large absorption at 365nm (I-line), the copolymer poly(DODMAPPEA -co-MMA-co-HEMA) is anticipated to be used in I-line single component negative-tone resist. Upon irradiaton, the diazoketo groups which are in the side chains of the copolymers undergo the wolff rearrangement, affording ketenes that react with hydroxyl to provide cross-linking photoproducts and a negative image is obtained. Besides that, cross-linking agent hexamethoxymethylmelamine (HMMM) is added to the resist system and high sensitivity is expected. This kind of copolymer has great value in I-line non-CARs, TFT-LCD and IC discrete devices processing and the anti-dry etching ability is enhanced by the introduction of the benzene ring. In addition, this copolymer still has potential value in Ultra-violate lithographic plate.

  12. Dielectrophoretic trapping of DNA-coated gold nanoparticles on silicon based vertical nanogap devices.

    PubMed

    Strobel, Sebastian; Sperling, Ralph A; Fenk, Bernhard; Parak, Wolfgang J; Tornow, Marc

    2011-06-07

    We report on the successful dielectrophoretic trapping and electrical characterization of DNA-coated gold nanoparticles on vertical nanogap devices (VNDs). The nanogap devices with an electrode distance of 13 nm were fabricated from Silicon-on-Insulator (SOI) material using a combination of anisotropic reactive ion etching (RIE), selective wet chemical etching and metal thin-film deposition. Au nanoparticles (diameter 40 nm) coated with a monolayer of dithiolated 8 base pairs double stranded DNA were dielectrophoretically trapped into the nanogap from electrolyte buffer solution at MHz frequencies as verified by scanning and transmission electron microscopy (SEM/TEM) analysis. First electrical transport measurements through the formed DNA-Au-DNA junctions partially revealed an approximately linear current-voltage characteristic with resistance in the range of 2-4 GΩ when measured in solution. Our findings point to the importance of strong covalent bonding to the electrodes in order to observe DNA conductance, both in solution and in the dry state. We propose our setup for novel applications in biosensing, addressing the direct interaction of biomolecular species with DNA in aqueous electrolyte media.

  13. Morphological Evaluation of the Adhesive/Enamel interfaces of Two-step Self-etching Adhesives and Multimode One-bottle Self-etching Adhesives.

    PubMed

    Sato, Takaaki; Takagaki, Tomohiro; Matsui, Naoko; Hamba, Hidenori; Sadr, Alireza; Nikaido, Toru; Tagami, Junji

    To evaluate the acid-base resistant zone (ABRZ) at the adhesive/enamel interface of self-etching adhesives with or without prior phosphoric acid etching. Four adhesives were used in 8 groups: Clearfil SE Bond (SEB), Optibond XTR (XTR), Scotchbond Universal Adhesive (SBU), and Clearfil BOND SE ONE (ONE) without prior phosphoric-acid etching, and each adhesive with phosphoric acid etching for 10 s (P-SEB, P-XTR, P-SBU and P-ONE, respectively). After application of self-etching adhesives on ground enamel surfaces of human teeth, a flowable composite was placed. For observation of the acid-base resistant zone (ABRZ), the bonded interface was exposed to demineralizing solution (pH 4.5) for 4.5 h, followed by 5% NaOCl with ultrasonication for 20 min. After the acid-base challenge, morphological attributes of the interface were observed using SEM. ABRZ formation was confirmed in all groups. The funnel-shaped erosion beneath the interface was present in SBU and ONE, where nearly 10 to 15 μm of enamel was dissolved. With phosphoric acid etching, the ABRZs were obviously thicker compared with no phosphoric acid etching. Enamel beneath the bonding interface was more susceptible to acid dissolution in SBU and ONE. In the case of the one-bottle self-etching adhesives and universal adhesives that intrinsically have higher pH values, enamel etching should be recommended to improve the interfacial quality.

  14. Effects produced by CDU improvement of resist pattern with PEB temperature control for wiring resistance variation reduction

    NASA Astrophysics Data System (ADS)

    Tadokoro, Masahide; Shinozuka, Shinichi; Ogata, Kunie; Morimoto, Tamotsu

    2008-03-01

    Semiconductor manufacturing technology has shifted towards finer design rules, and demands for critical dimension uniformity (CDU) of resist patterns have become greater than ever. One of the methods for improving CDU of resist pattern is to control the temperature of post-exposure bake (PEB). When ArF resist is used, there is a certain relationship between critical dimension (CD) and PEB temperature. By utilizing this relationship, Resist Pattern CDU can be improved through control of within-wafer temperature distribution in the PEB process. We have already applied this method to Resist Pattern CDU improvement and have achieved these results. In this evaluation, we aim at: 1. Clarifying the relationship between the improvement in Resist Pattern CDU through PEB temperature control and the improvement in Etching Pattern CDU. 2. Verifying whether Resist Pattern CDU improvement through PEB temperature control has any effect on the reduction in wiring resistance variation. The evaluation procedure is: 1. Preparation of wafers with base film of doped Poly-Si (D-Poly). 2. Creation of two sets of samples on the base, a set of samples with good Resist Pattern CDU and a set of samples with poor Resist Pattern CDU. 3. Etching of the two sets under the same conditions. 4. Measurements of CD and wiring resistance. We used Optical CD Measurement (OCD) for measurement of resist pattern and etching pattern for the reason that OCD is minimally affected by Line Edge Roughness (LER). As a result, we found that; 1. The improvement in Resist Pattern CDU leads to the improvement in Etching Pattern CDU . 2. The improvement in Resist Pattern CDU has an effect on the reduction in wiring resistance variation. There is a cause-and-effect relationship between wiring resistance variation and transistor characteristics. From this relationship, we expect that the improvement in Resist Pattern CDU through PEB temperature control can contribute to device performance improvement.

  15. Combined dry plasma etching and online metrology for manufacturing highly focusing x-ray mirrors

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Berujon, S., E-mail: berujon@esrf.eu; Ziegler, E., E-mail: ziegler@esrf.eu; Cunha, S. da

    A new figuring station was designed and installed at the ESRF beamline BM05. It allows the figuring of mirrors within an iterative process combining the advantage of online metrology with dry etching. The complete process takes place under a vacuum environment to minimize surface contamination while non-contact surfacing tools open up the possibility of performing at-wavelength metrology and eliminating placement errors. The aim is to produce mirrors whose slopes do not deviate from the stigmatic profile by more than 0.1 µrad rms while keeping surface roughness in the acceptable limit of 0.1-0.2 nm rms. The desired elliptical mirror surface shapemore » can be achieved in a few iterations in about a one day time span. This paper describes some of the important aspects of the process regarding both the online metrology and the etching process.« less

  16. Fabrication of micro/nano hierarchical structures with analysis on the surface mechanics

    NASA Astrophysics Data System (ADS)

    Jheng, Yu-Sheng; Lee, Yeeu-Chang

    2016-10-01

    Biomimicry refers to the imitation of mechanisms and features found in living creatures using artificial methods. This study used optical lithography, colloidal lithography, and dry etching to mimic the micro/nano hierarchical structures covering the soles of gecko feet. We measured the static contact angle and contact angle hysteresis to reveal the behavior of liquid drops on the hierarchical structures. Pulling tests were also performed to measure the resistance of movement between the hierarchical structures and a testing plate. Our results reveal that hierarchical structures at the micro-/nano-scale are considerably hydrophobic, they provide good flow characteristics, and they generate more contact force than do surfaces with micro-scale cylindrical structures.

  17. Bond strength of self-etch adhesives after saliva contamination at different application steps.

    PubMed

    Cobanoglu, N; Unlu, N; Ozer, F F; Blatz, M B

    2013-01-01

    This study evaluated and compared the effect of saliva contamination and possible decontamination methods on bond strengths of two self-etching adhesive systems (Clearfil SE Bond [CSE], Optibond Solo Plus SE [OSE]). Flat occlusal dentin surfaces were created on 180 extracted human molar teeth. The two bonding systems and corresponding composite resins (Clearfil AP-X, Kerr Point 4) were bonded to the dentin under six surface conditions (n=15/group): group 1 (control): primer/bonding/composite; group 2: saliva/drying/primer/bonding/composite; group 3: primer/saliva/rinsing/drying/primer/bonding/composite; group 4: primer/saliva/rinsing/drying/bonding/composite; group 5: primer/bonding (cured)/saliva/rinsing/drying/primer/bonding/composite; group 6: primer/bonding (cured)/saliva/removing contaminated layer with a bur/rinsing/drying/primer/bonding/composite. Shear bond strength was tested after specimens were stored in distilled water at 37°C for 24 hours. One-way analysis of variance and Tukey post hoc tests were used for statistical analyses. For CSE, groups 2, 3, and 4 and for OSE, groups 6, 2, and 4 showed significantly lower bond strengths than the control group (p<0.05). CSE groups 5 and 6 and OSE groups 3 and 5 revealed bond strengths similar to the control. When saliva contamination occurred after light polymerization of the bonding agent, repeating the bonding procedure recovered the bonding capacity of both self-etch adhesives. However, saliva contamination before or after primer application negatively affected their bond strength.

  18. Influence of incorrect application of a water-based adhesive system on the marginal adaptation of Class V restorations.

    PubMed

    Peschke, A; Blunck, U; Roulet, J F

    2000-10-01

    To determine the influence of incorrectly performed steps during the application of the water-based adhesive system OptiBond FL on the marginal adaptation of Class V composite restorations. In 96 extracted human teeth Class V cavities were prepared. Half of the margin length was situated in dentin. The teeth were randomly divided into 12 groups. The cavities were filled with Prodigy resin-based composite in combination with OptiBond FL according to the manufacturer's instructions (Group O) and including several incorrect application steps: Group A: prolonged etching (60 s); Group B: no etching of dentin; Group C: excessive drying after etching; Group D: short rewetting after excessive drying; Group E: air drying and rewetting; Group F: blot drying; Group G: saliva contamination; Group H: application of primer and immediate drying; group I: application of only primer; group J: application of only adhesive; Group K: no light curing of the adhesive before the application of composite. After thermocycling, replicas were taken and the margins were quantitatively analyzed in the SEM. Statistical analysis of the results was performed using non-parametric procedures. With exception of the "rewetting groups" (D and E) and the group with saliva contamination (G), all other application procedures showed a significantly higher amount of marginal openings in dentin compared to the control group (O). Margin quality in enamel was only affected when the primer was not applied.

  19. Research on InGaAs FETs.

    DTIC Science & Technology

    1981-09-01

    is to reduce resistance and to allow wirebonding. Finally, the excess p-region is etched away (Figs. 2f and 2g) using 25 citric acid (50% by weight...found to be parallel to the grains. Gates etched in the citric acid /hydrogen perioxide etch that are oriented parallel to the grains have the cross...occur at IV reverse bias (i.e., negative gate voltage and IDSS is typically z 45 mA. After 60 sec of etch- ing in the citric acid etch (i.e., 25 citric

  20. AlGaN-Cladding-Free m-Plane InGaN/GaN Laser Diodes with p-Type AlGaN Etch Stop Layers

    NASA Astrophysics Data System (ADS)

    Farrell, Robert M.; Haeger, Daniel A.; Hsu, Po Shan; Hardy, Matthew T.; Kelchner, Kathryn M.; Fujito, Kenji; Feezell, Daniel F.; Mishra, Umesh K.; DenBaars, Steven P.; Speck, James S.; Nakamura, Shuji

    2011-09-01

    We present a new method of improving the accuracy and reproducibility of dry etching processes for ridge waveguide InGaN/GaN laser diodes (LDs). A GaN:Al0.09Ga0.91N etch rate selectivity of 11:1 was demonstrated for an m-plane LD with a 40 nm p-Al0.09Ga0.91N etch stop layer (ESL) surrounded by Al-free cladding layers, establishing the effectiveness of AlGaN-based ESLs for controlling etch depth in ridge waveguide InGaN/GaN LDs. These results demonstrate the potential for integrating AlGaN ESLs into commercial device designs where accurate control of the etch depth of the ridge waveguide is necessary for stable, kink-free operation at high output powers.

  1. High-etch-rate bottom-antireflective coating and gap-fill materials using dextrin derivatives in via first dual-Damascene lithography process

    NASA Astrophysics Data System (ADS)

    Takei, Satoshi; Sakaida, Yasushi; Shinjo, Tetsuya; Hashimoto, Keisuke; Nakajima, Yasuyuki

    2008-03-01

    The present paper describes a novel class of bottom antireflective coating (BARC) and gap fill materials using dextrin derivatives. The general trend of interconnect fabrication for such a high performance LSI is to apply cupper (Cu)/ low-dielectric-constant (low-k) interconnect to reduce RC delay. A via-first dual damascene process is one of the most promising processes to fabricate Cu/ low-k interconnect due to its wide miss-alignment margin. The sacrificial materials containing dextrin derivatives under resist for lithography were developed in via-first dual damascene process. The dextrin derivatives in this study was obtained by the esterification of the hydroxyl groups of dextrin resulting in improved solubility in the resist solvents such as propylene glycol monomethylether, propylene glycol monomethylether acetate, and ethyl lactate due to avoid the issue of defects that were caused by incompatability. The etch rate of our developed BARC and gap fill materials using dextrin derivatives was more than two times faster than one of the ArF resists evaluated in a CF4 gas condition using reactive ion etching. The improved etch performance was also verified by comparison with poly(hydroxystyrene), acrylate-type materials and latest low-k materials as a reference. In addition to superior etch performance, these materials showed good resist profiles and via filling performance without voids in via holes.

  2. Wafer hotspot prevention using etch aware OPC correction

    NASA Astrophysics Data System (ADS)

    Hamouda, Ayman; Power, Dave; Salama, Mohamed; Chen, Ao

    2016-03-01

    As technology development advances into deep-sub-wavelength nodes, multiple patterning is becoming more essential to achieve the technology shrink requirements. Recently, Optical Proximity Correction (OPC) technology has proposed simultaneous correction of multiple mask-patterns to enable multiple patterning awareness during OPC correction. This is essential to prevent inter-layer hot-spots during the final pattern transfer. In state-of-art literature, multi-layer awareness is achieved using simultaneous resist-contour simulations to predict and correct for hot-spots during mask generation. However, this approach assumes a uniform etch shrink response for all patterns independent of their proximity, which isn't sufficient for the full prevention of inter-exposure hot-spot, for example different color space violations post etch or via coverage/enclosure post etch. In this paper, we explain the need to include the etch component during multiple patterning OPC. We also introduce a novel approach for Etch-aware simultaneous Multiple-patterning OPC, where we calibrate and verify a lumped model that includes the combined resist and etch responses. Adding this extra simulation condition during OPC is suitable for full chip processing from a computation intensity point of view. Also, using this model during OPC to predict and correct inter-exposures hot-spots is similar to previously proposed multiple-patterning OPC, yet our proposed approach more accurately corrects post-etch defects too.

  3. Dry etch challenges for CD shrinkage in memory process

    NASA Astrophysics Data System (ADS)

    Matsushita, Takaya; Matsumoto, Takanori; Mukai, Hidefumi; Kyoh, Suigen; Hashimoto, Kohji

    2015-03-01

    Line pattern collapse attracts attention as a new problem of the L&S formation in sub-20nm H.P feature. Line pattern collapse that occurs in a slight non-uniformity of adjacent CD (Critical dimension) space using double patterning process has been studied with focus on micro-loading effect in Si etching. Bias RF pulsing plasma etching process using low duty cycle helped increase of selectivity Si to SiO2. In addition to the effect of Bias RF pulsing process, the thin mask obtained from improvement of selectivity has greatly suppressed micro-loading in Si etching. However it was found that micro-loading effect worsen again in sub-20nm space width. It has been confirmed that by using cycle etch process to remove deposition with CFx based etching micro-loading effect could be suppressed. Finally, Si etching process condition using combination of results above could provide finer line and space without "line pattern collapse" in sub-20nm.

  4. Large-aperture focusing of x rays with micropore optics using dry etching of silicon wafers.

    PubMed

    Ezoe, Yuichiro; Moriyama, Teppei; Ogawa, Tomohiro; Kakiuchi, Takuya; Mitsuishi, Ikuyuki; Mitsuda, Kazuhisa; Aoki, Tatsuhiko; Morishita, Kohei; Nakajima, Kazuo

    2012-03-01

    Large-aperture focusing of Al K(α) 1.49 keV x-ray photons using micropore optics made from a dry-etched 4 in. (100 mm) silicon wafer is demonstrated. Sidewalls of the micropores are smoothed with high-temperature annealing to work as x-ray mirrors. The wafer is bent to a spherical shape to collect parallel x rays into a focus. Our result supports that this new type of optics allows for the manufacturing of ultralight-weight and high-performance x-ray imaging optics with large apertures at low cost. © 2012 Optical Society of America

  5. Improved photoluminescence efficiency in UV nanopillar light emitting diode structures by recovery of dry etching damage.

    PubMed

    Jeon, Dae-Woo; Jang, Lee-Woon; Jeon, Ju-Won; Park, Jae-Woo; Song, Young Ho; Jeon, Seong-Ran; Ju, Jin-Woo; Baek, Jong Hyeob; Lee, In-Hwan

    2013-05-01

    In this study, we have fabricated 375-nm-wavelength InGaN/AlInGaN nanopillar light emitting diodes (LED) structures on c-plane sapphire. A uniform and highly vertical nanopillar structure was fabricated using self-organized Ni/SiO2 nano-size mask by dry etching method. To minimize the dry etching damage, the samples were subjected to high temperature annealing with subsequent chemical passivation in KOH solution. Prior to annealing and passivation the UV nanopillar LEDs showed the photoluminescence (PL) efficiency about 2.5 times higher than conventional UV LED structures which is attributed to better light extraction efficiency and possibly some improvement of internal quantum efficiency due to partially relieved strain. Annealing alone further increased the PL efficiency by about 4.5 times compared to the conventional UV LEDs, while KOH passivation led to the overall PL efficiency improvement by more than 7 times. Combined results of Raman spectroscopy and X-ray photoelectron spectroscopy (XPS) suggest that annealing decreases the number of lattice defects and relieves the strain in the surface region of the nanopillars whereas KOH treatment removes the surface oxide from nanopillar surface.

  6. The effect of silver fluoride and potassium iodide on the bond strength of auto cure glass ionomer cement to dentine.

    PubMed

    Knight, G M; McIntyre, J M; Mulyani

    2006-03-01

    Diamine silver fluoride (Ag(NH3)2F), referred to as AgF, has been shown to reduce the incidence of caries in primary dentitions. The clinical application of this material has been limited by staining associated with both teeth and restorative materials. The application of potassium iodide (KI) after AgF eliminates stain formation. There is a lack of information as to how the addition of AgF followed by KI may affect the bond strength to dentine. The purpose of this study was to compare the bond strengths of auto cure glass ionomer cement to dentine surfaces that had been treated with AgF and KI and without treatment. Ten recently extracted human third molars were embedded into methyl methacrylate resin and sliced to form a square block of exposed dentine surfaces. Each of the four surfaces were treated by one of the following procedures: (a) etching with 37 per cent phosphoric acid; (b) applying GC dentine conditioner; (c) etching, followed by application of AgF/KI then washing off the precipitate and air drying; and (d) etching, applying AgF/KI and air drying the reaction products on the surface. Fuji VII auto cure glass ionomer cement was bonded onto each sample and fracture tested. The dentine samples treated with AgF/KI followed by washing away the precipitate and air drying had bond strengths (2.83 MPa) not significantly different from samples that had been conditioned (2.40 MPa). Samples where the AgF/KI precipitate had been air dried onto the dentine surface had significantly lower bond strengths (1.49 MPa) than the washed samples. Samples that were etched had significantly lower bond strengths (1.91MPa) than the conditioned samples. This study found that the application of AgF/KI to etched dentine samples followed by washing off the precipitate, created bond strengths that were not significantly different to conditioned samples. Leaving the AgF/KI precipitate on the dentine surface significantly reduced the bond strength of auto cured glass ionomer cement to dentine. Washing away the reaction products and air drying is recommended as the clinical protocol for using AgF and KI on dentine surfaces prior to application of an auto cure glass ionomer cement.

  7. SU-8 negative photoresist for optical mask manufacturing

    NASA Astrophysics Data System (ADS)

    Bogdanov, Alexei L.

    2000-06-01

    The requirements for better control, linearity, and uniformity of critical dimension (CD) on photomasks in fabrication of 180 and 150 nm generation devices result in increasing demand for thinner, more etching durable, and more sensitive e-beam resists. Novolac based resists with chemical amplification have been a choice for their sensitivity and stability during etching. However, difficult CD control due to the acid catalyzer diffusion and quite narrow post exposure bake (PEB) process window are some of the major drawbacks of these resists. SU-8 is recently introduced to the market negative photoresist. High sensitivity, fairly good adhesion properties, and relatively simple processing of SU-8 make it a good substitution for novolac based chemically amplified negative e-beam resists in optical mask manufacturing. The replacement of traditional chemically amplified resists by SU- 8 can increase the process latitude and reduce resist costs. Among the obvious drawbacks of SU-8 are the use of solvent- based developer and demand of oxygen plasma for resist removal. In this paper the use of SU-8 for optical mask manufacturing is reported. All steps of resist film preparation, exposure and development are paid a share of attention. Possibilities to use reactive ion etching (RIE) with oxygen in order to increase resist mask contrast are discussed. Special exposure strategy (pattern outlining) was employed to further improve the edge definition. The resist PEB temperature and time were studied to estimate their weight in overall CD control performance. Specially designed test patterns with 0.25 micrometer design rule could be firmly transferred into a chromium layer both by wet etching and ion milling. Influence of exposure dose variation on the pattern CD change was studied.

  8. Ultradeep electron cyclotron resonance plasma etching of GaN

    DOE PAGES

    Harrison, Sara E.; Voss, Lars F.; Torres, Andrea M.; ...

    2017-07-25

    Here, ultradeep (≥5 μm) electron cyclotron resonance plasma etching of GaN micropillars was investigated. Parametric studies on the influence of the applied radio-frequency power, chlorine content in a Cl 2/Ar etch plasma, and operating pressure on the etch depth, GaN-to-SiO 2 selectivity, and surface morphology were performed. Etch depths of >10 μm were achieved over a wide range of parameters. Etch rates and sidewall roughness were found to be most sensitive to variations in RF power and % Cl 2 in the etch plasma. Selectivities of >20:1 GaN:SiO 2 were achieved under several chemically driven etch conditions where a maximummore » selectivity of ~39:1 was obtained using a 100% Cl 2 plasma. The etch profile and (0001) surface morphology were significantly influenced by operating pressure and the chlorine content in the plasma. Optimized etch conditions yielded >10 μm tall micropillars with nanometer-scale sidewall roughness, high GaN:SiO 2 selectivity, and nearly vertical etch profiles. These results provide a promising route for the fabrication of ultradeep GaN microstructures for use in electronic and optoelectronic device applications. In addition, dry etch induced preferential crystallographic etching in GaN microstructures is also demonstrated, which may be of great interest for applications requiring access to non- or semipolar GaN surfaces.« less

  9. Overview of several applications of chemical downstream etching (CDE) for IC manufacturing: advantages and drawbacks versus WET processes

    NASA Astrophysics Data System (ADS)

    de Buttet, Côme; Prevost, Emilie; Campo, Alain; Garnier, Philippe; Zoll, Stephane; Vallier, Laurent; Cunge, Gilles; Maury, Patrick; Massin, Thomas; Chhun, Sonarith

    2017-03-01

    Today the IC manufacturing faces lots of problematics linked to the continuous down scaling of printed structures. Some of those issues are related to wet processing, which are often used in the IC manufacturing flow for wafer cleaning, material etching and surface preparation. In the current work we summarize the limitations for the next nodes of wet processing such as metallic contaminations, wafer charging, corrosion and pattern collapse. As a replacement, we promoted the isotropic chemical dry etching (CDE) which is supposed to fix all the above drawbacks. Etching steps of SI3N4 layers were evaluated in order to prove the interest of such technique.

  10. Investigation of direct current electrical properties of electrochemically etched mesoporous silicon carbide

    NASA Astrophysics Data System (ADS)

    Gautier, G.; Biscarrat, J.; Defforge, T.; Fèvre, A.; Valente, D.; Gary, A.; Menard, S.

    2014-12-01

    In this study, we show I-V characterizations of various metal/porous silicon carbide (pSiC)/silicon carbide (SiC) structures. SiC wafers were electrochemically etched from the Si and C faces in the dark or under UV lighting leading to different pSiC morphologies. In the case of low porosity pSiC etched in the dark, the I-V characteristics were found to be almost linear and the extracted resistivities of pSiC were around 1.5 × 104 Ω cm at 30 °C for the Si face. This is around 6 orders of magnitude higher than the resistivity of doped SiC wafers. In the range of 20-200 °C, the activation energy was around 50 meV. pSiC obtained from the C face was less porous and the measured average resistivity was 10 Ω cm. In the case high porosity pSiC etched under UV illumination, the resistivity was found to be much higher, around 1014 Ω cm at room temperature. In this case, the extracted activation energy was estimated to be 290 meV.

  11. Nd:YAG laser ablation and acid resistance of enamel.

    PubMed

    Kwon, Yong Hoon; Kwon, Oh-Won; Kim, Hyung-Il; Kim, Kyo-Han

    2003-09-01

    The acid resistance of Nd:YAG laser-ablated enamel surfaces was studied by evaluating crystal structure, mineral distribution, and fluorescence radiance and image in the present study. For comparison, 37% phosphoric acid etching was performed. The formation of beta-tricalcium phosphate (beta-TCP) was confirmed in the laser-ablated surface. The Ca/P ratio increased after ablation due to mineral re-distribution. In contrast, the Ca/P ratio decreased after acid etching due to mineral loss. The laser-ablated enamels showed a smaller increase of fluorescence radiances and less clear laser confocal scanning microscope images than those observed in the acid-etched enamels. The former suggests a minimized mineral loss. The Nd:YAG laser irradiation will enhance the acid resistance and retard the carious progression in enamel.

  12. Study of Photosensitive Dry Films Absorption for Printed Circuit Boards by Photoacoustic Technique

    NASA Astrophysics Data System (ADS)

    Hernández, R.; Zaragoza, J. A. Barrientos; Jiménez-Pérez, J. L.; Orea, A. Cruz; Correa-Pacheco, Z. N.

    2017-08-01

    In this work, the study of photosensitive dry-type films by photoacoustic technique is proposed. The dry film photoresist is resistant to chemical etching for printed circuit boards such as ferric chloride, sodium persulfate or ammonium, hydrochloric acid. It is capable of faithfully reproducing circuit pattern exposed to ultraviolet light (UV) through a negative. Once recorded, the uncured portion is removed with alkaline solution. It is possible to obtain good results in surface mount circuits with tracks of 5 mm. Furthermore, the solid resin films are formed by three layers, two protective layers and a UV-sensitive optical absorption layer in the range of 325 nm to 405 nm. By means of optical absorption of UV-visible rays emitted by a low-power Xe lamp, the films transform this energy into thermal waves generated by the absorption of optical radiation and subsequently no-radiative de-excitation occurs. The photoacoustic spectroscopy is a useful technique to measure the transmittance and absorption directly. In this study, the optical absorption spectra of the three layers of photosensitive dry-type films were obtained as a function of the wavelength, in order to have a knowledge of the absorber layer and the protective layers. These analyses will give us the physical properties of the photosensitive film, which are very important in curing the dry film for applications in printed circuit boards.

  13. Comparative analysis of barium titanate thin films dry etching using inductively coupled plasmas by different fluorine-based mixture gas

    PubMed Central

    2014-01-01

    In this work, the inductively coupled plasma etching technique was applied to etch the barium titanate thin film. A comparative study of etch characteristics of the barium titanate thin film has been investigated in fluorine-based (CF4/O2, C4F8/O2 and SF6/O2) plasmas. The etch rates were measured using focused ion beam in order to ensure the accuracy of measurement. The surface morphology of etched barium titanate thin film was characterized by atomic force microscope. The chemical state of the etched surfaces was investigated by X-ray photoelectron spectroscopy. According to the experimental result, we monitored that a higher barium titanate thin film etch rate was achieved with SF6/O2 due to minimum amount of necessary ion energy and its higher volatility of etching byproducts as compared with CF4/O2 and C4F8/O2. Low-volatile C-F compound etching byproducts from C4F8/O2 were observed on the etched surface and resulted in the reduction of etch rate. As a result, the barium titanate films can be effectively etched by the plasma with the composition of SF6/O2, which has an etch rate of over than 46.7 nm/min at RF power/inductively coupled plasma (ICP) power of 150/1,000 W under gas pressure of 7.5 mTorr with a better surface morphology. PMID:25278821

  14. Dry etching of copper phthalocyanine thin films: effects on morphology and surface stoichiometry.

    PubMed

    Van Dijken, Jaron G; Brett, Michael J

    2012-08-24

    We investigate the evolution of copper phthalocyanine thin films as they are etched with argon plasma. Significant morphological changes occur as a result of the ion bombardment; a planar surface quickly becomes an array of nanopillars which are less than 20 nm in diameter. The changes in morphology are independent of plasma power, which controls the etch rate only. Analysis by X-ray photoelectron spectroscopy shows that surface concentrations of copper and oxygen increase with etch time, while carbon and nitrogen are depleted. Despite these changes in surface stoichiometry, we observe no effect on the work function. The absorbance and X-ray diffraction spectra show no changes other than the peaks diminishing with etch time. These findings have important implications for organic photovoltaic devices which seek nanopillar thin films of metal phthalocyanine materials as an optimal structure.

  15. Study on the performance of 2.6 μm In0.83Ga0.17As detector with different etch gases

    NASA Astrophysics Data System (ADS)

    Li, Ping; Tang, Hengjing; Li, Tao; Li, Xue; Shao, Xiumei; Ma, Yingjie; Gong, Haimei

    2017-09-01

    In order to obtain a low-damage recipe in the ICP processing, ICP-induced damage using Cl2/CH4 etch gases in extended wavelength In0.83Ga0.17As detector materials was studied in this paper. The effect of ICP etching on In0.83Ga0.17As samples was characterized qualitatively by the photoluminescence (PL) technology. The etch damage of In0.83Ga0.17As samples was characterized quantitatively by the Transmission Line Model (TLM), current voltage (IV) measurement, signal and noise testing and the Fourier Transform Infrared Spectroscopy (FTIR) technologies. The results showed that the Cl2/CH4 etching processing could lead better detector performance than that Cl2/N2, such as a larger square resistance, a lower dark current, a lower noise voltage and a higher peak detectivity. The lower PL signal intensity and lower dark current could be attributed to the hydrogen decomposed by the CH4 etch gases in the plasma etching process. These hydrogen particles generated non-radiative recombination centers in inner materials to weaken the PL intensity and passivated dangling bond at the surface to reduce the dark current. The larger square resistance resulted from the lower etch damage. The lower dark current meant that the detectors have less dangling bonds and leakage channels.

  16. Fabrication and Characterization of CMOS-MEMS Magnetic Microsensors

    PubMed Central

    Hsieh, Chen-Hsuan; Dai, Ching-Liang; Yang, Ming-Zhi

    2013-01-01

    This study investigates the design and fabrication of magnetic microsensors using the commercial 0.35 μm complementary metal oxide semiconductor (CMOS) process. The magnetic sensor is composed of springs and interdigitated electrodes, and it is actuated by the Lorentz force. The finite element method (FEM) software CoventorWare is adopted to simulate the displacement and capacitance of the magnetic sensor. A post-CMOS process is utilized to release the suspended structure. The post-process uses an anisotropic dry etching to etch the silicon dioxide layer and an isotropic dry etching to remove the silicon substrate. When a magnetic field is applied to the magnetic sensor, it generates a change in capacitance. A sensing circuit is employed to convert the capacitance variation of the sensor into the output voltage. The experimental results show that the output voltage of the magnetic microsensor varies from 0.05 to 1.94 V in the magnetic field range of 5–200 mT. PMID:24172287

  17. A self-aligned dry etching method for mechanical strain enhancement of germanium and its uniformity improvement for photonic applications

    NASA Astrophysics Data System (ADS)

    Lin, Yiding; Ma, Danhao; Lee, Kwang Hong; Michel, Jurgen; Tan, Chuan Seng

    2018-02-01

    A self-aligned dry etching method was proposed and verified theoretically to enhance the magnitude and simultaneously improve the uniformity of the tensile strain in a germanium (Ge) wave-guide (WG), with the help of tensile-stressed SiN stressor at the WG sidewalls. The SiN-strained germanium-on-insulator (GOI) WG was also experimentally demonstrated. Significant tensile strain was observed in the Ge material via micro-Raman measurements. This method could potentially facilitate a Ge photodetector with its optical detection range extended further towards longer wavelength and to be comparable with that of state-of-the-art InGaAs detectors.

  18. Low-loss slot waveguides with silicon (111) surfaces realized using anisotropic wet etching

    NASA Astrophysics Data System (ADS)

    Debnath, Kapil; Khokhar, Ali; Boden, Stuart; Arimoto, Hideo; Oo, Swe; Chong, Harold; Reed, Graham; Saito, Shinichi

    2016-11-01

    We demonstrate low-loss slot waveguides on silicon-on-insulator (SOI) platform. Waveguides oriented along the (11-2) direction on the Si (110) plane were first fabricated by a standard e-beam lithography and dry etching process. A TMAH based anisotropic wet etching technique was then used to remove any residual side wall roughness. Using this fabrication technique propagation loss as low as 3.7dB/cm was realized in silicon slot waveguide for wavelengths near 1550nm. We also realized low propagation loss of 1dB/cm for silicon strip waveguides.

  19. Wafer-scale development and experimental verification of 0.36 mm2 228 mV open-circuit-voltage solid-state CMOS-compatible glucose fuel cell

    NASA Astrophysics Data System (ADS)

    Arata, Shigeki; Hayashi, Kenya; Nishio, Yuya; Kobayashi, Atsuki; Nakazato, Kazuo; Niitsu, Kiichi

    2018-04-01

    The world’s smallest (0.36 mm2) solid-state CMOS-compatible glucose fuel cell, which exhibits an open-circuit voltage (OCV) of 228 mV and a power generation density of 1.32 µW/cm2 with a 30 mM glucose solution, is reported in this paper. Compared with conventional wet etching, dry etching (reactive ion etching) for patterning minimizes damage to the anode and cathode, resulting in a cell with a small size and a high OCV, sufficient for CMOS circuit operation.

  20. Reduction of the potential energy barrier and resistance at wafer-bonded n-GaAs/n-GaAs interfaces by sulfur passivation

    NASA Astrophysics Data System (ADS)

    Jackson, Michael J.; Jackson, Biyun L.; Goorsky, Mark S.

    2011-11-01

    Sulfur passivation and subsequent wafer-bonding treatments are demonstrated for III-V semiconductor applications using GaAs-GaAs direct wafer-bonded structures. Two different sulfur passivation processes are addressed. A dry sulfur passivation method that utilizes elemental sulfur vapor activated by ultraviolet light in vacuum is compared with aqueous sulfide and native-oxide-etch treatments. The electrical conductivity across a sulfur-treated 400 - °C-bonded n-GaAs/n-GaAs interface significantly increased with a short anneal (1-2 min) at elevated temperatures (500-600 °C). Interfaces treated with the NH4OH oxide etch, on the other hand, exhibited only mild improvement in accordance with previously published studies in this area. TEM and STEM images revealed similar interfacial microstructure changes with annealing for both sulfur-treated and NH4OH interfaces, whereby some areas have direct semiconductor-semiconductor contact without any interfacial layer. Fitting the observed temperature dependence of zero-bias conductance using a model for tunneling through a grain boundary reveals that the addition of sulfur at the interface lowered the interfacial energy barrier by 0.2 eV. The interface resistance for these sulfur-treated structures is 0.03 Ω.cm at room temperature. These results emphasize that sulfur-passivation techniques reduce interface states that otherwise limit the implementation of wafer bonding for high-efficiency solar cells and other devices.

  1. Effects of a non-rinse conditioner on the enamel of primary teeth.

    PubMed

    Fava, Marcelo; Myaki, Silvio Issáo; Arana-Chavez, Victor Elias; Fava-de-Moraes, Flavio

    2003-01-01

    The aim of this in vitro study was to evaluate by scanning electron microscopy the morphological aspects of the enamel of primary teeth after etching with 36% phosphoric acid or a non-rinse conditioner. Ten naturally exfoliated anterior primary teeth were selected. The samples were subjected to prophylaxis with pumice paste and water using a low-speed hand piece. Etching was done on the buccal surface. Specimens were divided into 2 groups: G1 (n=10): etching with 36% phosphoric acid gel - Conditioner 36 (Dentsply) for 20 s, followed by water rinse for 15 s; G2 (n=10): etching with NRC - Non Rinse Conditioner (Dentsply) for 20 s, followed by air drying for 15 s. The samples were dehydrated, mounted on metal stubs, coated with gold and observed with Jeol JSM-6100 scanning electron microscope. Electron-micrographic analysis showed that both etching agents were effective for etching the enamel of primary teeth causing the formation of microporosities on the enamel surface, although the etching pattern was more effective with the use of 36% phosphoric acid gel.

  2. Plasma etching of polymers like SU8 and BCB

    NASA Astrophysics Data System (ADS)

    Mischke, Helge; Gruetzner, Gabi; Shaw, Mark

    2003-01-01

    Polymers with high viscosity, like SU8 and BCB, play a dominant role in MEMS application. Their behavior in a well defined etching plasma environment in a RIE mode was investigated. The 40.68 MHz driven bottom electrode generates higher etch rates combined with much lower bias voltages by a factor of ten or a higher efficiency of the plasma with lower damaging of the probe material. The goal was to obtain a well-defined process for the removal and structuring of SU8 and BCB using fluorine/oxygen chemistry, defined using variables like electron density and collision rate. The plasma parameters are measured and varied using a production proven technology called SEERS (Self Excited Electron Resonance Spectroscopy). Depending on application and on Polymer several metals are possible (e.g., gold, aluminum). The characteristic of SU8 and BCB was examined in the case of patterning by dry etching in a CF4/O2 chemistry. Etch profile and etch rate correlate surprisingly well with plasma parameters like electron density and electron collision rate, thus allowing to define to adjust etch structure in situ with the help of plasma parameters.

  3. Fabrication and Electrical Characterization of Deep-Submicron Trench-Isolated CMOS Device Structures.

    NASA Astrophysics Data System (ADS)

    Perera, Asanga Hiran

    The magnitude of the extrinsic parasitic MOSFET series resistance was experimentally evaluated in the deep -submicron domain and its consequence on device performance was determined. The series resistance of depletion mode MOSFET test structures were measured for source-drain sizes as small as 0.2 μm by 0.3 μm at room temperature and 100^ circK. To build the test structures a multilevel -full electron beam lithography fabrication process was developed with a pattern overlay accuracy of 75 nm. A new positive tone novalac resist, SYSTEM-9, was developed for electron beam application. The resist had moderate sensitivity, 19-30 muC/cm ^2, and a contrast up to 14. Interrupted development and reduced developer temperature resulted in contrast enhancements of up to 125%. SYSTEM-9 had a two or three times better dry etch resistance than PMMA. A shallow trench isolation technology capable of defining 0.2 μm wide active areas was developed. A rapid thermal annealing based silicidation scheme using TiSi_2 was established. MOSFET sidewall spacer formation using PECVD SiO_2 was calibrated. Antimony and gallium were investigated as possible alternatives to arsenic and boron, respectively, and well behaved substrate diodes were successfully fabricated. Two new patterning techniques for the metal bi-layer metalization of TiW and Al, based on liftoff and reactive ion etching, were developed. The source drain resistance of the test structures was measured at room temperature and at 100^ circK. An LN_2 flushed cold chuck for low temperature device probing was designed and constructed. The temperature dependence of the current voltage characteristics and the extracted series resistance proved that current flow in the contacts was tunneling dominated. The extrinsic source-drain resistance increased rapidly as the contact size decreased below 0.5 mum, and showed an almost two order of magnitude change, when the source-drain area was reduced from 2 x 1.7 mum^2 to 0.2 x 0.3 mum^2 . The effect of this resistance increase on a CMOS inverter switching speed was estimated. A first order empirical model to predict the series resistance was also formulated. Good correspondence was observed between results from the device simulator PISCES-2B and measured data for larger source-drain sizes.

  4. Investigation of aluminium ohmic contacts to n-type GaN grown by molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Kribes, Y.; Harrison, I.; Tuck, B.; Kim, K. S.; Cheng, T. S.; Foxon, C. T.

    1997-11-01

    Using epi-layers of different doping concentrations, we have investigated aluminium contacts on n-type gallium nitride grown by plasma source molecular beam epitaxy. To achieve repeatable and reliable results it was found that the semiconductor needed to be etched in aqua-regia before the deposition of the contact metallization. Scanning electron micrographs of the semiconductor surface show a deterioration of the semiconductor surface on etching. The specific contact resistivity of the etched samples were, however, superior. Annealing the contacts at 0268-1242/12/11/030/img9 produced contacts with the lowest specific contact resistance of 0268-1242/12/11/030/img10. The long-term aging of these contacts was also investigated. The contacts and the sheet resistance were both found to deteriorate over a three-month period.

  5. Inductively Coupled Plasma-Induced Electrical Damage on HgCdTe Etched Surface at Cryogenic Temperatures

    NASA Astrophysics Data System (ADS)

    Liu, L. F.; Chen, Y. Y.; Ye, Z. H.; Hu, X. N.; Ding, R. J.; He, L.

    2018-03-01

    Plasma etching is a powerful technique for transferring high-resolution lithographic patterns into HgCdTe material with low etch-induced damage, and it is important for fabricating small-pixel-size HgCdTe infrared focal plane array (IRFPA) detectors. P- to n-type conversion is known to occur during plasma etching of vacancy-doped HgCdTe; however, it is usually unwanted and its removal requires extra steps. Etching at cryogenic temperatures can reduce the etch-induced type conversion depth in HgCdTe via the electrical damage mechanism. Laser beam-induced current (LBIC) is a nondestructive photoelectric characterization technique which can provide information regarding the vertical and lateral electrical field distribution, such as defects and p-n junctions. In this work, inductively coupled plasma (ICP) etching of HgCdTe was implemented at cryogenic temperatures. For an Ar/CH4 (30:1 in SCCM) plasma with ICP input power of 1000 W and RF-coupled DC bias of ˜ 25 V, a HgCdTe sample was dry-etched at 123 K for 5 min using ICP. The sample was then processed to remove a thin layer of the plasma-etched region while maintaining a ladder-like damaged layer by continuously controlling the wet chemical etching time. Combining the ladder etching method and LBIC measurement, the ICP etching-induced electrical damage depth was measured and estimated to be about 20 nm. The results indicate that ICP etching at cryogenic temperatures can significantly suppress plasma etching-induced electrical damage, which is beneficial for defining HgCdTe mesa arrays.

  6. Patterning with metal-oxide EUV photoresist: patterning capability, resist smoothing, trimming, and selective stripping

    NASA Astrophysics Data System (ADS)

    Mao, Ming; Lazzarino, Frederic; De Schepper, Peter; De Simone, Danilo; Piumi, Daniele; Luong, Vinh; Yamashita, Fumiko; Kocsis, Michael; Kumar, Kaushik

    2017-03-01

    Inpria metal-oxide photoresist (PR) serves as a thin spin-on patternable hard mask for EUV lithography. Compared to traditional organic photoresists, the ultrathin metal-oxide photoresist ( 12nm after development) effectively mitigates pattern collapse. Because of the high etch resistance of the metal-oxide resist, this may open up significant scope for more aggressive etches, new chemistries, and novel integration schemes. We have previously shown that metal-oxide PR can be successfully used to pattern the block layer for the imec 7-nm technology node[1] and advantageously replace a multiple patterning approach, which significantly reduces the process complexity and effectively decreases the cost. We also demonstrated the formation of 16nm half pitch 1:1 line/space with EUV single print[2], which corresponds to a metal 2 layer for the imec 7-nm technology node. In this paper, we investigate the feasibility of using Inpria's metal-oxide PR for 16nm line/space patterning. In meanwhile, we also explore the different etch process for LWR smoothing, resist trimming and resist stripping.

  7. X Ray Mask Of Gold-Carbon Mixture Absorber On BCN Compound Substrate Fabricated By Plasma Processes

    NASA Astrophysics Data System (ADS)

    Aiyer, Chandrasekhar R.; Itoh, Satoshi; Yamada, Hitomi; Morita, Shinzo; Hattori, Shuzo

    1988-06-01

    X-ray mask fabrication based on BCN compound membrane and gold containing polymeric carbon ( Au-C ) absorber by totally dry processes is proposed. The Au-C films were depo-sited by plasma polymerization of propylene or styrene monomers and co-evaporation of gold. These films have 2 to 5 times higher etching rate than that of pure gold for 09 RIE, depending on the Au content. The stress in the films could be reduced to 1.9 E 7 N/m2 by annealing. The BCN films were deposited on silicon wafers by rf (13.56 MHz) plasma CVD with diborane, methane and nitrogen as source gases at typical deposition rate of 30 nm/min. The optical (633nm) and X ray (Pd L~) transparencies were nearly 80% for film thickness of 6 um. Patterning of Au-C was achieved by using tungsten as intermediate layer and PMMA electron beam resist. CF4 RIE was used to etch the tungsten layer which in turn acted as mask for the gold carbide 02 RIE. The process parameters and the characteristics of the Au-C and BCN films are presented.

  8. A micro oxygen sensor based on a nano sol-gel TiO2 thin film.

    PubMed

    Wang, Hairong; Chen, Lei; Wang, Jiaxin; Sun, Quantao; Zhao, Yulong

    2014-09-03

    An oxygen gas microsensor based on nanostructured sol-gel TiO2 thin films with a buried Pd layer was developed on a silicon substrate. The nanostructured titania thin films for O2 sensors were prepared by the sol-gel process and became anatase after heat treatment. A sandwich TiO2 square board with an area of 350 μm × 350 μm was defined by both wet etching and dry etching processes and the wet one was applied in the final process due to its advantages of easy control for the final structure. A pair of 150 nm Pt micro interdigitated electrodes with 50 nm Ti buffer layer was fabricated on the board by a lift-off process. The sensor chip was tested in a furnace with changing the O2 concentration from 1.0% to 20% by monitoring its electrical resistance. Results showed that after several testing cycles the sensor's output becomes stable, and its sensitivity is 0.054 with deviation 2.65 × 10(-4) and hysteresis is 8.5%. Due to its simple fabrication process, the sensor has potential for application in environmental monitoring, where lower power consumption and small size are required.

  9. Enamel Wetness Effects on Microshear Bond Strength of Different Bonding Agents (Adhesive Systems): An in vitro Comparative Evaluation Study.

    PubMed

    Kulkarni, Girish; Mishra, Vinay K

    2016-05-01

    The purpose of this study was to compare the effect of enamel wetness on microshear bond strength using different adhesive systems. To evaluate microshear bond strength of three bonding agents on dry enamel; to evaluate microshear bond strength of three bonding agents on wet enamel; and to compare microshear bond strength of three different bonding agents on dry and wet enamel. Sixty extracted noncarious human premolars were selected for this study. Flat enamel surfaces of approximately 3 mm were obtained by grinding the buccal surfaces of premolars with water-cooled diamond disks. This study evaluated one etch-and-rinse adhesive system (Single Bond 2) and two self-etching adhesive systems (Clearfil SE Bond and Xeno-V). The specimens were divided into two groups (n = 30). Group I (dry) was air-dried for 30 seconds and in group II (wet) surfaces were blotted with absorbent paper to remove excess water. These groups were further divided into six subgroups (n = 10) according to the adhesives used. The resin composite, Filtek Z 250, was bonded to flat enamel surfaces that had been treated with one of the adhesives, following the manufacturer's instructions. After being stored in water at 37°C for 24 hours, bonded specimens were stressed in universal testing machine (Fig. 3) at a crosshead speed of 1 mm/min. The data were evaluated with one-way and two-way analysis of variance (ANOVA), t-test, and Tukey's Multiple Post hoc tests (a = 0.05). The two-way ANOVA and Tukey's Multiple Post hoc tests showed significant differences among adhesive systems, but wetness did not influence microshear bond strength (p = 0.1762). The one-way ANOVA and t-test showed that the all-in-one adhesive (Xeno-V) was the only material influenced by the presence of water on the enamel surface. Xeno-V showed significantly higher microshear bond strength when the enamel was kept wet. Single Bond 2 adhesive showed significantly higher microshear bond strength as compared with Xeno-V adhesive but no significant difference when compared with Clearfil SE Bond adhesive in dry enamel. Single Bond 2 adhesive showed no significant difference in microshear bond strength as compared with self-etching adhesive systems (Clearfil SE Bond and Xeno-V), when the enamel was kept wet. From the findings of the results, it was concluded that self-etching adhesives were not negatively affected by the presence of water on the enamel surface. The all-in-one adhesive showed different behavior depending on whether the enamel surface was dry or wet. So the enamel surface should not be desiccated, when self-etching adhesives are used.

  10. Fabrication Methods for Adaptive Deformable Mirrors

    NASA Technical Reports Server (NTRS)

    Toda, Risaku; White, Victor E.; Manohara, Harish; Patterson, Keith D.; Yamamoto, Namiko; Gdoutos, Eleftherios; Steeves, John B.; Daraio, Chiara; Pellegrino, Sergio

    2013-01-01

    Previously, it was difficult to fabricate deformable mirrors made by piezoelectric actuators. This is because numerous actuators need to be precisely assembled to control the surface shape of the mirror. Two approaches have been developed. Both approaches begin by depositing a stack of piezoelectric films and electrodes over a silicon wafer substrate. In the first approach, the silicon wafer is removed initially by plasmabased reactive ion etching (RIE), and non-plasma dry etching with xenon difluoride (XeF2). In the second approach, the actuator film stack is immersed in a liquid such as deionized water. The adhesion between the actuator film stack and the substrate is relatively weak. Simply by seeping liquid between the film and the substrate, the actuator film stack is gently released from the substrate. The deformable mirror contains multiple piezoelectric membrane layers as well as multiple electrode layers (some are patterned and some are unpatterned). At the piezolectric layer, polyvinylidene fluoride (PVDF), or its co-polymer, poly(vinylidene fluoride trifluoroethylene P(VDF-TrFE) is used. The surface of the mirror is coated with a reflective coating. The actuator film stack is fabricated on silicon, or silicon on insulator (SOI) substrate, by repeatedly spin-coating the PVDF or P(VDFTrFE) solution and patterned metal (electrode) deposition. In the first approach, the actuator film stack is prepared on SOI substrate. Then, the thick silicon (typically 500-micron thick and called handle silicon) of the SOI wafer is etched by a deep reactive ion etching process tool (SF6-based plasma etching). This deep RIE stops at the middle SiO2 layer. The middle SiO2 layer is etched by either HF-based wet etching or dry plasma etch. The thin silicon layer (generally called a device layer) of SOI is removed by XeF2 dry etch. This XeF2 etch is very gentle and extremely selective, so the released mirror membrane is not damaged. It is possible to replace SOI with silicon substrate, but this will require tighter DRIE process control as well as generally longer and less efficient XeF2 etch. In the second approach, the actuator film stack is first constructed on a silicon wafer. It helps to use a polyimide intermediate layer such as Kapton because the adhesion between the polyimide and silicon is generally weak. A mirror mount ring is attached by using adhesive. Then, the assembly is partially submerged in liquid water. The water tends to seep between the actuator film stack and silicon substrate. As a result, the actuator membrane can be gently released from the silicon substrate. The actuator membrane is very flat because it is fixed to the mirror mount prior to the release. Deformable mirrors require extremely good surface optical quality. In the technology described here, the deformable mirror is fabricated on pristine substrates such as prime-grade silicon wafers. The deformable mirror is released by selectively removing the substrate. Therefore, the released deformable mirror surface replicates the optical quality of the underlying pristine substrate.

  11. III-Nitride Blue Laser Diode with Photoelectrochemically Etched Current Aperture

    NASA Astrophysics Data System (ADS)

    Megalini, Ludovico

    Group III-nitride is a remarkable material system to make highly efficient and high-power optoelectronics and electronic devices because of the unique electrical, physical, chemical and structural properties it offers. In particular, InGaN-based blue Laser Diodes (LDs) have been successfully employed in a variety of applications ranging from biomedical and military devices to scientific instrumentation and consumer electronics. Recently their use in highly efficient Solid State Lighting (SSL) has been proposed because of their superior beam quality and higher efficiency at high input power density. Tremendous advances in research of GaN semi-polar and non-polar crystallographic planes have led both LEDs and LDs grown on these non-basal planes to rival with, and with the promise to outperform, their equivalent c-plane counterparts. However, still many issues need to be addressed, both related to material growth and device fabrication, including a lack of conventional wet etching techniques. GaN and its alloys with InN and AlN have proven resistant essentially to all known standard wet etching techniques, and the predominant etching methods rely on chlorine-based dry etching (RIE). These introduce sub-surface damage which can degrade the electrical properties of the epitaxial structure and reduce the reliability and lifetime of the final device. Such reasons and the limited effectiveness of passivation techniques have so far suggested to etch the LD ridges before the active region, although it is well-known that this can badly affect the device performance, especially in narrow stripe width LDs, because the gain guiding obtained in the planar configuration is weak and the low index step and high lateral current leakage result in devices with threshold current density higher than devices whose ridge is etched beyond the active region. Moreover, undercut etching of III-nitride layers has proven even more challenging, with limitations in control of the lateral etch distance. In this dissertation it is presented the first nitride blue edge emitting LD with a photoelectrochemical etched current aperture (CA-LD) into the device active region. Photoelectrochemical etching (PECE) has emerged as a powerful wet etching technique for III-nitride compounds. Beyond the advantages of wet etching technique, PECE offers bandgap selectivity, which is particularly desirable because it allows more freedom in designing new and advanced devices with higher performances. In the first part of this thesis a review of PECE is presented, and it is shown how it can be used to achieve a selective and controllable deep undercut of the active region of LEDs and LDs, in particular the selective PECE of MQW active region of (10-10) m-plane and (20-2-1) plane structures is reported. In the second part of this thesis, the fabrication flow process of the CA-LD is described. The performance of these devices is compared with that of shallow etched ridge LDs with a nominally identical epitaxial structure and active region width and it is experimentally shown that the CA-LD design has superior performance. CW operation of a (20-2-1) CA-LD with a 1.5 microm wide active region is demonstrated. Finally, in the third and last part of this thesis, the CA-LD performance is discussed in more details, in particular, an analysis of optical scattering losses caused by the rough edges of the remnant PEC etched active region is presented.

  12. Advanced Mitigation Process (AMP) for Improving Laser Damage Threshold of Fused Silica Optics

    NASA Astrophysics Data System (ADS)

    Ye, Xin; Huang, Jin; Liu, Hongjie; Geng, Feng; Sun, Laixi; Jiang, Xiaodong; Wu, Weidong; Qiao, Liang; Zu, Xiaotao; Zheng, Wanguo

    2016-08-01

    The laser damage precursors in subsurface of fused silica (e.g. photosensitive impurities, scratches and redeposited silica compounds) were mitigated by mineral acid leaching and HF etching with multi-frequency ultrasonic agitation, respectively. The comparison of scratches morphology after static etching and high-frequency ultrasonic agitation etching was devoted in our case. And comparison of laser induce damage resistance of scratched and non-scratched fused silica surfaces after HF etching with high-frequency ultrasonic agitation were also investigated in this study. The global laser induce damage resistance was increased significantly after the laser damage precursors were mitigated in this case. The redeposition of reaction produce was avoided by involving multi-frequency ultrasonic and chemical leaching process. These methods made the increase of laser damage threshold more stable. In addition, there is no scratch related damage initiations found on the samples which were treated by Advanced Mitigation Process.

  13. Advanced Mitigation Process (AMP) for Improving Laser Damage Threshold of Fused Silica Optics

    PubMed Central

    Ye, Xin; Huang, Jin; Liu, Hongjie; Geng, Feng; Sun, Laixi; Jiang, Xiaodong; Wu, Weidong; Qiao, Liang; Zu, Xiaotao; Zheng, Wanguo

    2016-01-01

    The laser damage precursors in subsurface of fused silica (e.g. photosensitive impurities, scratches and redeposited silica compounds) were mitigated by mineral acid leaching and HF etching with multi-frequency ultrasonic agitation, respectively. The comparison of scratches morphology after static etching and high-frequency ultrasonic agitation etching was devoted in our case. And comparison of laser induce damage resistance of scratched and non-scratched fused silica surfaces after HF etching with high-frequency ultrasonic agitation were also investigated in this study. The global laser induce damage resistance was increased significantly after the laser damage precursors were mitigated in this case. The redeposition of reaction produce was avoided by involving multi-frequency ultrasonic and chemical leaching process. These methods made the increase of laser damage threshold more stable. In addition, there is no scratch related damage initiations found on the samples which were treated by Advanced Mitigation Process. PMID:27484188

  14. Effect of air-drying time of single-application self-etch adhesives on dentin bond strength.

    PubMed

    Chiba, Yasushi; Yamaguchi, Kanako; Miyazaki, Masashi; Tsubota, Keishi; Takamizawa, Toshiki; Moore, B Keith

    2006-01-01

    This study examined the effect of air-drying time of adhesives on the dentin bond strength of several single-application self-etch adhesive systems. The adhesive/resin composite combinations used were: Adper Prompt L-Pop/Filtek Z250 (AP), Clearfil Tri-S Bond/Clearfil AP-X (CT), Fluoro Bond Shake One/Beautifil (FB), G-Bond/Gradia Direct (GB) and One-Up Bond F Plus/Palfique Estelite (OF). Bovine mandibular incisors were mounted in self-curing resin and wet ground with #600 SiC to expose labial dentin. Adhesives were applied according to each manufacturer's instructions followed by air-drying time for 0 (without air-drying), 5 and 10 seconds. After light irradiation of the adhesives, the resin composites were condensed into a mold (phi4x2 mm) and polymerized. Ten samples per test group were stored in 37 degrees C distilled water for 24 hours; they were then shear tested at a crosshead speed of 1.0 mm/minute. One-way ANOVA followed by Tukey's HSD tests (alpha = 0.05) were done. FE-SEM observations of the resin/dentin interface were also conducted. Dentin bond strength varied with the different air drying times and ranged from 5.8 +/- 2.4 to 13.9 +/- 2.8 MPa for AP, 4.9 +/- 1.5 to 17.1 +/- 2.3 MPa for CT, 7.9 +/- 2.8 to 13.8 +/- 2.4 MPa for FB, 3.7 +/- 1.4 to 13.4 +/- 1.2 MPa for GB and 4.6 +/- 2.1 to 13.7 +/- 2.6 MPa for OF. With longer air drying of adhesives, no significant changes in bond strengths were found for the systems used except for OF. Significantly lower bond strengths were obtained for the 10-second air-drying group for OF. From FE-SEM observations, gaps between the cured adhesive and resin composites were observed for the specimens without the air drying of adhesives except for OF. The data suggests that, with four of the single-application self-etch adhesive systems, air drying is essential to obtain adequate dentin bond strengths, but increased drying time does not significantly influence bond strength. For the other system studied, the bond strength of the non-air dried group was not significantly different from the five second drying time, but prolonged drying was very detrimental to bond strength. For all five of the systems studied, a five-second air-drying time appeared to be appropriate.

  15. Plasma etching of superconducting Niobium tips for scanning tunneling microscopy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Roychowdhury, A.; Center for Nanophysics and Advanced Materials, Department of Physics, University of Maryland, College Park, Maryland 20742; Dana, R.

    We have developed a reproducible technique for the fabrication of sharp superconducting Nb tips for scanning tunneling microscopy (STM) and scanning tunneling spectroscopy. Sections of Nb wire with 250 μm diameter are dry etched in an SF₆ plasma in a Reactive Ion Etcher. The gas pressure, etching time, and applied power are chosen to control the ratio of isotropic to anisotropic etch rates and produce the desired tip shape. The resulting tips are atomically sharp, with radii of less than 100 nm, mechanically stable, and superconducting. They generate good STM images and spectroscopy on single crystal samples of Au(111), Au(100),more » and Nb(100), as well as a doped topological insulator Bi₂Se₃ at temperatures ranging from 30 mK to 9 K.« less

  16. Enamel resistance to demineralization following Er:YAG laser etching for bonding orthodontic brackets

    PubMed Central

    Ahrari, Farzaneh; Poosti, Maryam; Motahari, Pourya

    2012-01-01

    Background: Several studies have shown that laser-etching of enamel for bonding orthodontic brackets could be an appropriate alternative for acid conditioning, since a potential advantage of laser could or might be caries prevention. This study compared enamel resistance to demineralization following etching with acid phosphoric or Er:YAG laser for bonding orthodontic brackets. Materials and Methods: Fifty sound human premolars were divided into two equal groups. In the first group, enamel was etched with 37% phosphoric acid for 15 seconds. In the second group, Er:YAG laser (wavelength, 2 940 nm; 300 mJ/pulse, 10 pulses per second, 10 seconds) was used for tooth conditioning. The teeth were subjected to 4-day PH-cycling process to induce caries-like lesions. The teeth were then sectioned and the surface area of the lesion was calculated in each microphotographs and expressed in pixel. The total surface of each specimen was 196 608 pixels. Results: Mean lesion areas were 7 171 and 7532 pixels for Laser-etched and Acid-etched groups, respectively. The two sample t-test showed that there was no significant difference in lesion area between the two groups (P = 0.914). Conclusion: Although Er:YAG laser seems promising for etching enamel before bonding orthodontic brackets, it does not reduce enamel demineralization when exposed to acid challenge. PMID:23162591

  17. Patterned microstructures formed with MeV Au implantation in Si(1 0 0)

    NASA Astrophysics Data System (ADS)

    Rout, Bibhudutta; Greco, Richard R.; Zachry, Daniel P.; Dymnikov, Alexander D.; Glass, Gary A.

    2006-09-01

    Energetic (MeV) Au implantation in Si(1 0 0) (n-type) through masked micropatterns has been used to create layers resistant to KOH wet etching. Microscale patterns were produced in PMMA and SU(8) resist coatings on the silicon substrates using P-beam writing and developed. The silicon substrates were subsequently exposed using 1.5 MeV Au 3+ ions with fluences as high as 1 × 10 16 ions/cm 2 and additional patterns were exposed using copper scanning electron microscope calibration grids as masks on the silicon substrates. When wet etched with KOH microstructures were created in the silicon due to the resistance to KOH etching cause by the Au implantation. The process of combining the fabrication of masked patterns with P-beam writing with broad beam Au implantation through the masks can be a promising, cost-effective process for nanostructure engineering with Si.

  18. A new universal simplified adhesive: 36-Month randomized double-blind clinical trial.

    PubMed

    Loguercio, Alessandro D; de Paula, Eloisa Andrade; Hass, Viviane; Luque-Martinez, Issis; Reis, Alessandra; Perdigão, Jorge

    2015-09-01

    It is still debatable which technique should be used with universal adhesives, either etch-and-rinse (wet or dry) or self-etch strategy (with or without selective enamel etching). To evaluate the 36-month clinical performance of Scotchbond Universal Adhesive (SU, 3M ESPE) in non-carious cervical lesions (NCCLs) using two evaluation criteria. Thirty-nine patients participated in this study. Two-hundred restorations were assigned to four groups: ERm: etch-and-rinse+moist dentin; ERd: etch-and-rinse+dry dentin; Set: selective enamel etching; and SE: self-etch. The same composite resin was inserted for all restorations in up to 3 increments. The restorations were evaluated at baseline and at 6-, 18-, and 36-months using both the FDI and the USPHS criteria. Statistical analyses were performed with Friedman repeated measures ANOVA by rank and McNemar test for significance in each pair (α=0.05). Eight restorations (ERm: 1; ERd: 1; Set: 1 and SE: 5) were lost after 36 months, but only significant for SE when compared with baseline (p=0.02 for either criteria). Marginal staining occurred in 6.8% of the restorations (groups ERm, ERd, and Set) and 17.5% of the restorations (group SE), with significant difference for each group when compared with baseline using the FDI criteria (p<0.04), while statistical significance was reached only for SE when compared with baseline using the USPHS criteria (p<0.03). Twenty-eight and 49 restorations were scored as bravo for marginal adaptation using the USPHS and FDI criteria, respectively, with significant difference for each group when compared with baseline (p<0.05). While there was no statistical difference among bonding strategies when a universal adhesive was used, there were signs of degradation when the universal adhesive was applied in SE mode. The FDI criteria remain more sensitive than the USPHS criteria, especially for the criteria marginal staining and marginal adaptation. Copyright © 2015 Elsevier Ltd. All rights reserved.

  19. Fundamentals of EUV resist-inorganic hardmask interactions

    NASA Astrophysics Data System (ADS)

    Goldfarb, Dario L.; Glodde, Martin; De Silva, Anuja; Sheshadri, Indira; Felix, Nelson M.; Lionti, Krystelle; Magbitang, Teddie

    2017-03-01

    High resolution Extreme Ultraviolet (EUV) patterning is currently limited by EUV resist thickness and pattern collapse, thus impacting the faithful image transfer into the underlying stack. Such limitation requires the investigation of improved hardmasks (HMs) as etch transfer layers for EUV patterning. Ultrathin (<5nm) inorganic HMs can provide higher etch selectivity, lower post-etch LWR, decreased defectivity and wet strippability compared to spin-on hybrid HMs (e.g., SiARC), however such novel layers can induce resist adhesion failure and resist residue. Therefore, a fundamental understanding of EUV resist-inorganic HM interactions is needed in order to optimize the EUV resist interfacial behavior. In this paper, novel materials and processing techniques are introduced to characterize and improve the EUV resist-inorganic HM interface. HM surface interactions with specific EUV resist components are evaluated for open-source experimental resist formulations dissected into its individual additives using EUV contrast curves as an effective characterization method to determine post-development residue formation. Separately, an alternative adhesion promoter platform specifically tailored for a selected ultrathin inorganic HM based on amorphous silicon (aSi) is presented and the mitigation of resist delamination is exemplified for the cases of positive-tone and negative-tone development (PTD, NTD). Additionally, original wafer priming hardware for the deposition of such novel adhesion promoters is unveiled. The lessons learned in this work can be directly applied to the engineering of EUV resist materials and processes specifically designed to work on such novel HMs.

  20. Optimization of KOH etching parameters for quantitative defect recognition in n- and p-type doped SiC

    NASA Astrophysics Data System (ADS)

    Sakwe, S. A.; Müller, R.; Wellmann, P. J.

    2006-04-01

    We have developed a KOH-based defect etching procedure for silicon carbide (SiC), which comprises in situ temperature measurement and control of melt composition. As benefit for the first time reproducible etching conditions were established (calibration plot, etching rate versus temperature and time); the etching procedure is time independent, i.e. no altering in KOH melt composition takes place, and absolute melt temperature values can be set. The paper describes this advanced KOH etching furnace, including the development of a new temperature sensor resistant to molten KOH. We present updated, absolute KOH etching parameters of n-type SiC and new absolute KOH etching parameters for low and highly p-type doped SiC, which are used for quantitative defect analysis. As best defect etching recipes we found T=530 °C/5 min (activation energy: 16.4 kcal/mol) and T=500 °C/5 min (activation energy: 13.5 kcal/mol) for n-type and p-type SiC, respectively.

  1. Selective Plasma Deposition of Fluorocarbon Films on SAMs

    NASA Technical Reports Server (NTRS)

    Crain, Mark M., III; Walsh, Kevin M.; Cohn, Robert W.

    2006-01-01

    A dry plasma process has been demonstrated to be useful for the selective modification of self-assembled monolayers (SAMs) of alkanethiolates. These SAMs are used, during the fabrication of semiconductor electronic devices, as etch masks on gold layers that are destined to be patterned and incorporated into the devices. The selective modification involves the formation of fluorocarbon films that render the SAMs more effective in protecting the masked areas of the gold against etching by a potassium iodide (KI) solution. This modification can be utilized, not only in the fabrication of single electronic devices but also in the fabrication of integrated circuits, microelectromechanical systems, and circuit boards. In the steps that precede the dry plasma process, a silicon mold in the desired pattern is fabricated by standard photolithographic techniques. A stamp is then made by casting polydimethylsiloxane (commonly known as silicone rubber) in the mold. The stamp is coated with an alkanethiol solution, then the stamp is pressed on the gold layer of a device to be fabricated in order to deposit the alkanethiol to form an alkanethiolate SAM in the desired pattern (see figure). Next, the workpiece is exposed to a radio-frequency plasma generated from a mixture of CF4 and H2 gases. After this plasma treatment, the SAM is found to be modified, while the exposed areas of gold remain unchanged. This dry plasma process offers the potential for forming masks superior to those formed in a prior wet etching process. Among the advantages over the wet etching process are greater selectivity, fewer pin holes in the masks, and less nonuniformity of the masks. The fluorocarbon films formed in this way may also be useful as intermediate layers for subsequent fabrication steps and as dielectric layers to be incorporated into finished products.

  2. Study of copper-free back contacts to thin film cadmium telluride solar cells

    NASA Astrophysics Data System (ADS)

    Viswanathan, Vijay

    The goals of this project are to study Cu free back contact alternatives for CdS/CdTe thin film solar cells, and to research dry etching for CdTe surface preparation before contact application. In addition, an attempt has been made to evaluate the stability of some of the contacts researched. The contacts studied in this work include ZnTe/Cu2Te, Sb2Te 3, and Ni-P alloys. The ZnTe/Cu2Te contact system is studied as basically an extension of the earlier work done on Cu2Te at USF. RF sputtering from a compound target of ZnTe and Cu2Te respectively deposits these layers on etched CdTe surface. The effect of Cu2Te thickness and deposition temperature on contact and cell performance will be studied with the ZnTe depositions conditions kept constant. C-V measurements to study the effect of contact deposition conditions on CdTe doping will also be performed. These contacts will then be stressed to high temperatures (70--100°C) and their stability with stress time is analyzed. Sb2Te3 will be deposited on glass using RF sputtering, to study film properties with deposition temperature. The Sb2Te 3 contact performance will also be studied as a function of the Sb 2Te3 deposition temperature and thickness. The suitability of Ni-P alloys for back contacts to CdTe solar cells was studied by forming a colloidal mixture of Ni2P in graphite paste. The Ni-P contacts, painted on Br-methanol etched CdTe surface, will be studied as a function of Ni-P concentration (in the graphite paste), annealing temperature and time. Some of these cells will undergo temperature stress testing to determine contact behavior with time. Dry etching of CdTe will be studied as an alternative for wet etching processes currently used for CdTe solar cells. The CdTe surface is isotropically etched in a barrel reactor in N2, Ar or Ar:O 2 ambient. The effect of etching ambient, pressure, plasma power and etch time on contact performance will be studied.

  3. Characterization of Plasma-Induced Damage of Selectively Recessed GaN/InAlN/AlN/GaN Heterostructures Using SiCl4 and SF6

    NASA Astrophysics Data System (ADS)

    Ostermaier, Clemens; Pozzovivo, Gianmauro; Basnar, Bernhard; Schrenk, Werner; Carlin, Jean-François; Gonschorek, Marcus; Grandjean, Nicolas; Vincze, Andrej; Tóth, Lajos; Pécz, Bela; Strasser, Gottfried; Pogany, Dionyz; Kuzmik, Jan

    2010-11-01

    We have investigated an inductively coupled plasma etching recipe using SiCl4 and SF6 with a resulting selectivity >10 for GaN in respect to InAlN. The formation of an etch-resistant layer of AlF3 on InAlN required about 1 min and was noticed by a 4-times-higher initial etch rate on bare InAlN barrier high electron mobility transistors (HEMTs). Comparing devices with and without plasma-treatment below the gate showed no degradation in drain current and gate leakage current for plasma exposure durations shorter than 30 s, indicating no plasma-induced damage of the InAlN barrier. Devices etched longer than the required time for the formation of the etch-resistant barrier exhibited a slight decrease in drain current and an increase in gate leakage current which saturated for longer etching-time durations. Finally, we could prove the quality of the recipe by recessing the highly doped 6 nm GaN cap layer of a GaN/InAlN/AlN/GaN heterostructure down to the 2 nm thin InAlN/AlN barrier layer.

  4. Deterministic Nanopatterning of Diamond Using Electron Beams.

    PubMed

    Bishop, James; Fronzi, Marco; Elbadawi, Christopher; Nikam, Vikram; Pritchard, Joshua; Fröch, Johannes E; Duong, Ngoc My Hanh; Ford, Michael J; Aharonovich, Igor; Lobo, Charlene J; Toth, Milos

    2018-03-27

    Diamond is an ideal material for a broad range of current and emerging applications in tribology, quantum photonics, high-power electronics, and sensing. However, top-down processing is very challenging due to its extreme chemical and physical properties. Gas-mediated electron beam-induced etching (EBIE) has recently emerged as a minimally invasive, facile means to dry etch and pattern diamond at the nanoscale using oxidizing precursor gases such as O 2 and H 2 O. Here we explain the roles of oxygen and hydrogen in the etch process and show that oxygen gives rise to rapid, isotropic etching, while the addition of hydrogen gives rise to anisotropic etching and the formation of topographic surface patterns. We identify the etch reaction pathways and show that the anisotropy is caused by preferential passivation of specific crystal planes. The anisotropy can be controlled by the partial pressure of hydrogen and by using a remote RF plasma source to radicalize the precursor gas. It can be used to manipulate the geometries of topographic surface patterns as well as nano- and microstructures fabricated by EBIE. Our findings constitute a comprehensive explanation of the anisotropic etch process and advance present understanding of electron-surface interactions.

  5. Study of flowability effect on self-planarization performance at SOC materials

    NASA Astrophysics Data System (ADS)

    Yun, Huichan; Kim, Jinhyung; Park, Youjung; Kim, Yoona; Jeong, Seulgi; Baek, Jaeyeol; Yoon, Byeri; Lim, Sanghak

    2017-03-01

    For multilayer process, importance of carbon-based spin-on hardmask material that replaces amorphous carbon layer (ACL) is ever increasing. Carbon-based spin-on hardmask is an organic polymer with high carbon content formulated in organic solvents for spin-coating application that is cured through baking. In comparison to CVD process for ACL, carbon-based spin-on hardmask material can offer several benefits: lower cost of ownership (CoO) and improved process time, as well as better gap-fill and planarization performances. Thus carbon-based spin-on hardmask material of high etch resistance, good gap-fill properties and global planarization performances over various pattern topographies are desired to achieve the fine patterning and high aspect ratio (A/R). In particular, good level of global planarization of spin coated layer over the underlying pattern topographies is important for self-aligned double patterning (SADP) process as it dictates the photolithographic margin. Herein, we report a copolymer carbon-based spin-on hardmask resin formulation that exhibits favorable film shrinkage profile and good etch resistance properties. By combining the favorable characteristics of each resin - one resin with good shrinkage property and the other with excellent etch resistance into the copolymer, it was possible to achieve a carbonbased spin-on hardmask formulation with desirable level of etch resistance and the planarization performances across various underlying substrate pattern topographies.

  6. Damage-Free Smooth-Sidewall InGaAs Nanopillar Array by Metal-Assisted Chemical Etching.

    PubMed

    Kong, Lingyu; Song, Yi; Kim, Jeong Dong; Yu, Lan; Wasserman, Daniel; Chim, Wai Kin; Chiam, Sing Yang; Li, Xiuling

    2017-10-24

    Producing densely packed high aspect ratio In 0.53 Ga 0.47 As nanostructures without surface damage is critical for beyond Si-CMOS nanoelectronic and optoelectronic devices. However, conventional dry etching methods are known to produce irreversible damage to III-V compound semiconductors because of the inherent high-energy ion-driven process. In this work, we demonstrate the realization of ordered, uniform, array-based In 0.53 Ga 0.47 As pillars with diameters as small as 200 nm using the damage-free metal-assisted chemical etching (MacEtch) technology combined with the post-MacEtch digital etching smoothing. The etching mechanism of In x Ga 1-x As is explored through the characterization of pillar morphology and porosity as a function of etching condition and indium composition. The etching behavior of In 0.53 Ga 0.47 As, in contrast to higher bandgap semiconductors (e.g., Si or GaAs), can be interpreted by a Schottky barrier height model that dictates the etching mechanism constantly in the mass transport limited regime because of the low barrier height. A broader impact of this work relates to the complete elimination of surface roughness or porosity related defects, which can be prevalent byproducts of MacEtch, by post-MacEtch digital etching. Side-by-side comparison of the midgap interface state density and flat-band capacitance hysteresis of both the unprocessed planar and MacEtched pillar In 0.53 Ga 0.47 As metal-oxide-semiconductor capacitors further confirms that the surface of the resultant pillars is as smooth and defect-free as before etching. MacEtch combined with digital etching offers a simple, room-temperature, and low-cost method for the formation of high-quality In 0.53 Ga 0.47 As nanostructures that will potentially enable large-volume production of In 0.53 Ga 0.47 As-based devices including three-dimensional transistors and high-efficiency infrared photodetectors.

  7. Anisotropic etching of amorphous perfluoropolymer films in oxygen-based inductively coupled plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ono, Takao; Akagi, Takanori; Center for NanoBio Integration, University of Tokyo, 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-8656

    2009-01-01

    An amorphous perfluoropolymer, 'Cytop' (Asahi Glass Co., Ltd.), is a preferable material for the fabrication of micro total analysis system devices because of its superior optical transparency over a wide wavelength range and low refractive index of 1.34, which is almost the same as that of water, as well as excellent chemical stability. To establish the precise microfabrication technology for this unique resin, the dry etching of the amorphous perfluoropolymer in Ar/O{sub 2} low-pressure inductively coupled plasma has been studied. A relatively high etch rate of approximately 6.3 {mu}m/min at maximum and highly anisotropic etched features was attained. Plasma measurementsmore » by a single Langmuir probe technique and actinometry revealed that etching is dominated by ion-assisted surface desorption above a 10%O{sub 2} mixing ratio, whereas the supply of active oxygen species is the rate-limiting process below 10%. Moreover, angled x-ray photoelectron spectroscopy measurements of an etched trench pattern revealed that a high anisotropy is attributed to the formation of a carbon-rich sidewall protection layer.« less

  8. Spindt cold cathode electron gun development program

    NASA Technical Reports Server (NTRS)

    Spindt, C. A.

    1983-01-01

    A thin film field emission cathode array and an electron gun based on this emitter array are summarized. Fabricating state of the art cathodes for testing at NASA and NRL, advancing the fabrication technology, developing wedge shaped emitters, and performing emission tests are covered. An anistropic dry etching process (reactive ion beam etching) developed that leads to increasing the packing density of the emitter tips to about 5 x 10 to the 6th power/square cm. Tests with small arrays of emitter tips having about 10 tips has demonstrated current densities of over 100 A/sq cm. Several times using cathodes having a packing density of 1.25 x 10 to the 6th power tips/sq cm. Indications are that the higher packing density achievable with the dry etch process may extend this capability to the 500 A/sq cm range and beyond. The wedge emitter geometry was developed and shown to produce emission. This geometry can (in principle) extend the current density capability of the cathodes beyond the 500 A/sq cm level. An emission microscope was built and tested for use with the cathodes.

  9. Processing-Induced Electrically Active Defects in Black Silicon Nanowire Devices.

    PubMed

    Carapezzi, Stefania; Castaldini, Antonio; Mancarella, Fulvio; Poggi, Antonella; Cavallini, Anna

    2016-04-27

    Silicon nanowires (Si NWs) are widely investigated nowadays for implementation in advanced energy conversion and storage devices, as well as many other possible applications. Black silicon (BSi)-NWs are dry etched NWs that merge the advantages related to low-dimensionality with the special industrial appeal connected to deep reactive ion etching (RIE). In fact, RIE is a well established technique in microelectronics manufacturing. However, RIE processing could affect the electrical properties of BSi-NWs by introducing deep states into their forbidden gap. This work applies deep level transient spectroscopy (DLTS) to identify electrically active deep levels and the associated defects in dry etched Si NW arrays. Besides, the successful fitting of DLTS spectra of BSi-NWs-based Schottky barrier diodes is an experimental confirmation that the same theoretical framework of dynamic electronic behavior of deep levels applies in bulk as well as in low dimensional structures like NWs, when quantum confinement conditions do not occur. This has been validated for deep levels associated with simple pointlike defects as well as for deep levels associated with defects with richer structures, whose dynamic electronic behavior implies a more complex picture.

  10. Fabrication and Characterization of CMOS-MEMS Thermoelectric Micro Generators

    PubMed Central

    Kao, Pin-Hsu; Shih, Po-Jen; Dai, Ching-Liang; Liu, Mao-Chen

    2010-01-01

    This work presents a thermoelectric micro generator fabricated by the commercial 0.35 μm complementary metal oxide semiconductor (CMOS) process and the post-CMOS process. The micro generator is composed of 24 thermocouples in series. Each thermocouple is constructed by p-type and n-type polysilicon strips. The output power of the generator depends on the temperature difference between the hot and cold parts in the thermocouples. In order to prevent heat-receiving in the cold part in the thermocouples, the cold part is covered with a silicon dioxide layer with low thermal conductivity to insulate the heat source. The hot part of the thermocouples is suspended and connected to an aluminum plate, to increases the heat-receiving area in the hot part. The generator requires a post-CMOS process to release the suspended structures. The post-CMOS process uses an anisotropic dry etching to remove the oxide sacrificial layer and an isotropic dry etching to etch the silicon substrate. Experimental results show that the micro generator has an output voltage of 67 μV at the temperature difference of 1 K. PMID:22205869

  11. Unbiased roughness measurements: the key to better etch performance

    NASA Astrophysics Data System (ADS)

    Liang, Andrew; Mack, Chris; Sirard, Stephen; Liang, Chen-wei; Yang, Liu; Jiang, Justin; Shamma, Nader; Wise, Rich; Yu, Jengyi; Hymes, Diane

    2018-03-01

    Edge placement error (EPE) has become an increasingly critical metric to enable Moore's Law scaling. Stochastic variations, as characterized for lines by line width roughness (LWR) and line edge roughness (LER), are dominant factors in EPE and known to increase with the introduction of EUV lithography. However, despite recommendations from ITRS, NIST, and SEMI standards, the industry has not agreed upon a methodology to quantify these properties. Thus, differing methodologies applied to the same image often result in different roughness measurements and conclusions. To standardize LWR and LER measurements, Fractilia has developed an unbiased measurement that uses a raw unfiltered line scan to subtract out image noise and distortions. By using Fractilia's inverse linescan model (FILM) to guide development, we will highlight the key influences of roughness metrology on plasma-based resist smoothing processes. Test wafers were deposited to represent a 5 nm node EUV logic stack. The patterning stack consists of a core Si target layer with spin-on carbon (SOC) as the hardmask and spin-on glass (SOG) as the cap. Next, these wafers were exposed through an ASML NXE 3350B EUV scanner with an advanced chemically amplified resist (CAR). Afterwards, these wafers were etched through a variety of plasma-based resist smoothing techniques using a Lam Kiyo conductor etch system. Dense line and space patterns on the etched samples were imaged through advanced Hitachi CDSEMs and the LER and LWR were measured through both Fractilia and an industry standard roughness measurement software. By employing Fractilia to guide plasma-based etch development, we demonstrate that Fractilia produces accurate roughness measurements on resist in contrast to an industry standard measurement software. These results highlight the importance of subtracting out SEM image noise to obtain quicker developmental cycle times and lower target layer roughness.

  12. Polymerization contraction stress in dentin adhesives bonded to dentin and enamel.

    PubMed

    Hashimoto, Masanori; de Gee, Anton J; Feilzer, Albert J

    2008-10-01

    In a previous study on of polymerization contraction stress determinations of adhesives bonded to dentin a continuous decline of stress was observed after the adhesives had been light-cured. The decline was ascribed to stress relief caused by diffusion into the adhesive layer of water and/or solvents, left in the impregnated dentin surface after drying and/or evaporation in the application procedure. The purpose of the present study was to test the hypothesis that the contraction stress of adhesives bonded to enamel will not decline after light-curing, based on the assumption that water and/or solvents are more efficiently removed from impregnated enamel surfaces in the drying and/or evaporation step. Contraction stress was determined in a tensilometer for three total-etching adhesives Scotchbond multi-purpose, Single bond and One-step plus and four self-etching adhesives Clearfil SE Bond, Clearfil Protect Bond, AdheSE, and Xeno III. The adhesives were placed in a thin layer between a glass plate and a flat dentin or enamel surface pre-treated with phosphoric acid or self-etching primer and light-cured under constrained conditions. All adhesives bonded to enamel showed a stress decline, but significantly less than for dentin with the exception of two self-etching adhesives. The greatest decline was found for the total-etching adhesive systems bonded to dentin. The presence of hydrophobic monomers in the adhesives had a significant influence on the decline. The experiments indicate that fluids are withdrawn from the resin impregnated tooth structures, which may result in small defects in the tooth-resin interfaces.

  13. Availability of underlayer application to EUV process

    NASA Astrophysics Data System (ADS)

    Kosugi, Hitoshi; Fonseca, Carlos; Iwao, Fumiko; Marumoto, Hiroshi; Kim, Hyun-Woo; Cho, Kyoungyong; Park, Cheol-Hong; Park, Chang-Min; Na, Hai-Sub; Koh, Cha-Won; Cho, Hanku

    2011-04-01

    EUV lithography is one of the most promising technologies for the fabrication of beyond 30nm HP generation devices. However, it is well-known that EUV lithography still has significant challenges. A great concern is the change of resist material for EUV resist process. EUV resist material formulations will likely change from conventional-type materials. As a result, substrate dependency needs to be understood. TEL has reported that the simulation combined with experiments is a good way to confirm the substrate dependency. In this work the application of HMDS treatment and SiON introduction, as an underlayer, are studied to cause a footing of resist profile. Then, we applied this simulation technique to Samsung EUV process. We will report the benefit of this simulation work and effect of underlayer application. Regarding the etching process, underlayer film introduction could have significant issues because the film that should be etched off increases. For that purpose, thinner films are better for etching. In general, thinner films may have some coating defects. We will report the coating coverage performance and defectivity of ultra thin film coating.

  14. Etching Selectivity of Cr, Fe and Ni Masks on Si & SiO2 Wafers

    NASA Astrophysics Data System (ADS)

    Garcia, Jorge; Lowndes, Douglas H.

    2000-10-01

    During this Summer 2000 I joined the Semiconductors and Thin Films group led by Dr. Douglas H. Lowndes at Oak Ridge National Laboratory’s Solid State Division. Our objective was to evaluate the selectivity that Trifluoromethane (CHF3), and Sulfur Hexafluoride (SF6) plasmas have for Si, SiO2 wafers and the Ni, Cr, and Fe masks; being this etching selectivity the ratio of the etching rates of the plasmas for each of the materials. We made use of Silicon and Silicon Dioxide-coated wafers that have Fe, Cr or Ni masks. In the semiconductor field, metal layers are often used as masks to protect layers underneath during processing steps; when these wafers are taken to the dry etching process, both the wafer and the mask layers’ thickness are reduced.

  15. Highly selective dry etching of GaP in the presence of AlxGa1–xP with a SiCl4/SF6 plasma

    NASA Astrophysics Data System (ADS)

    Hönl, Simon; Hahn, Herwig; Baumgartner, Yannick; Czornomaz, Lukas; Seidler, Paul

    2018-05-01

    We present an inductively coupled-plasma reactive-ion etching process that simultaneously provides both a high etch rate and unprecedented selectivity for gallium phosphide (GaP) in the presence of aluminum gallium phosphide (AlxGa1–xP). Utilizing mixtures of silicon tetrachloride (SiCl4) and sulfur hexafluoride (SF6), selectivities exceeding 2700:1 are achieved at GaP etch rates above 3000 nm min‑1. A design of experiments has been employed to investigate the influence of the inductively coupled-plasma power, the chamber pressure, the DC bias and the ratio of SiCl4 to SF6. The process enables the use of thin AlxGa1–xP stop layers even at aluminum contents of a few percent.

  16. High-Frequency (>50 MHz) Medical Ultrasound Linear Arrays Fabricated From Micromachined Bulk PZT Materials

    PubMed Central

    Liu, Changgeng; Zhou, Qifa; Djuth, Frank T.; Shung, K. Kirk

    2012-01-01

    This paper describes the development and characterization of a high-frequency (65-MHz) ultrasound transducer linear array. The array was built from bulk PZT which was etched using an optimized chlorine-based plasma dry-etching process. The median etch rate of 8 μm/h yielded a good profile (wall) angle (>83°) and a reasonable processing time for etch depths up to 40 μm (which corresponds to a 50-MHz transducer). A backing layer with an acoustic impedance of 6 MRayl and a front-end polymer matching layer yielded a transducer bandwidth of 40%. The major parameters of the transducer have been characterized. The two-way insertion loss and crosstalk between adjacent channels at the center frequency are 26.5 and −25 dB, respectively. PMID:24626041

  17. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Banerjee, D.; Sankaranarayanan, S.; Khachariya, D.

    We demonstrate a method for nanowire formation by natural selection during wet anisotropic chemical etching in boiling phosphoric acid. Nanowires of sub-10 nm lateral dimensions and lengths of 700 nm or more are naturally formed during the wet etching due to the convergence of the nearby crystallographic hexagonal etch pits. These nanowires are site controlled when formed in augmentation with dry etching. Temperature and power dependent photoluminescence characterizations confirm excitonic transitions up to room temperature. The exciton confinement is enhanced by using two-dimensional confinement whereby enforcing greater overlap of the electron-hole wave-functions. The surviving nanowires have less defects and a small temperaturemore » variation of the output electroluminescent light. We have observed superluminescent behaviour of the light emitting diodes formed on these nanowires. There is no observable efficiency roll off for current densities up to 400 A/cm{sup 2}.« less

  18. Cryogenic Etching of Silicon: An Alternative Method For Fabrication of Vertical Microcantilever Master Molds

    PubMed Central

    Addae-Mensah, Kweku A.; Retterer, Scott; Opalenik, Susan R.; Thomas, Darrell; Lavrik, Nickolay V.; Wikswo, John P.

    2013-01-01

    This paper examines the use of deep reactive ion etching (DRIE) of silicon with fluorine high-density plasmas at cryogenic temperatures to produce silicon master molds for vertical microcantilever arrays used for controlling substrate stiffness for culturing living cells. The resultant profiles achieved depend on the rate of deposition and etching of a SiOxFy polymer, which serves as a passivation layer on the sidewalls of the etched structures in relation to areas that have not been passivated with the polymer. We look at how optimal tuning of two parameters, the O2 flow rate and the capacitively coupled plasma (CCP) power, determine the etch profile. All other pertinent parameters are kept constant. We examine the etch profiles produced using e-beam resist as the main etch mask, with holes having diameters of 750 nm, 1 µm, and 2 µm. PMID:24223478

  19. Performance improvements of binary diffractive structures via optimization of the photolithography and dry etch processes

    NASA Astrophysics Data System (ADS)

    Welch, Kevin; Leonard, Jerry; Jones, Richard D.

    2010-08-01

    Increasingly stringent requirements on the performance of diffractive optical elements (DOEs) used in wafer scanner illumination systems are driving continuous improvements in their associated manufacturing processes. Specifically, these processes are designed to improve the output pattern uniformity of off-axis illumination systems to minimize degradation in the ultimate imaging performance of a lithographic tool. In this paper, we discuss performance improvements in both photolithographic patterning and RIE etching of fused silica diffractive optical structures. In summary, optimized photolithographic processes were developed to increase critical dimension uniformity and featuresize linearity across the substrate. The photoresist film thickness was also optimized for integration with an improved etch process. This etch process was itself optimized for pattern transfer fidelity, sidewall profile (wall angle, trench bottom flatness), and across-wafer etch depth uniformity. Improvements observed with these processes on idealized test structures (for ease of analysis) led to their implementation in product flows, with comparable increases in performance and yield on customer designs.

  20. Accelerating CR-39 Track Detector Processing by Utilizing UV

    NASA Astrophysics Data System (ADS)

    Sparling, Jonathan; Padalino, Stephen; McLean, James; Sangster, Craig; Regan, Sean

    2017-10-01

    The use of CR-39 plastic as a Solid State Nuclear Track Detector is an effective technique for obtaining data in high energy particle experiments including inertial confinement fusion. To reveal particle tracks after irradiation, CR-39 is chemically etched in NaOH at 80°C, producing micron-scale signal pits at the nuclear track sites. It has been shown that illuminating CR-39 with UV light prior to etching increases bulk and track etch rates, especially when combined with elevated temperature. Spectroscopic analysis for amorphous solids has helped identify which UV wavelengths are most effective at enhancing etch rates. Absorption peaks found in the near infrared range provide for efficient sample heating, and may allow targeting cooperative IR-UV chemistry. Avoiding UV induced noise can be achieved through variations in absorption depths with wavelength. Vacuum drying and water absorption tests allow measurement of the resulting variation of bulk etch rate with depth. Funded in part by the NSF and an Department of Energy Grant through the Lab of Laser Energetics.

  1. ICP etching for InAs-based InAs/GaAsSb superlattice long wavelength infrared detectors

    NASA Astrophysics Data System (ADS)

    Huang, Min; Chen, Jianxin; Xu, Jiajia; Wang, Fangfang; Xu, Zhicheng; He, Li

    2018-05-01

    In this work, we study and report the dry etching processes for InAs-based InAs/GaAsSb strain-free superlattice long wavelength infrared (LWIR) detectors. The proper etching parameters were first obtained through the parametric studies of Inductively Coupled Plasma (ICP) etching of both InAs and GaSb bulk materials in Cl2/N2 plasmas. Then an InAs-based InAs/GaAsSb superlattice LWIR detector with PπN structure was fabricated by using the optimized etching parameters. At 80 K, the detector exhibits a 100% cut-off wavelength of 12 μm and a responsivity of 1.5 A/W. Moreover, the dark current density of the device under a bias of -200 mV reaches 5.5 × 10-4 A/cm2, and the R0A is 15 Ω cm2. Our results pave the way towards InAs-based superlattice LWIR detectors with better performances.

  2. Effects of stoichiometry, purity, etching and distilling on resistance of MgB 2 pellets and wire segments

    NASA Astrophysics Data System (ADS)

    Ribeiro, R. A.; Bud'ko, S. L.; Petrovic, C.; Canfield, P. C.

    2002-11-01

    We present a study of the effects of non-stoichiometry, boron purity, wire diameter and post-synthesis treatment (etching and Mg distilling) on the temperature dependent resistance and resistivity of sintered MgB 2 pellets and wire segments. Whereas the residual resistivity ratio (RRR) varies between RRR≈4 to RRR⩾20 for different boron purity, it is only moderately affected by non-stoichiometry (from 20% Mg deficiency to 20% Mg excess) and is apparently independent of wire diameter and presence of Mg metal traces on the wire surface. The obtained set of data indicates that RRR values in excess of 20 and residual resistivities as low as ρ 0≈0.4 μΩ cm are intrinsic material properties of high purity MgB 2.

  3. Fabrication of a high aspect ratio thick silicon wafer mold and electroplating using flipchip bonding for MEMS applications

    NASA Astrophysics Data System (ADS)

    Kim, Bong-Hwan; Kim, Jong-Bok

    2009-06-01

    We have developed a microfabrication process for high aspect ratio thick silicon wafer molds and electroplating using flipchip bonding with THB 151N negative photoresist (JSR micro). This fabrication technique includes large area and high thickness silicon wafer mold electroplating. The process consists of silicon deep reactive ion etching (RIE) of the silicon wafer mold, photoresist bonding between the silicon mold and the substrate, nickel electroplating and a silicon removal process. High thickness silicon wafer molds were made by deep RIE and flipchip bonding. In addition, nickel electroplating was developed. Dry film resist (ORDYL MP112, TOK) and thick negative-tone photoresist (THB 151N, JSR micro) were used as bonding materials. In order to measure the bonding strength, the surface energy was calculated using a blade test. The surface energy of the bonding wafers was found to be 0.36-25.49 J m-2 at 60-180 °C for the dry film resist and 0.4-1.9 J m-2 for THB 151N in the same temperature range. Even though ORDYL MP112 has a better value of surface energy than THB 151N, it has a critical disadvantage when it comes to removing residue after electroplating. The proposed process can be applied to high aspect ratio MEMS structures, such as air gap inductors or vertical MEMS probe tips.

  4. Modeling of block copolymer dry etching for directed self-assembly lithography

    NASA Astrophysics Data System (ADS)

    Belete, Zelalem; Baer, Eberhard; Erdmann, Andreas

    2018-03-01

    Directed self-assembly (DSA) of block copolymers (BCP) is a promising alternative technology to overcome the limits of patterning for the semiconductor industry. DSA exploits the self-assembling property of BCPs for nano-scale manufacturing and to repair defects in patterns created during photolithography. After self-assembly of BCPs, to transfer the created pattern to the underlying substrate, selective etching of PMMA (poly (methyl methacrylate)) to PS (polystyrene) is required. However, the etch process to transfer the self-assemble "fingerprint" DSA patterns to the underlying layer is still a challenge. Using combined experimental and modelling studies increases understanding of plasma interaction with BCP materials during the etch process and supports the development of selective process that form well-defined patterns. In this paper, a simple model based on a generic surface model has been developed and an investigation to understand the etch behavior of PS-b-PMMA for Ar, and Ar/O2 plasma chemistries has been conducted. The implemented model is calibrated for etch rates and etch profiles with literature data to extract parameters and conduct simulations. In order to understand the effect of the plasma on the block copolymers, first the etch model was calibrated for polystyrene (PS) and poly (methyl methacrylate) (PMMA) homopolymers. After calibration of the model with the homopolymers etch rate, a full Monte-Carlo simulation was conducted and simulation results are compared with the critical-dimension (CD) and selectivity of etch profile measurement. In addition, etch simulations for lamellae pattern have been demonstrated, using the implemented model.

  5. Trends in Dielectric Etch for Microelectronics Processing

    NASA Astrophysics Data System (ADS)

    Hudson, Eric A.

    2003-10-01

    Dielectric etch technology faces many challenges to meet the requirements for leading-edge microelectronics processing. The move to sub 100-nm device design rules increases the aspect ratios of certain features, imposes tighter restrictions on etched features' critical dimensions, and increases the density of closely packed arrays of features. Changes in photolithography are driving transitions to new photoresist materials and novel multilayer resist methods. The increasing use of copper metallization and low-k interlayer dielectric materials has introduced dual-damascene integration methods, with specialized dielectric etch applications. A common need is the selective removal of multiple layers which have very different compositions, while maintaining close control of the etched features' profiles. To increase productivity, there is a growing trend toward in-situ processing, which allows several films to be successively etched during a single pass through the process module. Dielectric etch systems mainly utilize capacitively coupled etch reactors, operating with medium-density plasmas and low gas residence time. Commercial technology development increasingly relies upon plasma diagnostics and modeling to reduce development cycle time and maximize performance.

  6. Overcoming etch challenges related to EUV based patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Metz, Andrew W.; Cottle, Hongyun; Honda, Masanobu; Morikita, Shinya; Kumar, Kaushik A.; Biolsi, Peter

    2017-04-01

    Research and development activities related to Extreme Ultra Violet [EUV] defined patterning continue to grow for < 40 nm pitch applications. The confluence of high cost and extreme process control challenges of Self-Aligned Quad Patterning [SAQP] with continued momentum for EUV ecosystem readiness could provide cost advantages in addition to improved intra-level overlay performance relative to multiple patterning approaches. However, Line Edge Roughness [LER] and Line Width Roughness [LWR] performance of EUV defined resist images are still far from meeting technology needs or ITRS spec performance. Furthermore, extreme resist height scaling to mitigate flop over exacerbates the plasma etch trade-offs related to traditional approaches of PR smoothing, descum implementation and maintaining 2D aspect ratios of short lines or elliptical contacts concurrent with ultra-high photo resist [PR] selectivity. In this paper we will discuss sources of LER/LWR, impact of material choice, integration, and innovative plasma process techniques and describe how TELTM VigusTM CCP Etchers can enhance PR selectivity, reduce LER/LWR, and maintain 2D aspect ratio of incoming patterns. Beyond traditional process approaches this paper will show the utility of: [1] DC Superposition in enhancing EUV resist hardening and selectivity, increasing resistance to stress induced PR line wiggle caused by CFx passivation, and mitigating organic planarizer wiggle; [2] Quasi Atomic Layer Etch [Q-ALE] for ARC open eliminating the tradeoffs between selectivity, CD, and shrink ratio control; and [3] ALD+Etch FUSION technology for feature independent CD shrink and LER reduction. Applicability of these concepts back transferred to 193i based lithography is also confirmed.

  7. Processing, Fabrication and Characterization of Advanced Target Sensors Using Mercury Cadmium Telluride (MCT)

    DTIC Science & Technology

    2010-09-01

    doped with Au, Hg, Cd, Be, or Ga); or (3) photoemissive such as metal silicides and negative electron affinity materials. Photoconductive and...plasma (ICP) etching and metallization as required by the design of the sensors at different levels of processing were carried out using either AZ...Second, after all the processing and metallization is completed, the sensor material (Hg1–xCdxTe) and the substrate (silicon) must be dry etched

  8. Pre-release plastic packaging of MEMS and IMEMS devices

    DOEpatents

    Peterson, Kenneth A.; Conley, William R.

    2002-01-01

    A method is disclosed for pre-release plastic packaging of MEMS and IMEMS devices. The method can include encapsulating the MEMS device in a transfer molded plastic package. Next, a perforation can be made in the package to provide access to the MEMS elements. The non-ablative material removal process can include wet etching, dry etching, mechanical machining, water jet cutting, and ultrasonic machining, or any combination thereof. Finally, the MEMS elements can be released by using either a wet etching or dry plasma etching process. The MEMS elements can be protected with a parylene protective coating. After releasing the MEMS elements, an anti-stiction coating can be applied. The perforating step can be applied to both sides of the device or package. A cover lid can be attached to the face of the package after releasing any MEMS elements. The cover lid can include a window for providing optical access. The method can be applied to any plastic packaged microelectronic device that requires access to the environment, including chemical, pressure, or temperature-sensitive microsensors; CCD chips, photocells, laser diodes, VCSEL's, and UV-EPROMS. The present method places the high-risk packaging steps ahead of the release of the fragile portions of the device. It also provides protection for the die in shipment between the molding house and the house that will release the MEMS elements and subsequently treat the surfaces.

  9. Dry etched SiO2 Mask for HgCdTe Etching Process

    NASA Astrophysics Data System (ADS)

    Chen, Y. Y.; Ye, Z. H.; Sun, C. H.; Deng, L. G.; Zhang, S.; Xing, W.; Hu, X. N.; Ding, R. J.; He, L.

    2016-09-01

    A highly anisotropic etching process with low etch-induced damage is indispensable for advanced HgCdTe (MCT) infrared focal plane array (IRFPA) detectors. The inductively coupled plasma (ICP) enhanced reactive ion etching technique has been widely adopted in manufacturing HgCdTe IRFPA devices. An accurately patterned mask with sharp edges is decisive to accomplish pattern duplication. It has been reported by our group that the SiO2 mask functions well in etching HgCdTe with high selectivity. However, the wet process in defining the SiO2 mask is limited by ambiguous edges and nonuniform patterns. In this report, we patterned SiO2 with a mature ICP etching technique, prior to which a thin ZnS film was deposited by thermal evaporation. The SiO2 film etching can be terminated at the auto-stopping point of the ZnS layer thanks to the high selectivity of SiO2/ZnS in SF6 based etchant. Consequently, MCT etching was directly performed without any other treatment. This mask showed acceptable profile due to the maturity of the SiO2 etching process. The well-defined SiO2 pattern and the etched smooth surfaces were investigated with scanning electron microscopy and atomic force microscope. This new mask process could transfer the patterns exactly with very small etch-bias. A cavity with aspect-ratio (AR) of 1.2 and root mean square roughness of 1.77 nm was achieved first, slightly higher AR of 1.67 was also get with better mask profile. This masking process ensures good uniformity and surely benefits the delineation of shrinking pixels with its high resolution.

  10. Fabrication of a terahertz quantum-cascade laser with a double metal waveguide based on multilayer GaAs/AlGaAs heterostructures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Khabibullin, R. A., E-mail: khabibullin@isvch.ru; Shchavruk, N. V.; Pavlov, A. Yu.

    2016-10-15

    The Postgrowth processing of GaAs/AlGaAs multilayer heterostructures for terahertz quantumcascade lasers (QCLs) are studied. This procedure includes the thermocompression bonding of In–Au multilayer heterostructures with a doped n{sup +}-GaAs substrate, mechanical grinding, and selective wet etching of the substrate, and dry etching of QCL ridge mesastripes through a Ti/Au metallization mask 50 and 100 μm wide. Reactive-ion-etching modes with an inductively coupled plasma source in a BCl{sub 3}/Ar gas mixture are selected to obtain vertical walls of the QCL ridge mesastripes with minimum Ti/Au mask sputtering.

  11. Influence of warm air-drying on enamel bond strength and surface free-energy of self-etch adhesives.

    PubMed

    Shiratsuchi, Koji; Tsujimoto, Akimasa; Takamizawa, Toshiki; Furuichi, Tetsuya; Tsubota, Keishi; Kurokawa, Hiroyasu; Miyazaki, Masashi

    2013-08-01

    We examined the effect of warm air-drying on the enamel bond strengths and the surface free-energy of three single-step self-etch adhesives. Bovine mandibular incisors were mounted in self-curing resin and then wet ground with #600 silicon carbide (SiC) paper. The adhesives were applied according to the instructions of the respective manufacturers and then dried in a stream of normal (23°C) or warm (37°C) air for 5, 10, and 20 s. After visible-light irradiation of the adhesives, resin composites were condensed into a mold and polymerized. Ten samples per test group were stored in distilled water at 37°C for 24 h and then the bond strengths were measured. The surface free-energies were determined by measuring the contact angles of three test liquids placed on the cured adhesives. The enamel bond strengths varied according to the air-drying time and ranged from 15.8 to 19.1 MPa. The trends for the bond strengths were different among the materials. The value of the γS⁺ component increased slightly when drying was performed with a stream of warm air, whereas that of the γS⁻ component decreased significantly. These data suggest that warm air-drying is essential to obtain adequate enamel bond strengths, although increasing the drying time did not significantly influence the bond strength. © 2013 Eur J Oral Sci.

  12. Epoxy bond and stop etch fabrication method

    DOEpatents

    Simmons, Jerry A.; Weckwerth, Mark V.; Baca, Wes E.

    2000-01-01

    A class of epoxy bond and stop etch (EBASE) microelectronic fabrication techniques is disclosed. The essence of such techniques is to grow circuit components on top of a stop etch layer grown on a first substrate. The first substrate and a host substrate are then bonded together so that the circuit components are attached to the host substrate by the bonding agent. The first substrate is then removed, e.g., by a chemical or physical etching process to which the stop etch layer is resistant. EBASE fabrication methods allow access to regions of a device structure which are usually blocked by the presence of a substrate, and are of particular utility in the fabrication of ultrafast electronic and optoelectronic devices and circuits.

  13. Photolithography and Selective Etching of an Array of Quartz Tuning Fork Resonators with Improved Impact Resistance Characteristics

    NASA Astrophysics Data System (ADS)

    Lee, Sungkyu

    2001-08-01

    Quartz tuning fork blanks with improved impact-resistant characteristics for use in Qualcomm mobile station modem (MSM)-3000 central processing unit (CPU) chips for code division multiple access (CDMA), personal communication system (PCS), and global system for mobile communication (GSM) systems were designed using finite element method (FEM) analysis and suitable processing conditions were determined for the reproducible precision etching of a Z-cut quartz wafer into an array of tuning forks. Negative photoresist photolithography for the additive process was used in preference to positive photoresist photolithography for the subtractive process to etch the array of quartz tuning forks. The tuning fork pattern was transferred via a conventional photolithographical chromium/quartz glass template using a standard single-sided aligner and subsequent negative photoresist development. A tightly adhering and pinhole-free 600/2000 Å chromium/gold mask was coated over the developed photoresist pattern which was subsequently stripped in acetone. This procedure was repeated on the back surface of the wafer. With the protective metallization area of the tuning fork geometry thus formed, etching through the quartz wafer was performed at 80°C in a ± 1.5°C controlled bath containing a concentrated solution of ammonium bifluoride to remove the unwanted areas of the quartz wafer. The quality of the quartz wafer surface finish after quartz etching depended primarily on the surface finish of the quartz wafer prior to etching and the quality of quartz crystals used. Selective etching of a 100 μm quartz wafer could be achieved within 90 min at 80°C. A selective etching procedure with reproducible precision has thus been established and enables the photolithographic mass production of miniature tuning fork resonators.

  14. Quadrilateral Micro-Hole Array Machining on Invar Thin Film: Wet Etching and Electrochemical Fusion Machining

    PubMed Central

    Choi, Woong-Kirl; Kim, Seong-Hyun; Choi, Seung-Geon; Lee, Eun-Sang

    2018-01-01

    Ultra-precision products which contain a micro-hole array have recently shown remarkable demand growth in many fields, especially in the semiconductor and display industries. Photoresist etching and electrochemical machining are widely known as precision methods for machining micro-holes with no residual stress and lower surface roughness on the fabricated products. The Invar shadow masks used for organic light-emitting diodes (OLEDs) contain numerous micro-holes and are currently machined by a photoresist etching method. However, this method has several problems, such as uncontrollable hole machining accuracy, non-etched areas, and overcutting. To solve these problems, a machining method that combines photoresist etching and electrochemical machining can be applied. In this study, negative photoresist with a quadrilateral hole array pattern was dry coated onto 30-µm-thick Invar thin film, and then exposure and development were carried out. After that, photoresist single-side wet etching and a fusion method of wet etching-electrochemical machining were used to machine micro-holes on the Invar. The hole machining geometry, surface quality, and overcutting characteristics of the methods were studied. Wet etching and electrochemical fusion machining can improve the accuracy and surface quality. The overcutting phenomenon can also be controlled by the fusion machining. Experimental results show that the proposed method is promising for the fabrication of Invar film shadow masks. PMID:29351235

  15. Development of template and mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Brooks, Cynthia; Selinidis, Kosta; Doyle, Gary; Brown, Laura; LaBrake, Dwayne; Resnick, Douglas J.; Sreenivasan, S. V.

    2010-09-01

    The Jet and Flash Imprint Lithography (J-FILTM)1-7 process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105 imprints. This suggests that tens of thousands of templates/masks will be required. It is not feasible to employ electronbeam patterning directly to deliver these volumes. Instead, a "master" template - created by directly patterning with an electron-beam tool - will be replicated many times with an imprint lithography tool to produce the required supply of "working" templates/masks. In this paper, we review the development of the pattern transfer process for both template and mask replicas. Pattern transfer of resolutions down to 25nm has been demonstrated for bit patterned media replication. In addition, final resolution on a semiconductor mask of 28nm has been confirmed. The early results on both etch depth and CD uniformity are promising, but more extensive work is required to characterize the pattern transfer process.

  16. Fabrication of polyimide based microfluidic channels for biosensor devices

    NASA Astrophysics Data System (ADS)

    Zulfiqar, Azeem; Pfreundt, Andrea; Svendsen, Winnie Edith; Dimaki, Maria

    2015-03-01

    The ever-increasing complexity of the fabrication process of Point-of-care (POC) devices, due to high demand of functional versatility, compact size and ease-of-use, emphasizes the need of multifunctional materials that can be used to simplify this process. Polymers, currently in use for the fabrication of the often needed microfluidic channels, have limitations in terms of their physicochemical properties. Therefore, the use of a multipurpose biocompatible material with better resistance to the chemical, thermal and electrical environment, along with capability of forming closed channel microfluidics is inevitable. This paper demonstrates a novel technique of fabricating microfluidic devices using polyimide (PI) which fulfills the aforementioned properties criteria. A fabrication process to pattern microfluidic channels, using partially cured PI, has been developed by using a dry etching method. The etching parameters are optimized and compared to those used for fully cured PI. Moreover, the formation of closed microfluidic channel on wafer level by bonding two partially cured PI layers or a partially cured PI to glass with high bond strength has been demonstrated. The reproducibility in uniformity of PI is also compared to the most commonly used SU8 polymer, which is a near UV sensitive epoxy resin. The potential applications of PI processing are POC and biosensor devices integrated with microelectronics.

  17. A Micro Oxygen Sensor Based on a Nano Sol-Gel TiO2 Thin Film

    PubMed Central

    Wang, Hairong; Chen, Lei; Wang, Jiaxin; Sun, Quantao; Zhao, Yulong

    2014-01-01

    An oxygen gas microsensor based on nanostructured sol-gel TiO2 thin films with a buried Pd layer was developed on a silicon substrate. The nanostructured titania thin films for O2 sensors were prepared by the sol-gel process and became anatase after heat treatment. A sandwich TiO2 square board with an area of 350 μm × 350 μm was defined by both wet etching and dry etching processes and the wet one was applied in the final process due to its advantages of easy control for the final structure. A pair of 150 nm Pt micro interdigitated electrodes with 50 nm Ti buffer layer was fabricated on the board by a lift-off process. The sensor chip was tested in a furnace with changing the O2 concentration from 1.0% to 20% by monitoring its electrical resistance. Results showed that after several testing cycles the sensor's output becomes stable, and its sensitivity is 0.054 with deviation 2.65 × 10−4 and hysteresis is 8.5%. Due to its simple fabrication process, the sensor has potential for application in environmental monitoring, where lower power consumption and small size are required. PMID:25192312

  18. Nano-imprint lithography using poly (methyl methacrylate) (PMMA) and polystyrene (PS) polymers

    NASA Astrophysics Data System (ADS)

    Ting, Yung-Chiang; Shy, Shyi-Long

    2016-04-01

    Nano-imprinting lithography (NIL) technology, as one of the most promising fabrication technologies, has been demonstrated to be a powerful tool for large-area replication up to wafer-level, with features down to nanometer scale. The cost of resists used for NIL is important for wafer-level large-area replication. This study aims to develop capabilities in patterning larger area structure using thermal NIL. The commercial available Poly (Methyl Methacrylate) (PMMA) and Polystyrene (PS) polymers possess a variety of characteristics desirable for NIL, such as low material cost, low bulkvolumetric shrinkage, high spin coating thickness uniformity, high process stability, and acceptable dry-etch resistance. PMMA materials have been utilized for positive electron beam lithography for many years, offering high resolution capability and wide process latitude. In addition, it is preferable to have a negative resist like PMMA, which is a simple polymer with low cost and practically unlimited shelf life, and can be dissolved easily using commercial available Propylene glycol methyl ether acetate (PGMEA) safer solvent to give the preferred film thickness. PS is such a resist, as it undergoes crosslinking when exposed to deep UV light or an electron beam and can be used for NIL. The result is a cost effective patterning larger area structure using thermal nano-imprint lithography (NIL) by using commercial available PMMA and PS ploymers as NIL resists.

  19. Microlithography and resist technology information at your fingertips via SciFinder

    NASA Astrophysics Data System (ADS)

    Konuk, Rengin; Macko, John R.; Staggenborg, Lisa

    1997-07-01

    Finding and retrieving the information you need about microlithography and resist technology in a timely fashion can make or break your competitive edge in today's business environment. Chemical Abstracts Service (CAS) provides the most complete and comprehensive database of the chemical literature in the CAplus, REGISTRY, and CASREACT files including 13 million document references, 15 million substance records and over 1.2 million reactions. This includes comprehensive coverage of positive and negative resist formulations and processing, photoacid generation, silylation, single and multilayer resist systems, photomasks, dry and wet etching, photolithography, electron-beam, ion-beam and x-ray lithography technologies and process control, optical tools, exposure systems, radiation sources and steppers. Journal articles, conference proceedings and patents related to microlithography and resist technology are analyzed and indexed by scientific information analysts with strong technical background in these areas. The full CAS database, which is updated weekly with new information, is now available at your desktop, via a convenient, user-friendly tool called 'SciFinder.' Author, subject and chemical substance searching is simplified by SciFinder's smart search features. Chemical substances can be searched by chemical structure, chemical name, CAS registry number or molecular formula. Drawing chemical structures in SciFinder is easy and does not require compliance with CA conventions. Built-in intelligence of SciFinder enables users to retrieve substances with multiple components, tautomeric forms and salts.

  20. Nanomanufacturing of 2D Transition Metal Dichalcogenide Materials Using Self-Assembled DNA Nanotubes.

    PubMed

    Choi, Jungwook; Chen, Haorong; Li, Feiran; Yang, Lingming; Kim, Steve S; Naik, Rajesh R; Ye, Peide D; Choi, Jong Hyun

    2015-11-04

    2D transition metal dichalcogenides (TMDCs) are nanomanufactured using a generalized strategy with self-assembled DNA nanotubes. DNA nanotubes of various lengths serve as lithographic etch masks for the dry etching of TMDCs. The nanostructured TMDCs are studied by atomic force microscopy, photoluminescence, and Raman spectroscopy. This parallel approach can be used to manufacture 2D TMDC nanostructures of arbitrary geometries with molecular-scale precision. © 2015 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  1. Novel Heterongineered Detectors for Multi-Color Infrared Sensing

    DTIC Science & Technology

    2012-01-30

    barriers”. Appl. Phys. Lett. 98, 121106 (2011) 9. A. Khoshakhlagh, F. Jaeckel C. Hains J. B. Rodriguez , L. R. Dawson, K. Malloy, and S. Krishna...AlAs etch-stop layer. The detailed processing sequence is included in the Methods. b da c n + -GaAs 200 nm Mesa lndium bump 2.1 –2.1 FPA p d SP-FPA...FPA chip. The processing scheme of the plasmonic FPA chip consists of a dry etch to form the mesa , surface passivation, ohmic metal evaporation, under

  2. Coating and dispersion of ceramic nanoparticles by UV-ozone etching assisted surface-initiated living radical polymerization.

    PubMed

    Arita, Toshihiko

    2010-10-01

    Commercially available unmodified ceramic nanoparticles (NPs) in dry powder state were surface-modified and dispersed in almost single-crystal size. The surface-initiated living radical polymerization after just UV-ozone soft etching enables one to graft polymers onto the surface of ceramic NPs and disperse them in solvents. Furthermore, a number of NPs were dispersed with single-crystal sizes. The technique developed here could be applied to almost all ceramic NPs including metal nitrides.

  3. Hemispherical cavities on silicon substrates: an overview of micro fabrication techniques

    NASA Astrophysics Data System (ADS)

    Poncelet, O.; Rasson, J.; Tuyaerts, R.; Coulombier, M.; Kotipalli, R.; Raskin, J.-P.; Francis, L. A.

    2018-04-01

    Hemispherical photonic crystals found in species like Papilio blumei and Cicendella chinensis have inspired new applications like anti-counterfeiting devices and gas sensors. In this work, we investigate and compare four different ways to micro fabricate such hemispherical cavities: using colloids as template, by wet (HNA) or dry (XeF2) isotropic etching of silicon and by electrochemical etching of silicon. The shape and the roughness of the obtained cavities have been discussed and the pros/cons for each method are highlighted.

  4. Single-Run Single-Mask Inductively-Coupled-Plasma Reactive-Ion-Etching Process for Fabricating Suspended High-Aspect-Ratio Microstructures

    NASA Astrophysics Data System (ADS)

    Yang, Yao-Joe; Kuo, Wen-Cheng; Fan, Kuang-Chao

    2006-01-01

    In this work, we present a single-run single-mask (SRM) process for fabricating suspended high-aspect-ratio structures on standard silicon wafers using an inductively coupled plasma-reactive ion etching (ICP-RIE) etcher. This process eliminates extra fabrication steps which are required for structure release after trench etching. Released microstructures with 120 μm thickness are obtained by this process. The corresponding maximum aspect ratio of the trench is 28. The SRM process is an extended version of the standard process proposed by BOSCH GmbH (BOSCH process). The first step of the SRM process is a standard BOSCH process for trench etching, then a polymer layer is deposited on trench sidewalls as a protective layer for the subsequent structure-releasing step. The structure is released by dry isotropic etching after the polymer layer on the trench floor is removed. All the steps can be integrated into a single-run ICP process. Also, only one mask is required. Therefore, the process complexity and fabrication cost can be effectively reduced. Discussions on each SRM step and considerations for avoiding undesired etching of the silicon structures during the release process are also presented.

  5. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, Douglas S.; Schubert, William K.; Gee, James M.

    1999-01-01

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas.

  6. Silicon solar cells made by a self-aligned, selective-emitter, plasma-etchback process

    DOEpatents

    Ruby, D.S.; Schubert, W.K.; Gee, J.M.

    1999-02-16

    A potentially low-cost process for forming and passivating a selective emitter. The process uses a plasma etch of the heavily doped emitter to improve its performance. The grids of the solar cell are used to mask the plasma etch so that only the emitter in the region between the grids is etched, while the region beneath the grids remains heavily doped for low contact resistance. This process is potentially low-cost because it requires no alignment. After the emitter etch, a silicon nitride layer is deposited by plasma-enhanced, chemical vapor deposition, and the solar cell is annealed in a forming gas. 5 figs.

  7. A low insertion loss GaAs pHEMT switch utilizing dual n +-doping AlAs etching stop layers design

    NASA Astrophysics Data System (ADS)

    Chien, Feng-Tso; Lin, Da-Wei; Yang, Chih-Wei; Fu, Jeffrey S.; Chiu, Hsien-Chin

    2010-03-01

    A low insertion loss single-pole-single-throw (SPST) pseudomorphic high electron mobility transistor (pHEMT) switch utilizing the n +-type doping in AlAs etching stop layer was fabricated and investigated. This novel design reduces device sheet resistance resulting in an improvement of dc and rf power performance. In addition, the gate recess selectivity for GaAs/AlAs interface was not sacrificed after highly n +-type doping in AlAs etching stop layer. The pHEMT with n +-AlAs etching stop layer, also named Modified pHEMT (M-pHEMT), demonstrated a lower sheet resistance ( Rsh) of 65.9 Ω/γ, a higher maximum drain-to-source current ( Idmax) of 317.8 mA/mm and a higher peak transconductance ( gm) of 259.3 mS/mm which are superior to standard pHEMT performance with values of 71.9 Ω/γ, 290.3 mA/mm and 252.1 mS/mm, respectively. Due to a significant sheet resistance improvement from this novel epitaxial design, an SPST pHEMT switch was realized to manifest its industrial application potential. The results achieved an on-state insertion loss of 1.42 dB, an off-state isolation of 13.02 dB at 0.9 GHz, which were superior to traditional pHEMT switch under same condition of operation with values of 1.68 dB and 11.42 dB, respectively. It is proved that dual n +-doping AlAs etching stop layers scheme is beneficial for low loss microwave switches applications.

  8. Electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography

    NASA Astrophysics Data System (ADS)

    Takahashi, Hiroshi; Sagara, Tomoya; Horiuchi, Toshiyuki

    2017-07-01

    Recently, it is required to develop a method for fabricating cylindrical micro-components in the field of measurement and medical engineering. Here, electrolytic etching of fine stainless-steel pipes patterned by laser-scan lithography was researched. The pipe diameter was 100 μm. At first, a pipe coated with 3-7 μm thick positive resist (tok, PMER P LA-900) was exposed to a violet laser beam with a wavelength of 408 nm (Neoark,TC20-4030-45). The laser beam was reshaped in a circle by placing a pinhole, and irradiated on the pipe by reducing the size in 1/20 using a reduction projection optics. Linearly arrayed 22 slit patterns with a width of 25 μm and a length of 175 μm were delineated in every 90-degree circumferential direction. That is, 88 slits in total were delineated at an exposure speed of 110 μm/s. In the axial direction, patterns were delineated at intervals of 90 μm. Following the pattern delineation, the pipe masked by the resist patterns was electrolytically etched. The pipe was used as an anode and an aluminum cylinder was set as a cathode around the pipe. As the electrolyte, aqueous solution of NaCl and NH4Cl was used. After etching the pipe, the resist was removed by ultrasonic cleaning in acetone. Although feasibility for fabricating multi-slit pipes was demonstrated, sizes of the etched slits were enlarged being caused by the undercut, and the shapes were partially deformed, and all the pipes were snapped at the chuck side.

  9. A Nipkow disk integrated with Fresnel lenses for terahertz single pixel imaging.

    PubMed

    Li, Chong; Grant, James; Wang, Jue; Cumming, David R S

    2013-10-21

    We present a novel Nipkow disk design for terahertz (THz) single pixel imaging applications. A 100 mm high resistivity (ρ≈3k-10k Ω·cm) silicon wafer was used for the disk on which a spiral array of twelve 16-level binary Fresnel lenses were fabricated using photolithography and a dry-etch process. The implementation of Fresnel lenses on the Nipkow disk increases the THz signal transmission compared to the conventional pinhole-based Nipkow disk by more than 12 times thus a THz source with lower power or a THz detector with lower detectivity can be used. Due to the focusing capability of the lenses, a pixel resolution better than 0.5 mm is in principle achievable. To demonstrate the concept, a single pixel imaging system operating at 2.52 THz is described.

  10. Effect of a Cooling Step Treatment on a High-Voltage GaN LED During ICP Dry Etching

    NASA Astrophysics Data System (ADS)

    Lin, Yen-Sheng; Hsiao, Sheng-Yu; Tseng, Chun-Lung; Shen, Ching-Hsing; Chiang, Jung-Sheng

    2017-02-01

    In this study, a lower dislocation density for a GaN surface and a reduced current path are observed at the interface of a SiO2 isolation sidewall, using high-resolution transmission electron microscopy. This is grown using a 3-min cooling step treatment during inductivity coupled plasma dry etching. The lower forward voltage is measured, the leakage current decreases from 53nA to 32nA, and the maximum output power increases from 354.8 W to 357.2 W for an input current of 30 mA. The microstructure and the optoelectronic properties of high-voltage light-emitting-diodes is proven to be affected by the cooling step treatment, which allows enough time to release the thermal energy of the SiO2 isolation well.

  11. Application of cyclic fluorocarbon/argon discharges to device patterning

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Metzler, Dominik, E-mail: dmetzler@umd.edu; Uppireddi, Kishore; Bruce, Robert L.

    2016-01-15

    With increasing demands on device patterning to achieve smaller critical dimensions and pitches for the 5 nm node and beyond, the need for atomic layer etching (ALE) is steadily increasing. In this work, a cyclic fluorocarbon/Ar plasma is successfully used for ALE patterning in a manufacturing scale reactor. Self-limited etching of silicon oxide is observed. The impact of various process parameters on the etch performance is established. The substrate temperature has been shown to play an especially significant role, with lower temperatures leading to higher selectivity and lower etch rates, but worse pattern fidelity. The cyclic ALE approach established with thismore » work is shown to have great potential for small scale device patterning, showing self-limited etching, improved uniformity and resist mask performance.« less

  12. Application of cyclic fluorocarbon/argon discharges to device patterning

    DOE PAGES

    Metzler, Dominik; Uppiredi, Kishore; Bruce, Robert L.; ...

    2015-11-13

    With increasing demands on device patterning to achieve smaller critical dimensions and pitches for the 5nm node and beyond, the need for atomic layer etching (ALE) is steadily increasing. In this study, a cyclic fluorocarbon/Ar plasma is successfully used for ALE patterning in a manufacturing scale reactor. Self-limited etching of silicon oxide is observed. The impact of various process parameters on the etch performance is established. The substrate temperature has been shown to play an especially significant role, with lower temperatures leading to higher selectivity and lower etch rates, but worse pattern fidelity. The cyclic ALE approach established with thismore » work is shown to have great potential for small scale device patterning, showing self-limited etching, improved uniformity and resist mask performance.« less

  13. Total etch technique and cavity isolation.

    PubMed

    Fusayama, T

    1992-01-01

    In the total etch technique for chemically adhesive composite restorations, the phosphoric acid penetrates only 10 microns or less into the vital dentin with the dentinal tubules being filled with the odontoblast processes. The acid is completely removed by subsequent air-water jet spray washing. The tubule apertures are perfectly sealed by the protective bonding agent layer with the resin tags adhering to the tubule walls and the resin-impregnated dentin surface. Isolation of the cavity from moisture contamination is required for only less than a few seconds after drying the etched cavity until the bonding agent coating and after this coating until the composite resin placement. Such a short time for isolation is quite easy even without a rubber dam when a trained assistant is cooperating.

  14. Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

    NASA Astrophysics Data System (ADS)

    Karecki, Simon; Chatterjee, Ritwik; Pruette, Laura; Reif, Rafael; Sparks, Terry; Beu, Laurie; Vartanian, Victor

    2000-07-01

    In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H-CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.

  15. Fabrication and Theoretical Evaluation of Microlens Arrays on Layered Polymers

    NASA Astrophysics Data System (ADS)

    Oder, Tom; McMaster, Michael; Merlo, Corey; Bagheri, Camron; Reakes, Clayton; Petrus, Joshua; Li, Dingqiang; Crescimanno, Michael; Andrews, James

    2014-03-01

    Arrays of microlens were fabricated on nano-layered polymers using reactive ion etching. Semi hemispherical patterns with diameters ranging from 20 to 80 micrometers were first formed on a thick photoresist film that was spin-coated on the layered polymers using standard photolithographic process employing a gray scale glass mask. These patterns were then transferred to the polymers using dry etching in a reactive ion etching system. The optimized etch condition included a mixture of sulfur hexafluoride and oxygen, which resulted in an etch depth of 5 micrometers and successfully exposed the individual sub-micron thick layers in the polymers. Physical characterization of the microlens arrays was done using atomic force microscope and scanning electron microscope. We combine basic physical optics theory with the transfer matrix analysis of optical transport in nano-layered polymers to address subtleties in the chromatic response of microlenses made from these materials. In particular this method explains the len's behavior in and around the reflection band of the materials. We wish to acknowledge support of funds from NSF through its Center for Layered Polymeric Systems (CLiPS) at Case Western Reserve University.

  16. Acid Solutions for Etching Corrosion-Resistant Metals

    NASA Technical Reports Server (NTRS)

    Simmons, J. R.

    1982-01-01

    New study characterized solutions for etching austenitic stainless steels, nickel-base alloys, and titanium alloys (annealed). Solutions recommended for use remove at least 0.4 mil of metal from surface in less than an hour. Solutions do not cause intergranular attack on metals for which they are effective, when used under specified conditions.

  17. Synthesis and characterization of porous silicon gas sensors

    NASA Astrophysics Data System (ADS)

    abbas, Roaa A.; Alwan, Alwan M.; Abdulhamied, Zainab T.

    2018-05-01

    In this work, photo-electrochemical etching process of n-type Silicon of resistivity(10 Ω.cm) and (100) orientation, using two illumination sources IR and violet wavelength in HF acid have been used to produce PSi gas detection device. The fabrication process was carried out at a fixed etching current density of 25mA/cm2 and at different etching time (5, 10, 15 and 20) min and (8, 16, 24, and 30) min. Two configurations of gas sensor configuration planer and sandwich have been made and investigated. The morphological properties have been studied using SEM,the FTIR measurement show that the (Si-Hx) and (Si-O-Si) absorption peak were increases with increasing etching time,and Photoluminescence properties of PSi layer show decrease in the peak of PL peak toward the violet shift. The gas detection process is made on the CO2 gas at different operating temperature and fixed gas concentration. In the planner structure, the gas sensing was measured through, the change in the resistance readout as a function to the exposure time, while for sandwich structure J-V characteristic have been made to determine the sensitivity.

  18. Metal1 patterning study for random-logic applications with 193i, using calibrated OPC for litho and etch

    NASA Astrophysics Data System (ADS)

    Mailfert, Julien; Van de Kerkhove, Jeroen; De Bisschop, Peter; De Meyer, Kristin

    2014-03-01

    A Metal1-layer (M1) patterning study is conducted on 20nm node (N20) for random-logic applications. We quantified the printability performance on our test vehicle for N20, corresponding to Poly/M1 pitches of 90/64nm, and with a selected minimum M1 gap size of 70nm. The Metal1 layer is patterned with 193nm immersion lithography (193i) using Negative Tone Developer (NTD) resist, and a double-patterning Litho-Etch-Litho-Etch (LELE) process. Our study is based on Logic test blocks that we OPCed with a combination of calibrated models for litho and for etch. We report the Overlapping Process Window (OPW), based on a selection of test structures measured after-etch. We find that most of the OPW limiting structures are EOL (End-of-Line) configurations. Further analysis of these individual OPW limiters will reveal that they belong to different types, such as Resist 3D (R3D) and Mask 3D (M3D) sensitive structures, limiters related to OPC (Optical Proximity Corrections) options such as assist placement, or the choice of CD metrics and tolerances for calculation of the process windows itself. To guide this investigation, we will consider a `reference OPC' case to be compared with other solutions. In addition, rigorous simulations and OPC verifications will complete the after-etch measurements to help us to validate our experimental findings.

  19. Alternating SiCl4/O2 passivation steps with SF6 etch steps for silicon deep etching

    NASA Astrophysics Data System (ADS)

    Duluard, C. Y.; Ranson, P.; Pichon, L. E.; Pereira, J.; Oubensaid, E. H.; Lefaucheux, P.; Puech, M.; Dussart, R.

    2011-06-01

    Deep etching of silicon has been investigated in an inductively coupled plasma etch reactor using short SiCl4/O2 plasma steps to passivate the sidewalls of the etched structures. A study was first carried out to define the appropriate parameters to create, at a substrate temperature of -20 °C, a passivation layer by SiCl4/O2 plasma that resists lateral chemical etching in SF6 plasma. The most efficient passivation layer was obtained for a SiCl4/O2 gas flow ratio of 2:1, a pressure of 1 Pa and a source power of 1000 W. Ex situ analyses on a film deposited with these parameters show that it is very rich in oxygen. Silicon etching processes that alternate SF6 plasma etch steps with SiCl4/O2 plasma passivation steps were then developed. Preliminary tests in pulsed-mode conditions have enabled etch rates greater than 2 µm min-1 with selectivities higher than 220. These results show that it is possible to develop a silicon deep etching process at substrate temperatures around -20 °C that uses low SiCl4 and O2 gas flows instead of conventional fluorocarbon gases for sidewall protection.

  20. Evaluation of the Shear Bond Strength of Composite Resin to Wet and Dry Enamel Using Dentin Bonding Agents Containing Various Solvents.

    PubMed

    Usha, Carounanidy; Ramarao, Sathyanarayanan; John, Bindu Meera; Rajesh, Praveen; Swatha, S

    2017-01-01

    Bonding of composite resin to dentin mandates a wet substrate whereas, enamel should be dry. This may not be easily achievable in intracoronal preparations where enamel and dentin are closely placed to each other. Therefore, Dentin Bonding Agents (DBA) are recommended for enamel and dentinal bonding, where enamel is also left moist. A research question was raised if the "enamel-only" preparations will also benefit from wet enamel bonding and contemporary DBA. The aim of this study was to compare the shear bond strengths of composite resin, bonded to dry and wet enamel using fifth generation DBA (etch and rinse system) containing various solvents such as ethanol/water, acetone and ethanol. The crowns of 120 maxillary premolars were split into buccal and lingual halves. They were randomly allocated into four groups of DBA: Group 1-water/ethanol based, Group 2-acetone based, Group 3-ethanol based, Group 4-universal bonding agent (control group). The buccal halves and lingual halves were bonded using the wet bonding and dry bonding technique respectively. After application of the DBAs and composite resin build up, shear bond strength testing was done. Group 1 (ethanol/water based ESPE 3M, Adper Single Bond) showed highest bond strength of (23.15 MPa) in dry enamel. Group 2 (acetone based Denstply, Prime and Bond NT, showed equal bond strength in wet and dry enamel condition (18.87 MPa and 18.02 MPa respectively). Dry enamel bonding and ethanol/water based etch and rinse DBA can be recommended for "enamel-only" tooth preparations.

  1. Post-processing of fused silica and its effects on damage resistance to nanosecond pulsed UV lasers.

    PubMed

    Ye, Hui; Li, Yaguo; Zhang, Qinghua; Wang, Wei; Yuan, Zhigang; Wang, Jian; Xu, Qiao

    2016-04-10

    HF-based (hydrofluoric acid) chemical etching has been a widely accepted technique to improve the laser damage performance of fused silica optics and ensure high-power UV laser systems at designed fluence. Etching processes such as acid concentration, composition, material removal amount, and etching state (etching with additional acoustic power or not) may have a great impact on the laser-induced damage threshold (LIDT) of treated sample surfaces. In order to find out the effects of these factors, we utilized the Taguchi method to determine the etching conditions that are helpful in raising the LIDT. Our results show that the most influential factors are concentration of etchants and the material etched away from the viewpoint of damage performance of fused silica optics. In addition, the additional acoustic power (∼0.6  W·cm-2) may not benefit the etching rate and damage performance of fused silica. Moreover, the post-cleaning procedure of etched samples is also important in damage performances of fused silica optics. Different post-cleaning procedures were, thus, experiments on samples treated under the same etching conditions. It is found that the "spraying + rinsing + spraying" cleaning process is favorable to the removal of etching-induced deposits. Residuals on the etched surface are harmful to surface roughness and optical transmission as well as laser damage performance.

  2. High-density plasma etching of III-nitrides: Process development, device applications and damage remediation

    NASA Astrophysics Data System (ADS)

    Singh, Rajwinder

    Plasma-assisted etching is a key technology for III-nitride device fabrication. The inevitable etch damage resulting from energetic pattern transfer is a challenge that needs to be addressed in order to optimize device performance and reliability. This dissertation focuses on the development of a high-density inductively-coupled plasma (ICP) etch process for III-nitrides, the demonstration of its applicability to practical device fabrication using a custom built ICP reactor, and development of techniques for remediation of etch damage. A chlorine-based standard dry etch process has been developed and utilized in fabrication of a number of electronic and optoelectronic III-nitride devices. Annealing studies carried out at 700°C have yielded the important insight that the annealing time necessary for making good-quality metal contacts to etch processed n-GaN is very short (<30 sec), comparable with the annealing times necessary for dopant activation of p-GaN films and provides an opportunity for streamlining process flow. Plasma etching degrades contact quality on n-GaN films and this degradation has been found to increase with the rf bias levels (ion energies) used, most notably in films with higher doping levels. Immersion in 1:1 mixture of hydrochloric acid and de-ionized water, prior to metallization, removes some of the etch damage and is helpful in recovering contact quality. In-situ treatment consisting of a slow ramp-down of rf bias at the end of the etch is found to achieve the same effect as the ex-situ treatment. This insitu technique is significantly advantageous in a large-scale production environment because it eliminates a process step, particularly one involving treatment in hydrochloric acid. ICP equipment customization for scaling up the process to full 2-inch wafer size is described. Results on etching of state of the art 256 x 256 AlGaN focal plane arrays of ultraviolet photodetectors are reported, with excellent etch uniformity over the wafer area.

  3. 3D-fabrication of tunable and high-density arrays of crystalline silicon nanostructures

    NASA Astrophysics Data System (ADS)

    Wilbers, J. G. E.; Berenschot, J. W.; Tiggelaar, R. M.; Dogan, T.; Sugimura, K.; van der Wiel, W. G.; Gardeniers, J. G. E.; Tas, N. R.

    2018-04-01

    In this report, a procedure for the 3D-nanofabrication of ordered, high-density arrays of crystalline silicon nanostructures is described. Two nanolithography methods were utilized for the fabrication of the nanostructure array, viz. displacement Talbot lithography (DTL) and edge lithography (EL). DTL is employed to perform two (orthogonal) resist-patterning steps to pattern a thin Si3N4 layer. The resulting patterned double layer serves as an etch mask for all further etching steps for the fabrication of ordered arrays of silicon nanostructures. The arrays are made by means of anisotropic wet etching of silicon in combination with an isotropic retraction etch step of the etch mask, i.e. EL. The procedure enables fabrication of nanostructures with dimensions below 15 nm and a potential density of 1010 crystals cm-2.

  4. METHOD OF APPLYING NICKEL COATINGS ON URANIUM

    DOEpatents

    Gray, A.G.

    1959-07-14

    A method is presented for protectively coating uranium which comprises etching the uranium in an aqueous etching solution containing chloride ions, electroplating a coating of nickel on the etched uranium and heating the nickel plated uranium by immersion thereof in a molten bath composed of a material selected from the group consisting of sodium chloride, potassium chloride, lithium chloride, and mixtures thereof, maintained at a temperature of between 700 and 800 deg C, for a time sufficient to alloy the nickel and uranium and form an integral protective coating of corrosion-resistant uranium-nickel alloy.

  5. Fundamental Studies and Device Development in Beta Silicon Carbide

    DTIC Science & Technology

    1990-02-28

    8217 and (d) 14.5- off (000 1) tovwird 111201 axis, respectively. 25p atoms are considered to exist in monoatomic form. The overall reaction fort the...IOOOA thick oxide layer was thermally grown in a dry oxygen ambient at 1200°C. The oxide layer was etched and a layer of gold , 񓟰A in thickness, was...and gold etching in a KI:12:H20 solution, 4:1:40 by weight. The diodes were separated from the field region by a 100 pm wide annular ring. The

  6. Selective Etching via Soft Lithography of Conductive Multilayered Gold Films with Analysis of Electrolyte Solutions

    ERIC Educational Resources Information Center

    Gerber, Ralph W.; Oliver-Hoyo, Maria T.

    2008-01-01

    This experiment is designed to expose undergraduate students to the process of selective etching by using soft lithography and the resulting electrical properties of multilayered films fabricated via self-assembly of gold nanoparticles. Students fabricate a conductive film of gold on glass, apply a patterned resist using a polydimethylsiloxane…

  7. Microfluidic-based photocatalytic microreactor for environmental application: a review of fabrication substrates and techniques, and operating parameters.

    PubMed

    Das, Susmita; Srivastava, Vimal Chandra

    2016-06-08

    Photochemical technology with microfluidics is emerging as a new platform in environmental science. Microfluidic technology has various advantages, like better mixing and a shorter diffusion distance for the reactants and products; and uniform distribution of light on the photocatalyst. Depending on the material type and related applications, several fabrication techniques have been adopted by various researchers. Microreactors have been prepared by various techniques, such as lithography, etching, mechanical microcutting technology, etc. Lithography can be classified into photolithography, soft lithography and X-ray lithography techniques whereas the etching process is divided into wet etching (chemical etching) and dry etching (plasma etching) techniques. Several substrates, like polymers, such as polydimethyl-siloxane (PDMS), polymethyle-methacrylate (PMMA), hydrogel, etc.; metals, such as stainless steel, titanium foil, etc.; glass, such as silica capillary, glass slide, etc.; and ceramics have been used for microchannel fabrication. During degradation in a microreactor, the degradation efficiency is affected by few important parameters such as flow rate, initial concentration of the target compound, microreactor dimensions, light intensity, photocatalyst structure and catalyst support. The present paper discusses and critically reviews fabrication techniques and substrates used for microchannel fabrication and critical operating parameters for organics, especially dye degradation in the microreactor. The kinetics of degradation has also been discussed.

  8. Printed silver nanowire antennas with low signal loss at high-frequency radio

    NASA Astrophysics Data System (ADS)

    Komoda, Natsuki; Nogi, Masaya; Suganuma, Katsuaki; Kohno, Kazuo; Akiyama, Yutaka; Otsuka, Kanji

    2012-05-01

    Silver nanowires are printable and conductive, and are believed to be promising materials in the field of printed electronics. However, the resistivity of silver nanowire printed lines is higher than that of metallic particles or flakes even when sintered at high temperatures of 100-400 °C. Therefore, their applications have been limited to the replacement of transparent electrodes made from high-resistivity materials, such as doped metallic oxides, conductive polymers, carbon nanotubes, or graphenes. Here we report that using printed silver nanowire lines, signal losses obtained in the high-frequency radio were lower than those obtained using etched copper foil antennas, because their surfaces were much smoother than those of etched copper foil antennas. This was the case even though the resistivity of silver nanowire lines was 43-71 μΩ cm, which is much higher than that of etched copper foil (2 μΩ cm). When printed silver nanowire antennas were heated at 100 °C, they achieved signal losses that were much lower than those of silver paste antennas comprising microparticles, nanoparticles, and flakes. Furthermore, using a low temperature process, we succeeded in remotely controlling a commercialized radio-controlled car by transmitting a 2.45 GHz signal via a silver nanowire antenna printed on a polyethylene terephthalate film.Silver nanowires are printable and conductive, and are believed to be promising materials in the field of printed electronics. However, the resistivity of silver nanowire printed lines is higher than that of metallic particles or flakes even when sintered at high temperatures of 100-400 °C. Therefore, their applications have been limited to the replacement of transparent electrodes made from high-resistivity materials, such as doped metallic oxides, conductive polymers, carbon nanotubes, or graphenes. Here we report that using printed silver nanowire lines, signal losses obtained in the high-frequency radio were lower than those obtained using etched copper foil antennas, because their surfaces were much smoother than those of etched copper foil antennas. This was the case even though the resistivity of silver nanowire lines was 43-71 μΩ cm, which is much higher than that of etched copper foil (2 μΩ cm). When printed silver nanowire antennas were heated at 100 °C, they achieved signal losses that were much lower than those of silver paste antennas comprising microparticles, nanoparticles, and flakes. Furthermore, using a low temperature process, we succeeded in remotely controlling a commercialized radio-controlled car by transmitting a 2.45 GHz signal via a silver nanowire antenna printed on a polyethylene terephthalate film. Electronic supplementary information (ESI) available: Operation of R/C car with a silver nanowire monopole antenna. See DOI: 10.1039/c2nr30485f

  9. Closed System Step Etching of CI chondrite Ivuna reveals primordial noble gases in the HF-solubles

    NASA Astrophysics Data System (ADS)

    Riebe, My E. I.; Busemann, Henner; Wieler, Rainer; Maden, Colin

    2017-05-01

    We analyzed all the noble gases in HF-soluble phases in the CI chondrite Ivuna by in-vacuum gas release using the "Closed System Step Etching" (CSSE) technique, which allows for direct noble gas measurements of acid-soluble phases. The main motivation was to investigate if there are primordial noble gases in HF-soluble phases in Ivuna, something that has not been done before in CI chondrites, as most primordial noble gases are known to reside in HF-resistant phases. The first steps under mild etching released He, Ne, and Ar with solar-like elemental and isotopic compositions, confirming that Ivuna contains implanted solar wind (SW) noble gases acquired in the parent body regolith. The SW component released in some etch steps was elementally unfractionated. This is unusual as trapped SW noble gases are elementally fractionated in most meteoritic material. In the intermediate etch steps under slightly harsher etching, cosmogenic noble gases were more prominent than SW noble gases. The HF-soluble portion of Ivuna contained primordial Ne and Xe, that was most visible in the last etch steps after all cosmogenic and most SW gases had been released. The primordial Ne and Xe in the HF-solubles have isotopic and elemental ratios readily explained as a mixture of the two most abundant primordial noble gas components in Ivuna bulk samples: HL and Q. Only small fractions of the total HL and Q in Ivuna were released during CSSE analysis; ∼3% of 20NeHL and ∼4% of 132XeQ. HL is known to reside in nanodiamond-rich separates and Q-gases are most likely carried by a carbonaceous phase known as phase Q. Q-gases were likely released from an HF-soluble portion of phase Q. However, nanodiamonds might not be the source of the HL-gases released upon etching, since nanodiamond-rich separates are very HF-resistant and the less tightly bound nanodiamond component P3 was not detected.

  10. Characterization of the high density plasma etching process of CCTO thin films for the fabrication of very high density capacitors

    NASA Astrophysics Data System (ADS)

    Altamore, C.; Tringali, C.; Sparta', N.; Di Marco, S.; Grasso, A.; Ravesi, S.

    2010-02-01

    In this work the feasibility of CCTO (Calcium Copper Titanate) patterning by etching process is demonstrated and fully characterized in a hard to etch materials etcher. CCTO sintered in powder shows a giant relative dielectric constant (105) measured at 1 MHz at room temperature. This feature is furthermore coupled with stability from 101 Hz to 106 Hz in a wide temperature range (100K - 600K). In principle, this property can allow to fabricate very high capacitance density condenser. Due to its perovskite multi-component structure, CCTO can be considered a hard to etch material. For high density capacitor fabrication, CCTO anisotropic etching is requested by using high density plasma. The behavior of etched CCTO was studied in a HRe- (High Density Reflected electron) plasma etcher using Cl2/Ar chemistry. The relationship between the etch rate and the Cl2/Ar ratio was also studied. The effects of RF MHz, KHz Power and pressure variation, the impact of HBr addiction to the Cl2/Ar chemistry on the CCTO etch rate and on its selectivity to Pt and photo resist was investigated.

  11. Extreme wettability of nanostructured glass fabricated by non-lithographic, anisotropic etching

    PubMed Central

    Yu, Eusun; Kim, Seul-Cham; Lee, Heon Ju; Oh, Kyu Hwan; Moon, Myoung-Woon

    2015-01-01

    Functional glass surfaces with the properties of superhydrophobicity/or superhydrohydrophilicity, anti-condensation or low reflectance require nano- or micro-scale roughness, which is difficult to fabricate directly on glass surfaces. Here, we report a novel non-lithographic method for the fabrication of nanostructures on glass; this method introduces a sacrificial SiO2 layer for anisotropic plasma etching. The first step was to form nanopillars on SiO2 layer-coated glass by using preferential CF4 plasma etching. With continuous plasma etching, the SiO2 pillars become etch-resistant masks on the glass; thus, the glass regions covered by the SiO2 pillars are etched slowly, and the regions with no SiO2 pillars are etched rapidly, resulting in nanopatterned glass. The glass surface that is etched with CF4 plasma becomes superhydrophilic because of its high surface energy, as well as its nano-scale roughness and high aspect ratio. Upon applying a subsequent hydrophobic coating to the nanostructured glass, a superhydrophobic surface was achieved. The light transmission of the glass was relatively unaffected by the nanostructures, whereas the reflectance was significantly reduced by the increase in nanopattern roughness on the glass. PMID:25791414

  12. Removing Al and regenerating caustic soda from the spent washing liquor of Al etching

    NASA Astrophysics Data System (ADS)

    Barakat, M. A.; El-Sheikh, S. M.; Farghly, F. E.

    2005-08-01

    Spent liquor from washing of aluminum section materials after etching with caustic soda (NaOH) has been treated. Aluminum was removed from the liquor and caustic soda was regenerated by adding precipitating agents to hydrolyze sodium aluminate (Na2AlO2), separating the aluminumprecipitate, and concentrating free NaOH in the resulting solution for reuse in the etching process. Four systems were investigated: hydrated lime [Ca(OH)2], hydrogen peroxide (H2O2), H2O2/Ca(OH)2 mixture, and dry lime (CaO). Results revealed that CaO was more efficient in the removal of aluminum from the spent liquor with a higher hydrolyzing rate of Na2AlO2 than Ca(OH)2, H2O2, or their mixture.

  13. Fabrication of self-aligned, nanoscale, complex oxide varactors

    NASA Astrophysics Data System (ADS)

    Fu, Richard X.; Toonen, Ryan C.; Hirsch, Samuel G.; Ivill, Mathew P.; Cole, Melanie W.; Strawhecker, Kenneth E.

    2015-01-01

    Applications in ferroelectric random access memory and superparaelectric devices require the fabrication of ferroelectric capacitors at the nanoscale that exhibit extremely small leakage currents. To systematically study the material-size dependence of ferroelectric varactor performance, arrays of parallel-plate structures have been fabricated with nanoscale dielectric diameters. Electron beam lithography and inductively coupled plasma dry etching have been used to fabricate arrays of ferroelectric varactors using top electrodes as a self-aligned etch mask. Parallel-plate test structures using RF-sputtered Ba0.6Sr0.4TiO3 thin-films were used to optimize the fabrication process. Varactors with diameters down to 20 nm were successfully fabricated. Current-voltage (I-V) characteristics were measured to evaluate the significance of etch-damage and fabrication quality by ensuring low leakage currents through the structures.

  14. Lithography-free glass surface modification by self-masking during dry etching

    NASA Astrophysics Data System (ADS)

    Hein, Eric; Fox, Dennis; Fouckhardt, Henning

    2011-01-01

    Glass surface morphologies with defined shapes and roughness are realized by a two-step lithography-free process: deposition of an ~10-nm-thin lithographically unstructured metallic layer onto the surface and reactive ion etching in an Ar/CF4 high-density plasma. Because of nucleation or coalescence, the metallic layer is laterally structured during its deposition. Its morphology exhibits islands with dimensions of several tens of nanometers. These metal spots cause a locally varying etch velocity of the glass substrate, which results in surface structuring. The glass surface gets increasingly rougher with further etching. The mechanism of self-masking results in the formation of surface structures with typical heights and lateral dimensions of several hundred nanometers. Several metals, such as Ag, Al, Au, Cu, In, and Ni, can be employed as the sacrificial layer in this technology. Choice of the process parameters allows for a multitude of different glass roughness morphologies with individual defined and dosed optical scattering.

  15. Developing Barbed Microtip-Based Electrode Arrays for Biopotential Measurement

    PubMed Central

    Hsu, Li-Sheng; Tung, Shu-Wei; Kuo, Che-Hsi; Yang, Yao-Joe

    2014-01-01

    This study involved fabricating barbed microtip-based electrode arrays by using silicon wet etching. KOH anisotropic wet etching was employed to form a standard pyramidal microtip array and HF/HNO3 isotropic etching was used to fabricate barbs on these microtips. To improve the electrical conductance between the tip array on the front side of the wafer and the electrical contact on the back side, a through-silicon via was created during the wet etching process. The experimental results show that the forces required to detach the barbed microtip arrays from human skin, a polydimethylsiloxane (PDMS) polymer, and a polyvinylchloride (PVC) film were larger compared with those required to detach microtip arrays that lacked barbs. The impedances of the skin-electrode interface were measured and the performance levels of the proposed dry electrode were characterized. Electrode prototypes that employed the proposed tip arrays were implemented. Electroencephalogram (EEG) and electrocardiography (ECG) recordings using these electrode prototypes were also demonstrated. PMID:25014098

  16. Fabrication of volcano-shaped nano-patterned sapphire substrates using colloidal self-assembly and wet chemical etching.

    PubMed

    Geng, Chong; Zheng, Lu; Fang, Huajing; Yan, Qingfeng; Wei, Tongbo; Hao, Zhibiao; Wang, Xiaoqing; Shen, Dezhong

    2013-08-23

    Patterned sapphire substrates (PSS) have been widely used to enhance the light output power in GaN-based light emitting diodes. The shape and feature size of the pattern in a PSS affect its enhancement efficiency to a great degree. In this work we demonstrate the nanoscale fabrication of volcano-shaped PSS using a wet chemical etching approach in combination with a colloidal monolayer templating strategy. Detailed analysis by scanning electron microscopy reveals that the unique pattern shape is a result of the different corrosion-resistant abilities of silica masks of different effective heights during wet chemical etching. The formation of silica etching masks of different effective heights has been ascribed to the silica precursor solution in the interstice of the colloidal monolayer template being distributed unevenly after infiltration. In the subsequent wet chemical etching process, the active reaction sites altered as etching duration was prolonged, resulting in the formation of volcano-shaped nano-patterned sapphire substrates.

  17. Maskless micro/nanofabrication on GaAs surface by friction-induced selective etching

    PubMed Central

    2014-01-01

    In the present study, a friction-induced selective etching method was developed to produce nanostructures on GaAs surface. Without any resist mask, the nanofabrication can be achieved by scratching and post-etching in sulfuric acid solution. The effects of the applied normal load and etching period on the formation of the nanostructure were studied. Results showed that the height of the nanostructure increased with the normal load or the etching period. XPS and Raman detection demonstrated that residual compressive stress and lattice densification were probably the main reason for selective etching, which eventually led to the protrusive nanostructures from the scratched area on the GaAs surface. Through a homemade multi-probe instrument, the capability of this fabrication method was demonstrated by producing various nanostructures on the GaAs surface, such as linear array, intersecting parallel, surface mesas, and special letters. In summary, the proposed method provided a straightforward and more maneuverable micro/nanofabrication method on the GaAs surface. PMID:24495647

  18. Effect of 2% Chlorhexidine Digluconate on the Bond Strength to Normal versus Caries-Affected Dentin

    PubMed Central

    Komori, Paula C. P.; Pashley, David H.; Tjäderhane, Leo; Breschi, Lorenzo; Mazzoni, Annalisa; de Goes, Mario Fernando; Wang, Linda; Carrilho, Marcela R.

    2013-01-01

    SUMMARY This study evaluated the effect of 2% chlorhexidine digluconate (CHX) used as a therapeutic primer on the long-term bond strengths of two etch-and-rinse adhesives to normal (ND) and caries-affected (CAD) dentin. Forty extracted human molars with coronal carious lesions, surrounded by normal dentin, were selected for this study. Flat surfaces of two types of dentin (i.e. ND and CAD) were prepared with a water-cooled high speed diamond disc, and then acid-etched, rinsed and air-dried. In control groups, dentin was re-hydrated with distilled water, blot-dried and bonded with a three-step (Scotchbond Multi-Purpose-MP) or a two-step (Single Bond 2-SB) etch-and-rinse adhesive. In experimental groups, dentin was re-hydrated with 2% CHX (60 s), blot-dried and bonded with the same adhesives. Resin composite build-ups were made. Specimens were prepared for microtensile bond testing in accordance with the non-trimming technique and then tested either immediately or after 6-month storage in artificial saliva. Data were analyzed by ANOVA/Bonferroni tests (α = 0.05). CHX did not affect the immediate bond strength to ND or CAD (p>0.05). CHX treatment significantly lowered the loss of bond strength after 6 months seen in control bonds for ND (p<0.05), but it did not alter the bond strength of CAD (p>0.05). Application of MP on CHX-treated ND or CAD produced bonds that did not change over 6 months of storage. PMID:19363971

  19. Effect of 2% chlorhexidine digluconate on the bond strength to normal versus caries-affected dentin.

    PubMed

    Komori, Paula C P; Pashley, David H; Tjäderhane, Leo; Breschi, Lorenzo; Mazzoni, Annalisa; de Goes, Mario Fernando; Wang, Linda; Carrilho, Marcela R

    2009-01-01

    This study evaluated the effect of 2% chlorhexidine digluconate (CHX) used as a therapeutic primer on the long-term bond strengths of two etch-and-rinse adhesives to normal (ND) and caries-affected (CAD) dentin. Forty extracted human molars with coronal carious lesions, surrounded by normal dentin, were selected for this study. The flat surfaces of two types of dentin (ND and CAD) were prepared with a water-cooled high-speed diamond disc, then acid-etched, rinsed and air-dried. In the control groups, the dentin was re-hydrated with distilled water, blot-dried and bonded with a three-step (Scotchbond Multi-Purpose-MP) or two-step (Single Bond 2-SB) etch-and-rinse adhesive. In the experimental groups, the dentin was rehydrated with 2% CHX (60 seconds), blot-dried and bonded with the same adhesives. Resin composite build-ups were made. The specimens were prepared for microtensile bond testing in accordance with the non-trimming technique, then tested either immediately or after six-months storage in artificial saliva. The data were analyzed by ANOVA/Bonferroni tests (alpha = 0.05). CHX did not affect the immediate bond strength to ND or CAD (p > 0.05). CHX treatment significantly lowered the loss of bond strength after six months as seen in the control bonds for ND (p < 0.05), but it did not alter the bond strength of CAD (p > 0.05). The application of MP on CHX-treated ND or CAD produced bonds that did not change over six months of storage.

  20. New Insights into Shape Memory Alloy Bimorph Actuators Formed by Electron Beam Evaporation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Sun, Hao; Nykypanchuk, Dmytro

    In order to create shape memory alloy (SMA) bimorph microactuators with high-precision features, a novel fabrication process combined with electron beam (E-beam) evaporation, lift-off resist and isotropic XeF2 dry etching method was developed. To examine the effect of E-beam deposition and annealing process on nitinol (NiTi) characteristics, the NiTi thin film samples with different deposition rate and overflow conditions during annealing process were investigated. With the characterizations using scanning electron microscope and x-ray diffraction, the results indicated that low E-beam deposition rate and argon employed annealing process could benefit the formation of NiTi crystalline structure. In addition, SMA bimorph microactuatorsmore » with high-precision features as small as 5 microns were successfully fabricated. Furthermore, the thermomechanical performance was experimentally verified and compared with finite element analysis simulation results.« less

  1. Biomimetic artificial Si compound eye surface structures with broadband and wide-angle antireflection properties for Si-based optoelectronic applications

    NASA Astrophysics Data System (ADS)

    Leem, Jung Woo; Song, Young Min; Yu, Jae Su

    2013-10-01

    We report the biomimetic artificial silicon (Si) compound eye structures for broadband and wide-angle antireflection by integrating nanostructures (NSs) into periodically patterned microstructures (p-MSs) via thermal dewetting of gold and subsequent dry etching. The truncated cone microstructures with a two-dimensional hexagonal symmetry pattern were fabricated by photolithography and dry etching processes. The desirable shape and density of the nanostructures were obtained by controlled dewetting. The incorporation of p-MSs into the NS/Si surface further reduced the surface total reflectance over a wide wavelength range of 300-1030 nm at near normal incidence, indicating the average reflectance (Ravg) and solar weighted reflectance (RSWR) values of ~2.5% and 2%, respectively, compared to the only NSs on the flat Si surface (i.e., Ravg ~ 4.9% and RSWR ~ 4.5%). Additionally, the resulting structure improved the angle-dependent antireflection property due to its relatively omnidirectional shape, which exhibited the Ravg < 4.3% and RSWR < 3.7% in the wavelength region of 300-1100 nm even at a high incident light angle of 70° in the specular reflectance.We report the biomimetic artificial silicon (Si) compound eye structures for broadband and wide-angle antireflection by integrating nanostructures (NSs) into periodically patterned microstructures (p-MSs) via thermal dewetting of gold and subsequent dry etching. The truncated cone microstructures with a two-dimensional hexagonal symmetry pattern were fabricated by photolithography and dry etching processes. The desirable shape and density of the nanostructures were obtained by controlled dewetting. The incorporation of p-MSs into the NS/Si surface further reduced the surface total reflectance over a wide wavelength range of 300-1030 nm at near normal incidence, indicating the average reflectance (Ravg) and solar weighted reflectance (RSWR) values of ~2.5% and 2%, respectively, compared to the only NSs on the flat Si surface (i.e., Ravg ~ 4.9% and RSWR ~ 4.5%). Additionally, the resulting structure improved the angle-dependent antireflection property due to its relatively omnidirectional shape, which exhibited the Ravg < 4.3% and RSWR < 3.7% in the wavelength region of 300-1100 nm even at a high incident light angle of 70° in the specular reflectance. Electronic supplementary information (ESI) available: See DOI: 10.1039/c3nr02806b

  2. EUV process establishment through litho and etch for N7 node

    NASA Astrophysics Data System (ADS)

    Kuwahara, Yuhei; Kawakami, Shinichiro; Kubota, Minoru; Matsunaga, Koichi; Nafus, Kathleen; Foubert, Philippe; Mao, Ming

    2016-03-01

    Extreme ultraviolet lithography (EUVL) technology is steadily reaching high volume manufacturing for 16nm half pitch node and beyond. However, some challenges, for example scanner availability and resist performance (resolution, CD uniformity (CDU), LWR, etch behavior and so on) are remaining. Advance EUV patterning on the ASML NXE:3300/ CLEAN TRACK LITHIUS Pro Z- EUV litho cluster is launched at imec, allowing for finer pitch patterns for L/S and CH. Tokyo Electron Ltd. and imec are continuously collabo rating to develop manufacturing quality POR processes for NXE:3300. TEL's technologies to enhance CDU, defectivity and LWR/LER can improve patterning performance. The patterning is characterized and optimized in both litho and etch for a more complete understanding of the final patterning performance. This paper reports on post-litho CDU improvement by litho process optimization and also post-etch LWR reduction by litho and etch process optimization.

  3. Evaluation of the Shear Bond Strength of Composite Resin to Wet and Dry Enamel Using Dentin Bonding Agents Containing Various Solvents

    PubMed Central

    Ramarao, Sathyanarayanan; John, Bindu Meera; Rajesh, Praveen; Swatha, S

    2017-01-01

    Introduction Bonding of composite resin to dentin mandates a wet substrate whereas, enamel should be dry. This may not be easily achievable in intracoronal preparations where enamel and dentin are closely placed to each other. Therefore, Dentin Bonding Agents (DBA) are recommended for enamel and dentinal bonding, where enamel is also left moist. A research question was raised if the “enamel-only” preparations will also benefit from wet enamel bonding and contemporary DBA. Aim The aim of this study was to compare the shear bond strengths of composite resin, bonded to dry and wet enamel using fifth generation DBA (etch and rinse system) containing various solvents such as ethanol/water, acetone and ethanol. Materials and Methods The crowns of 120 maxillary premolars were split into buccal and lingual halves. They were randomly allocated into four groups of DBA: Group 1-water/ethanol based, Group 2-acetone based, Group 3-ethanol based, Group 4-universal bonding agent (control group). The buccal halves and lingual halves were bonded using the wet bonding and dry bonding technique respectively. After application of the DBAs and composite resin build up, shear bond strength testing was done. Results Group 1 (ethanol/water based ESPE 3M, Adper Single Bond) showed highest bond strength of (23.15 MPa) in dry enamel. Group 2 (acetone based Denstply, Prime and Bond NT, showed equal bond strength in wet and dry enamel condition (18.87 MPa and 18.02 MPa respectively). Conclusion Dry enamel bonding and ethanol/water based etch and rinse DBA can be recommended for “enamel-only” tooth preparations. PMID:28274042

  4. Influence of Blood Contamination on Bond Strength of a Self-Etching System

    PubMed Central

    de Carvalho Mendonça, Ellen Cristina; Vieira, Samuel Nilo; Kawaguchi, Fernando Aparecido; Powers, John; Matos, Adriana Bona

    2010-01-01

    Objectives: To detect the influence of blood contamination (BC) on the bond strength (BS) of a self-etching bonding system (SES) to enamel and dentine. Methods: 25 human molars were longitudinally sectioned on the mesio-distal axis in order to obtain 50 specimens, which were embedded in acrylic resin. At first, the specimens were ground to expose a flat surface of enamel, and a bond strength test was performed. Afterwards, the samples were ground again in order to obtain a flat surface of dentine. Ten groups (total: n=100) were assigned according to substrate (enamel and dentine), step in the bonding sequence when contamination occurred (before the acidic primer and after the bonding resin), and contamination treatment (dry or rinse and dry procedure). Fresh human blood was introduced either before or after SES application (Clearfil SE Bond) and treated with air drying, or by rinsing and drying following application. Composite resin (Filtek Z-250,3M ESPE) was applied as inverted, truncated cured cones that were debonded in tension. Results: The mean tensile BS values (MPa) for enamel/dentine were 19.4/23.0 and 17.1/10.0 for rinse-and-dry treatment (contamination before and after SES, respectively); while the measurements for the dry treatment, 16.2/23.3 and 0.0/0.0 contamination before and after SES, respectively. Conclusions: It was determined that blood contamination impaired adhesion to enamel and dentine when it occurred after bond light curing. Among the tested contamination treatments, the rinse-and-dry treatment produced the highest bond strength with BC after SES application, but it was not sufficient to recover the BS in the contamination-free group. PMID:20613916

  5. Multi-Functional, Micro Electromechanical Silicon Carbide Accelerometer

    NASA Technical Reports Server (NTRS)

    Okojie, Robert S. (Inventor)

    2004-01-01

    A method of bulk manufacturing SiC sensors is disclosed and claimed. Materials other than SiC may be used as the substrate material. Sensors requiring that the SiC substrate be pierced are also disclosed and claimed. A process flow reversal is employed whereby the metallization is applied first before the recesses are etched into or through the wafer. Aluminum is deposited on the entire planar surface of the metallization. Photoresist is spun onto the substantially planar surface of the Aluminum which is subsequently masked (and developed and removed). Unwanted Aluminum is etched with aqueous TMAH and subsequently the metallization is dry etched. Photoresist is spun onto the still substantially planar surface of Aluminum and oxide and then masked (and developed and removed) leaving the unimidized photoresist behind. Next, ITO is applied over the still substantially planar surface of Aluminum, oxide and unimidized photoresist. Unimidized and exposed photoresist and ITO directly above it are removed with Acetone. Next, deep reactive ion etching attacks exposed oxide not protected by ITO. Finally, hot phosphoric acid removes the Al and ITO enabling wires to connect with the metallization. The back side of the SiS wafer may be also etched.

  6. Multi-functional micro electromechanical devices and method of bulk manufacturing same

    NASA Technical Reports Server (NTRS)

    Okojie, Robert S. (Inventor)

    2004-01-01

    A method of bulk manufacturing SiC sensors is disclosed and claimed. Materials other than SiC may be used as the substrate material. Sensors requiring that the SiC substrate be pierced are also disclosed and claimed. A process flow reversal is employed whereby the metallization is applied first before the recesses are etched into or through the wafer. Aluminum is deposited on the entire planar surface of the metallization. Photoresist is spun onto the substantially planar surface of the Aluminum which is subsequently masked (and developed and removed). Unwanted Aluminum is etched with aqueous TMAH and subsequently the metallization is dry etched. Photoresist is spun onto the still substantially planar surface of Aluminum and oxide and then masked (and developed and removed) leaving the unimidized photoresist behind. Next, ITO is applied over the still substantially planar surface of Aluminum, oxide and unimidized photoresist. Unimidized and exposed photoresist and ITO directly above it are removed with Acetone. Next, deep reactive ion etching attacks exposed oxide not protected by ITO. Finally, hot phosphoric acid removes the Al and ITO enabling wires to connect with the metallization. The back side of the SiC wafer may be also be etched.

  7. Fabrication of sub-diffraction-limit molecular structures by scanning near-field photolithography

    NASA Astrophysics Data System (ADS)

    Ducker, Robert E.; Montague, Matthew T.; Sun, Shuqing; Leggett, Graham J.

    2007-09-01

    Using a scanning near-field optical microscope coupled to a UV laser, an approach we term scanning near-field photolithography (SNP), structures as small as 9 nm (ca. λ/30) may be fabricated in self-assembled monolayers of alkanethiols on gold surfaces. Selective exposure of the adsorbate molecules in the near field leads to photoconversion of the alkylthiolate to a weakly bound alkylsulfonate which may be displaced readily be a contrasting thiol, leading to a chemical pattern, or used as a resist for the selective etching of the underlying metal. A novel ultra-mild etch for gold is reported, and used to etch structures as small as 9 nm. Photopatterning of oligo(ethylene glycol) (OEG) terminated selfassembled monolayers facilitates the fabrication of biomolecular nanostructures. Selective removal of the protein-resistant OEG terminated adsorbates created regions that may be functionalized with a second thiol and derivatized with a biomolecule. Finally, the application of SNP to nanopatterning on oxide surfaces is demonstrated. Selective exposure of monolayers of phosphonic acids adsorbed onto aluminum oxide leads to cleavage of the P-C bond and desorption of the adsorbate molecule. Subsequent etching, using aqueous based, yields structures as small as 100 nm.

  8. Nitrogen reactive ion etch processes for the selective removal of poly-(4-vinylpyridine) in block copolymer films.

    PubMed

    Flynn, Shauna P; Bogan, Justin; Lundy, Ross; Khalafalla, Khalafalla E; Shaw, Matthew; Rodriguez, Brian J; Swift, Paul; Daniels, Stephen; O'Connor, Robert; Hughes, Greg; Kelleher, Susan M

    2018-08-31

    Self-assembling block copolymer (BCP) patterns are one of the main contenders for the fabrication of nanopattern templates in next generation lithography technology. Transforming these templates to hard mark materials is key for pattern transfer and in some cases, involves selectively removing one block from the nanopattern. For poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP), a high χ BCP system which could be potentially incorporated into semiconductor nanofabrication, this selective removal is predominantly done by a wet etch/activation process. Conversely, this process has numerous disadvantages including lack of control and high generation of waste leading to high cost. For these reasons, our motivation was to move away from the wet etch process and optimise a dry etch which would overcome the limitations associated with the activation process. The work presented herein shows the development of a selective plasma etch process for the removal of P4VP cores from PS-b-P4VP nanopatterned film. Results have shown that a nitrogen reactive ion etch plasma has a selectivity for P4VP of 2.2:1 and suggest that the position of the nitrogen in the aromatic ring of P4VP plays a key role in this selectivity. In situ plasma etching and x-ray photoelectron spectrometry measurements were made without breaking vacuum, confirming that the nitrogen plasma has selectivity for removal of P4VP over PS.

  9. Fabrication of 3D surface structures using grayscale lithography

    NASA Astrophysics Data System (ADS)

    Stilson, Christopher; Pal, Rajan; Coutu, Ronald A.

    2014-03-01

    The ability to design and develop 3D microstructures is important for microelectromechanical systems (MEMS) fabrication. Previous techniques used to create 3D devices included tedious steps in direct writing and aligning patterns onto a substrate followed by multiple photolithography steps using expensive, customized equipment. Additionally, these techniques restricted batch processing and placed limits on achievable shapes. Gray-scale lithography enables the fabrication of a variety of shapes using a single photolithography step followed by reactive ion etching (RIE). Micromachining 3D silicon structures for MEMS can be accomplished using gray-scale lithography along with dry anisotropic etching. In this study, we investigated: using MATLAB for mask designs; feasibility of using 1 μm Heidelberg mask maker to direct write patterns onto photoresist; using RIE processing to etch patterns into a silicon substrate; and the ability to tailor etch selectivity for precise fabrication. To determine etch rates and to obtain desired etch selectivity, parameters such as gas mixture, gas flow, and electrode power were studied. This process successfully demonstrates the ability to use gray-scale lithography and RIE for use in the study of micro-contacts. These results were used to produce a known engineered non-planer surface for testing micro-contacts. Surface structures are between 5 μm and 20 μm wide with varying depths and slopes based on mask design and etch rate selectivity. The engineered surfaces will provide more insight into contact geometries and failure modes of fixed-fixed micro-contacts.

  10. High-performance 193-nm photoresist materials based on ROMA polymers: sub-90-nm contact hole application with resist reflow

    NASA Astrophysics Data System (ADS)

    Joo, Hyun S.; Seo, Dong C.; Kim, Chang M.; Lim, Young T.; Cho, Seong D.; Lee, Jong B.; Song, Ji Y.; Kim, Kyoung M.; Park, Joo H.; Jung, Jae Chang; Shin, Ki S.; Bok, Cheol Kyu; Moon, Seung C.

    2004-05-01

    There are numerous methods being explored by lithographers to achieve the patterning of sub-90nm contact hole features. Regarding optical impact on contact imaging, various optical extension techniques such as assist features, focus drilling, phase shift masks, and off-axis illumination are being employed to improve the aerial image. One possible option for improving of the process window in contact hole patterning is resist reflow. We have already reported the resist using a ring opened polymer of maleic anhydride unit(ROMA) during the past two years in this conference. It has several good properties such as UV transmittance, PED stability, solubility and storage stability. The resist using ROMA polymer as a matrix resin showed a good lithographic performance at C/H pattern and one of the best characteristics in a ROMA polymer is the property of thermal shrinkage. It has a specific glass transition temperature(Tg) each polymers, so they made a applying of resist reflow technique to print sub-90nm C/H possible. Recently, we have researched about advanced ROMA polymer(ROMA II), which is composed of cycloolefine derivatives with existing ROMA type polymer(ROMA I), for dry etch resistance increasing, high resolution, and good thermal shrinkage property. In this paper, we will present the structure, thermal shrinkage properties, Tg control, material properties for ROMA II polymer and will show characteristics, the lithographic performance for iso and dense C/H applications of the resist using ROMA II polymer. In addition, we will discuss resist reflow data gained at C/H profile of sub-90nm sizes, which has good process window.

  11. Dry-plasma-free chemical etch technique for variability reduction in multi-patterning (Conference Presentation)

    NASA Astrophysics Data System (ADS)

    Kal, Subhadeep; Mohanty, Nihar; Farrell, Richard A.; Franke, Elliott; Raley, Angelique; Thibaut, Sophie; Pereira, Cheryl; Pillai, Karthik; Ko, Akiteru; Mosden, Aelan; Biolsi, Peter

    2017-04-01

    Scaling beyond the 7nm technology node demands significant control over the variability down to a few angstroms, in order to achieve reasonable yield. For example, to meet the current scaling targets it is highly desirable to achieve sub 30nm pitch line/space features at back-end of the line (BEOL) or front end of line (FEOL); uniform and precise contact/hole patterning at middle of line (MOL). One of the quintessential requirements for such precise and possibly self-aligned patterning strategies is superior etch selectivity between the target films while other masks/films are exposed. The need to achieve high etch selectivity becomes more evident for unit process development at MOL and BEOL, as a result of low density films choices (compared to FEOL film choices) due to lower temperature budget. Low etch selectivity with conventional plasma and wet chemical etch techniques, causes significant gouging (un-intended etching of etch stop layer, as shown in Fig 1), high line edge roughness (LER)/line width roughness (LWR), non-uniformity, etc. In certain circumstances this may lead to added downstream process stochastics. Furthermore, conventional plasma etches may also have the added disadvantage of plasma VUV damage and corner rounding (Fig. 1). Finally, the above mentioned factors can potentially compromise edge placement error (EPE) and/or yield. Therefore a process flow enabled with extremely high selective etches inherent to film properties and/or etch chemistries is a significant advantage. To improve this etch selectivity for certain etch steps during a process flow, we have to implement alternate highly selective, plasma free techniques in conjunction with conventional plasma etches (Fig 2.). In this article, we will present our plasma free, chemical gas phase etch technique using chemistries that have high selectivity towards a spectrum of films owing to the reaction mechanism ( as shown Fig 1). Gas phase etches also help eliminate plasma damage to the features during the etch process. Herein we will also demonstrate a test case on how a combination or plasma assisted and plasma free etch techniques has the potential to improve process performance of a 193nm immersion based self aligned quandruple patterning (SAQP) for BEOL compliant films (an example shown in Fig 2). In addition, we will also present on the application of gas etches for (1) profile improvement, (2) selective mandrel pull (3) critical dimension trim of mandrels, with an analysis of advantages over conventional techniques in terms of LER and EPE.

  12. Multi-trigger resist patterning with ASML NXE3300 EUV scanner

    NASA Astrophysics Data System (ADS)

    Vesters, Yannick; McClelland, Alexandra; De Simone, Danilo; Popescu, Carmen; Dawson, Guy; Roth, John; Theis, Wolfgang; Vandenberghe, Geert; Robinson, Alex P. G.

    2018-03-01

    Irresistible Materials (IM) is developing novel resist systems based on the multi-trigger concept, which incorporates a dose dependent quenching-like behaviour. The Multi Trigger Resist (MTR) is a negative tone crosslinking resist that does not need a post exposure bake (PEB), and during the past years, has been mainly tested using interference lithography at PSI. In this study, we present the results that have been obtained using MTR resists, performing EUV exposures on ASML NXE3300B EUV scanner at IMEC. We present the lithography performance of the MTR1 resist series in two formulations - a high-speed baseline, and a formulation designed to enhance the multi-trigger behaviour. Additionally, we present results for the MTR2 resist series, which has been designed for lower line edge roughness. The high-speed baseline resist (MTR1), showed 18 nm resolution at 20mJ/cm2. The MTR2 resist shows 16nm half pitch lines patterned with a dose of 38mJ/cm2, giving a LER of 3.7 nm. Performance across multiple process conditions are discussed. We performed etch rate measurement and the multi-trigger resist showed etch resistance equivalent or better than standard chemically amplified resist. This could compensate for the lower film thickness required to avoid pattern collapse at pitch 32nm.

  13. The development of a method of producing etch resistant wax patterns on solar cells

    NASA Technical Reports Server (NTRS)

    Pastirik, E.

    1980-01-01

    A potentially attractive technique for wax masking of solar cells prior to etching processes was studied. This technique made use of a reuseable wax composition which was applied to the solar cell in patterned form by means of a letterpress printing method. After standard wet etching was performed, wax removal by means of hot water was investigated. Application of the letterpress wax printing process to silicon was met with a number of difficulties. The most serious shortcoming of the process was its inability to produce consistently well-defined printed patterns on the hard silicon cell surface.

  14. Investigation of phase distribution using Phame® in-die phase measurements

    NASA Astrophysics Data System (ADS)

    Buttgereit, Ute; Perlitz, Sascha

    2009-03-01

    As lithography mask processes move toward 45nm and 32nm node, mask complexity increases steadily, mask specifications tighten and process control becomes extremely important. Driven by this fact the requirements for metrology tools increase as well. Efforts in metrology have been focused on accurately measuring CD linearity and uniformity across the mask, and accurately measuring phase variation on Alternating/Attenuated PSM and transmission for Attenuated PSM. CD control on photo masks is usually done through the following processes: exposure dose/focus change, resist develop and dry etch. The key requirement is to maintain correct CD linearity and uniformity across the mask. For PSM specifically, the effect of CD uniformity for both Alternating PSM and Attenuated PSM and etch depth for Alternating PSM becomes also important. So far phase measurement has been limited to either measuring large-feature phase using interferometer-based metrology tools or measuring etch depth using AFM and converting etch depth into phase under the assumption that trench profile and optical properties of the layers remain constant. However recent investigations show that the trench profile and optical property of layers impact the phase. This effect is getting larger for smaller CD's. The currently used phase measurement methods run into limitations because they are not able to capture 3D mask effects, diffraction limitations or polarization effects. The new phase metrology system - Phame(R) developed by Carl Zeiss SMS overcomes those limitations and enables laterally resolved phase measurement in any kind of production feature on the mask. The resolution of the system goes down to 120nm half pitch at mask level. We will report on tool performance data with respect to static and dynamic phase repeatability focusing on Alternating PSM. Furthermore the phase metrology system was used to investigate mask process signatures on Alternating PSM in order to further improve the overall PSM process performance. Especially global loading effects caused by the pattern density and micro loading effects caused by the feature size itself have been evaluated using the capability of measuring phase in the small production features. The results of this study will be reported in this paper.

  15. Nanosilicon dot arrays with a bit pitch and a track pitch of 25 nm formed by electron-beam drawing and reactive ion etching for 1 Tbit/in.{sup 2} storage

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hosaka, Sumio; Sano, Hirotaka; Shirai, Masumi

    2006-11-27

    The formation of very fine Si dots with a bit pitch and a track pitch of less than 25 nm using electron-beam (EB) lithography on ZEP520 and calixarene EB resists and CF{sub 4} reactive ion etching has been demonstrated. The experimental results indicate that the calixarene resist is very suitable for forming an ultrahigh-packed bit array pattern of Si dots. This result promises to open the way toward 1 Tbit/in.{sup 2} storage using patterned media with a dot size of <15 nm.

  16. Low resistivity of graphene nanoribbons with zigzag-dominated edge fabricated by hydrogen plasma etching combined with Zn/HCl pretreatment

    NASA Astrophysics Data System (ADS)

    Liu, Fengkui; Li, Qi; Wang, Rubing; Xu, Jianbao; Hu, Junxiong; Li, Weiwei; Guo, Yufen; Qian, Yuting; Deng, Wei; Ullah, Zaka; Zeng, Zhongming; Sun, Mengtao; Liu, Liwei

    2017-11-01

    Graphene nanoribbons (GNRs) have attracted intensive research interest owing to their potential applications in high performance graphene-based electronics. However, the deterioration of electrical performance caused by edge disorder is still an important obstacle to the applications. Here, we report the fabrication of low resistivity GNRs with a zigzag-dominated edge through hydrogen plasma etching combined with the Zn/HCl pretreatment method. This method is based on the anisotropic etching properties of hydrogen plasma in the vicinity of defects created by sputtering zinc (Zn) onto planar graphene. The polarized Raman spectra measurement of GNRs exhibits highly polarization dependence, which reveals the appearance of the zigzag-dominated edge. The as-prepared GNRs exhibit high carrier mobility (˜1332.4 cm2 v-1 s-1) and low resistivity (˜0.7 kΩ) at room temperature. Particularly, the GNRs can carry large current density (5.02 × 108 A cm-2) at high voltage (20.0 V) in the air atmosphere. Our study develops a controllable method to fabricate zigzag edge dominated GNRs for promising applications in transistors, sensors, nanoelectronics, and interconnects.

  17. Fabrication of biomimetic dry-adhesion structures through nanosphere lithography

    NASA Astrophysics Data System (ADS)

    Kuo, P. C.; Chang, N. W.; Suen, Y.; Yang, S. Y.

    2018-03-01

    Components with surface nanostructures suitable for biomimetic dry adhesion have a great potential in applications such as gecko tape, climbing robots, and skin patches. In this study, a nanosphere lithography technique with self-assembly nanospheres was developed to achieve effective and efficient fabrication of dry-adhesion structures. Self-assembled monolayer nanospheres with high regularity were obtained through tilted dip-coating. Reactive-ion etching of the self-assembled nanospheres was used to fabricate nanostructures of different shapes and aspect ratios by varying the etching time. Thereafter, nickel molds with inverse nanostructures were replicated using the electroforming process. Polydimethylsiloxane (PDMS) nanostructures were fabricated through a gas-assisted hot-embossing method. The pulling test was performed to measure the shear adhesion on the glass substrate of a sample, and the static contact angle was measured to verify the hydrophobic property of the structure. The enhancement of the structure indicates that the adhesion force increased from 1.2 to 4.05 N/cm2 and the contact angle increased from 118.6° to 135.2°. This columnar structure can effectively enhance the adhesion ability of PDMS, demonstrating the potential of using nanosphere lithography for the fabrication of adhesive structures.

  18. Suboxide/subnitride formation on Ta masks during magnetic material etching by reactive plasmas

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, Hu; Muraki, Yu; Karahashi, Kazuhiro

    2015-07-15

    Etching characteristics of tantalum (Ta) masks used in magnetoresistive random-access memory etching processes by carbon monoxide and ammonium (CO/NH{sub 3}) or methanol (CH{sub 3}OH) plasmas have been examined by mass-selected ion beam experiments with in-situ surface analyses. It has been suggested in earlier studies that etching of magnetic materials, i.e., Fe, Ni, Co, and their alloys, by such plasmas is mostly due to physical sputtering and etch selectivity of the process arises from etch resistance (i.e., low-sputtering yield) of the hard mask materials such as Ta. In this study, it is shown that, during Ta etching by energetic CO{sup +}more » or N{sup +} ions, suboxides or subnitrides are formed on the Ta surface, which reduces the apparent sputtering yield of Ta. It is also shown that the sputtering yield of Ta by energetic CO{sup +} or N{sup +} ions has a strong dependence on the angle of ion incidence, which suggests a correlation between the sputtering yield and the oxidation states of Ta in the suboxide or subnitride; the higher the oxidation state of Ta, the lower is the sputtering yield. These data account for the observed etch selectivity by CO/NH{sub 3} and CH{sub 3}OH plasmas.« less

  19. Vapor etching of nuclear tracks in dielectric materials

    DOEpatents

    Musket, Ronald G.; Porter, John D.; Yoshiyama, James M.; Contolini, Robert J.

    2000-01-01

    A process involving vapor etching of nuclear tracks in dielectric materials for creating high aspect ratio (i.e., length much greater than diameter), isolated cylindrical holes in dielectric materials that have been exposed to high-energy atomic particles. The process includes cleaning the surface of the tracked material and exposing the cleaned surface to a vapor of a suitable etchant. Independent control of the temperatures of the vapor and the tracked materials provide the means to vary separately the etch rates for the latent track region and the non-tracked material. As a rule, the tracked regions etch at a greater rate than the non-tracked regions. In addition, the vapor-etched holes can be enlarged and smoothed by subsequent dipping in a liquid etchant. The 20-1000 nm diameter holes resulting from the vapor etching process can be useful as molds for electroplating nanometer-sized filaments, etching gate cavities for deposition of nano-cones, developing high-aspect ratio holes in trackable resists, and as filters for a variety of molecular-sized particles in virtually any liquid or gas by selecting the dielectric material that is compatible with the liquid or gas of interest.

  20. Metallic nanomesh

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ren, Zhifeng; Sun, Tianyi; Guo, Chuanfei

    2018-02-20

    A transparent flexible nanomesh having at least one conductive element and sheet resistance less than 300.OMEGA./.quadrature. when stretched to a strain of 200% in at least one direction. The nanomesh is formed by depositing a sacrificial film, depositing, etching, and oxidizing a first metal layer on the film, etching the sacrificial film, depositing a second metal layer, and removing the first metal layer to form a nanomesh on the substrate.

  1. Formation of low resistance ohmic contacts in GaN-based high electron mobility transistors with BCl3 surface plasma treatment

    NASA Astrophysics Data System (ADS)

    Fujishima, Tatsuya; Joglekar, Sameer; Piedra, Daniel; Lee, Hyung-Seok; Zhang, Yuhao; Uedono, Akira; Palacios, Tomás

    2013-08-01

    A BCl3 surface plasma treatment technique to reduce the resistance and to increase the uniformity of ohmic contacts in AlGaN/GaN high electron mobility transistors with a GaN cap layer has been established. This BCl3 plasma treatment was performed by an inductively coupled plasma reactive ion etching system under conditions that prevented any recess etching. The average contact resistances without plasma treatment, with SiCl4, and with BCl3 plasma treatment were 0.34, 0.41, and 0.17 Ω mm, respectively. Also, the standard deviation of the ohmic contact resistance with BCl3 plasma treatment was decreased. This decrease in the standard deviation of contact resistance can be explained by analyzing the surface condition of GaN with x-ray photoelectron spectroscopy and positron annihilation spectroscopy. We found that the proposed BCl3 plasma treatment technique can not only remove surface oxide but also introduce surface donor states that contribute to lower the ohmic contact resistance.

  2. Deep Etching Process Developed for the Fabrication of Silicon Carbide Microsystems

    NASA Technical Reports Server (NTRS)

    Beheim, Glenn M.

    2000-01-01

    Silicon carbide (SiC), because of its superior electrical and mechanical properties at elevated temperatures, is a nearly ideal material for the microminiature sensors and actuators that are used in harsh environments where temperatures may reach 600 C or greater. Deep etching using plasma methods is one of the key processes used to fabricate silicon microsystems for more benign environments, but SiC has proven to be a more difficult material to etch, and etch depths in SiC have been limited to several micrometers. Recently, the Sensors and Electronics Technology Branch at the NASA Glenn Research Center at Lewis Field developed a plasma etching process that was shown to be capable of etching SiC to a depth of 60 mm. Deep etching of SiC is achieved by inductive coupling of radiofrequency electrical energy to a sulfur hexafluoride (SF6) plasma to direct a high flux of energetic ions and reactive fluorine atoms to the SiC surface. The plasma etch is performed at a low pressure, 5 mtorr, which together with a high gas throughput, provides for rapid removal of the gaseous etch products. The lateral topology of the SiC microstructure is defined by a thin film of etch-resistant material, such as indium-tin-oxide, which is patterned using conventional photolithographic processes. Ions from the plasma bombard the exposed SiC surfaces and supply the energy needed to initiate a reaction between SiC and atomic fluorine. In the absence of ion bombardment, no reaction occurs, so surfaces perpendicular to the wafer surface (the etch sidewalls) are etched slowly, yielding the desired vertical sidewalls.

  3. Influence of blood contamination during multimode adhesive application on the microtensile bond strength to dentin.

    PubMed

    Kucukyilmaz, E; Celik, E U; Akcay, M; Yasa, B

    2017-12-01

    The present study evaluated the effects of blood contamination performed at different steps of bonding on the microtensile bond strength (μTBS) of multimode adhesives to dentin when using the self-etch approach. Seventy-five molars were randomly assigned to three adhesive groups comprising 25 specimens each: two multimode adhesives [Single Bond Universal (SBU) and All-Bond Universal (ABU)] and a conventional one-step self-etch adhesive [Clearfil S3 Bond Plus (CSBP)]. Each group was subdivided as follows: (1) uncontaminated (control): bonding application/light curing as a positive control; (2) contamination-1 (cont-1): bonding application/light curing/blood contamination/dry as a negative control; (3) contamination-2 (cont-2): bonding application/light curing/blood contamination/rinse/dry; (4) contamination-3 (cont-3): bonding application/blood contamination/dry/bonding re-application/light curing; and (5) contamination-4 (cont-4): bonding application/blood contamination/rinse/dry/bonding re-application/light curing. Dentin specimens were prepared for μTBS testing after the composite resin application. Data were analyzed with two-way ANOVA and post-hoc tests (α = 0.05). μTBS values were similar in cont-3 groups, and ABU/cont-4 and corresponding control groups, but were significantly lower in the other groups than in their control groups (P < 0.05). Cont-1 groups showed the lowest μTBS values (P < 0.05). Neither decontamination method prevented the decrease in μTBS when contamination occurred after light curing. Drying the blood contaminants and reapplying the adhesive may regain the dentin adhesion when contamination occurs before light curing. Alternatively, rinsing and drying contaminants followed by adhesive re-application may be effective depending on adhesive type.

  4. Bio-inspired Fabrication of Complex Hierarchical Structure in Silicon.

    PubMed

    Gao, Yang; Peng, Zhengchun; Shi, Tielin; Tan, Xianhua; Zhang, Deqin; Huang, Qiang; Zou, Chuanping; Liao, Guanglan

    2015-08-01

    In this paper, we developed a top-down method to fabricate complex three dimensional silicon structure, which was inspired by the hierarchical micro/nanostructure of the Morpho butterfly scales. The fabrication procedure includes photolithography, metal masking, and both dry and wet etching techniques. First, microscale photoresist grating pattern was formed on the silicon (111) wafer. Trenches with controllable rippled structures on the sidewalls were etched by inductively coupled plasma reactive ion etching Bosch process. Then, Cr film was angled deposited on the bottom of the ripples by electron beam evaporation, followed by anisotropic wet etching of the silicon. The simple fabrication method results in large scale hierarchical structure on a silicon wafer. The fabricated Si structure has multiple layers with uniform thickness of hundreds nanometers. We conducted both light reflection and heat transfer experiments on this structure. They exhibited excellent antireflection performance for polarized ultraviolet, visible and near infrared wavelengths. And the heat flux of the structure was significantly enhanced. As such, we believe that these bio-inspired hierarchical silicon structure will have promising applications in photovoltaics, sensor technology and photonic crystal devices.

  5. Reinforcement of dentin in self-etch adhesive technology: a new concept.

    PubMed

    Waidyasekera, Kanchana; Nikaido, Toru; Weerasinghe, Dinesh S; Ichinose, Shizuko; Tagami, Junji

    2009-08-01

    Characterize the ultramorphology and secondary caries inhibition potential of different dentin adhesive systems in order to find a satisfactory explanation resist to recurrent caries. Human premolar dentin was treated with one of the two self-etching adhesive systems, Clearfil SE Bond, Clearfil Protect Bond or an acid-etching adhesive system, Single Bond. The bonded interface was exposed to an artificial demineralizing solution (pH 4.5) for 90 min and then 5% sodium hypochlorite for 20 min. Transmission electron microscopic observation was performed at the adhesive-dentin interface. The width of the reinforced zone was measured and data were analyzed with univariate analysis of variance under general linear model. In order to identify type of crystallites in the reinforced zone selected area electron diffraction was performed. An acid-base resistant zone (ABRZ) was found adjacent to the hybrid layer in the outer lesion front with only Clearfil SE Bond and Clearfil Protect Bond, while Single Bond was devoid of this protective zone. Crystallite arrangement and the ultramorphology were almost similar in the corresponding regions of Clearfil SE Bond and Clearfil Protect Bond. However, thickness of the ABRZ at the mid portion was 1159(+/-41.91)nm in Clearfil protect Bond, which was significantly thicker than that of Clearfil SE Bond (F=514.84, p<0.001). Selected area electron diffraction confirmed the crystallites in the zone as apatite. The self-etching adhesive systems created a new reinforced acid resistant dentin under the hybrid layer. Difference in the thickness of the zone expressed a different potential for demineralization inhibition.

  6. Plasma & reactive ion etching to prepare ohmic contacts

    DOEpatents

    Gessert, Timothy A.

    2002-01-01

    A method of making a low-resistance electrical contact between a metal and a layer of p-type CdTe surface by plasma etching and reactive ion etching comprising: a) placing a CdS/CdTe layer into a chamber and evacuating said chamber; b) backfilling the chamber with Argon or a reactive gas to a pressure sufficient for plasma ignition; and c) generating plasma ignition by energizing a cathode which is connected to a power supply to enable the plasma to interact argon ions alone or in the presence of a radio-frequency DC self-bias voltage with the p-CdTe surface.

  7. METHOD OF IMPROVING CORROSION RESISTANCE OF ZIRCONIUM

    DOEpatents

    Shannon, D.W.

    1961-03-28

    An improved intermediate rinse for zirconium counteracts an anomalous deposit that often results in crevices and outof-the-way places when ordinary water is used to rinse away a strong fluoride etching solution designed to promote passivation of the metal. The intermediate rinse, which is used after the etching solution and before the water, is characterized by a complexing agent for fluoride ions such as aluminum or zirconium nitrates or chlorides.

  8. Surface Modification of Ti-35Nb-10Ta-1.5Fe by the Double Acid-Etching Process

    PubMed Central

    Amigó, Angélica

    2018-01-01

    Surface topography and composition influence the osteoblastic proliferation and osseointegration rates, which favor the biomechanical stability of bone anchoring and implants. In recent years, beta titanium alloys have been developed, and are composed of biocompatible elements, have low elastic modulus, high corrosion resistance, and mechanical properties to improve the long performance behavior of biomaterials. In the present research, the influence of the acid-etching process was studied in Ti6Al4V ELI and Ti35Nb10Ta1.5Fe. Samples were etched in a two-step acid treatment. Surface roughness parameters were quantified under a confocal microscope, topography was studied by scanning electron microscopy, and surface composition was analyzed with energy dispersive X-ray spectroscopy. The results revealed that the two-step acid treatment changes the topography of the β alloy, increases the surface area, and changes the chemical composition of the surface. Two differentiated regions were identified in the Ti35Nb10Ta1.5Fe alloy after the acid-etching process: The α + β region with higher values of mean roughness due to the lower chemical resistance of this region; and the β region with lower values of roughness parameters. PMID:29587427

  9. Durability to oxygen reactive ion etching enhanced by addition of synthesized bis(trimethylsilyl)phenyl-containing (meth)acrylates in ultraviolet nanoimprint lithography

    NASA Astrophysics Data System (ADS)

    Ito, Shunya; Sato, Hiroki; Tasaki, Yuhei; Watanuki, Kimihito; Nemoto, Nobukatsu; Nakagawa, Masaru

    2016-06-01

    We investigated the selection of bis(trimethylsilyl)phenyl-containing (meth)acrylates as additives to improve the durability to oxygen reactive ion etching (O2 RIE) of sub-50 nm imprint resist patterns suitable for bubble-defect-free UV nanoimprinting with a readily condensable gas. 2,5-Bis(2-acryloyloxyethoxy)-1,4-bis(trimethylsilyl)benzene, which has a diacrylate chemical structure similar to that of glycerol 1,3-diglycerolate diacrylate used as a base monomer, and 3-(2-methacryloyloxyethoxy)-1-(hydroxylethoxy)-2-propoxy-3,5-bis(trimethylsilyl)benzene, which has a hydroxy group similar to the base monomer, were synthesized taking into consideration the Ohnishi and ring parameters, and the oxidization of the trimethylsilyl moiety to inorganic species during O2 RIE. The addition of the latter liquid additive to the base monomer decreased etching rate owing to the good miscibility of the additive in the base monomer, while the addition of the former crystalline additive caused phase separation after UV nanoimprinting. The latter additive worked as a compatibilizer to the former additive, which is preferred for etching durability improvement. The coexistence of the additives enabled the fabrication of a 45 nm line-and-space resist pattern by UV nanoimprinting, and its residual layer could be removed by O2 RIE.

  10. Characteristics of n-GaN After Cl2/Ar and Cl2/N2 Inductively Coupled Plasma Etching

    NASA Astrophysics Data System (ADS)

    Han, Yan-Jun; Xue, Song; Guo, Wen-Ping; Sun, Chang-Zheng; Hao, Zhi-Biao; Luo, Yi

    2003-10-01

    A systematic study on the effect of inductively coupled plasma (ICP) etching on n-type GaN is presented. The optical and electrical properties and surface stoichiometry of n-type GaN are evaluated using room-temperature photoluminescence (PL) and current-voltage (I-V) characteristic measurements, and X-ray photoelectron spectroscopy (XPS), respectively. Investigation of the effect of additive gas (N2 and Ar) and RF power on these characteristics has also been carried out. It is shown that the decrease in the O/Ga ratio after ICP etching can suppress the deterioration of the near-band-edge emission intensity. Furthermore, N vacancy (VN) with a shallow donor nature and Ga vacancy (VGa) with a deep acceptor nature are generated after ICP etching upon the addition of Ar and N2 to Cl2 plasma, respectively. Lower ohmic contact resistance could be obtained when VN or ion-bombardment-induced defect is dominant at the surface. Improved etching conditions have been obtained based on these results.

  11. Selective dry etching of III-V nitrides in Cl{sub 2}/Ar, CH{sub 4}/H{sub 2}/Ar, ICi/Ar, and IBr/Ar

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vartuli, C.B.; Pearton, S.J.; MacKenzie, J.D.

    1996-10-01

    The selectivity for etching the binary (GaN, AlN, and InN) and ternary nitrides (InGaN and InAlN) relative to each other in Cl{sub 2}/Ar, CH{sub 4}/H{sub 2}/Ar, ICl/Ar, or IBr/Ar electron cyclotron resonance (ECR) plasmas, and Cl{sub 2}/Ar or CH{sub 4}/H{sub 2}/Ar reactive ion (RIE) plasmas was investigated. Cl-based etches appear to be the best choice for maximizing the selectivity of GaN over the other nitrides. GaN/AlN and GaN/InGaN etch rate ratios of {approximately} 10 were achieved at low RF power in Cl{sub 2}/Ar under ECR and RIE conditions, respectively. GaN/InN selectivity of 10 was found in ICl under ECR conditions.more » A relatively high selectivity (> 6) of InN/GaN was achieved in CH{sub 4}/H{sub 2}/Ar under ECR conditions at low RF powers (50 W). Since the high bond strengths of the nitrides require either high ion energies or densities to achieve practical etch rates it is difficult to achieve high selectivities.« less

  12. High mobility bottom gate InGaZnO thin film transistors with SiOx etch stopper

    NASA Astrophysics Data System (ADS)

    Kim, Minkyu; Jeong, Jong Han; Lee, Hun Jung; Ahn, Tae Kyung; Shin, Hyun Soo; Park, Jin-Seong; Jeong, Jae Kyeong; Mo, Yeon-Gon; Kim, Hye Dong

    2007-05-01

    The authors report on the fabrication of thin film transistors (TFTs), which use an amorphous indium gallium zinc oxide (a-IGZO) channel, by rf sputtering at room temperature and for which the channel length and width are patterned by photolithography and dry etching. To prevent plasma damage to the active channel, a 100-nm-thick SiOx layer deposited by plasma enhanced chemical vapor deposition was adopted as an etch stopper structure. The a-IGZO TFT (W /L=10μm/50μm) fabricated on glass exhibited a high field-effect mobility of 35.8cm2/Vs, a subthreshold gate swing value of 0.59V/decade, a thrseshold voltage of 5.9V, and an Ion/off ratio of 4.9×106, which is acceptable for use as the switching transistor of an active-matrix TFT backplane.

  13. Highlights of 10th plasma chemistry meeting

    NASA Technical Reports Server (NTRS)

    Kitamura, K.; Hashimoto, H.; Hozumi, K.

    1981-01-01

    The chemical structure is given of a film formed by plasma polymerization from pyridine monomers. The film has a hydrophilic chemical structure, its molecular weight is 900, and the molecular system is C55H50N10O3. The electrical characteristics of a plasma polymerized film are described. The film has good insulating properties and was successfully applied as video disc coating. Etching resistance properties make it possible to use the film as a resist in etching. The characteristics of plasma polymer formed from monomers containing tetramethyltin are discussed. The polymer is in film form, displays good adhesiveness, is similar to UV film UV 35 in light absorption and is highly insulating.

  14. Contact Whiskers for Millimeter Wave Diodes

    NASA Technical Reports Server (NTRS)

    Kerr, A. R.; Grange, J. A.; Lichtenberger, J. A.

    1978-01-01

    Several techniques are investigated for making short conical tips on wires (whiskers) used for contacting millimeter-wave Schottky diodes. One procedure, using a phosphoric and chromic acid etching solution (PCE), is found to give good results on 12 microns phosphor-bronze wires. Full cone angles of 60 degrees-80 degrees are consistently obtained, compared with the 15 degrees-20 degrees angles obtained with the widely used sodium hydroxide etch. Methods are also described for cleaning, increasing the tip diameter (i.e. blunting), gold plating, and testing the contact resistance of the whiskers. The effects of the whisker tip shape on the electrical resistance, inductance, and capacitance of the whiskers are studied, and examples given for typical sets of parameters.

  15. Method for preparing surfaces of metal composites having a brittle phase for plating. [Patent application

    DOEpatents

    Coates, C.W.; Wilson, T.J.

    1982-05-19

    The present invention is directed to a method for preparing surfaces of two-phase metal composites having relatively brittle and malleable components for plating with corrosion-resistant material. In practice of the present invention, the surfaces of the composite are etched to remove a major portion or fraction of the brittle component. The etched surface is then peened with particulates for breaking the brittle component from the surfaces and for spreading or smearing the malleable component over the surfaces. The peened surface is then chemically cleaned of residual traces of the brittle component to which the corrosion-resistant material may be plated thereon in an adherent manner.

  16. Effect of saliva contamination on the microshear bond strength of one-step self-etching adhesive systems to dentin.

    PubMed

    Yoo, H M; Oh, T S; Pereira, P N R

    2006-01-01

    This study evaluated the effect of saliva contamination and decontamination methods on the dentin bond strength of one-step self-etching adhesive systems. Three commercially available "all-in-one" adhesives (One Up Bond F, Xeno III and Adper Prompt) and one resin composite (Filtek Z-250) were used. Third molars stored in distilled water with 0.5% thymol at 4 degrees C were ground with #600 SiC paper under running water to produce a standardized smear layer. The specimens were randomly divided into groups according to contamination methods: no contamination, which was the control (C); contamination of the adhesive surface with fresh saliva before light curing (A) and contamination of the adhesive surface with fresh saliva after light curing (B). Each contamination group was further subdivided into three subgroups according to the decontamination method: A1-Saliva was removed by a gentle air blast and the adhesive was light-cured; A2-Saliva was rinsed for 10 seconds, gently air-dried and the was adhesive light-cured; A3-Saliva was rinsed and dried as in A2, then the adhesive was re-applied to the dentin surface and light-cured; B1-Saliva was removed with a gentle air blast; B2-Saliva was rinsed and dried; B3-Saliva was rinsed, dried and the adhesive was re-applied and light cured. Tygon tubes filled with resin composite were placed on each surface and light cured. All specimens were stored in distilled water at 37 degrees C for 24 hours. Microshear bond strength was measured using a universal testing machine (EZ test), and data were analyzed by one-way ANOVA followed by the Duncan test to make comparisons among the groups (p<0.05). After debonding, five specimens were selected and examined in a scanning electron microscope to evaluate the modes of fracture. The A2 subgroup resulted in the lowest bond strength. For One Up Bond F and Adper Prompt, there was no significant difference between subgroup A1 and the control, and subgroup A3 and the control (p>0.05). Bond strengths of all B groups were significantly lower compared to the controls (p<0.05). For Xeno III, A1 subgroup showed the greatest decrease in bond strength as compared to the control (p<0.05). On the other hand, it showed more resistance to salivary contamination after adhesive curing. There was no statistically significant difference among the control groups (p>0.05).

  17. Defying ageing: An expectation for dentine bonding with universal adhesives?

    PubMed

    Zhang, Zheng-yi; Tian, Fu-cong; Niu, Li-na; Ochala, Kirsten; Chen, Chen; Fu, Bai-ping; Wang, Xiao-yan; Pashley, David H; Tay, Franklin R

    2016-02-01

    The present study evaluated the long-term dentine bonding effectiveness of five universal adhesives in etch-and-rinse or self-etch mode after 12 months of water-ageing. The adhesives evaluated included All-Bond Universal, Clearfil Universal Bond, Futurabond U Prime&Bond Elect and Scotchbond Universal. Microtensile bond strength and transmission electron microscopy of the resin-dentine interfaces created in human coronal dentine were examined after 24h or 12 months. Microtensile bond strength were significantly affected by bonding strategy (etch-and-rinse vs self-etch) and ageing (24h vs 12 months). All subgroups showed significantly decreased bond strength after ageing except for Prime&Bond Elect and Scotchbond Universal used in self-etch mode. All five adhesives employed in etch-and-rinse mode exhibited ultrastructural features characteristic of collagen degradation and resin hydrolysis. A previously-unobserved inside-out collagen degradation pattern was identified in hybrid layers created by 10-MDP containing adhesives (All-Bond Universal, Scotchbond Universal and Clearfil Universal Bond) in the etch-and-rinse mode, producing partially degraded collagen fibrils with intact periphery and a hollow core. In the self-etch mode, all adhesives except for Prime&Bond Elect exhibited degradation of the collagen fibrils along the thin hybrid layers. The three 10-MDP containing universal adhesives did not protect surface collagen fibrils from degradation when bonding was performed in the self-etch mode. Despite the adjunctive conclusion that bonds created by universal adhesives in the self-etch bonding mode are more resistant to decline in bond strength when compared with those bonds created using the etch-and-rinse mode, bonds created by universal adhesives are generally incapable of defying ageing. Copyright © 2015 Elsevier Ltd. All rights reserved.

  18. Integrated approach to improving local CD uniformity in EUV patterning

    NASA Astrophysics Data System (ADS)

    Liang, Andrew; Hermans, Jan; Tran, Timothy; Viatkina, Katja; Liang, Chen-Wei; Ward, Brandon; Chuang, Steven; Yu, Jengyi; Harm, Greg; Vandereyken, Jelle; Rio, David; Kubis, Michael; Tan, Samantha; Dusa, Mircea; Singhal, Akhil; van Schravendijk, Bart; Dixit, Girish; Shamma, Nader

    2017-03-01

    Extreme ultraviolet (EUV) lithography is crucial to enabling technology scaling in pitch and critical dimension (CD). Currently, one of the key challenges of introducing EUV lithography to high volume manufacturing (HVM) is throughput, which requires high source power and high sensitivity chemically amplified photoresists. Important limiters of high sensitivity chemically amplified resists (CAR) are the effects of photon shot noise and resist blur on the number of photons received and of photoacids generated per feature, especially at the pitches required for 7 nm and 5 nm advanced technology nodes. These stochastic effects are reflected in via structures as hole-to-hole CD variation or local CD uniformity (LCDU). Here, we demonstrate a synergy of film stack deposition, EUV lithography, and plasma etch techniques to improve LCDU, which allows the use of high sensitivity resists required for the introduction of EUV HVM. Thus, to improve LCDU to a level required by 5 nm node and beyond, film stack deposition, EUV lithography, and plasma etch processes were combined and co-optimized to enhance LCDU reduction from synergies. Test wafers were created by depositing a pattern transfer stack on a substrate representative of a 5 nm node target layer. The pattern transfer stack consisted of an atomically smooth adhesion layer and two hardmasks and was deposited using the Lam VECTOR PECVD product family. These layers were designed to mitigate hole roughness, absorb out-of-band radiation, and provide additional outlets for etch to improve LCDU and control hole CD. These wafers were then exposed through an ASML NXE3350B EUV scanner using a variety of advanced positive tone EUV CAR. They were finally etched to the target substrate using Lam Flex dielectric etch and Kiyo conductor etch systems. Metrology methodologies to assess dimensional metrics as well as chip performance and defectivity were investigated to enable repeatable patterning process development. Illumination conditions in EUV lithography were optimized to improve normalized image log slope (NILS), which is expected to reduce shot noise related effects. It can be seen that the EUV imaging contrast improvement can further reduce post-develop LCDU from 4.1 nm to 3.9 nm and from 2.8 nm to 2.6 nm. In parallel, etch processes were developed to further reduce LCDU, to control CD, and to transfer these improvements into the final target substrate. We also demonstrate that increasing post-develop CD through dose adjustment can enhance the LCDU reduction from etch. Similar trends were also observed in different pitches down to 40 nm. The solutions demonstrated here are critical to the introduction of EUV lithography in high volume manufacturing. It can be seen that through a synergistic deposition, lithography, and etch optimization, LCDU at a 40 nm pitch can be improved to 1.6 nm (3-sigma) in a target oxide layer and to 1.4 nm (3-sigma) at the photoresist layer.

  19. Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths

    DOEpatents

    Wheeler, David R.

    2004-01-06

    A method for patterning of resist surfaces which is particularly advantageous for systems having low photon flux and highly energetic, strongly attenuated radiation. A thin imaging layer is created with uniform silicon distribution in a bilayer format. An image is formed by exposing selected regions of the silylated imaging layer to radiation. The radiation incident upon the silyliated resist material results in acid generation which either catalyzes cleavage of Si--O bonds to produce moieties that are volatile enough to be driven off in a post exposure bake step or produces a resist material where the exposed portions of the imaging layer are soluble in a basic solution, thereby desilylating the exposed areas of the imaging layer. The process is self limiting due to the limited quantity of silyl groups within each region of the pattern. Following the post exposure bake step, an etching step, generally an oxygen plasma etch, removes the resist material from the de-silylated areas of the imaging layer.

  20. Precise Protein Photolithography (P3): High Performance Biopatterning Using Silk Fibroin Light Chain as the Resist

    PubMed Central

    Liu, Wanpeng; Zhou, Zhitao; Zhang, Shaoqing; Shi, Zhifeng; Tabarini, Justin; Lee, Woonsoo; Zhang, Yeshun; Gilbert Corder, S. N.; Li, Xinxin; Dong, Fei; Cheng, Liang; Liu, Mengkun; Kaplan, David L.; Omenetto, Fiorenzo G.

    2017-01-01

    Precise patterning of biomaterials has widespread applications, including drug release, degradable implants, tissue engineering, and regenerative medicine. Patterning of protein‐based microstructures using UV‐photolithography has been demonstrated using protein as the resist material. The Achilles heel of existing protein‐based biophotoresists is the inevitable wide molecular weight distribution during the protein extraction/regeneration process, hindering their practical uses in the semiconductor industry where reliability and repeatability are paramount. A wafer‐scale high resolution patterning of bio‐microstructures using well‐defined silk fibroin light chain as the resist material is presented showing unprecedent performances. The lithographic and etching performance of silk fibroin light chain resists are evaluated systematically and the underlying mechanisms are thoroughly discussed. The micropatterned silk structures are tested as cellular substrates for the successful spatial guidance of fetal neural stems cells seeded on the patterned substrates. The enhanced patterning resolution, the improved etch resistance, and the inherent biocompatibility of such protein‐based photoresist provide new opportunities in fabricating large scale biocompatible functional microstructures. PMID:28932678

  1. Optimization Of Fluoride Glass Fiber Drawing With Respect To Mechanical Strength

    NASA Astrophysics Data System (ADS)

    Schneider, H. W.; Schoberth, A.; Staudt, A.; Gerndt, Ch.

    1987-08-01

    Heavy metal fluoride fibers have attracted considerable attention recently as lightguides for infrared optical devices. Besides the optical loss mechanical performance of the fiber is of major interest. At present fiber strength suffers from surface crystallization prior to or during fiber drawing. We developed an etching method for the preparation of preforms with clean surface. Drawing these preforms under optimized conditions in a dry atmosphere results in fibers with improved strength. So far, mean value of 400 N/mm2 tensile strength have been achieved. Maximum values of 800 N/mm2 measured on etched fibers indicate an even higher strength potential for the material itself.

  2. Printed silver nanowire antennas with low signal loss at high-frequency radio.

    PubMed

    Komoda, Natsuki; Nogi, Masaya; Suganuma, Katsuaki; Kohno, Kazuo; Akiyama, Yutaka; Otsuka, Kanji

    2012-05-21

    Silver nanowires are printable and conductive, and are believed to be promising materials in the field of printed electronics. However, the resistivity of silver nanowire printed lines is higher than that of metallic particles or flakes even when sintered at high temperatures of 100-400 °C. Therefore, their applications have been limited to the replacement of transparent electrodes made from high-resistivity materials, such as doped metallic oxides, conductive polymers, carbon nanotubes, or graphenes. Here we report that using printed silver nanowire lines, signal losses obtained in the high-frequency radio were lower than those obtained using etched copper foil antennas, because their surfaces were much smoother than those of etched copper foil antennas. This was the case even though the resistivity of silver nanowire lines was 43-71 μΩ cm, which is much higher than that of etched copper foil (2 μΩ cm). When printed silver nanowire antennas were heated at 100 °C, they achieved signal losses that were much lower than those of silver paste antennas comprising microparticles, nanoparticles, and flakes. Furthermore, using a low temperature process, we succeeded in remotely controlling a commercialized radio-controlled car by transmitting a 2.45 GHz signal via a silver nanowire antenna printed on a polyethylene terephthalate film.

  3. Photolithography-free laser-patterned HF acid-resistant chromium-polyimide mask for rapid fabrication of microfluidic systems in glass

    NASA Astrophysics Data System (ADS)

    Zamuruyev, Konstantin O.; Zrodnikov, Yuriy; Davis, Cristina E.

    2017-01-01

    Excellent chemical and physical properties of glass, over a range of operating conditions, make it a preferred material for chemical detection systems in analytical chemistry, biology, and the environmental sciences. However, it is often compromised with SU8, PDMS, or Parylene materials due to the sophisticated mask preparation requirements for wet etching of glass. Here, we report our efforts toward developing a photolithography-free laser-patterned hydrofluoric acid-resistant chromium-polyimide tape mask for rapid prototyping of microfluidic systems in glass. The patterns are defined in masking layer with a diode-pumped solid-state laser. Minimum feature size is limited to the diameter of the laser beam, 30 µm minimum spacing between features is limited by the thermal shrinkage and adhesive contact of the polyimide tape to 40 µm. The patterned glass substrates are etched in 49% hydrofluoric acid at ambient temperature with soft agitation (in time increments, up to 60 min duration). In spite of the simplicity, our method demonstrates comparable results to the other current more sophisticated masking methods in terms of the etched depth (up to 300 µm in borosilicate glass), feature under etch ratio in isotropic etch (~1.36), and low mask hole density. The method demonstrates high yield and reliability. To our knowledge, this method is the first proposed technique for rapid prototyping of microfluidic systems in glass with such high performance parameters. The proposed method of fabrication can potentially be implemented in research institutions without access to a standard clean-room facility.

  4. Observation and measurement of negative differential resistance on PtSi Schottky junctions on porous silicon.

    PubMed

    Banihashemian, Seyedeh Maryam; Hajghassem, Hassan; Erfanian, Alireza; Aliahmadi, Majidreza; Mohtashamifar, Mansor; Mosakazemi, Seyed Mohamadhosein

    2010-01-01

    Nanosize porous Si is made by two step controlled etching of Si. The first etching step is carried on the Si surface and the second is performed after deposition of 75 Å of platinum on the formed surface. A platinum silicide structure with a size of less than 25 nm is formed on the porous Si surface, as measured with an Atomic Forced Microscope (AFM). Differential resistance curve as a function of voltage in 77 K and 100 K shows a negative differential resistance and indicates the effect of quantum tunneling. In general form, the ratio of maximum to minimum tunneling current (PVR) and the number of peaks in I-V curves reduces by increasing the temperature. However, due to accumulation of carriers behind the potential barrier and superposition of several peaks, it is observed that the PVR increases at 100 K and the maximum PVR at 100 K is 189.6.

  5. Etching of polymers, proteins and bacterial spores by atmospheric pressure DBD plasma in air

    NASA Astrophysics Data System (ADS)

    Kuzminova, A.; Kretková, T.; Kylián, O.; Hanuš, J.; Khalakhan, I.; Prukner, V.; Doležalová, E.; Šimek, M.; Biederman, H.

    2017-04-01

    Many studies proved that non-equilibrium discharges generated at atmospheric pressure are highly effective for the bio-decontamination of surfaces of various materials. One of the key processes that leads to a desired result is plasma etching and thus the evaluation of etching rates of organic materials is of high importance. However, the comparison of reported results is rather difficult if impossible as different authors use diverse sources of atmospheric plasma that are operated at significantly different operational parameters. Therefore, we report here on the systematic study of the etching of nine different common polymers that mimic the different structures of more complicated biological systems, bovine serum albumin (BSA) selected as the model protein and spores of Bacillus subtilis taken as a representative of highly resistant micro-organisms. The treatment of these materials was performed by means of atmospheric pressure dielectric barrier discharge (DBD) sustained in open air at constant conditions. All tested polymers, BSA and spores, were readily etched by DBD plasma. However, the measured etching rates were found to be dependent on the chemical structure of treated materials, namely on the presence of oxygen in the structure of polymers.

  6. Effect of salivary contamination and decontamination on bond strength of two one-step self-etching adhesives to dentin of primary and permanent teeth.

    PubMed

    Santschi, Katharina; Peutzfeldt, Anne; Lussi, Adrian; Flury, Simon

    2015-02-01

    To evaluate the effects of human saliva contamination and two decontamination procedures at different stages of the bonding procedure on the bond strength of two one-step self-etching adhesives to primary and permanent dentin. Extracted human primary and permanent molars (210 of each) were ground to mid-coronal dentin. The dentin specimens were randomly divided into 7 groups (n = 15/group/molar type) for each adhesive (Xeno V+ and Scotchbond Universal): no saliva contamination (control); saliva contamination before or after light curing of the adhesives followed by air drying, rinsing with water spray/air drying, or by rinsing with water spray/air drying/reapplication of the adhesives. Resin composite (Filtek Z250) was applied on the treated dentin surfaces. The specimens were stored at 37°C and 100% humidity for 24 h. After storage, shear bond strength (SBS) was measured and data analyzed with nonparametric ANOVA followed by exact Wilcoxon rank sum tests. Xeno V+ generated significantly higher SBS than Scotchbond Universal when no saliva contamination occurred. Saliva contamination reduced SBS of Xeno V+, with the reduction being more pronounced when contamination occurred before light curing than after. In both situations, decontamination involving reapplication of the adhesive restored SBS. Saliva contamination had no significant effect on Scotchbond Universal. There were no differences in SBS between primary and permanent teeth. Rinsing with water and air drying followed by reapplication of the adhesive restored bond strength to saliva-contaminated dentin.

  7. Recovery of EUVL substrates

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vernon, S.P.; Baker, S.L.

    1995-01-19

    Mo/Si multilayers, were removed from superpolished zerodur and fused silica substrates with a dry etching process that, under suitable processing conditions, produces negligible change in either the substrate surface figure or surface roughness. Full recovery of the initial normal incidence extreme ultra-violet (EUV) reflectance response has been demonstrated on reprocessed substrates.

  8. Comparative evaluation of self-etching primers and phosphoric acid effectiveness on composite to enamel bond: an in vitro study.

    PubMed

    Patil, Basanagouda S; Rao, Bk Raghavendra; Sharathchandra, Sm; Hegde, Reshma; Kumar, G Vinay

    2013-09-01

    The aim of the present study was to investigate the effectiveness of the one total-etch self-priming adhesive, one two-step self-etching primer adhesive, and one 'all-in-one' self-etching adhesive system on the adhesion of a resin composite to enamel. Thirty-six freshly extracted human mandibular molars were selected for this study. A fat area about 5 mm in diameter was created on the exposed mesial surface of enamel of each tooth by moist grinding with 320, 420 and 600 grit silicon carbide paper. Twelve teeth were randomly assigned into three groups. In group 1, Adper Easy One (3M ESPE), a one step self-etching primer adhesive was applied and light curing unit for 10 seconds. In group 2, Adper SE Plus, a two-step self-etching primer with bottle A containing the aqueous primer and bottle B containing the acidic adhesive was applied and light cured for 10 seconds. Group 3 (control)-etchant 37% phosphoric acid is applied to the surface for 15 seconds and rinsed with water and air dried and adhesive (single bond 2) is applied to the surface and tube is placed and light cured for 20 seconds. Composite material (Z350) was placed in the tube and light cured for 40 seconds in all the groups. Bond strength testing was done using universal testing machine at the enamel-composite interface. The debonded enamel surface was evaluated in stereomicroscope to assess the cohesive, adhesive or mixed fracture. Data was statistically analyzed by one way analysis of variance (ANOVA). Group 1 performed least among all groups with a mean score of 19.46 MPa. Group 2 had a mean score of 25.67 MPa. Group 3 had a mean score of 27.16 MPa. Under the conditions of this in vitro study, the bond strength values of the two-step self-etching primer systems tested were similar to the total-etch. And, one step self-etching primers have lower bond strength compared to the total-etch.

  9. Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

    NASA Astrophysics Data System (ADS)

    Huard, Chad M.; Lanham, Steven J.; Kushner, Mark J.

    2018-04-01

    Atomic layer etching (ALE) typically divides the etching process into two self-limited reactions. One reaction passivates a single layer of material while the second preferentially removes the passivated layer. As such, under ideal conditions the wafer scale uniformity of ALE should be independent of the uniformity of the reactant fluxes onto the wafers, provided all surface reactions are saturated. The passivation and etch steps should individually asymptotically saturate after a characteristic fluence of reactants has been delivered to each site. In this paper, results from a computational investigation are discussed regarding the uniformity of ALE of Si in Cl2 containing inductively coupled plasmas when the reactant fluxes are both non-uniform and non-ideal. In the parameter space investigated for inductively coupled plasmas, the local etch rate for continuous processing was proportional to the ion flux. When operated with saturated conditions (that is, both ALE steps are allowed to self-terminate), the ALE process is less sensitive to non-uniformities in the incoming ion flux than continuous etching. Operating ALE in a sub-saturation regime resulted in less uniform etching. It was also found that ALE processing with saturated steps requires a larger total ion fluence than continuous etching to achieve the same etch depth. This condition may result in increased resist erosion and/or damage to stopping layers using ALE. While these results demonstrate that ALE provides increased etch depth uniformity, they do not show an improved critical dimension uniformity in all cases. These possible limitations to ALE processing, as well as increased processing time, will be part of the process optimization that includes the benefits of atomic resolution and improved uniformity.

  10. Effects of blood contamination on microtensile bond strength to dentin of three self-etch adhesives.

    PubMed

    Chang, Seok Woo; Cho, Byeong Hoon; Lim, Ran Yeob; Kyung, Seung Hyun; Park, Dong Sung; Oh, Tae Seok; Yoo, Hyun Mi

    2010-01-01

    This study evaluated the effects of blood contamination and decontamination methods during different steps of bonding procedures on the microtensile bond strength of two-step self-etch adhesives to dentin. Sixty extracted human molars were ground flat to expose occlusal dentin. The 60 molars were randomly assigned to three groups, each treated with a different two-step self-etch adhesive: Clearfil SE Bond, AdheSE and Tyrian SPE. In turn, these groups were subdivided into five subgroups (n = 20), each treated using different experimental conditions as follows: control group-no contamination; contamination group 1-CG1: primer application/ contamination/primer re-application; contamination group 2-CG2: primer application/contamination/wash/dry/primer re-application; contamination group 3-CG3: primer application/adhesive application/light curing/contamination/ adhesive re-application/light curing; contamina- tion group 4-CG4: primer application/adhesive application/light curing/contamination/wash/ dry/adhesive re-application/light curing. Composite buildup was performed using Z250. After 24 hours of storage in distilled water at 37 degrees C, the bonded specimens were trimmed to an hourglass shape and serially sectioned into slabs with 0.6 mm2 cross-sectional areas. Microtensile bond strengths (MTBS) were assessed for each specimen using a universal testing machine. The data were analyzed by two-way ANOVA followed by a post hoc LSD test. SEM evaluations of the fracture modes were also performed. The contaminated specimens showed lower bond strengths than specimens in the control group (p < 0.05), with the exception of CG1 in the Clearfil SE group and CG2 and CG3 in the Tyrian SPE group. Among the three self-etch adhesives, the Tyrian SPE group exhibited a significantly lower average MTBS compared to the Clearfil SE Bond and AdheSE (p < 0.05) groups. Based on the results of the current study, it was found that blood contamination reduced the MTBS of all three self-etch adhesives to dentin, and water-rinsing was unable to overcome the effects of blood contamination.

  11. Method for preparing surfaces of metal composites having a brittle phase for plating

    DOEpatents

    Coates, Cameron W.; Wilson, Thomas J.

    1984-01-01

    The present invention is directed to a method for preparing surfaces of two-phase metal composites having relatively brittle and malleable components for plating with corrosion-resistant material. In practice of the present invention, the surfaces of the composites are etched to remove a major portion or fraction of the brittle component. The etched surface is then peened with particulates for breaking the brittle component from the surfaces and for spreading or smearing the malleable component over the surfaces. The peened surface is then chemically cleaned of residual traces of the brittle component so as to provide a surface of essentially the malleable component to which the corrosion-resistant material may be plated thereon in an adherent manner.

  12. Development of a high-yield via-last through silicon via process using notchless silicon etching and wet cleaning of the first metal layer

    NASA Astrophysics Data System (ADS)

    Watanabe, Naoya; Kikuchi, Hidekazu; Yanagisawa, Azusa; Shimamoto, Haruo; Kikuchi, Katsuya; Aoyagi, Masahiro; Nakamura, Akio

    2017-07-01

    A high-yield via-last through silicon via (TSV) process has been developed using notchless Si etching and wet cleaning of the first metal layer. In this process, the notching was suppressed by optimizing the deep Si etching conditions and wet cleaning was performed using an organic alkaline solution to remove reaction products generated by the etchback step on the first metal layer. By this process, a number of small TSVs (TSV diameter: 6 µm TSV depth: 22 µm number of TSVs: 20,000/chip) could be formed uniformly on an 8-in. wafer. The electrical characteristics of small TSVs formed by this via-last TSV process were investigated. The TSV resistance determined by four-terminal measurements was approximately 24 mΩ. The leakage current between the TSV and the Si substrate was 2.5 pA at 5 V. The TSV capacitance determined using an inductance-capacitance-resistance (LCR) meter was 54 fF, while the TSV yield determined from TSV chain measurements was high (83%) over an 8-in. wafer.

  13. Effects of different orthodontic primers on enamel demineralization around orthodontic brackets.

    PubMed

    Baysal, Asli; Yasa, Asli; Sogut, Ozlem; Ozturk, Mehmet Ali; Uysal, Tancan

    2015-09-01

    The purpose of this work is to evaluate the effectiveness of one self-etching and two filled orthodontic primers on enamel demineralization around orthodontic brackets. Brackets were bonded to 84 bovine teeth and the vestibular enamel surfaces covered with acid-resistant nail varnish exposing 1 mm of space on each side of the bracket base. The teeth were allocated to four groups, using either Transbond XT conventional primer on etched enamel (group 1), Transbond Plus Self-Etching Primer on untreated enamel (group 2), Pro Seal filled resin primer on etched enamel (group 3), or Opal Seal filled resin primer on etched enamel (group 4). Each tooth was subjected to 15,000 strokes of brushing followed by exposure to an acid challenge. Calcium-ion release from each sample was calculated using atomic absorption spectrophotometry. Data were analyzed using one-way ANOVA and a post hoc Tukey test. Differences were considered statistically significant at p ≤ 0.05. Statistically significant differences were observed between the four groups (p < 0.001). No significant difference was found between the controls (group 1) and the Opal Seal group. Higher calcium release was observed in the Pro Seal group and the self-etching primer group compared to the controls. The highest calcium release was recorded in the self-etching primer group. Filled sealants may not have a protective effect against enamel demineralization. Transbond Plus Self-Etching Primer should be used cautiously, considering the risk of demineralization involved in its application.

  14. Studies of nitride- and oxide-based materials as absorptive shifters for embedded attenuated phase-shifting mask in 193 nm

    NASA Astrophysics Data System (ADS)

    Lin, Cheng-ming; Chang, Keh-wen; Lee, Ming-der; Loong, Wen-An

    1999-07-01

    Abstract-Five materials which are PdSixOy, CrAlxOy, SiNx, TiSixNy, and TiSixOyNz as absorptive shifters for attenuated phase-shifting mask in 193 nm wavelength lithography are presented. PdSixOy films were deposited by dual e-gun evaporation. CrAlxOy, TiSixNy and TiSixOyNz films were formed by plasma sputtering and SiNx films were formed with LPCVD. All of these materials are shown to be capable of achieving 4 percent - 15 percent transmittance in 193 nm with thickness that produce a 180 degrees phase shift. Under BCl3:Cl2 equals 14:70 sccm; chamber pressure 5 mtorr and RF power 1900W, the dry etching selectivity of TiSixNy over DQN positive resist and fused silica, were found to be 2:1 and 4,8:1 respectively. An embedded layer TiSixNy with 0.5 micrometers line/space was successfully patterned.

  15. Effects of ultra-thin Si-fin body widths upon SOI PMOS FinFETs

    NASA Astrophysics Data System (ADS)

    Liaw, Yue-Gie; Chen, Chii-Wen; Liao, Wen-Shiang; Wang, Mu-Chun; Zou, Xuecheng

    2018-05-01

    Nano-node tri-gate FinFET devices have been developed after integrating a 14 Å nitrided gate oxide upon the silicon-on-insulator (SOI) wafers established on an advanced CMOS logic platform. These vertical double gate (FinFET) devices with ultra-thin silicon fin (Si-fin) widths ranging from 27 nm to 17 nm and gate length down to 30 nm have been successfully developed with a 193 nm scanner lithography tool. Combining the cobalt fully silicidation and the CESL strain technology beneficial for PMOS FinFETs was incorporated into this work. Detailed analyses of Id-Vg characteristics, threshold voltage (Vt), and drain-induced barrier lowering (DIBL) illustrate that the thinnest 17 nm Si-fin width FinFET exhibits the best gate controllability due to its better suppression of short channel effect (SCE). However, higher source/drain resistance (RSD), channel mobility degradation due to dry etch steps, or “current crowding effect” will slightly limit its transconductance (Gm) and drive current.

  16. Evaluation of manometric temperature measurement, a process analytical technology tool for freeze-drying: part II measurement of dry-layer resistance.

    PubMed

    Tang, Xiaolin Charlie; Nail, Steven L; Pikal, Michael J

    2006-01-01

    The purpose of this work was to study the factors that may cause systematic errors in the manometric temperature measurement (MTM) procedure used to determine product dry-layer resistance to vapor flow. Product temperature and dry-layer resistance were obtained using MTM software installed on a laboratory freeze-dryer. The MTM resistance values were compared with the resistance values obtained using the "vial method." The product dry-layer resistances obtained by MTM, assuming fixed temperature difference (DeltaT; 2 degrees C), were lower than the actual values, especially when the product temperatures and sublimation rates were low, but with DeltaT determined from the pressure rise data, more accurate results were obtained. MTM resistance values were generally lower than the values obtained with the vial method, particularly whenever freeze-drying was conducted under conditions that produced large variations in product temperature (ie, low shelf temperature, low chamber pressure, and without thermal shields). In an experiment designed to magnify temperature heterogeneity, MTM resistance values were much lower than the simple average of the product resistances. However, in experiments where product temperatures were homogenous, good agreement between MTM and "vial-method" resistances was obtained. The reason for the low MTM resistance problem is the fast vapor pressure rise from a few "warm" edge vials or vials with low resistance. With proper use of thermal shields, and the evaluation of DeltaT from the data, MTM resistance data are accurate. Thus, the MTM method for determining dry-layer resistance is a useful tool for freeze-drying process analytical technology.

  17. The influence of salivary contamination on shear bond strength of dentin adhesive systems.

    PubMed

    Park, Jeong-won; Lee, Kyung Chae

    2004-01-01

    This study evaluated the influence of salivary contamination during dentin bonding procedures on shear bond strength and investigated the effect of contaminant-removing treatments on the recovery of bond strength for two dentin bonding agents. One hundred and ten human molars were embedded in cylindrical molds with self-curing acrylic resin. The occlusal dentin surface was exposed by wet grinding with #800 silicon carbide abrasive paper. The teeth were divided into five groups for One-step (OS) (BISCO, Inc) and six groups for Clearfil SE Bond (SE) (Kuraray Co, Ltd, Osaka, Japan). For One-step, the grinding surface was treated with 32% phosphoric acid; BAC (BISCO Inc) and divided into five groups: OS control group (uncontaminated), OS I (salivary contamination, blot dried), OS II (salivary contamination, completely dried), OS III (salivary contamination, wash and blot dried) and OS IV (salivary contamination, re-etching for 10 seconds, wash and blot dried). For SE bond, the following surface treatments were done: SE control group (primer applied to the fresh dentin surface), SE I (after salivary contamination, primer applied), SE II (primer, salivary contamination, dried), SE III (primer, salivary contamination, wash and dried), SE IV (after procedure of SE II, re-application of primer) and SE V (after procedure of SE III, re-application of primer). Each bonding agent was applied and light cured for 10 seconds. Clearfil AP-X (Kuraray Co, Ltd) composite was packed into the Ultradent mount jig mold and light cured for 40 seconds. The bonded specimens were stored for 24 hours in a 37 degrees C waterbath. The shear bond strengths were measured using an Instron testing machine (Model 4202, Instron Corp). The data for each group were subjected to one-way ANOVA followed by the Newman-Keuls test to make comparisons among the groups. The results were as follows: In the One-step groups, the OS II group showed statistically significant lower shear bond strength than the OS control, I, III and IV (p<0.05). In the Clearfil SE Bond groups, the SE II and SE III groups had decreased shear bond strength compared with the control and SE I, SE IV and SE V groups (p<0.05). In conclusion, when using One-step total etch adhesive and when the etched surface is contaminated by saliva, blotting the surface and applying the primer can recover the bond strength. Complete drying of the salivary contaminated surface should be avoided. In the Clearfil SE Bond groups, the re-priming treatment (SE IV and SE V) resulted in the recovery of shear bond strength in the specimens contaminated after priming.

  18. Multiscale characterization of partially demineralized superficial and deep dentin surfaces.

    PubMed

    Pelin, Irina M; Trunfio-Sfarghiu, Ana-Maria; Farge, Pierre; Piednoir, Agnes; Pirat, Christophe; Ramos, Stella M M

    2013-08-01

    The objective of this study was to address the following question: 'Which properties are modified in partially demineralized surfaces, compared with non-demineralized dentin surfaces, following orthophosphoric acid-etching as performed in clinical procedures?'. For this purpose, the complementary techniques atomic force microscopy/spectroscopy, scanning electron microscopy, energy-dispersive X-ray spectroscopy, and contact angle measurements were used to provide a multiscale characterization of the dentin substrate undergoing the acidic preconditioning designed to enhance wetting. Special attention was given to the influence of the etching pretreatment on the nanomechanical properties at different levels of dentin surfaces, in both dry and hydrated conditions. The four-sided pyramid model (extended Hertz contact model) proved to be accurate for calculating the apparent Young's modulus, offering new information on the elasticity of dentin. The modulus value notably decreased following etching and surface hydration. This study underlines that after the acid etching pretreatment the contribution of the nanomechanical, morphological, and physicochemical modifications has a strong influence on the dentin adhesion properties and thus plays a significant role in the coupling of the adhesive-resin composite build-up material at the dentin surface. © 2013 Eur J Oral Sci.

  19. Tuning the thickness of exfoliated quasi-two-dimensional β-Ga2O3 flakes by plasma etching

    NASA Astrophysics Data System (ADS)

    Kwon, Yongbeom; Lee, Geonyeop; Oh, Sooyeoun; Kim, Jihyun; Pearton, Stephen J.; Ren, Fan

    2017-03-01

    We demonstrated the thinning of exfoliated quasi-two-dimensional β-Ga2O3 flakes by using a reactive ion etching technique. Mechanical exfoliation of the bulk β-Ga2O3 by using an adhesive tape was followed by plasma etching to tune its thickness. Since β-Ga2O3 is not a van der Waals material, it is challenging to obtain ultra-thin flakes below a thickness of 100 nm. In this study, an etch rate of approximately 16 nm/min was achieved at a power of 200 W with a flow of 50 sccm of SF6, and under these conditions, thinning of β-Ga2O3 flakes from 300 nm down to ˜60 nm was achieved with smooth morphology. We believe that the reaction between SF6 and Ga2O3 results in oxygen and volatile oxygen fluoride compounds, and non-volatile compounds such as GaFX that can be removed by ion bombardment. The opto-electrical properties were also characterized by fabricating solar-blind photodetectors using the plasma-thinned β-Ga2O3 flakes; these detectors showed fast response and decay with excellent responsivity and selectivity. Our results pave the way for tuning the thickness of two-dimensional materials by using this scalable, industry-compatible dry etching technique.

  20. Effect of surface etching on the oxidation behavior of plasma chromizing-treated AISI440B stainless steel

    NASA Astrophysics Data System (ADS)

    Meng, T. X.; Guo, Q.; Xi, W.; Ding, W. Q.; Liu, X. Z.; Lin, N. M.; Yu, S. W.; Liu, X. P.

    2018-03-01

    Double glow plasma surface alloying was applied to prepare chromizing layer in the surface of AISI440B stainless steel. Prior to chromizing, the stainless steel was etched by microwave plasma chemical vapor deposition to change the surface morphology and composition, and then heated for chromizing at 950 °C for 3 h. The cyclical oxidation of steel after chromizing was carried out at 900 °C for 100 h. Scanning electron microscopy, glow discharge optical emission spectrometer and X-ray diffractometer were used to characterize microstructure, composition and phase structure of alloyed and oxidized samples. The results show that the surface was composed of the Cr-rich top layer and Cr23C6, Cr7C3 and {Cr,Fe}7C3 below layer after chromizing. The bonding between the chromizing layer and the substrate after etching treatment was obviously strengthened. AISI440B steel shows a poor oxidation resistance and the weight gain oxidized for 100 h was up to 31.1 mg/cm2. Weight gains for chromizing and etching + chromizing treated samples were 0.67 mg/cm2 and 8 mg/cm2, respectively. Both oxidized surfaces of chromizing and etching + chromizing were composed of Cr2O3, but the oxide scale of etching + chromizing treated samples was more compact than that of samples without etching.

  1. Improving Resonance Characteristics of Gas Sensors by Chemical Etching of Quartz Plates

    NASA Astrophysics Data System (ADS)

    Raicheva, Z.; Georgieva, V.; Grechnikov, A.; Gadjanova, V.; Angelov, Ts; Vergov, L.; Lazarov, Y.

    2012-12-01

    The paper presents the results of the influence of the etching process of AT-cut quartz plates on the resonance parameters and the QCM sensors. Quartz wafers (100 μm thick, with a diameter of 8 mm), divided into five groups, have been etched in [NH4]2 F2: H2O = 1:1 solution at temperatures in the range from 70°C to 90°C. The influence of etching temperature on the surface morphology of quartz wafers has been estimated by Atomic Force Microscopy (AFM). A correlation between the etching temperature and the dynamic characteristics is obtained. The optimal etching conditions for removing the surface damages caused by the mechanical treatment of the quartz wafers and for obtaining a clean surface were determined. The typical parameters of fabricated resonators on the quartz plates etched in the temperature range from 70°C to 90°C are as follows: Frequency, Fs 16 MHz ± 100 kHz Motional resistance, Rs less 10 Ω Motional inductance, Lq higher than 3 mH Motional capacitance, Cq less 30 fF Static capacitance, Co around 5 pF Quality factor, Q from 46 000 to 70 000 Sorption properties of QCM - MoO3 are evaluated at NH3 concentrations in the interval from 100 ppm to 500 ppm.

  2. SEMICONDUCTOR TECHNOLOGY: TaN wet etch for application in dual-metal-gate integration technology

    NASA Astrophysics Data System (ADS)

    Yongliang, Li; Qiuxia, Xu

    2009-12-01

    Wet-etch etchants and the TaN film method for dual-metal-gate integration are investigated. Both HF/HN O3/H2O and NH4OH/H2O2 solutions can etch TaN effectively, but poor selectivity to the gate dielectric for the HF/HNO3/H2O solution due to HF being included in HF/HNO3/H2O, and the fact that TaN is difficult to etch in the NH4OH/H2O2 solution at the first stage due to the thin TaOxNy layer on the TaN surface, mean that they are difficult to individually apply to dual-metal-gate integration. A two-step wet etching strategy using the HF/HNO3/H2O solution first and the NH4OH/H2O2 solution later can fully remove thin TaN film with a photo-resist mask and has high selectivity to the HfSiON dielectric film underneath. High-k dielectric film surfaces are smooth after wet etching of the TaN metal gate and MOSCAPs show well-behaved C-V and Jg-Vg characteristics, which all prove that the wet etching of TaN has little impact on electrical performance and can be applied to dual-metal-gate integration technology for removing the first TaN metal gate in the PMOS region.

  3. The Effect of the Elimination of Micromotion and Tissue Strain on Intracortical Device Performance

    DTIC Science & Technology

    2017-10-01

    dry mode and after soaking for 60 min in PBS at 37 °C. 6 3. Connectivity Issue: An additional issue emerged while trying to establish an electrical...patterned to dry etch the Parylene-C film using DMA ribbons photo mask using O2 plasma. The photoresist is then stripped off to get the wafers ready for...investigated visually by the naked eye and with an optical microscope and only those who pass (substrates with very low number of small particles, if

  4. Self-assembled titanium calcium oxide nanopatterns as versatile reactive nanomasks for dry etching lithographic transfer with high selectivity.

    PubMed

    Faustini, Marco; Drisko, Glenna L; Letailleur, Alban A; Montiel, Rafael Salas; Boissière, Cédric; Cattoni, Andrea; Haghiri-Gosnet, Anne Marie; Lerondel, Gilles; Grosso, David

    2013-02-07

    We report the simple preparation of ultra-thin self-assembled nanoperforated titanium calcium oxide films and their use as reactive nanomasks for selective dry etching of silicon. This novel reactive nanomask is composed of TiO(2) in which up to 50% of Ti was replaced by Ca (Ca(x)Ti(1-x)O(2-x)). The system was prepared by evaporation induced self-assembly of dip-coated solution of CaCl(2), TiCl(4) and poly(butadiene-block-ethylene oxide) followed by 5 min of thermal treatment at 500 °C in air. The mask exhibits enhanced selectivity by forming a CaF(2) protective layer in the presence of a chemically reactive fluorinated plasma. In particular it is demonstrated that ordered nano-arrays of dense Si pillars, or deep cylindrical wells, with high aspect ratio i.e. lateral dimensions as small as 20 nm and height up to 200 nm, can be formed. Both wells and pillars were formed by tuning the morphology and the homogeneity of the deposited mask. The mask preparation is extremely fast and simple, low-cost and easily scalable. Its combination with reactive ion etching constitutes one of the first examples of what can be achieved when sol-gel chemistry is coupled with top-down technologies. The resulting Si nanopatterns and nanostructures are of high interest for applications in many fields of nanotechnology including electronics and optics. This work extends and diversifies the toolbox of nanofabrication methods.

  5. Poly(silyl silane) homo and copolymers

    DOEpatents

    Zeigler, J.K.

    1991-08-13

    Poly(silyl silanes) have been prepared. They have high photosensitivity and excellent resistance to oxygen-reactive ion etching processes. They are useful as photodepolymerizable photoresists, barrier layers, etc.

  6. Process technologies of MPACVD planar waveguide devices and fiber attachment

    NASA Astrophysics Data System (ADS)

    Li, Cheng-Chung; Qian, Fan; Boudreau, Robert A.; Rowlette, John R., Sr.; Bowen, Terry P.

    1999-03-01

    Optical circuits based on low-loss glass waveguide on silicon are a practical and promising approach to integrate different functional components. Fiber attachment to planar waveguide provides a practical application for optical communications. Microwave Plasma Assisted Chemical Vapor Deposition (MPACVD) produces superior quality, low birefringence, low-loss, planar waveguides for integrated optical devices. Microwave plasma initiates the chemical vapor of SiCl4, GeCl4 and oxygen. A Ge-doped silica layer is thus deposited with a compatible high growth rate (i.e. 0.4 - 0.5 micrometer/min). Film properties are based on various parameters, such as chemical flow rates, chamber pressure and temperature, power level and injector design. The resultant refractive index can be varied between 1.46 (i.e. pure silica) and 1.60 (i.e. pure germania). Waveguides can be fabricated with any desired refractive index profile. Standard photolithography defines the waveguide pattern on a mask layer. The core layer is removed by plasma dry etch which has been investigated by both reactive ion etch (RIE) and inductively coupled plasma (ICP) etch. Etch rates of 3000 - 4000 angstrom/min have been achieved using ICP compared to typical etch rates of 200 - 300 angstrom/min using conventional RIE. Planar waveguides offer good mode matching to optical fiber. A polished fiber end can be glued to the end facet of waveguide with a very low optical coupling loss. In addition, anisotropic etching of silicon V- grooves provides a passive alignment capability. Epoxy and solder were used to fix the fiber within the guiding groove. Several designs of waveguide-fiber attachment will be discussed.

  7. Advanced process and defect characterization methodology to support process development of advanced patterning structures

    NASA Astrophysics Data System (ADS)

    Ketkar, Supriya; Lee, Junhan; Asokamani, Sen; Cho, Winston; Mishra, Shailendra

    2018-03-01

    This paper discusses the approach and solution adopted by GLOBALFOUNDRIES, a high volume manufacturing (HVM) foundry, for dry-etch related edge-signature surface particle defects issue facing the sub-nm node in the gate-etch sector. It is one of the highest die killers for the company in the 14-nm node. We have used different approaches to attack and rectify the edge signature surface particle defect. Several process-related & hardware changes have been successively implemented to achieve defect reduction improvement by 63%. Each systematic process and/or hardware approach has its own unique downstream issues and they have been dealt in a route-cause-effect technique to address the issue.

  8. Harnessing Solid-State Ionic Transport for Nanomanufacturing and Nanodevices

    ERIC Educational Resources Information Center

    Hsu, Keng Hao

    2009-01-01

    Through this work a new all-solid, ambient processing condition direct metal patterning technique has been developed and characterized. This ionic-transport-based patterning technique is capable of sub-50nm feature resolution under ambient conditions. It generates features with a rate that is comparable to conventional dry-etching techniques. A…

  9. Development of TiO2 containing hardmasks through plasma-enhanced atomic layer deposition

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hoa; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-04-01

    With the increasing prevalence of complex device integration schemes, trilayer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination and are limited in their ability to scale down thickness without compromising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of plasma-enhanced atomic layer deposited (PEALD) TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a trilayer scheme patterned with PEALD-based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited versus a spin-on metal hardmask.

  10. Development of TiO2 containing hardmasks through PEALD deposition

    NASA Astrophysics Data System (ADS)

    De Silva, Anuja; Seshadri, Indira; Chung, Kisup; Arceo, Abraham; Meli, Luciana; Mendoza, Brock; Sulehria, Yasir; Yao, Yiping; Sunder, Madhana; Truong, Hao; Matham, Shravan; Bao, Ruqiang; Wu, Heng; Felix, Nelson M.; Kanakasabapathy, Sivananda

    2017-03-01

    With the increasing prevalence of complex device integration schemes, tri layer patterning with a solvent strippable hardmask can have a variety of applications. Spin-on metal hardmasks have been the key enabler for selective removal through wet strip when active areas need to be protected from dry etch damage. As spin-on metal hardmasks require a dedicated track to prevent metal contamination, and are limited in their ability to scale down thickness without comprising on defectivity, there has been a need for a deposited hardmask solution. Modulation of film composition through deposition conditions enables a method to create TiO2 films with wet etch tunability. This paper presents a systematic study on development and characterization of PEALD deposited TiO2-based hardmasks for patterning applications. We demonstrate lithographic process window, pattern profile, and defectivity evaluation for a tri layer scheme patterned with PEALD based TiO2 hardmask and its performance under dry and wet strip conditions. Comparable structural and electrical performance is shown for a deposited vs a spin-on metal hardmask.

  11. Iridium-coated micropore x-ray optics using dry etching of a silicon wafer and atomic layer deposition.

    PubMed

    Ogawa, Tomohiro; Ezoe, Yuichiro; Moriyama, Teppei; Mitsuishi, Ikuyuki; Kakiuchi, Takuya; Ohashi, Takaya; Mitsuda, Kazuhisa; Putkonen, Matti

    2013-08-20

    To enhance x-ray reflectivity of silicon micropore optics using dry etching of silicon (111) wafers, iridium coating is tested by use of atomic layer deposition. An iridium layer is successfully formed on sidewalls of tiny micropores with a pore width of 20 μm and depth of 300 μm. The film thickness is ∼20  nm. An enhanced x-ray reflectivity compared to that of silicon is confirmed at Ti Kα 4.51 keV, for what we believe to be the first time, with this type of optics. Some discrepancies from a theoretical reflectivity curve of iridium-coated silicon are noticed at small incident angles <1.3°. When a geometrical shadowing effect due to occultation by a ridge existing on the sidewalls is taken into account, the observed reflectivity becomes well represented by the modified theoretical curve. An estimated surface micro roughness of ∼1  nm rms is consistent with atomic force microscope measurements of the sidewalls.

  12. The application of electrolytic photoetching and photopolishing to AISI 304 stainless steel and the electrolytic photoetching of amorphous cobalt alloy

    NASA Astrophysics Data System (ADS)

    Thomaz, Marita Duarte Canhao da Silva Pereira Fernandes

    The results presented cover broad aspects of a quantitative investigation into the elecrolytic etching and polishing of metals and alloys through photographically produced dielectric stencils (Photoresists). A study of the potential field generated between a cathode and relatively smaller anode sites as those defined by a dielectric stencil was carried out. Numerical, analytical and graphical methods yielded answers to the factors determining lateral dissolution (undercut) at the anode/stencil interface. A quasi steady state numerical model simulating the transient behavior of the partially masked electrodes undergoing dissolution was obtained. AISI 304 stainless steel was electrolytically photoetched in 10% w/w HCl electrolyte. The optimised process parameters were utilised for quantifying the effects of galvanostatic etching of the anode as that defined by a relatively narrow adherent resist stencil. Stainless steel was also utilised in investigating electrolytic photopolishing. A polishing electrolyte (orthophosphoric acid-glycerol) was modified by the addition of a surfactant which yielded surface texture values of 70nm (Ra) and high levels of specular reflectance. These results were used in the production of features upon the metal surface through photographically produced precision stencils. The process was applied to the production of edge filters requiring high quality surface textures in precision recesses. Some of the new amorphous material exhibited high resistance to dissolution in commercially used spray etching formulations. One of these materials is a cobalt based alloy produced by chill block spinning. This material was also investigated and electro etched in 10% w/w HCl solution. Although passivity was not overcome, by selecting suitable operating parameters the successful electro photoetching of precision magnetic recording head laminations was achieved. Similarly, a polycrystalline nickel based alloy also exhibiting passivity in commercially used etchants was successfully etched in the above electrolyte.

  13. Poly(silyl silane)homo and copolymers

    DOEpatents

    Zeigler, J.M.

    1989-04-11

    Poly(silyl silanes) have been prepared. They have high photosensitivity and show excellent resistance to oxygen-reactive ion etching processes. They are useful as photodepolymerizable photoresists, barrier layers, etc.

  14. Fabrication of silicon-embedded low resistance high-aspect ratio planar copper microcoils

    NASA Astrophysics Data System (ADS)

    Syed Mohammed, Zishan Ali; Puiu, Poenar Daniel; Aditya, Sheel

    2018-01-01

    Low resistance is an important requirement for microcoils which act as a signal receiver to ensure low thermal noise during signal detection. High-aspect ratio (HAR) planar microcoils entrenched in blind silicon trenches have features that make them more attractive than their traditional counterparts employing electroplating through a patterned thick polymer or achieved through silicon vias. However, challenges met in fabrication of such coils have not been discussed in detail until now. This paper reports the realization of such HAR microcoils embedded in Si blind trenches, fabricated with a single lithography step by first etching blind trenches in the silicon substrate with an aspect ratio of almost 3∶1 and then filling them up using copper electroplating. The electroplating was followed by chemical wet etching as a faster way of removing excess copper than traditional chemical mechanical polishing. Electrical resistance was further reduced by annealing the microcoils. The process steps and challenges faced in the realization of such structures are reported here followed by their electrical characterization. The obtained electrical resistances are then compared with those of other similar microcoils embedded in blind vias.

  15. Electrically conductive ZnO/GaN distributed Bragg reflectors grown by hybrid plasma-assisted molecular beam epitaxy

    NASA Astrophysics Data System (ADS)

    Hjort, Filip; Hashemi, Ehsan; Adolph, David; Ive, Tommy; Haglund, Àsa

    2017-02-01

    III-nitride-based vertical-cavity surface-emitting lasers have so far used intracavity contacting schemes since electrically conductive distributed Bragg reflectors (DBRs) have been difficult to achieve. A promising material combination for conductive DBRs is ZnO/GaN due to the small conduction band offset and ease of n-type doping. In addition, this combination offers a small lattice mismatch and high refractive index contrast, which could yield a mirror with a broad stopband and a high peak reflectivity using less than 20 DBR-pairs. A crack-free ZnO/GaN DBR was grown by hybrid plasma-assisted molecular beam epitaxy. The ZnO layers were approximately 20 nm thick and had an electron concentration of 1×1019 cm-3, while the GaN layers were 80-110 nm thick with an electron concentration of 1.8×1018 cm-3. In order to measure the resistance, mesa structures were formed by dry etching through the top 3 DBR-pairs and depositing non-annealed Al contacts on the GaN-layers at the top and next to the mesas. The measured specific series resistance was dominated by the lateral and contact contributions and gave an upper limit of 10-3Ωcm2 for the vertical resistance. Simulations show that the ZnO electron concentration and the cancellation of piezoelectric and spontaneous polarization in strained ZnO have a large impact on the vertical resistance and that it could be orders of magnitudes lower than what was measured. This is the first report on electrically conductive ZnO/GaN DBRs and the upper limit of the resistance reported here is close to the lowest values reported for III-nitride-based DBRs.

  16. Effect of surface etching and electrodeposition of copper on nitinol

    NASA Astrophysics Data System (ADS)

    Ramos-Moore, E.; Rosenkranz, A.; Matamala, L. F.; Videla, A.; Durán, A.; Ramos-Grez, J.

    2017-10-01

    Nitinol-based materials are very promising for medical and dental applications since those materials can combine shape memory, corrosion resistance, biocompatibility and antibacterial properties. In particular, surface modifications and coating deposition can be used to tailor and to unify those properties. We report preliminary results on the study of the effect of surface etching and electrodeposition of Copper on Nitinol using optical, chemical and thermal techniques. The results show that surface etching enhances the surface roughness of Nitinol, induces the formation of Copper-based compounds at the Nitinol-Copper interface, reduces the austenitic-martensitic transformations enthalpies and reduces the Copper coating roughness. Further studies are needed in order to highlight the influence of the electrodeposited Copper on the memory shape properties of NiTi.

  17. Wafer edge overlay control solution for N7 and beyond

    NASA Astrophysics Data System (ADS)

    van Haren, Richard; Calado, Victor; van Dijk, Leon; Hermans, Jan; Kumar, Kaushik; Yamashita, Fumiko

    2018-03-01

    Historically, the on-product overlay performance close to the wafer edge is lagging with respect to the inner part of the wafer. The reason for this is that wafer processing is less controlled close to the wafer edge as opposed to the rest of the wafer. It is generally accepted that Chemical Vapor Deposition (CVD) of stressed layers that cause wafer warp, wafer table contamination, Chemical Mechanical Polishing (CMP), and Reactive Ion Etch (RIE) may deteriorate the overlay performance and/or registration close to the wafer edge. For the N7 technology node and beyond, it is anticipated that the tight on-product overlay specification is required across the full wafer which includes the edge region. In this work, we highlight one contributor that may negatively impact the on-product overlay performance, namely the etch step. The focus will be mainly on the wafer edge region but the remaining part of the wafer is considered as well. Three use-cases are examined: multiple Litho-Etch steps (LEn), contact hole layer etch, and the copper dual damascene etch. We characterize the etch contribution by considering the overlay measurement after resist development inspect (ADI) and after etch inspect (AEI). We show that the Yieldstar diffraction based overlay (μDBO) measurements can be utilized to characterize the etch contribution to the overlay budget. The effects of target asymmetry as well as overlay shifts are considered and compared with SEM measurements. Based on the results above, we propose a control solution aiming to reduce or even eliminate the delta between ADI and AEI. By doing so, target/mark to device offsets due to etch might be avoided.

  18. Tunable Nanoantennas for Surface Enhanced Infrared Absorption Spectroscopy by Colloidal Lithography and Post-Fabrication Etching

    NASA Astrophysics Data System (ADS)

    Chen, Kai; Duy Dao, Thang; Nagao, Tadaaki

    2017-03-01

    We fabricated large-area metallic (Al and Au) nanoantenna arrays on Si substrates using cost-effective colloidal lithography with different micrometer-sized polystyrene spheres. Variation of the sphere size leads to tunable plasmon resonances in the middle infrared (MIR) range. The enhanced near-fields allow us to detect the surface phonon polaritons in the natural SiO2 thin layers. We demonstrated further tuning capability of the resonances by employing dry etching of the Si substrates with the nanoantennas acting as the etching masks. The effective refractive index of the nanoantenna surroundings is efficiently decreased giving rise to blueshifts of the resonances. In addition, partial removal of the Si substrates elevates the nanoantennas from the high-refractive-index substrates making more enhanced near-fields accessible for molecular sensing applications as demonstrated here with surface-enhanced infrared absorption (SEIRA) spectroscopy for a thin polymer film. We also directly compared the plasmonic enhancement from the Al and Au nanoantenna arrays.

  19. Fabrication of porous microrings via laser printing and ion-beam post-etching

    NASA Astrophysics Data System (ADS)

    Syubaev, S.; Nepomnyashchiy, A.; Mitsai, E.; Pustovalov, E.; Vitrik, O.; Kudryashov, S.; Kuchmizhak, A.

    2017-08-01

    Pulsed-laser dry printing of noble-metal microrings with a tunable internal porous structure, which can be revealed via an ion-beam etching post-procedure, was demonstrated. The abundance and average size of the pores inside the microrings were shown to be tuned in a wide range by varying the incident pulse energy and a nitrogen doping level controlled in the process of magnetron deposition of the gold film in the appropriate gaseous environment. The fabricated porous microrings were shown to provide many-fold near-field enhancement of incident electromagnetic fields, which was confirmed by mapping of the characteristic Raman band of a nanometer-thick covering layer of Rhodamine 6G dye molecules and supporting finite-difference time-domain calculations. The proposed laser-printing/ion-beam etching approach is demonstrated to be a unique tool aimed at designing and fabricating multifunctional plasmonic structures and metasurfaces for spectroscopic bioidentification based on surface-enhanced infrared absorption, Raman scattering, and photoluminescence detection schemes.

  20. Single-nm resolution approach by applying DDRP and DDRM

    NASA Astrophysics Data System (ADS)

    Shibayama, Wataru; Shigaki, Shuhei; Takeda, Satoshi; Nakajima, Makoto; Sakamoto, Rikimaru

    2017-03-01

    EUV lithography has been desired as the leading technology for 1x or single nm half-pitch patterning. However, the source power, masks and resist materials still have critical issues for mass production. Especially in resist materials, RLS trade-off has been the key issue. To overcome this issue, we are suggesting Dry Development Rinse Process (DDRP) and Materials (DDRM) as the pattern collapse mitigation approach. This DDRM can perform not only as pattern collapse free materials for fine pitch, but also as the etching hard mask against bottom layer (spin on carbon : SOC). In this paper, we especially propose new approaches to achieve high resolution around hp1X nm L/S and single nm line patterning. Especially, semi iso 8nm line was successfully achieved with good LWR (2.5nm) and around 3 times aspect ratio. This single nm patterning technique also helped to enhance sensitivity about 33%. On the other hand, pillar patterning thorough CH pattern by applying DDRP also showed high resolution below 20nm pillar CD with good LCDU and high sensitivity. This new DDRP technology can be the promising approach not only for hp1Xnm level patterning but also single nm patterning in N7/N5 and beyond.

  1. Electrical transport and low-frequency noise in chemical vapor deposited single-layer MoS2 devices.

    PubMed

    Sharma, Deepak; Amani, Matin; Motayed, Abhishek; Shah, Pankaj B; Birdwell, A Glen; Najmaei, Sina; Ajayan, Pulickel M; Lou, Jun; Dubey, Madan; Li, Qiliang; Davydov, Albert V

    2014-04-18

    We have studied temperature-dependent (77-300 K) electrical characteristics and low-frequency noise (LFN) in chemical vapor deposited (CVD) single-layer molybdenum disulfide (MoS2) based back-gated field-effect transistors (FETs). Electrical characterization and LFN measurements were conducted on MoS2 FETs with Al2O3 top-surface passivation. We also studied the effect of top-surface passivation etching on the electrical characteristics of the device. Significant decrease in channel current and transconductance was observed in these devices after the Al2O3 passivation etching. For passivated devices, the two-terminal resistance variation with temperature showed a good fit to the activation energy model, whereas for the etched devices the trend indicated a hopping transport mechanism. A significant increase in the normalized drain current noise power spectral density (PSD) was observed after the etching of the top passivation layer. The observed channel current noise was explained using a standard unified model incorporating carrier number fluctuation and correlated surface mobility fluctuation mechanisms. Detailed analysis of the gate-referred noise voltage PSD indicated the presence of different trapping states in passivated devices when compared to the etched devices. Etched devices showed weak temperature dependence of the channel current noise, whereas passivated devices exhibited near-linear temperature dependence.

  2. Effect of Silanization on Microtensile Bond Strength of Different Resin Cements to a Lithium Disilicate Glass Ceramic.

    PubMed

    Gré, Cristina Parise; de Ré Silveira, Renan C; Shibata, Shizuma; Lago, Carlo Tr; Vieira, Luiz Cc

    2016-02-01

    This study evaluated the influence of a silane-coupling agent on the bond strength of a self-adhesive cement and a conventional resin cement to a lithium disilicate glass ceramic. A total of eight ceramic blocks were fabricated and divided into four groups (n = 2). In groups 1 and 3, ceramic surfaces were etched with hydrofluoric acid 10% for 20 seconds, rinsed for 30 seconds, and air-dried. One layer of a silane agent was applied onto all ceramic specimens and air-dried for 30 seconds. In groups 2 and 4, ceramic surfaces were etched with hydrofluoric acid, rinsed, and air-dried without application of the silane-coupling agent. The ceramic blocks were bonded to a block of composite with a self-adhesive resin cement or with a conventional resin cement, according to the manufacturer's instructions. After 24 hours in distilled water at 37°C, the specimens were sectioned perpendicular to the bonding interface area to obtain beams with a bonding area of 0.8 mm(2) and submitted to a microtensile bond strength test at a crosshead speed of 0.5 mm/min. Data were statistically analyzed with one-way analysis of variance and the Games-Howell post hoc test (p = 0.05). Fractured specimens were examined under optical microscopy at 40x magnification. Silanization resulted in higher microtensile bond strength compared to groups without silane. No significant differences were found between the conventional resin cement and the self-adhesive resin cement with silane agent (p = 0.983), and without silane agent (p = 0.877). Silanization appears to be crucial for resin bonding to a lithium disilicate-based ceramic, regardless of the resin cement used. The self-adhesive resin cement performed as well as the conventional resin cement. Applying one layer of a silane-coupling agent after etching the ceramic surface with hydrofluoric acid 10% enhanced the bond strength between resin cements and a glass ceramic.

  3. Adaptation of tobacco etch potyvirus to a susceptible ecotype of Arabidopsis thaliana capacitates it for systemic infection of resistant ecotypes

    PubMed Central

    Lalić, Jasna; Agudelo-Romero, Patricia; Carrasco, Purificación; Elena, Santiago F.

    2010-01-01

    Viral pathogens continue to emerge among humans, domesticated animals and cultivated crops. The existence of genetic variance for resistance in the host population is crucial to the spread of an emerging virus. Models predict that rapid spread decreases with the frequency and diversity of resistance alleles in the host population. However, empirical tests of this hypothesis are scarce. Arabiodpsis thaliana—tobacco etch potyvirus (TEV) provides an experimentally suitable pathosystem to explore the interplay between genetic variation in host's susceptibility and virus diversity. Systemic infection of A. thaliana with TEV is controlled by three dominant loci, with different ecotypes varying in susceptibility depending on the genetic constitution at these three loci. Here, we show that the TEV adaptation to a susceptible ecotype allowed the virus to successfully infect, replicate and induce symptoms in ecotypes that were fully resistant to the ancestral virus. The value of these results is twofold. First, we showed that the existence of partially susceptible individuals allows for the emerging virus to bypass resistance alleles that the virus has never encountered. Second, the concept of resistance genes may only be valid for a well-defined viral genotype but not for polymorphic viral populations. PMID:20478894

  4. Process dependency on threshold voltage of GaN MOSFET on AlGaN/GaN heterostructure

    NASA Astrophysics Data System (ADS)

    Wang, Qingpeng; Jiang, Ying; Miyashita, Takahiro; Motoyama, Shin-ichi; Li, Liuan; Wang, Dejun; Ohno, Yasuo; Ao, Jin-Ping

    2014-09-01

    GaN metal-oxide-semiconductor field-effect transistors (MOSFETs) with recessed gate on AlGaN/GaN heterostructure are reported in which the drain and source ohmic contacts were fabricated on the AlGaN/GaN heterostructure and the electron channel was formed on the GaN buffer layer by removing the AlGaN barrier layer. Negative threshold voltages were commonly observed in all devices. To investigate the reasons of the negative threshold voltages, different oxide thickness, etching gas and bias power of inductively-coupled plasma (ICP) system were utilized in the fabrication process of the GaN MOSFETs. It is found that positive charges of around 1 × 1012 q/cm2 exist near the interface at the just threshold condition in both silane- and tetraethylorthosilicate (TEOS)-based devices. It is also found that the threshold voltages do not obviously change with the different etching gas (SiCl4, BCl3 and two-step etching of SiCl4/Cl2) at the same ICP bias power level (20-25 W) and will become deeper when higher bias power is used in the dry recess process which may be related to the much serious ion bombardment damage. Furthermore, X-ray photoelectron spectroscopy (XPS) experiments were done to investigate the surface conditions. It is found that N 1s peaks become lower with higher bias power of the dry etching process. Also, silicon contamination was found and could be removed by HNO3/HF solution. It indicates that the nitrogen vacancies are mainly responsible for the negative threshold voltages rather than the silicon contamination. It demonstrates that optimization of the ICP recess conditions and improvement of the surface condition are still necessary to realize enhancement-mode GaN MOSFETs on AlGaN/GaN heterostructure.

  5. 3D physical modeling for patterning process development

    NASA Astrophysics Data System (ADS)

    Sarma, Chandra; Abdo, Amr; Bailey, Todd; Conley, Will; Dunn, Derren; Marokkey, Sajan; Talbi, Mohamed

    2010-03-01

    In this paper we will demonstrate how a 3D physical patterning model can act as a forensic tool for OPC and ground-rule development. We discuss examples where the 2D modeling shows no issues in printing gate lines but 3D modeling shows severe resist loss in the middle. In absence of corrective measure, there is a high likelihood of line discontinuity post etch. Such early insight into process limitations of prospective ground rules can be invaluable for early technology development. We will also demonstrate how the root cause of broken poly-line after etch could be traced to resist necking in the region of STI step with the help of 3D models. We discuss different cases of metal and contact layouts where 3D modeling gives an early insight in to technology limitations. In addition such a 3D physical model could be used for early resist evaluation and selection for required ground-rule challenges, which can substantially reduce the cycle time for process development.

  6. Height-selective etching for regrowth of self-aligned contacts using MBE

    NASA Astrophysics Data System (ADS)

    Burek, G. J.; Wistey, M. A.; Singisetti, U.; Nelson, A.; Thibeault, B. J.; Bank, S. R.; Rodwell, M. J. W.; Gossard, A. C.

    2009-03-01

    Advanced III-V transistors require unprecedented low-resistance contacts in order to simultaneously scale bandwidth, fmax and ft with the physical active region [M.J.W. Rodwell, M. Le, B. Brar, in: Proceedings of the IEEE, 96, 2008, p. 748]. Low-resistance contacts have been previously demonstrated using molecular beam epitaxy (MBE), which provides active doping above 4×10 19 cm -3 and permits in-situ metal deposition for the lowest resistances [U. Singisetti, M.A. Wistey, J.D. Zimmerman, B.J. Thibeault, M.J.W. Rodwell, A.C. Gossard, S.R. Bank, Appl. Phys. Lett., submitted]. But MBE is a blanket deposition technique, and applying MBE regrowth to deep-submicron lateral device dimensions is difficult even with advanced lithography techniques. We present a simple method for selectively etching undesired regrowth from the gate or mesa of a III-V MOSFET or laser, resulting in self-aligned source/drain contacts regardless of the device dimensions. This turns MBE into an effectively selective area growth technique.

  7. Large area nanoscale metal meshes for use as transparent conductive layers.

    PubMed

    Jin, Yuanhao; Li, Qunqing; Chen, Mo; Li, Guanhong; Zhao, Yudan; Xiao, Xiaoyang; Wang, Jiaping; Jiang, Kaili; Fan, Shoushan

    2015-10-21

    We report on the experimental realization of using super-aligned carbon nanotubes (SACNTs) as etching masks for the fabrication of large area nanoscale metal meshes. This method can easily be extended to different metals on both rigid and flexible substrates. The as-fabricated metal meshes, including the ones made of gold, copper, and aluminum, are suitable for use as transparent conductive layers (TCLs). The metal meshes, which are similar to the SACNT networks in their dimensional features of tens of nanometers, exhibit compatible performance in terms of optical transmittance and sheet resistance. Moreover, because the metal meshes are fabricated as an integrated material, there is no junction resistance between the interconnected metal nanostructures, which markedly lowers their sheet resistance at high temperatures. The fabrication of such an effective etching mask involves a simple drawing process of the SACNT networks prepared and a common deposition process. This approach should be easy to extend to various research fields and has broad prospects in commercial applications.

  8. Photoluminescence of etched SiC nanowires

    NASA Astrophysics Data System (ADS)

    Stewart, Polite D., Jr.; Rich, Ryan; Zerda, T. W.

    2010-10-01

    SiC nanowires were produced from carbon nanotubes and nanosize silicon powder in a tube furnace at temperatures between 1100^oC and 1350^oC. SiC nanowires had average diameter of 30 nm and very narrow size distribution. The compound possesses a high melting point, high thermal conductivity, and excellent wear resistance. The surface of the SiC nanowires after formation is covered by an amorphous layer. The composition of that layer is not fully understood, but it is believed that in addition to amorphous SiC it contains various carbon and silicon compounds, and SiO2. The objective of the research was to modify the surface structure of these SiC nanowires. Modification of the surface was done using the wet etching method. The etched nanowires were then analyzed using Fourier Transform Infrared spectroscopy (FTIR), transmission electron microscopy (TEM), and photoluminescence (PL). FTIR and TEM analysis provided valid proof that the SiC nanowires were successfully etched. Also, the PL results showed that the SiC nanowire core did possess a fluorescent signal.

  9. Growth of KOH etched AZO nanorods and investigation of its back scattering effect in thin film a-Si solar cell

    NASA Astrophysics Data System (ADS)

    Sharma, Jayasree Roy; Mitra, Suchismita; Ghosh, Hemanta; Das, Gourab; Bose, Sukanta; Mandal, Sourav; Mukhopadhyay, Sumita; Saha, Hiranmay; Barua, A. K.

    2018-02-01

    In order to increase the stabilized efficiencies of thin film silicon (TFS) solar cells it is necessary to use better light management techniques. Texturization by etching of sputtered aluminum doped zinc oxide (Al:ZnO or AZO) films has opened up a variety of promises to optimize light trapping schemes. RF sputtered AZO film has been etched by potassium hydroxide (KOH). A systematic study of etching conditions such as etchant concentration, etching time, temperature management etc. have been performed in search of improved electrical and optical performances of the films. The change in etching conditions has exhibited a noticeable effect on the structure of AZO films for which the light trapping effect differs. After optimizing the etching conditions, nanorods have been found on the substrate. Hence, nanorods have been developed only by chemical etching, rather than the conventional development method (hydrothermal method, sol-gel method, electrolysis method etc.). The optimized etched substrate has 82% transmittance, moderate haze in the visible range and sheet resistance ∼13 (Ω/□). The developed nanorods (optimized etched substrate) provide better light trapping within the cell as the optical path length has been increased by using the nanorods. This provides an effect on carrier collection as well as the efficiency in a-Si solar cells. Finite difference time domain (FDTD) simulations have been performed to observe the light trapping by AZO nanorods formed on sputtered AZO films. For a p-i-n solar cell developed on AZO nanorods coated with sputtered AZO films, it has been found through simulations that, the incident light is back scattered into the absorbing layer, leading to an increase in photogenerated current and hence higher efficiency. It has been found that, the light that passes through the nanorods is not getting absorbed and maximum amount of light is back scattered towards the solar cell.

  10. Nanofabrication Technology for Production of Quantum Nano-Electronic Devices Integrating Niobium Electrodes and Optically Transparent Gates

    DTIC Science & Technology

    2018-01-01

    conditions (pending input from University of California San Diego (UCSD)/Nano3). After dose testing, resist development and bake SF6 etching is done...conditions. After the resist development and bake , a 2-second descum oxygen-plasma exposure is performed followed by RF sputtering at 100 watts in argon of

  11. Functionalized Silk Materials

    DTIC Science & Technology

    2010-06-10

    properties, such as toughness, biocompatibility and biodegrability. Trends in spider silk-like block copolymer secondary structure and assembly behavior...to construct transistors on ultrathin sheets of polyimide . Briefly, the doped silicon nanomembranes were transfer printed onto a film of polyimide ...layer of polyimide was used to encapsulate the active devices. Dry etching the polymer layers completed the fabrication of an array of isolated

  12. Dry etch method for texturing silicon and device

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gershon, Talia S.; Haight, Richard A.; Kim, Jeehwan

    2017-07-25

    A method for texturing silicon includes loading a silicon wafer into a vacuum chamber, heating the silicon wafer and thermal cracking a gas to generate cracked sulfur species. The silicon wafer is exposed to the cracked sulfur species for a time duration in accordance with a texture characteristic needed for a surface of the silicon wafer.

  13. A Sliding-Mode Triboelectric Nanogenerator with Chemical Group Grated Structure by Shadow Mask Reactive Ion Etching.

    PubMed

    Shang, Wanyu; Gu, Guang Qin; Yang, Feng; Zhao, Lei; Cheng, Gang; Du, Zu-Liang; Wang, Zhong Lin

    2017-09-26

    The sliding-mode triboelectric nanogenerator (S-TENG) with grated structure has important applications in energy harvest and active sensors; however its concavo-convex structure leads to large frictional resistance and abrasion. Here, we developed a S-TENG with a chemical group grated structure (S-TENG-CGG), in which the triboelectric layer's triboelectric potential has a positive-negative alternating charged structure. The triboelectric layer of the S-TENG-CGG was fabricated through a reactive ion etching process with a metal shadow mask with grated structure. In the etched region, the nylon film, originally positively charged as in friction with stainless steel, gained opposite triboelectric potential and became negatively charged because of the change of surface functional groups. The output signals of the S-TENG-CGG are alternating and the frequency is determined by both the segment numbers and the moving speed. The applications of the S-TENG-CGG in the charging capacitor and driving calculator are demonstrated. In the S-TENG-CGG, since there is no concavo-convex structure, the frictional resistance and abrasion are largely reduced, which enhances its performances in better stability and longer working time.

  14. Diesel particulate filter with zoned resistive heater

    DOEpatents

    Gonze, Eugene V [Pinckney, MI

    2011-03-08

    A diesel particulate filter assembly comprises a diesel particulate filter (DPF) and a heater assembly. The DPF filters a particulate from exhaust produced by an engine. The heater assembly has a first metallic layer that is applied to the DPF, a resistive layer that is applied to the first metallic layer, and a second metallic layer that is applied to the resistive layer. The second metallic layer is etched to form a plurality of zones.

  15. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ast, D.G.

    Research focused on control of misfit dislocations in strained epitaxial layers of GaAs through prepatterning of the substrate. Patterning and etching trenches into GaAs substrates before epitaxial growth results in nonplanar wafer surface, which makes device fabrication more difficult. Selective ion damaging the substrate prior to growth was investigated. The question of whether the overlayer must or must not be discontinuous was addressed. The third research direction was to extend results from molecular beam epitaxially grown material to organometallic chemical vapor deposition. Effort was increased to study the patterning processes and the damage it introduces into the substrate. The researchmore » program was initiated after the discovery that 500-eV dry etching in GaAs damages the substrate much deeper than the ion range.« less

  16. Fabrication of triangular nanobeam waveguide networks in bulk diamond using single-crystal silicon hard masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bayn, I.; Mouradian, S.; Li, L.

    2014-11-24

    A scalable approach for integrated photonic networks in single-crystal diamond using triangular etching of bulk samples is presented. We describe designs of high quality factor (Q = 2.51 × 10{sup 6}) photonic crystal cavities with low mode volume (V{sub m} = 1.062 × (λ/n){sup 3}), which are connected via waveguides supported by suspension structures with predicted transmission loss of only 0.05 dB. We demonstrate the fabrication of these structures using transferred single-crystal silicon hard masks and angular dry etching, yielding photonic crystal cavities in the visible spectrum with measured quality factors in excess of Q = 3 × 10{sup 3}.

  17. Thermal resistance of etched-pillar vertical-cavity surface-emitting laser diodes

    NASA Astrophysics Data System (ADS)

    Wipiejewski, Torsten; Peters, Matthew G.; Young, D. Bruce; Thibeault, Brian; Fish, Gregory A.; Coldren, Larry A.

    1996-03-01

    We discuss our measurements on thermal impedance and thermal crosstalk of etched-pillar vertical-cavity lasers and laser arrays. The average thermal conductivity of AlAs-GaAs Bragg reflectors is estimated to be 0.28 W/(cmK) and 0.35W/(cmK) for the transverse and lateral direction, respectively. Lasers with a Au-plated heat spreading layer exhibit a 50% lower thermal impedance compared to standard etched-pillar devices resulting in a significant increase of maximum output power. For an unmounted laser of 64 micrometer diameter we obtain an improvement in output power from 20 mW to 42 mW. The experimental results are compared with a simple analytical model showing the importance of heat sinking for maximizing the output power of vertical-cavity lasers.

  18. Effect of Minocycline on the Durability of Dentin Bonding Produced with Etch-and-Rinse Adhesives.

    PubMed

    Loguercio, A D; Stanislawczuk, R; Malaquias, P; Gutierrez, M F; Bauer, J; Reis, A

    2016-01-01

    To evaluate the effect of minocycline and chlorhexidine pretreatment of acid-etched dentin on the longevity of resin-dentin bond strength (μTBS) and nanoleakage of two-step etch-and-rinse adhesives. Before application of Prime & Bond NT and Adper Single Bond 2 in occlusal dentin, the dentin surfaces were treated with 37% phosphoric acid, rinsed, air-dried, and rewetted with water (control group), 2% minocycline, or 2% chlorexidine digluconate. Composite buildups were constructed incrementally, and specimens were longitudinally sectioned to obtain bonded sticks (0.8 mm 2 ) to be tested in tension (0.5 mm/min) immediately or after 24 months of water storage. For nanoleakage, two specimens of each tooth/period were immersed in the silver nitrate solution, photo-developed, and polished with SiC paper for analysis under energy-dispersive X-ray spectroscopy/scanning electron microscopy. Reductions of the μTBS and increases in the nanoleakage were observed for both adhesives when the rewetting procedure was performed with water. Stable bonds were observed for the 2% minocycline and 2% chlorexidine digluconate groups after 24 months. The use of 2% minocycline as pretreatment of acid-etched dentin is one alternative to retard the degradation of resin-dentin interfaces over a 24-month period as well as 2% chlorexidine digluconate.

  19. Developing quartz wafer mold manufacturing process for patterned media

    NASA Astrophysics Data System (ADS)

    Chiba, Tsuyoshi; Fukuda, Masaharu; Ishikawa, Mikio; Itoh, Kimio; Kurihara, Masaaki; Hoga, Morihisa

    2009-04-01

    Recently, patterned media have gained attention as a possible candidate for use in the next generation of hard disk drives (HDD). Feature sizes on media are predicted to be 20-25 nm half pitch (hp) for discrete-track media in 2010. One method of fabricating such a fine pattern is by using a nanoimprint. The imprint mold for the patterned media is created from a 150-millimeter, rounded, quartz wafer. The purpose of the process introduced here was to construct a quartz wafer mold and to fabricate line and space (LS) patterns at 24 nmhp for DTM. Additionally, we attempted to achieve a dense hole (HOLE) pattern at 12.5 nmhp for BPM for use in 2012. The manufacturing process of molds for patterned media is almost the same as that for semiconductors, with the exception of the dry-etching process. A 150-millimeter quartz wafer was etched on a special tray made from carving a 6025 substrate, by using the photo-mask tool. We also optimized the quartz etching conditions. As a result, 24 nmhp LS and HOLE patterns were manufactured on the quartz wafer. In conclusion, the quartz wafer mold manufacturing process was established. It is suggested that the etching condition should be further optimized to achieve a higher resolution of HOLE patterns.

  20. Defect formation during chlorine-based dry etching and their effects on the electronic and structural properties of InP/InAsP quantum wells

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Landesman, Jean-Pierre, E-mail: jean-pierre.landesman@univ-rennes1.fr; Jiménez, Juan; Torres, Alfredo

    The general objective is the investigation of the defects formed by dry etching tools such as those involved in the fabrication of photonic devices with III–V semiconductors. Emphasis is put on plasma exposures with chlorine-based chemistries. In addition to identifying these defects and describing their effects on the electro-optic and structural properties, the long-term target would be to predict the impact on the parameters of importance for photonic devices, and possibly include these predictions in their design. The work is first centered on explaining the experimental methodology. This methodology starts with the design and growth of a quantum well structuremore » on indium phosphide, including ternary indium arsenide/phosphide quantum wells with graded arsenic/phosphor composition. These samples have then been characterized by luminescence methods (photo- and cathodoluminescence), high-resolution transmission electron microscopy, and secondary ion mass spectrometry. As one of the parameters of importance in this study, the authors have also included the doping level. The samples have been exposed to the etching plasmas for “short” durations that do not remove completely the quantum wells, but change their optical signature. No masking layer with lithographic features was involved as this work is purely oriented to study the interaction between the plasma and the samples. A significant difference in the luminescence spectra of the as-grown undoped and doped samples is observed. A mechanism describing the effect of the built-in electric field appearing as a consequence of the doping profile is proposed. This mechanism involves quantum confined Stark effect and electric-field induced carrier escape from the quantum wells. In the following part, the effects of exposure to various chlorine-based plasmas were explored. Differences are again observed between the undoped and doped samples, especially for chemistries containing silicon tetrachloride. Secondary ion mass spectrometry indicates penetration of chlorine in the structures. Transmission electron microscopy is used to characterize the quantum well structure before and after plasma bombardment. By examining carefully the luminescence spectral properties, the authors could demonstrate the influence of the etching plasmas on the built-in electric field (in the case of doped samples), and relate it to some ionic species penetrating the structures. Etching plasmas involving both chlorine and nitrogen have also been studied. The etching rate for these chemistries is much slower than for some of the silicon tetrachloride based chemistries. Their effects on the samples are also very different, showing much reduced effect on the built-in electric field (for the doped samples), but significant blue-shifts of the luminescence peaks that the authors attributed to the penetration of nitrogen in the structures. Nitrogen, in interstitial locations, induces mechanical compressive stress that accounts for the blue-shifts. Finally, from the comparison between secondary ion mass spectrometry and luminescence spectra, the authors suggest some elements for a general mechanism involved in the etching by chloride-chemistries, in which a competition takes place between the species at the surface, active for the etching mechanism, and the species that penetrate the structure, lost for the etching process, but relevant in terms of impact on the electro-optic and structural features of the exposed materials.« less

  1. Facile preparation of hierarchically porous diatomite/MFI-type zeolite composites and their performance of benzene adsorption: the effects of NaOH etching pretreatment.

    PubMed

    Yu, Wenbin; Yuan, Peng; Liu, Dong; Deng, Liangliang; Yuan, Weiwei; Tao, Bo; Cheng, Hefa; Chen, Fanrong

    2015-03-21

    Hierarchically porous diatomite/MFI-type zeolite (Dt/Z) composites with excellent benzene adsorption performance were prepared. The hierarchical porosity was generated from the microporous zeolite coated at the surface of diatom frustules and from the macroporous diatomite support. A facile NaOH etching method was employed for the first time to treat the frustule support, followed by hydrothermal growth of MFI-type zeolite at the surface of frustules previously seeded with nanocrystalline silicalite-1 (Sil-1). NaOH etching enlarged the pores on diatom frustules and further increased the coated zeolite contents (W(z)). The central macropore size of the diatom frustules increased from approximately 200-500 nm to 400-1000 nm after NaOH etching. The W(z) could reach 61.2%, while the macroporosity of the composites was largely preserved due to more voids for zeolite coating being formed by NaOH etching. The Dt/Z composites exhibited higher benzene adsorption capacity per unit mass of zeolite and less mass transfer resistance than Sil-1, evaluated via a method of breakthrough curves. These results demonstrate that etching of a diatomite support is a facile but crucial process for the preparation of Dt/Z composites, enabling the resulting composites to become promising candidates for uses in volatile organic compounds emission control. Copyright © 2014 Elsevier B.V. All rights reserved.

  2. New type of dummy layout pattern to control ILD etch rate

    NASA Astrophysics Data System (ADS)

    Pohland, Oliver; Spieker, Julie; Huang, Chih-Ta; Govindaswamy, Srikanth; Balasinski, Artur

    2007-12-01

    Adding dummy features (waffles) to drawn geometries of the circuit layout is a common practice to improve its manufacturability. As an example, local dummy pattern improves MOSFET line and space CD control by adjusting short range optical proximity and reducing the aggressiveness of its correction features (OPC) to widen the lithography process window. Another application of dummy pattern (waffles) is to globally equalize layout pattern density, to reduce long-range inter-layer dielectric (ILD) thickness variations after the CMP process and improve contact resistance uniformity over the die area. In this work, we discuss a novel type of dummy pattern with a mid-range interaction distance, to control the ILD composition driven by its deposition and etch process. This composition is reflected on sidewall spacers and depends on the topography of the underlying poly pattern. During contact etch, it impacts the etch rate of the ILD. As a result, the deposited W filling the damascene etched self-aligned trench contacts in the ILD may electrically short to the underlying gates in the areas of isolated poly. To mitigate the dependence of the ILD composition on poly pattern distribution, we proposed a special dummy feature generation with the interaction range defined by the ILD deposition and etch process. This helped equalize mid-range poly pattern density without disabling the routing capability with damascene trench contacts in the periphery which would have increased the layout footprint.

  3. DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kan, Jimmy J.; Gottwald, Matthias; Fullerton, Eric E.

    We describe low-temperature characterization of magnetic tunnel junctions (MTJs) patterned by reactive ion etching for spin-transfer-torque magnetic random access memory. Magnetotransport measurements of typical MTJs show increasing tunneling magnetoresistance (TMR) and larger coercive fields as temperature is decreased down to 10 K. However, MTJs selected from the high-resistance population of an MTJ array exhibit stable intermediate magnetic states when measured at low temperature and show TMR roll-off below 100 K. These non-ideal low-temperature behaviors arise from edge damage during the etch process and can have negative impacts on thermal stability of the MTJs.

  4. Progress in performance enhancement methods for capacitive silicon resonators

    NASA Astrophysics Data System (ADS)

    Van Toan, Nguyen; Ono, Takahito

    2017-11-01

    In this paper, we review the progress in recent studies on the performance enhancement methods for capacitive silicon resonators. We provide information on various fabrication technologies and design considerations that can be employed to improve the performance of capacitive silicon resonators, including low motional resistance, small insertion loss, and high quality factor (Q). This paper contains an overview of device structures and working principles, fabrication technologies consisting of hermetic packaging, deep reactive-ion etching and neutral beam etching, and design considerations including mechanically coupled, movable electrode structures and piezoresistive heat engines.

  5. Bond strength of a pit-and-fissure sealant associated to etch-and-rinse and self-etching adhesive systems to saliva-contaminated enamel: individual vs. simultaneous light curing.

    PubMed

    Gomes-Silva, Jaciara Miranda; Torres, Carolina Paes; Contente, Marta Maria Martins Giamatei; Oliveira, Maria Angélica Hueb de Menezes; Palma-Dibb, Regina Guenka; Borsatto, Maria Cristina

    2008-01-01

    This study evaluated in vitro the shear bond strength (SBS) of a resin-based pit-and-fissure sealant [Fluroshield (F), Dentsply/Caulk] associated with either an etch-and-rinse [Adper Single Bond 2 (SB), 3M/ESPE] or a self-etching adhesive system [Clearfil S3 Bond (S3), Kuraray Co., Ltd.] to saliva-contaminated enamel, comparing two curing protocols: individual light curing of the adhesive system and the sealant or simultaneous curing of both materials. Mesial and distal enamel surfaces from 45 sound third molars were randomly assigned to 6 groups (n=15), according to the bonding technique: I - F was applied to 37% phosphoric acid etched enamel. The other groups were contaminated with fresh human saliva (0.01 mL; 10 s) after acid etching: II - SB and F were light cured separately; III - SB and F were light cured together; IV - S3 and F were light cured separately; V - S3 and F were light cured simultaneously; VI - F was applied to saliva-contaminated, acid-etched enamel without an intermediate bonding agent layer. SBS was tested to failure in a universal testing machine at 0.5 mm/min. Data were analyzed by one-way ANOVA and Fisher's test (alpha=0.05).The debonded specimens were examined with a stereomicroscope to assess the failure modes. Three representative specimens from each group were observed under scanning electron microscopy for a qualitative analysis. Mean SBS in MPa were: I-12.28 (+/-4.29); II-8.57 (+/-3.19); III-7.97 (+/-2.16); IV-12.56 (+/-3.11); V-11.45 (+/-3.77); and VI-7.47 (+/-1.99). In conclusion, individual or simultaneous curing of the intermediate bonding agent layer and the resin sealant did not seem to affect bond strength to saliva-contaminated enamel. S3/F presented significantly higher SBS than the that of the groups treated with SB etch-and-rinse adhesive system and similar SBS to that of the control group, in which the sealant was applied under ideal dry, noncontaminated conditions.

  6. Effect of laser heat treatment on Pull-out bond strength of fiber posts treated with different silanes.

    PubMed

    Shafiei, Fereshteh; Saadat, Maryam; Jowkar, Zahra

    2018-05-01

    This study evaluated the effect of three different silanes and post-silanization treatments on the retentive strength of fiber posts luted with an etch-and-rinse resin cement. One hundred intact maxillary central incisors were randomly divided into 10 groups after endodontic treatment and post space preparation (n=10). The fiber posts were etched using 24% hydrogen peroxide. Posts of the control group did not receive silane. In nine experimental groups, each of the three silanes used, Scotchbond Universal adhesive, Bis-Silane and Porcelain Primer, was subjected to three treatments: air-drying at 25°C, warm air-drying and CO2 laser heat treatment. After cementation of the treated posts using One-Step Plus/Duo-Link cement, the specimens were stored for one weak and then subjected to pull-out bond strength (PBS) testing. The data in Newton (N) were analyzed using two-way ANOVA and Tukey tests (α=0.05). PBS was significantly affected by silane type and post-silanization treatment ( p <0.001). The interaction of the two factors was not statistically significant ( p =0.15). The effect of Porcelain Primer on PBS was significantly higher than those of universal adhesive ( p <0.001) and Bis-Silane ( p =0.01), with similar results for the two latter. Warm air-drying and laser treatment significantly increased PBS ( p <0.001). The lowest and highest PBS was obtained in the control (no silane) group (190.9±31) and laser-treated/ Porcelain Primer group (377.1±50), respectively. Warm air-drying and CO2 laser heat treatment had a significantly beneficial effect on retentive strength of fiber posts. Porcelain Primer was significantly more effective than universal adhesive and Bis-Silane. Key words: Laser heat treatment, Pull-out bond strength, fiber post.

  7. O2 Plasma Etching and Antistatic Gun Surface Modifications for CNT Yarn Microelectrode Improve Sensitivity and Antifouling Properties.

    PubMed

    Yang, Cheng; Wang, Ying; Jacobs, Christopher B; Ivanov, Ilia N; Venton, B Jill

    2017-05-16

    Carbon nanotube (CNT) based microelectrodes exhibit rapid and selective detection of neurotransmitters. While different fabrication strategies and geometries of CNT microelectrodes have been characterized, relatively little research has investigated ways to selectively enhance their electrochemical properties. In this work, we introduce two simple, reproducible, low-cost, and efficient surface modification methods for carbon nanotube yarn microelectrodes (CNTYMEs): O 2 plasma etching and antistatic gun treatment. O 2 plasma etching was performed by a microwave plasma system with oxygen gas flow and the optimized time for treatment was 1 min. The antistatic gun treatment flows ions by the electrode surface; two triggers of the antistatic gun was the optimized number on the CNTYME surface. Current for dopamine at CNTYMEs increased 3-fold after O 2 plasma etching and 4-fold after antistatic gun treatment. When the two treatments were combined, the current increased 12-fold, showing the two effects are due to independent mechanisms that tune the surface properties. O 2 plasma etching increased the sensitivity due to increased surface oxygen content but did not affect surface roughness while the antistatic gun treatment increased surface roughness but not oxygen content. The effect of tissue fouling on CNT yarns was studied for the first time, and the relatively hydrophilic surface after O 2 plasma etching provided better resistance to fouling than unmodified or antistatic gun treated CNTYMEs. Overall, O 2 plasma etching and antistatic gun treatment improve the sensitivity of CNTYMEs by different mechanisms, providing the possibility to tune the CNTYME surface and enhance sensitivity.

  8. The development of an SC1 removable si-anti-reflective-coating

    NASA Astrophysics Data System (ADS)

    Yamada, Shintaro; Ke, Iou-Sheng; Cutler, Charlotte; Cui, Li; LaBeaume, Paul; Greene, Daniel; Popere, Bhooshan; Sullivan, Chris; Leonard, JoAnne; Coley, Suzanne; Wong, Sabrina; Ongayi, Owendi; Cameron, Jim; Clark, Michael B.; Fitzgibbons, Thomas C.

    2018-03-01

    A trilayer stack of spin-on-carbon (SOC), silicon anti-reflective coating (SiARC) and photoresist (PR) is often used to enable high resolution implant layers for integrated circuit manufacturing. Damage to substrates from SiARC removal using dry etching or aqueous hydrogen fluoride has increased the demand for innovative SiARC materials for implant lithography process. Wet strippable SiARCs (WS-SiARCs) capable of stripping under mild conditions such as SC1 (ammonium hydroxide/hydrogen peroxide/water) while maintaining key performance metrics of standard SiARCs is highly desirable. Minimizing the formation of Si-O-Si linkages by introducing organic crosslink sites was effective to impart SC1 solubility particularly after O2 dry etching. Incorporation of acidic groups onto the crosslinking site further improved SC1 solubility. A new siloxane polymer architecture that has SC1 active functionality in the polymer backbone was developed to further enhance SC1 solubility. A new SiARC formulation based on the new siloxane polymer achieved equivalent lithographic performances to a classic SiARC and SC1 strip rate >240Å/min under a relatively low concentration SC1 condition such as ammonium hydroxide/hydrogen peroxide/water=1/1/40.

  9. Improved light extraction efficiency in GaN-based light emitting diode by nano-scale roughening of p-GaN surface.

    PubMed

    Park, Sang Jae; Sadasivam, Karthikeyan Giri; Chung, Tae Hoon; Hong, Gi Cheol; Kim, Jin Bong; Kim, Sang Mook; Park, Si-Hyun; Jeon, Seong-Ran; Lee, June Key

    2008-10-01

    Improvement in light extraction efficiency of Ultra Violet-Light Emitting Diode (UV-LED) is achieved by nano-scale roughening of p-type Gallium Nitride (p-GaN) surface. The process of surface roughening is carried out by using self assembled gold (Au) nano-clusters with support of nano-size silicon-oxide (SiO2) pillars on p-GaN surface as a dry etching mask and by p-GaN regrowth in the regions not covered by the mask after dry etching. Au nano-clusters are formed by rapid thermal annealing (RTA) process carried out at 600 degrees C for 1 min using 15 nm thick Au layer on top of SiO2. The p-GaN roughness is controlled by p-GaN regrowth time. Four different time values of 15 sec, 30 sec, 60 sec and 120 sec are considered for p-GaN regrowth. Among the four different p-GaN regrowth time values 30 sec regrown p-GaN sample has the optimum roughness to increase the electroluminescence (EL) intensity to a value approximately 60% higher than the EL intensity of a conventional LED.

  10. Compensation of long-range process effects on photomasks by design data correction

    NASA Astrophysics Data System (ADS)

    Schneider, Jens; Bloecker, Martin; Ballhorn, Gerd; Belic, Nikola; Eisenmann, Hans; Keogan, Danny

    2002-12-01

    CD requirements for advanced photomasks are getting very demanding for the 100 nm-node and below; the ITRS roadmap requires CD uniformities below 10 nm for the most critical layers. To reach this goal, statistical as well as systematic CD contributions must be minimized. Here, we focus on the reduction of systematic CD variations across the masks that may be caused by process effects, e.g. dry etch loading. We address this topic by compensating such effects via design data correction analogous to proximity correction. Dry etch loading is modeled by gaussian convolution of pattern densities. Data correction is done geometrically by edge shifting. As the effect amplitude has an order of magnitude of 10 nm this can only be done on e-beam writers with small address grids to reduce big CD steps in the design data. We present modeling and correction results for special mask patterns with very strong pattern density variations showing that the compensation method is able to reduce CD uniformity by 50-70% depending on pattern details. The data correction itself is done with a new module developed especially to compensate long-range effects and fits nicely into the common data flow environment.

  11. Microstructures and Mechanical Properties of a Wear-Resistant Alloyed Ductile Iron Austempered at Various Temperatures

    NASA Astrophysics Data System (ADS)

    Cui, Junjun; Chen, Liqing

    2015-08-01

    To further improve the mechanical performance of a new type of alloyed bainitic wear-resistant ductile iron, the effects of the various austempering temperatures have been investigated on microstructure and mechanical behaviors of alloyed ductile iron Fe-3.50C-1.95Si-3.58Ni-0.71Cu-0.92Mo-0.65Cr-0.36Mn (in weight percent). This alloyed ductile iron were firstly austenitized at 1123 K (850 °C) for 1 hour and then austempered in a salt bath at 548 K, 573 K, and 598 K (275 °C, 300 °C, and 325 °C) for 2 hours according to time-temperature-transformation diagram calculated by JMatPro software. The microstructures of austempered wear-resistant ductile irons consist of matrix of dark needle-like ferrite plus bright etching austenite and some amount of martensite and some dispersed graphite nodules. With increasing the austempering temperature, the amount of ferrite decreases in austempered ductile iron, while the amount of austenite and carbon content of austenite increases. There is a gradual decrease in hardness and increase in compressive strength with increasing austempering temperature. The increased austenite content and coarsened austenite and ferrite can lead to a hardness decrease as austempering temperature is increased. The increased compressive strength can be attributed to a decreased amount of martensitic transformation. The alloyed ductile iron behaves rather well wear resistance when the austempering is carried out at 598 K (325 °C) for 2 hours. Under the condition of wear test by dry sand/rubber wheel, the wear mechanisms of austempered ductile irons are both micro-cutting and plastic deformation.

  12. Nanowire dopant measurement using secondary ion mass spectrometry

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chia, A. C. E.; Boulanger, J. P.; Wood, B. A.

    2015-09-21

    A method is presented to improve the quantitative determination of dopant concentration in semiconductor nanowire (NW) arrays using secondary ion mass spectrometry (SIMS). SIMS measurements were used to determine Be dopant concentrations in a Be-doped GaAs thin film and NW arrays of various pitches that were dry-etched from the same film. A comparison of these measurements revealed a factor of 3 to 12 difference, depending on the NW array pitch, between the secondary Be ion yields of the film and the NW arrays, despite being identically doped. This was due to matrix effects and ion beam mixing of Be frommore » the NWs into the surrounding benzocyclobutene that was used to fill the space between the NWs. This indicates the need for etched NWs to be used as doping standards instead of 2D films when evaluating NWs of unknown doping by SIMS. Using the etched NWs as doping standards, NW arrays of various pitches grown by the vapour-liquid-solid mechanism were characterized by SIMS to yield valuable insights into doping mechanisms.« less

  13. Development of a wavelength tunable filter using MEMS technology

    NASA Astrophysics Data System (ADS)

    Liu, Junting

    Microelectromechanical systems (MEMS) for optical applications have received intensive attention in recent years because of their potential applications in optical telecommunication. Traditional wavelength division multiplexing (WDM) offers high capacity but requires the fabrication of selective add-drop filters. MEMS technology offers an effective way to fabricate these components at low cost. This thesis presents the development of a device that tunes the Bragg wavelength by coupling into the evanescent field of the grating. A Bragg grating is a periodic perturbation of the refractive index along a fiber or a periodic perturbation of the structure of a planar waveguide. The Bragg wavelength can be tuned by changing the degree to which a dielectric slab couples into the evanescent field. The result is a change in the effective index of the grating, and thus a change in the wavelength that which it reflects. In this thesis Bragg gratings were successfully written into an optical fiber using phase mask technique. Mechanical polishing was used to side-polish the fiber and remove cladding to expose the core. Grating structures were also fabricated in planar waveguide using E-beam writing and dry etching. In order to achieve the smoothest possible morphology of the waveguide, plasma dry etching of transparent substrates was studied in great detail. It is found that the pre-etch cleaning procedure greatly influences the ability to obtain a smooth etched surface. Upper limits of evanescent field tuning were investigated by applying different index liquids such as D. I. water and index matching oils or by positioning different dielectric materials such as glass and silicon close to the grating. Planar waveguides were found to be more sensitive to effective index change. Two kinds of computer simulation were carried out to understand the mode profile and to estimate the value of effective index of planar waveguide under "dry" and "wet" conditions. The first one used an average depth of grating approximation. The second explicitly considered the corrugated structure of the waveguide. Results of both simulations were compared with the experimental results in order to find the proper simulation approach. The fiber or planar waveguide gratings were "device" integrated and their pro and cons were compared. Devices using an optical fiber employed a microactuator driven by electrothermal vibromotor to change the degree of coupling between fiber and "tuning block". Device using planar waveguides used an electrostatic force actuated membrane, flip-chip mounted atop the waveguide. All devices were fabricated using polysilicon surface micromachining processes. I concluded that devices driven by electrostatic force were easier to actuate and their integration with waveguide less challenging.

  14. Silicon micro-mold and method for fabrication

    DOEpatents

    Morales, Alfredo M.

    2005-01-11

    The present invention describes a method for rapidly fabricating a robust 3-dimensional silicon micro-mold for use in preparing complex metal micro-components. The process begins by depositing a conductive metal layer onto one surface of a silicon wafer. A thin photoresist and a standard lithographic mask are then used to transfer a trace image pattern onto the opposite surface of the wafer by exposing and developing the resist. The exposed portion of the silicon substrate is anisotropically etched through the wafer thickness down to conductive metal layer to provide an etched pattern consisting of a series of rectilinear channels and recesses in the silicon which serve as the silicon micro-mold. Microcomponents are prepared with this mold by first filling the mold channels and recesses with a metal deposit, typically by electroplating, and then removing the silicon micro-mold by chemical etching.

  15. Silicon micro-mold

    DOEpatents

    Morales, Alfredo M [Livermore, CA

    2006-10-24

    The present invention describes a method for rapidly fabricating a robust 3-dimensional silicon-mold for use in preparing complex metal micro-components. The process begins by depositing a conductive metal layer onto one surface of a silicon wafer. A thin photoresist and a standard lithographic mask are then used to transfer a trace image pattern onto the opposite surface of the wafer by exposing and developing the resist. The exposed portion of the silicon substrate is anisotropically etched through the wafer thickness down to conductive metal layer to provide an etched pattern consisting of a series of rectilinear channels and recesses in the silicon which serve as the silicon micro-mold. Microcomponents are prepared with this mold by first filling the mold channels and recesses with a metal deposit, typically by electroplating, and then removing the silicon micro-mold by chemical etching.

  16. Nanofabrication of insulated scanning probes for electromechanical imaging in liquid solutions

    PubMed Central

    Noh, Joo Hyon; Nikiforov, Maxim; Kalinin, Sergei V.; Vertegel, Alexey A.; Rack, Philip D.

    2011-01-01

    In this paper, the fabrication and electrical and electromechanical characterization of insulated scanning probes have been demonstrated in liquid solutions. The silicon cantilevers were sequentially coated with chromium and silicon dioxide, and the silicon dioxide was selectively etched at tip apex using focused electron beam induced etching (FEBIE) with XeF2 The chromium layer acted not only as the conductive path from the tip, but also as an etch resistant layer. This insulated scanning probe fabrication process is compatible with any commercial AFM tip and can be used to easily tailor the scanning probe tip properties because FEBIE does not require lithography. The suitability of the fabricated probes is demonstrated by imaging of standard topographical calibration grid as well as piezoresponse force microscopy (PFM) and electrical measurements in ambient and liquid environments. PMID:20702930

  17. Verification of E-Beam direct write integration into 28nm BEOL SRAM technology

    NASA Astrophysics Data System (ADS)

    Hohle, Christoph; Choi, Kang-Hoon; Gutsch, Manuela; Hanisch, Norbert; Seidel, Robert; Steidel, Katja; Thrun, Xaver; Werner, Thomas

    2015-03-01

    Electron beam direct write lithography (EBDW) potentially offers advantages for low-volume semiconductor manufacturing, rapid prototyping or design verification due to its high flexibility without the need of costly masks. However, the integration of this advanced patterning technology into complex CMOS manufacturing processes remains challenging. The low throughput of today's single e-Beam tools limits high volume manufacturing applications and maturity of parallel (multi) beam systems is still insufficient [1,2]. Additional concerns like transistor or material damage of underlying layers during exposure at high electron density or acceleration voltage have to be addressed for advanced technology nodes. In the past we successfully proved that potential degradation effects of high-k materials or ULK shrink can be neglected and were excluded by demonstrating integrated electrical results of 28nm node transistor and BEOL performance following 50kV electron beam dry exposure [3]. Here we will give an update on the integration of EBDW in the 300mm CMOS manufacturing processes of advanced integrated circuits at the 28nm SRAM node of GLOBALFOUNDRIES Dresden. The work is an update to what has been previously published [4]. E-beam patterning results of BEOL full chip metal and via layers with a dual damascene integration scheme using a 50kV VISTEC SB3050DW variable shaped electron beam direct writer at Fraunhofer IPMSCNT are demonstrated. For the patterning of the Metal layer a Mix & Match concept based on the sequence litho - etch -litho -etch (LELE) was developed and evaluated wherein several exposure fields were blanked out during the optical exposure. Etch results are shown and compared to the POR. Results are also shown on overlay performance and optimized e-Beam exposure time using most advanced data prep solutions and resist processes. The patterning results have been verified using fully integrated electrical measurement of metal lines and vias on wafer level. In summary we demonstrate the integration capability of EBDW into a productive CMOS process flow at the example of the 28nm SRAM technology node.

  18. Polarization-Engineered Ga-Face GaN-Based Heterostructures for Normally-Off Heterostructure Field-Effect Transistors

    NASA Astrophysics Data System (ADS)

    Kim, Hyeongnam; Nath, Digbijoy; Rajan, Siddharth; Lu, Wu

    2013-01-01

    Polarization-engineered Ga-face GaN-based heterostructures with a GaN cap layer and an AlGaN/ p-GaN back barrier have been designed for normally-off field-effect transistors (FETs). The simulation results show that an unintentionally doped GaN cap and p-GaN layer in the buffer primarily deplete electrons in the channel and the Al0.2Ga0.8N back barrier helps to pinch off the channel. Experimentally, we have demonstrated a normally-off GaN-based field-effect transistor on the designed GaN cap/Al0.3Ga0.7N/GaN channel/Al0.2Ga0.8N/ p-GaN/GaN heterostructure. A positive threshold voltage of 0.2 V and maximum transconductance of 2.6 mS/mm were achieved for 80- μm-long gate devices. The device fabrication process does not require a dry etching process for gate recessing, while highly selective etching of the GaN cap against a very thin Al0.3GaN0.7N top barrier has to be performed to create a two-dimensional electron gas for both the ohmic and access regions. A self-aligned, selective etch of the GaN cap in the access region is introduced, using the gate metal as an etch mask. The absence of gate recess etching is promising for uniform and repeatable threshold voltage control in normally-off AlGaN/GaN heterostructure FETs for power switching applications.

  19. Evaluation of dry-fleshed sweetpotato genotypes for resistance to soil insect pests, 2012

    USDA-ARS?s Scientific Manuscript database

    An insect susceptible check cultivar (‘SC1149 19’), an insect resistant check cultivar (‘Ruddy’), 20 advanced dry-fleshed genotypes, and five dry-fleshed cultivars (‘Bonita’, ‘Liberty’, ‘NC Japanese’, ‘Picadito’, and ‘Sumor’) were evaluated for insect resistance in replicated field trials at Charles...

  20. Effect of different evaporation periods on microtensile bond strength of an acetone-based adhesive to dentin.

    PubMed

    Davari, Abdolrahim; Mousvinasab, Majid; Kazemi, Alireza Danesh; Rouzbeh, Reza

    2013-01-01

    Solvent content of a contemporary dental adhesive affect the bonding process, especially in the case of acetone based adhesives. The aim of this study was to evaluate the effect of different air-drying periods on microtensile bond strength (MTBS) of a total-etch adhesive to dentin. Prime & Bond NT (Dentsply-USA) was used with different air-drying periods (0, 2, 5, 10, 30sec) for bonding a composite resin to prepared dentin. The specimens were then subjected to a tensile force until fracture and the MTBSs of the samples were recorded. Failure modes of the fractured samples were also determined using stereomicroscope and scanning electron microscopy. Data were analyzed using ANOVA and Bonferroni tests (P = 0.05). With increasing the air-drying periods, the MTBSs were increased until the 5 second air-blowing; after that, with increasing the air-drying periods, the MTBSs decreased. Both, the most complicated failure and the strongest bond were seen in the 5 sec air-drying group. There is an optimum air-drying time for acetone based adhesives which results in the strongest bond to dentin.

  1. Silica coating of PbS quantum dots and their position control using a nanohole on Si substrate

    NASA Astrophysics Data System (ADS)

    Mukai, Kohki; Okumura, Isao; Nishizaki, Yuta; Yamashita, Shuzo; Niwa, Keisuke

    2018-04-01

    We succeeded in controlling the apparent size of a colloidal PbS quantum dot (QD) in the range of 20 to 140 nm by coating with silica and trapping the coated QDs in a nanohole prepared by scanning probe microscope lithography. Photoluminescence intensity was improved by controlling the process of adding the silica source material of tetraethoxysilane for the coating. Nanoholes of different sizes were formed on a single substrate by scanning probe oxidation with the combination of SF6 dry etching and KOH wet etching. QDs having an arbitrary energy structure can be arranged at an arbitrary position on the semiconductor substrate using this technique, which will aid in the fabrication of future nanosize solid devices such as quantum information circuits.

  2. Fabrication of large-area nano-scale patterned sapphire substrate with laser interference lithography

    NASA Astrophysics Data System (ADS)

    Xuan, Ming-dong; Dai, Long-gui; Jia, Hai-qiang; Chen, Hong

    2014-01-01

    Periodic triangle truncated pyramid arrays are successfully fabricated on the sapphire substrate by a low-cost and high-efficiency laser interference lithography (LIL) system. Through the combination of dry etching and wet etching techniques, the nano-scale patterned sapphire substrate (NPSS) with uniform size is prepared. The period of the patterns is 460 nm as designed to match the wavelength of blue light emitting diode (LED). By improving the stability of the LIL system and optimizing the process parameters, well-defined triangle truncated pyramid arrays can be achieved on the sapphire substrate with diameter of 50.8 mm. The deviation of the bottom width of the triangle truncated pyramid arrays is 6.8%, which is close to the industrial production level of 3%.

  3. Method for nanomachining high aspect ratio structures

    DOEpatents

    Yun, Wenbing; Spence, John; Padmore, Howard A.; MacDowell, Alastair A.; Howells, Malcolm R.

    2004-11-09

    A nanomachining method for producing high-aspect ratio precise nanostructures. The method begins by irradiating a wafer with an energetic charged-particle beam. Next, a layer of patterning material is deposited on one side of the wafer and a layer of etch stop or metal plating base is coated on the other side of the wafer. A desired pattern is generated in the patterning material on the top surface of the irradiated wafer using conventional electron-beam lithography techniques. Lastly, the wafer is placed in an appropriate chemical solution that produces a directional etch of the wafer only in the area from which the resist has been removed by the patterning process. The high mechanical strength of the wafer materials compared to the organic resists used in conventional lithography techniques with allows the transfer of the precise patterns into structures with aspect ratios much larger than those previously achievable.

  4. Junction-Free Electrospun Ag Fiber Electrodes for Flexible Organic Light-Emitting Diodes.

    PubMed

    Choi, Junhee; Shim, Yong Sub; Park, Cheol Hwee; Hwang, Ha; Kwack, Jin Ho; Lee, Dong Jun; Park, Young Wook; Ju, Byeong-Kwon

    2018-02-01

    Fabrication of junction-free Ag fiber electrodes for flexible organic light-emitting diodes (OLEDs) is demonstrated. The junction-free Ag fiber electrodes are fabricated by electrospun polymer fibers used as an etch mask and wet etching of Ag thin film. This process facilitates surface roughness control, which is important in transparent electrodes based on metal wires to prevent electrical instability of the OLEDs. The transmittance and resistance of Ag fiber electrodes can be independently adjusted by controlling spinning time and Ag deposition thickness. The Ag fiber electrode shows a transmittance of 91.8% (at 550 nm) at a sheet resistance of 22.3 Ω □ -1 , leading to the highest OLED efficiency. In addition, Ag fiber electrodes exhibit excellent mechanical durability, as shown by measuring the change in resistance under repeatable mechanical bending and various bending radii. The OLEDs with Ag fiber electrodes on a flexible substrate are successfully fabricated, and the OLEDs show an enhancement of EQE (≈19%) compared to commercial indium tin oxide electrodes. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  5. Transparent, superhydrophobic, and wear-resistant surfaces using deep reactive ion etching on PDMS substrates.

    PubMed

    Ebert, Daniel; Bhushan, Bharat

    2016-11-01

    Surfaces that simultaneously exhibit superhydrophobicity, low contact angle hysteresis, and high transmission of visible light are of interest for many applications, such as optical devices, solar panels, and self-cleaning windows. Superhydrophobicity could also find use in medical devices where antifouling characteristics are desirable. These applications also typically require mechanical wear resistance. The fabrication of such surfaces is challenging due to the competing goals of superhydrophobicity and transmittance in terms of the required degree of surface roughness. In this study, deep reactive ion etching (DRIE) was used to create rough surfaces on PDMS substrates using a O2/CF4 plasma. Surfaces then underwent an additional treatment with either octafluorocyclobutane (C4F8) plasma or vapor deposition of perfluorooctyltrichlorosilane (PFOTCS) following surface activation with O2 plasma. The effects of surface roughness and the additional surface modifications were examined with respect to the contact angle, contact angle hysteresis, and optical transmittance. To examine wear resistance, a sliding wear experiment was performed using an atomic force microscope (AFM). Copyright © 2016 Elsevier Inc. All rights reserved.

  6. Process for making electroformed stents

    DOEpatents

    Hines, Richard A.

    2000-02-01

    This invention is directed to an expandable stent useful for implantation into an artery or the like. The stents are made using electroforming techniques in which an electrically-conductive mandrel is coated with a suitable resist material, after which the resist is exposed to an appropriate light pattern and frequency so as to form a stent pattern in the resist. The mandrel is then electroplated with a suitable stent material. The mandrel is etched away once a sufficient layer of stent material is deposited, leaving a completed stent.

  7. Double exposure using 193nm negative tone photoresist

    NASA Astrophysics Data System (ADS)

    Kim, Ryoung-han; Wallow, Tom; Kye, Jongwook; Levinson, Harry J.; White, Dave

    2007-03-01

    Double exposure is one of the promising methods for extending lithographic patterning into the low k I regime. In this paper, we demonstrate double patterning of k 1-effective=0.25 with improved process window using a negative resist. Negative resist (TOK N- series) in combination with a bright field mask is proven to provide a large process window in generating 1:3 = trench:line resist features. By incorporating two etch transfer steps into the hard mask material, frequency doubled patterns could be obtained.

  8. Electrical resistance oscillations during plastic deformation in A Ti-Al-Nb-Zr alloy at 4·2 K

    NASA Astrophysics Data System (ADS)

    Nikiforenko, V. N.; Lavrentev, F. F.

    1986-10-01

    The serrated plastic flow in titanium alloy containing 5% Al, 2·5% Zr and 2% Nb has been investigated by measuring its electrical resistance and applying selective chemical etching. The electrical resistance was found to oscillate under active deformation at 4·2 K. Analysis of the possible causes seems to indicate a dominant role of break by dislocation pile-ups through obstacles, viz second phase precipitates and grain boundaries.

  9. Development of a Post-CMOS Compatible Nanoporous Thin Film layer Based on Al2O3

    NASA Astrophysics Data System (ADS)

    Dogan, Ö.; Buschhausen, A.; Walk, C.; Mokwa, W.; Vogt, H.

    2018-05-01

    Porous alumina is a popular material with numerous application fields. A post-CMOS compatible process chain for the fabrication of nanoporous surface based on Al2O3 by atomic layer deposition (ALD) is presented. By alternately applying small numbers of ALD cycles for Al2O3 and ZnO, a homogenous composite was accomplished, for which the principle of island growth of ALD materials at few deposition cycle numbers was utilised. By selective texture-etching of ZnO content via hydrofluoric acid (HF) in vaporous phase at 40 °C and 10.67 mbar, a porous surface of the etch resistant Al2O3 could be achieved. TOF-SIMS investigations verified the composition of ALD composite, whereas AFM and high resolution SEM images characterised the topographies of pre- and post-etched samples. Pores with opening diameters of up to 15 nm could be detected on the surface after vaporous HF treatment for 2 minutes. The amount of pores increased after an etching time of 5 minutes.

  10. Silicon Solar Cell Optimization.

    DTIC Science & Technology

    1981-06-01

    from the surface. (b) Oxide mask formation Etching grooves into the silicon requires an effective alkaline-resistant mask which will withstand the...face. This technique employs a very viscous photoresist, Furt #206, in conjunction with multiple spin-applications and bake periods, to effectively ...175 80 125 78 75 74 To compare the effects of groove depth, substrate thick- ness and bulk resistivity, an experiment was conducted. Using identical

  11. Flexible Chip Scale Package and Interconnect for Implantable MEMS Movable Microelectrodes for the Brain

    PubMed Central

    Jackson, Nathan; Muthuswamy, Jit

    2009-01-01

    We report here a novel approach called MEMS microflex interconnect (MMFI) technology for packaging a new generation of Bio-MEMS devices that involve movable microelectrodes implanted in brain tissue. MMFI addresses the need for (i) operating space for movable parts and (ii) flexible interconnects for mechanical isolation. We fabricated a thin polyimide substrate with embedded bond-pads, vias, and conducting traces for the interconnect with a backside dry etch, so that the flexible substrate can act as a thin-film cap for the MEMS package. A double gold stud bump rivet bonding mechanism was used to form electrical connections to the chip and also to provide a spacing of approximately 15–20 µm for the movable parts. The MMFI approach achieved a chip scale package (CSP) that is lightweight, biocompatible, having flexible interconnects, without an underfill. Reliability tests demonstrated minimal increases of 0.35 mΩ, 0.23 mΩ and 0.15 mΩ in mean contact resistances under high humidity, thermal cycling, and thermal shock conditions respectively. High temperature tests resulted in an increase in resistance of > 90 mΩ when aluminum bond pads were used, but an increase of ~ 4.2 mΩ with gold bond pads. The mean-time-to-failure (MTTF) was estimated to be at least one year under physiological conditions. We conclude that MMFI technology is a feasible and reliable approach for packaging and interconnecting Bio-MEMS devices. PMID:20160981

  12. Damage-free back channel wet-etch process in amorphous indium-zinc-oxide thin-film transistors using a carbon-nanofilm barrier layer.

    PubMed

    Luo, Dongxiang; Zhao, Mingjie; Xu, Miao; Li, Min; Chen, Zikai; Wang, Lang; Zou, Jianhua; Tao, Hong; Wang, Lei; Peng, Junbiao

    2014-07-23

    Amorphous indium-zinc-oxide thin film transistors (IZO-TFTs) with damage-free back channel wet-etch (BCE) process were investigated. A carbon (C) nanofilm was inserted into the interface between IZO layer and source/drain (S/D) electrodes as a barrier layer. Transmittance electron microscope images revealed that the 3 nm-thick C nanofilm exhibited a good corrosion resistance to a commonly used H3PO4-based etchant and could be easily eliminated. The TFT device with a 3 nm-thick C barrier layer showed a saturated field effect mobility of 14.4 cm(2) V(-1) s(-1), a subthreshold swing of 0.21 V/decade, an on-to-off current ratio of 8.3 × 10(10), and a threshold voltage of 2.0 V. The favorable electrical performance of this kind of IZO-TFTs was due to the protection of the inserted C to IZO layer in the back-channel-etch process. Moreover, the low contact resistance of the devices was proved to be due to the graphitization of the C nanofilms after annealing. In addition, the hysteresis and thermal stress testing confirmed that the usage of C barrier nanofilms is an effective method to fabricate the damage-free BCE-type devices with high reliability.

  13. Optimization of 248nm bottom anti-reflective coatings with thin film and high etch rate on real device

    NASA Astrophysics Data System (ADS)

    Kim, MyoungSoo; Kim, HakJoon; Shim, KewChan; Jeon, JeHa; Gil, MyungGoon; Song, YongWook; Enomoto, Tomoyuki; Sakaguchi, Takahiro; Nakajima, Yasuyuki

    2005-05-01

    A frequent problem encountered by photoresists during the manufacturing of semiconductor device is that activating radiation is reflected back into the photoresist by the substrate. So, it is necessary that the light reflection is reduced from the substrate. One approach to reduce the light reflection is the use of bottom anti-reflective coating (BARC) applied to the substrate beneath the photoresist layer. The BARC technology has been utilized for a few years to minimize the reflectivity. As the chip size is reduced to sub 100nm, the photoresist thickness has to decrease with the aspect ratio being less than 3.0. Therefore, new Organic BARC is strongly required which has the minimum reflectivity with thinner BARC thickness and higher etch selectivity toward resists. Hynix Semiconductor Inc., Nissan Chemical Industries, Ltd., and Brewer Science, Inc. have developed the advanced Organic BARC for achieving the above purpose. As a result, the suitable high performance 248nm Organic BARCs, NCA series, were achieved. Using CF4 gas as etchant, the plasma etch rate of NCA series is about 1.4 times higher than that of conventional 248nm resists. NCA series can be minimizing the substrate reflectivity at below 45nm BARC thickness. NCA series show the excellent litho performance and coating property on real device.

  14. A benchmark of co-flow and cyclic deposition/etch approaches for the selective epitaxial growth of tensile-strained Si:P

    NASA Astrophysics Data System (ADS)

    Hartmann, J. M.; Veillerot, M.; Prévitali, B.

    2017-10-01

    We have compared co-flow and cyclic deposition/etch processes for the selective epitaxial growth of Si:P layers. High growth rates, relatively low resistivities and significant amounts of tensile strain (up to 10 nm min-1, 0.55 mOhm cm and a strain equivalent to 1.06% of substitutional C in Si:C layers) were obtained at 700 °C, 760 Torr with a co-flow approach and a SiH2Cl2 + PH3 + HCl chemistry. This approach was successfully used to thicken the sources and drains regions of n-type fin-shaped Field Effect Transistors. Meanwhile, the (Si2H6 + PH3/HCl + GeH4) CDE process evaluated yielded at 600 °C, 80 Torr even lower resistivities (0.4 mOhm cm, typically), at the cost however of the tensile strain which was lost due to (i) the incorporation of Ge atoms (1.5%, typically) into the lattice during the selective etch steps and (ii) a reduction by a factor of two of the P atomic concentration in CDE layers compared to that in layers grown in a single step (5 × 1020 cm-3 compared to 1021 cm-3).

  15. Nanoleakage in Hybrid Layer and Acid-Base Resistant Zone at the Adhesive/Dentin Interface.

    PubMed

    Nikaido, Toru; Nurrohman, Hamid; Takagaki, Tomohiro; Sadr, Alireza; Ichinose, Shizuko; Tagami, Junji

    2015-10-01

    The aim of interfacial nanoleakage evaluation is to gain a better understanding of degradation of the adhesive-dentin interface. The acid-base resistant zone (ABRZ) is recognized at the bonded interface under the hybrid layer (HL) in self-etch adhesive systems after an acid-base challenge. The purpose of this study was to evaluate nanoleakage in HL and ABRZ using three self-etch adhesives; Clearfil SE Bond (SEB), Clearfil SE One (SEO), and G-Bond Plus (GBP). One of the three adhesives was applied on the ground dentin surface and light cured. The specimens were longitudinally divided into two halves. One half remained as the control group. The others were immersed in ammoniacal silver nitrate solution, followed by photo developing solution under fluorescent light. Following this, the specimens were subjected to acid-base challenges with an artificial demineralization solution (pH4.5) and sodium hypochlorite, and prepared in accordance with common procedures for transmission electron microscopy (TEM) examination. The TEM images revealed silver depositions in HL and ABRZ due to nanoleakage in all the adhesives; however, the extent of nanoleakage was material dependent. Funnel-shaped erosion beneath the ABRZ was observed only in the all-in-one adhesive systems; SEO and GBP, but not in the two-step self-etch adhesive system; SEB.

  16. Determination of total fluoride in HF/HNO3/H2SiF6 etch solutions by new potentiometric titration methods.

    PubMed

    Weinreich, Wenke; Acker, Jörg; Gräber, Iris

    2007-03-30

    In the photovoltaic industry the etching of silicon in HF/HNO(3) solutions is a decisive process for cleaning wafer surfaces or to produce certain surface morphologies like polishing or texturization. With regard to cost efficiency, a maximal utilisation of etch baths in combination with highest quality and accuracy is strived. To provide an etch bath control realised by a replenishment with concentrated acids the main constituents of these HF/HNO(3) etch solutions including the reaction product H(2)SiF(6) have to be analysed. Two new methods for the determination of the total fluoride content in an acidic etch solution based on the precipitation titration with La(NO(3))(3) are presented within this paper. The first method bases on the proper choice of the reaction conditions, since free fluoride ions have to be liberated from HF and H(2)SiF(6) at the same time to be detected by a fluoride ion-selective electrode (F-ISE). Therefore, the sample is adjusted to a pH of 8 for total cleavage of the SiF(6)(2-) anion and titrated in absence of buffers. In a second method, the titration with La(NO(3))(3) is followed by a change of the pH-value using a HF resistant glass-electrode. Both methods provide consistent values, whereas the analysis is fast and accurate, and thus, applicable for industrial process control.

  17. Surface etching technologies for monocrystalline silicon wafer solar cells

    NASA Astrophysics Data System (ADS)

    Tang, Muzhi

    With more than 200 GW of accumulated installations in 2015, photovoltaics (PV) has become an important green energy harvesting method. The PV market is dominated by solar cells made from crystalline silicon wafers. The engineering of the wafer surfaces is critical to the solar cell cost reduction and performance enhancement. Therefore, this thesis focuses on the development of surface etching technologies for monocrystalline silicon wafer solar cells. It aims to develop a more efficient alkaline texturing method and more effective surface cleaning processes. Firstly, a rapid, isopropanol alcohol free texturing method is successfully demonstrated to shorten the process time and reduce the consumption of chemicals. This method utilizes the special chemical properties of triethylamine, which can form Si-N bonds with wafer surface atoms. Secondly, a room-temperature anisotropic emitter etch-back process is developed to improve the n+ emitter passivation. Using this method, 19.0% efficient screen-printed aluminium back surface field solar cells are developed that show an efficiency gain of 0.15% (absolute) compared with conventionally made solar cells. Finally, state-of-the-art silicon surface passivation results are achieved using hydrogen plasma etching as a dry alternative to the classical hydrofluoric acid wet-chemical process. The effective native oxide removal and the hydrogenation of the silicon surface are shown to be the reasons for the excellent level of surface passivation achieved with this novel method.

  18. Bioactive Surface Modification of Hydroxyapatite

    PubMed Central

    Okazaki, Yohei; Hiasa, Kyou; Yasuda, Keisuke; Nogami, Keisuke; Mizumachi, Wataru; Hirata, Isao

    2013-01-01

    The purpose of this study was to establish an acid-etching procedure for altering the Ca/P ratio of the nanostructured surface of hydroxyapatite (HAP) by using surface chemical and morphological analyses (XPS, XRD, SEM, surface roughness, and wettability) and to evaluate the in vitro response of osteoblast-like cells (MC3T3-E1 cells) to the modified surfaces. This study utilized HAP and HAP treated with 10%, 20%, 30%, 40%, 50%, or 60% phosphoric acid solution for 10 minutes at 25°C, followed by rinsing 3 times with ultrapure water. The 30% phosphoric acid etching process that provided a Ca/P ratio of 1.50, without destruction of the grain boundary of HAP, was selected as a surface-modification procedure. Additionally, HAP treated by the 30% phosphoric acid etching process was stored under dry conditions at 25°C for 12 hours, and the Ca/P ratio approximated to 1.00 accidentally. The initial adhesion, proliferation, and differentiation (alkaline phosphatase (ALP) activity and relative mRNA level for ALP) of MC3T3-E1 cells on the modified surfaces were significantly promoted (P < 0.05 and 0.01). These findings show that the 30% phosphoric acid etching process for the nanostructured HAP surface can alter the Ca/P ratio effectively and may accelerate the initial adhesion, proliferation, and differentiation of MC3T3-E1 cells. PMID:23862150

  19. Evaluation of dry-fleshed sweetpotato genotypes for resistance to soil insect pests, 2011

    USDA-ARS?s Scientific Manuscript database

    Two insect susceptible check cultivars (‘Beauregard” and ‘SC1149 19’), an insect resistant check cultivar (‘Ruddy’), 23 advanced dry-fleshed genotypes, and five dry-fleshed cultivars (‘Liberty’, ‘NC Japanese’, ‘Okinawa 100’, ‘Sumor’, and ‘Xushu-18’) were evaluated for insect resistance in replicate...

  20. Relationship between mechanical properties of one-step self-etch adhesives and water sorption.

    PubMed

    Hosaka, Keiichi; Nakajima, Masatoshi; Takahashi, Masahiro; Itoh, Shima; Ikeda, Masaomi; Tagami, Junji; Pashley, David H

    2010-04-01

    The purpose of this study was to evaluate the relationship between changes in the modulus of elasticity and ultimate tensile strength of one-step self-etch adhesives, and their degree of water sorption. Five one-step self-etch adhesives, Xeno IV (Dentsply Caulk), G Bond (GC Corp.), Clearfil S3 Bond (Kuraray Medical Inc.), Bond Force (Tokuyama Dental Corp.), and One-Up Bond F Plus (Tokuyama Dental Corp.) were used. Ten dumbelled-shaped polymers of each adhesive were used to obtain the modulus of elasticity by the three-point flexural bending test and the ultimate tensile strength by microtensile testing. The modulus of elasticity and the ultimate tensile strength were measured in both dry and wet conditions before/after immersion in water for 24h. Water sorption was measured, using a modification of the ISO-4049 standard. Each result of the modulus of elasticity and ultimate tensile strength was statistically analyzed using a two-way ANOVA and the result of water sorption was statistically analyzed using a one-way ANOVA. Regression analyses were used to determine the correlations between the modulus of elasticity and the ultimate tensile strength in dry or wet states, and also the percent decrease in these properties before/after immersion of water vs. water sorption. In the dry state, the moduli of elasticity of the five adhesive polymers varied from 948 to 1530 MPa, while the ultimate tensile strengths varied from 24.4 to 61.5 MPa. The wet specimens gave much lower moduli of elasticity (from 584 to 1073 MPa) and ultimate tensile strengths (from 16.5 to 35.0 MPa). Water sorption varied from 32.1 to 105.8 g mm(-3). The moduli of elasticity and ultimate tensile strengths of the adhesives fell significantly after water-storage. Water sorption depended on the constituents of the adhesive systems. The percent decreases in the ultimate tensile strengths of the adhesives were related to water sorption, while the percent reductions in the moduli of elasticity of the adhesives were not related to water sorption. Copyright (c) 2009 Academy of Dental Materials. Published by Elsevier Ltd. All rights reserved.

  1. 450mm wafer patterning with jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Thompson, Ecron; Hellebrekers, Paul; Hofemann, Paul; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2013-09-01

    The next step in the evolution of wafer size is 450mm. Any transition in sizing is an enormous task that must account for fabrication space, environmental health and safety concerns, wafer standards, metrology capability, individual process module development and device integration. For 450mm, an aggressive goal of 2018 has been set, with pilot line operation as early as 2016. To address these goals, consortiums have been formed to establish the infrastructure necessary to the transition, with a focus on the development of both process and metrology tools. Central to any process module development, which includes deposition, etch and chemical mechanical polishing is the lithography tool. In order to address the need for early learning and advance process module development, Molecular Imprints Inc. has provided the industry with the first advanced lithography platform, the Imprio® 450, capable of patterning a full 450mm wafer. The Imprio 450 was accepted by Intel at the end of 2012 and is now being used to support the 450mm wafer process development demands as part of a multi-year wafer services contract to facilitate the semiconductor industry's transition to lower cost 450mm wafer production. The Imprio 450 uses a Jet and Flash Imprint Lithography (J-FILTM) process that employs drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for markets including NAND Flash memory, patterned media for hard disk drives and displays. This paper reviews the recent performance of the J-FIL technology (including overlay, throughput and defectivity), mask development improvements provided by Dai Nippon Printing, and the application of the technology to a 450mm lithography platform.

  2. Effect of thermal aging on the tensile bond strength at reduced areas of seven current adhesives.

    PubMed

    Baracco, Bruno; Fuentes, M Victoria; Garrido, Miguel A; González-López, Santiago; Ceballos, Laura

    2013-07-01

    The purpose of this study was to determine the micro-tensile bond strength (MTBS) to dentin of seven adhesive systems (total and self-etch adhesives) after 24 h and 5,000 thermocycles. Dentin surfaces of human third molars were exposed and bonded with two total-etch adhesives (Adper Scotchbond 1 XT and XP Bond), two two-step self-etch adhesives (Adper Scotchbond SE and Filtek Silorane Adhesive System) and three one-step self-etch adhesives (G-Bond, Xeno V and Bond Force). All adhesive systems were applied following manufacturers' instructions. Composite buildups were constructed and the bonded teeth were then stored in water (24 h, 37 °C) or thermocycled (5,000 cycles) before being sectioned and submitted to MTBS test. Two-way ANOVA and subsequent comparison tests were applied at α = 0.05. Characteristic de-bonded specimens were analyzed using scanning electron microscopy (SEM). After 24 h water storage, MTBS values were highest with XP Bond, Adper Scotchbond 1 XT, Filtek Silorane Adhesive System and Adper Scotchbond SE and lowest with the one-step self-etch adhesives Bond Force, Xeno V and G-Bond. After thermocycling, MTBS values were highest with XP Bond, followed by Filtek Silorane Adhesive System, Adper Scotchbond SE and Adper Scotchbond 1 XT and lowest with the one-step self-etch adhesives Bond Force, Xeno V and G-Bond. Thermal aging induced a significant decrease in MTBS values with all adhesives tested. The resistance of resin-dentin bonds to thermal-aging degradation was material dependent. One-step self-etch adhesives obtained the lowest MTBS results after both aging treatments, and their adhesive capacity was significantly reduced after thermocycling.

  3. Modification of Patterned Nanoporous Gold Thin Film Electrodes via Electro-annealing and Electrochemical Etching

    NASA Astrophysics Data System (ADS)

    Dorofeeva, Tatiana

    Nanostructured materials have had a major impact on various fields, including medicine, catalysis, and energy storage, for the major part due to unique phenomena that arise at nanoscale. For this reason, there is a sustained need for new nanostructured materials, techniques to pattern them, and methods to precisely control their nanostructure. To that end, the primary focus of this dissertation is to demonstrate novel techniques to fabricate and tailor the morphology of a class of nanoporous metals, obtained by a process known as dealloying. In this process, while the less noble constituent of an alloy is chemically dissolved, surface-diffusion of the more noble constituent leads to self-assembly of a bicontinuous ligament network with characteristic porosity of ˜70% and ligament diameter of 10s of nanometers. As a model material produced by dealloying, this work employ nanoporous gold (np-Au), which has attracted significant attention of desirable features, such as high effective surface area, electrical conductivity, well-defined thiol-based surface modification strategies, microfabrication-compatibility, and biocompatibility. The most commonly method used to modify the morphology of np-Au is thermal treatment, where the enhanced diffusivity of the surface atoms leads to ligament (and consequently pore) coarsening. This method, however, is not conducive to modifying the morphology of thin films at specific locations on the film, which is necessary for creating devices that may need to contain different morphologies on a single device. In addition, coarsening attained by thermal treatment also leads to an undesirable reduction in effective surface area. In response to these challenges, this work demonstrates two different techniques that enables in situ modification of np-Au thin film electrodes obtained by sputter-deposition of a precursors silver-rich gold-silver alloy. The first method, referred to as electro-annealing, is achieved by injecting electrical current to np-Au electrodes, which leads coarsening due to a combination of Joule heating and other mechanisms. This method offers the capability to anneal different electrodes to varying degrees of coarsening in one step, by employing electrodes patterns with different cross-sectional areas - easily attained since np-Au can be patterned into arbitrary shapes via photolithography - to control electrode resistivity, thus current density and the amount of electro-annealing of an electrode. A surprising finding was that electro-annealing lead to electrode coarsening at much lower temperatures than conventional thermal treatment, which was attributed to augmented electron-surface atom interactions at high current densities that may in turn enhance surface atom diffusivity. A major advantage of electro-annealing is the ability to monitor the resistance change of the electrode (surrogate for electrode morphology) in real-time and vary the electro-annealing current accordingly to establish a closed-loop electro-annealing configuration. In nanostructured materials, the electrical resistance is often a function of nanostructure, thus changes in resistance can be directly linked to morphological changes of the electrode. Examination of the underlying mechanisms of nanostructure-dependent resistance change revealed that both ligament diameter and grain size play a role in dictating the observed electrode resistance change. The second method relies on electrochemical etching of ligaments to modify electrode morphology in order to maintain both a high effective surface area and large pores for unhindered transport of molecules to/from the ligament surfaces - an important consideration for many physico-chemical processes, such fuel cells, electrochemical sensors, and drug delivery platforms. The advantage of this method over purely chemical approach is that while an entire sample in exposed to the chemical reagent, the etching process does not occur until the necessary electrochemical potential is applied. Similar to the electro-annealing methods, electrical addressability allows for differentially modifying the morphology individual electrodes on a single substrate. The results of this study also revealed that electrochemical etching is a combination of coarsening and etching processes, where the optimization of etching parameters makes it possible precisely control the etching by favoring one process over the other. In summary, the two techniques, taken together in combination with np-Au's compatibility with microfabrication processes, can be extended to create multiple electrode arrays that display different morphologies for studying structure?property relationships and tuning catalysts/sensors for optimal performance.

  4. EUV local CDU healing performance and modeling capability towards 5nm node

    NASA Astrophysics Data System (ADS)

    Jee, Tae Kwon; Timoshkov, Vadim; Choi, Peter; Rio, David; Tsai, Yu-Cheng; Yaegashi, Hidetami; Koike, Kyohei; Fonseca, Carlos; Schoofs, Stijn

    2017-10-01

    Both local variability and optical proximity correction (OPC) errors are big contributors to the edge placement error (EPE) budget which is closely related to the device yield. The post-litho contact hole healing will be demonstrated to meet after-etch local variability specifications using a low dose, 30mJ/cm2 dose-to-size, positive tone developed (PTD) resist with relevant throughput in high volume manufacturing (HVM). The total local variability of the node 5nm (N5) contact holes will be characterized in terms of local CD uniformity (LCDU), local placement error (LPE), and contact edge roughness (CER) using a statistical methodology. The CD healing process has complex etch proximity effects, so the OPC prediction accuracy is challenging to meet EPE requirements for the N5. Thus, the prediction accuracy of an after-etch model will be investigated and discussed using ASML Tachyon OPC model.

  5. Comparative evaluation of e-beam sensitive chemically amplified resists for mask making

    NASA Astrophysics Data System (ADS)

    Irmscher, Mathias; Beyer, Dirk; Butschke, Joerg; Constantine, Chris; Hoffmann, Thomas; Koepernik, Corinna; Krauss, Christian; Leibold, Bernd; Letzkus, Florian; Mueller, Dietmar; Springer, Reinhard; Voehringer, Peter

    2002-07-01

    Positive tone chemically amplified resists CAP209, EP012M (TOK), KRS-XE (JSR) and FEP171 (Fuji) were evaluated for mask making. The investigations were performed on an advanced tool set comprising of a Steag coater ASR5000, Steag developer ASP5000, 50kV e-beam writer Leica SB350, UNAXIS MASK ETCHER III , STS ICP silicon etcher and a CD-SEM KLA8100. We investigated and compared resolution, sensitivity, resist slope, dark field loss, CD-uniformity, line edge roughness, and etch resistance of the evaluated resists. Furthermore, the influence of post coating delay, post exposure delay and other process parameters on the resist performance was determined.

  6. Use of metallic glasses for fabrication of structures with submicron dimensions

    DOEpatents

    Wiley, John D.; Perepezko, John H.

    1986-01-01

    Patterned structures of submicron dimension formed of supported or unsupported amorphous metals having submicron feature sizes characterized by etching behavior sufficient to allow delineation of sharp edges and smooth flat flanks, resistance to time-dependent dimensional changes caused by creep, flow, in-diffusion of unwanted impurities, out-diffusion of constituent atoms, void formation, grain growth or phase separation and resistance to phase transformations or compound formation.

  7. Evolution of roughness during the pattern transfer of high-chi, 10nm half-pitch, silicon-containing block copolymer structures

    NASA Astrophysics Data System (ADS)

    Blachut, Gregory; Sirard, Stephen M.; Liang, Andrew; Mack, Chris A.; Maher, Michael J.; Rincon-Delgadillo, Paulina A.; Chan, Boon Teik; Mannaert, Geert; Vandenberghe, Geert; Willson, C. Grant; Ellison, Christopher J.; Hymes, Diane

    2018-03-01

    A pattern transfer study was conducted to monitor the evolution of roughness in sub-10 nm half-pitch lines generated by the directed self-assembly (DSA) of a high-chi, silicon-containing block copolymer, poly(4-trimethylsilylstyrene)-block-poly(4-methoxystyrene). Unbiased roughness measurements were used to characterize the roughness of the structures before and after pattern transfer into silicon nitride. Parameters of the reactive ion etch process used as a dry development were systematically modified to minimize undesired line walking created by the DSA pre-pattern and to determine their impacts on roughness. The results of this study indicate that an optimized dry development can mitigate the effects of pre-pattern inhomogeneity, and that both dry development and pattern transfer steps effect the roughness of the final structures.

  8. A new universal simplified adhesive: 6-month clinical evaluation.

    PubMed

    Mena-Serrano, Alexandra; Kose, Carlos; De Paula, Eloisa Andrade; Tay, Lidia Yileng; Reis, Alessandra; Loguercio, Alessandro D; Perdigão, Jorge

    2013-02-01

    Multimode adhesives, which can be used as etch-and-rinse or as self-etch adhesives, have been recently introduced without clinical data to back their use. To evaluate the 6-month clinical performance of Scotchbond Universal Adhesive (SU; 3M ESPE, St. Paul, MN, USA) in noncarious cervical lesions (NCCLs) using two evaluation criteria. Thirty-nine patients participated in this study. Two hundred restorations were assigned to four groups: SU-TEm: etch-and-rinse + moist dentin; SU-TEd: etch-and-rinse + dry dentin; SU-SEet: selective enamel etching; and SU-SE: self-etch. The composite resin Filtek Supreme Ultra (3M ESPE) was placed incrementally. The restorations were evaluated at baseline and after 6 months using both the World Dental Federation (FDI) and the United States Public Health Service (USPHS) criteria. Statistical analyses were performed with Friedman repeated measures analysis of variance by rank and McNemar test for significance in each pair (α = 0.05). Only four restorations (SU-SE: 3 and SU-TEm: 1) were lost after 6 months (p > 0.05 for either criteria). Marginal discoloration occurred in one restoration in the SU-SE group (p > 0.05 for either criteria). Only 2/200 restorations were scored as bravo for marginal adaptation using the USPHS criteria (one for SU-SE and one for SU-SEet, p > 0.05). However, when using the FDI criteria, the percentage of bravo scores for marginal adaptation at 6 months were 32%, 36%, 42%, and 46% for groups SU-TEm, SU-TEd, SU-SEet, and SU-SE, respectively (p > 0.05). The clinical behavior of the multimode adhesive does not depend on the bonding strategy at 6 months. The FDI evaluation criteria are more sensitive than the USPHS criteria. At 6 months, the clinical behavior of the new multimode adhesive Scotchbond Universal was found to be reliable when used in noncarious cervical lesions and may not depend on the bonding strategy employed. © 2012 Wiley Periodicals, Inc.

  9. Shear strengths of a gallium alloy bonded to human enamel following nine different surface treatments.

    PubMed

    Claire, J; Williams, P T

    2001-03-01

    Gallium and indium-containing alloys have demonstrated an ability to wet and bond to many types of materials including enamel. The purpose of this study was to evaluate and compare the bond strengths of a gallium-and-indium-containing alloy and a dental amalgam to human enamel surfaces. A flat enamel bonding surface was created by slicing recently extracted human molars with a 180-grit diamond wheel. Cylinders of amalgam or a gallium-indium alloy were bonded to the as-cut surfaces or to as-cut surfaces that had been pumiced, air-abraded or acid-etched for various times. Before testing, samples were stored under different conditions (100% humidity, immersed in water, thermocycled). The shear-bond strength was determined using a crosshead speed of 0.1 mm x min(-1). Sample size was 10. Data was subjected to ANOVA and a post-hoc Tukey's test. The bond strength of amalgam to enamel was zero. The bond strength of the gallium-indium alloy ranged between 6.5 MPa (10s etch with 10% phosphoric acid) and 4.2 MPa (pumiced enamel). Acid-etching significantly increased the bond strength (P>0.0001) The bond strength was not significantly affected by the type of mechanical surface preparation, storage conditions, thermocycling, etching times or acid concentrations. Bonding, particularly chemical bonding, suggests a greater potential for better wetting and therefore better sealing of a cavity. Since microleakage of restorations is one of the principal causes of restoration failure, materials that can bond may in turn posses enhanced resistance to microleakage and ultimately, resistance to restoration failure. The gallium-indium alloy evaluated in this study may be such an alloy.

  10. Dry Ice Etches Terrain

    NASA Technical Reports Server (NTRS)

    2007-01-01

    [figure removed for brevity, see original site] Figure 1

    Every year seasonal carbon dioxide ice, known to us as 'dry ice,' covers the poles of Mars. In the south polar region this ice is translucent, allowing sunlight to pass through and warm the surface below. The ice then sublimes (evaporates) from the bottom of the ice layer, and carves channels in the surface.

    The channels take on many forms. In the subimage shown here (figure 1) the gas from the dry ice has etched wide shallow channels. This region is relatively flat, which may be the reason these channels have a different morphology than the 'spiders' seen in more hummocky terrain.

    Observation Geometry Image PSP_003364_0945 was taken by the High Resolution Imaging Science Experiment (HiRISE) camera onboard the Mars Reconnaissance Orbiter spacecraft on 15-Apr-2007. The complete image is centered at -85.4 degrees latitude, 104.0 degrees East longitude. The range to the target site was 251.5 km (157.2 miles). At this distance the image scale is 25.2 cm/pixel (with 1 x 1 binning) so objects 75 cm across are resolved. The image shown here has been map-projected to 25 cm/pixel . The image was taken at a local Mars time of 06:57 PM and the scene is illuminated from the west with a solar incidence angle of 75 degrees, thus the sun was about 15 degrees above the horizon. At a solar longitude of 219.6 degrees, the season on Mars is Northern Autumn.

  11. Bond strength of etch-and-rinse and self-etch adhesive systems to enamel and dentin irradiated with a novel CO2 9.3 μm short-pulsed laser for dental restorative procedures.

    PubMed

    Rechmann, Peter; Bartolome, N; Kinsel, R; Vaderhobli, R; Rechmann, B M T

    2017-12-01

    The objective of this study was to evaluate the influence of CO 2 9.3 μm short-pulsed laser irradiation on the shear bond strength of composite resin to enamel and dentin. Two hundred enamel and 210 dentin samples were irradiated with a 9.3 µm carbon dioxide laser (Solea, Convergent Dental, Inc., Natick, MA) with energies which either enhanced caries resistance or were effective for ablation. OptiBond Solo Plus [OptiBondTE] (Kerr Corporation, Orange, CA) and Peak Universal Bond light-cured adhesive [PeakTE] (Ultradent Products, South Jordan, UT) were used. In addition, Scotchbond Universal [ScotchbondSE] (3M ESPE, St. Paul, MN) and Peak SE self-etching primer with Peak Universal Bond light-cured adhesive [PeakSE] (Ultradent Products) were tested. Clearfil APX (Kuraray, New York, NY) was bonded to the samples. After 24 h, a single plane shear bond test was performed. Using the caries preventive setting on enamel resulted in increased shear bond strength for all bonding agents except for self-etch PeakSE. The highest overall bond strength was seen with PeakTE (41.29 ± 6.04 MPa). Etch-and-rinse systems achieved higher bond strength values to ablated enamel than the self-etch systems did. PeakTE showed the highest shear bond strength with 35.22 ± 4.40 MPa. OptiBondTE reached 93.8% of its control value. The self-etch system PeakSE presented significantly lower bond strength. The shear bond strength to dentin ranged between 19.15 ± 3.49 MPa for OptiBondTE and 43.94 ± 6.47 MPa for PeakSE. Etch-and-rinse systems had consistently higher bond strength to CO 2 9.3 µm laser-ablated enamel. Using the maximum recommended energy for dentin ablation, the self-etch system PeakSE reached the highest bond strength (43.9 ± 6.5 MPa).

  12. Understanding cathode flooding and dry-out for water management in air breathing PEM fuel cells

    NASA Astrophysics Data System (ADS)

    Paquin, Mathieu; Fréchette, Luc G.

    An analysis of water management in air breathing small polymer electrolyte membrane fuel cells (PEMFCs) is presented. Comprehensive understanding of flooding and dry-out limiting phenomena is presented through a combination of analytical modeling and experimental investigations using a small PEMFC prototype. Configurations of the fuel cell with different heat and mass transfer properties are experimentally evaluated to assess the impact of thermal resistance and mass transport resistance on water balance. Manifestation of dry-out and flooding problems, as limiting phenomena, are explained through a ratio between these two resistances. Main conclusions are that decreasing the ratio between thermal and mass transport resistance under a certain point leads to flooding problems in air breathing PEMFC. Increasing this ratio leads to dry-out of the polymer electrolyte membrane. However, too high thermal resistance or too low mass transport resistance reduces the limiting current by pushing forward the dry-out problem. This work provides a framework to achieve the proper balance between thermal rejection and mass transport to optimize the maximum current density of free convection fuel cells.

  13. S-MMICs: Sub-mm-Wave Transistors and Integrated Circuits

    DTIC Science & Technology

    2008-09-01

    Research Lab BAA DAAD19-03-R-0017 Research area 2.35: RF devices—Dr. Alfred Hung Submitted by: Mark Rodwell, Department of Electrical and Computer ...MOTIVATION / APPLICATION 3 TECHNOLOGY STATUS 4 TRANSISTOR SCALING LAWS 5 256 NM GENERATION 6 HBT POWER AMPLIFIER DEVELOPMENT 7 DRY-ETCHED EMITTER...TECHNOLOGY: 256 NM GENERATION 9 SCALED EPITAXY 11 CONCLUSIONS 12 20081103013 Executive Summary Transistor and power amplifier IC technology was

  14. Enhanced etching of tin-doped indium oxide due to surface modification by hydrogen ion injection

    NASA Astrophysics Data System (ADS)

    Li, Hu; Karahashi, Kazuhiro; Friederich, Pascal; Fink, Karin; Fukasawa, Masanaga; Hirata, Akiko; Nagahata, Kazunori; Tatsumi, Tetsuya; Wenzel, Wolfgang; Hamaguchi, Satoshi

    2018-06-01

    It is known that the etching yield (i.e., sputtering yield) of tin-doped indium oxide (ITO) by hydrocarbon ions (CH x +) is higher than its corresponding physical sputtering yield [H. Li et al., J. Vac. Sci. Technol. A 33, 060606 (2015)]. In this study, the effects of hydrogen in the incident hydrocarbon ion beam on the etching yield of ITO have been examined experimentally and theoretically with the use of a mass-selected ion beam system and by first-principles quantum mechanical (QM) simulation. As in the case of ZnO [H. Li et al., J. Vac. Sci. Technol. A 35, 05C303 (2017)], mass-selected ion beam experiments have shown that the physical sputtering yield of ITO by chemically inert Ne ions increases after a pretreatment of the ITO film by energetic hydrogen ion injection. First-principles QM simulation of the interaction of In2O3 with hydrogen atoms shows that hydrogen atoms embedded in In2O3 readily form hydroxyl (OH) groups and weaken or break In–O bonds around the hydrogen atoms, making the In2O3 film less resistant to physical sputtering. This is consistent with experimental observation of the enhanced etching yields of ITO by CH x + ions, considering the fact that hydrogen atoms of the incident CH x + ions are embedded into ITO during the etching process.

  15. Effect of Dentin Wetness on the Bond Strength of Universal Adhesives.

    PubMed

    Choi, An-Na; Lee, Ji-Hye; Son, Sung-Ae; Jung, Kyoung-Hwa; Kwon, Yong Hoon; Park, Jeong-Kil

    2017-10-25

    The effects of dentin wetness on the bond strength and adhesive interface morphology of universal adhesives have been investigated using micro-tensile bond strength (μTBS) testing and confocal laser scanning microscopy (CLSM). Seventy-two human third molars were wet ground to expose flat dentin surfaces. They were divided into three groups according to the air-drying time of the dentin surfaces: 0 (without air drying), 5, and 10 s. The dentin surfaces were then treated with three universal adhesives: G-Premio Bond, Single Bond Universal, and All-Bond Universal in self-etch or etch-and-rinse mode. After composite build up, a μTBS test was performed. One additional tooth was prepared for each group by staining the adhesives with 0.01 wt % of Rhodamine B fluorescent dye for CLSM analysis. The data were analyzed statistically using ANOVA and Tukey's post hoc tests (α = 0.05). Two-way ANOVA showed significant differences among the adhesive systems and dentin moisture conditions. An interaction effect was also observed ( p < 0.05). One-way ANOVA showed that All-Bond Universal was the only material influenced by the wetness of the dentin surfaces. Wetness of the dentin surface is a factor influencing the micro-tensile bond strength of universal adhesives.

  16. Effect of Dentin Wetness on the Bond Strength of Universal Adhesives

    PubMed Central

    Lee, Ji-Hye; Son, Sung-Ae; Jung, Kyoung-Hwa; Kwon, Yong Hoon

    2017-01-01

    The effects of dentin wetness on the bond strength and adhesive interface morphology of universal adhesives have been investigated using micro-tensile bond strength (μTBS) testing and confocal laser scanning microscopy (CLSM). Seventy-two human third molars were wet ground to expose flat dentin surfaces. They were divided into three groups according to the air-drying time of the dentin surfaces: 0 (without air drying), 5, and 10 s. The dentin surfaces were then treated with three universal adhesives: G-Premio Bond, Single Bond Universal, and All-Bond Universal in self-etch or etch-and-rinse mode. After composite build up, a μTBS test was performed. One additional tooth was prepared for each group by staining the adhesives with 0.01 wt % of Rhodamine B fluorescent dye for CLSM analysis. The data were analyzed statistically using ANOVA and Tukey’s post hoc tests (α = 0.05). Two-way ANOVA showed significant differences among the adhesive systems and dentin moisture conditions. An interaction effect was also observed (p < 0.05). One-way ANOVA showed that All-Bond Universal was the only material influenced by the wetness of the dentin surfaces. Wetness of the dentin surface is a factor influencing the micro-tensile bond strength of universal adhesives. PMID:29068404

  17. Atomic Layer Deposition of Wet-Etch Resistant Silicon Nitride Using Di(sec-butylamino)silane and N2 Plasma on Planar and 3D Substrate Topographies.

    PubMed

    Faraz, Tahsin; van Drunen, Maarten; Knoops, Harm C M; Mallikarjunan, Anupama; Buchanan, Iain; Hausmann, Dennis M; Henri, Jon; Kessels, Wilhelmus M M

    2017-01-18

    The advent of three-dimensional (3D) finFET transistors and emergence of novel memory technologies place stringent requirements on the processing of silicon nitride (SiN x ) films used for a variety of applications in device manufacturing. In many cases, a low temperature (<400 °C) deposition process is desired that yields high quality SiN x films that are etch resistant and also conformal when grown on 3D substrate topographies. In this work, we developed a novel plasma-enhanced atomic layer deposition (PEALD) process for SiN x using a mono-aminosilane precursor, di(sec-butylamino)silane (DSBAS, SiH 3 N( s Bu) 2 ), and N 2 plasma. Material properties have been analyzed over a wide stage temperature range (100-500 °C) and compared with those obtained in our previous work for SiN x deposited using a bis-aminosilane precursor, bis(tert-butylamino)silane (BTBAS, SiH 2 (NH t Bu) 2 ), and N 2 plasma. Dense films (∼3.1 g/cm 3 ) with low C, O, and H contents at low substrate temperatures (<400 °C) were obtained on planar substrates for this process when compared to other processes reported in the literature. The developed process was also used for depositing SiN x films on high aspect ratio (4.5:1) 3D trench nanostructures to investigate film conformality and wet-etch resistance (in dilute hydrofluoric acid, HF/H 2 O = 1:100) relevant for state-of-the-art device architectures. Film conformality was below the desired levels of >95% and attributed to the combined role played by nitrogen plasma soft saturation, radical species recombination, and ion directionality during SiN x deposition on 3D substrates. Yet, very low wet-etch rates (WER ≤ 2 nm/min) were observed at the top, sidewall, and bottom trench regions of the most conformal film deposited at low substrate temperature (<400 °C), which confirmed that the process is applicable for depositing high quality SiN x films on both planar and 3D substrate topographies.

  18. Influence of laboratory degradation methods and bonding application parameters on microTBS of self-etch adhesives to dentin.

    PubMed

    Erhardt, Maria Carolina G; Pisani-Proença, Jatyr; Osorio, Estrella; Aguilera, Fátima S; Toledano, Manuel; Osorio, Raquel

    2011-04-01

    To evaluate the laboratory resistance to degradation and the use of different bonding treatments on resin-dentin bonds formed with three self-etching adhesive systems. Flat, mid-coronal dentin surfaces from extracted human molars were bonded according to manufacturer's directions and submitted to two challenging regimens: (A) chemical degradation with 10% NaOC1 immersion for 5 hours; and (B) fatigue loading at 90 N using 50,000 cycles at 3.0 Hz. Additional dentin surfaces were bonded following four different bonding application protocols: (1) according to manufacturer's directions; (2) acid-etched with 36% phosphoric acid (H3PO4) for 15 seconds; (3) 10% sodium hypochlorite (NaOClaq) treated for 2 minutes, after H3PO4-etching; and (4) doubling the application time of the adhesives. Two one-step self-etch adhesives (an acetone-based: Futurabond/FUT and an ethanol-based: Futurabond NR/FNR) and a two-step self-etch primer system (Clearfil SE Bond/CSE) were examined. Specimens were sectioned into beams and tested for microtensile bond strength (microTBS). Selected debonded specimens were observed under scanning electron microscopy (SEM). Data (MPa) were analyzed by ANOVA and multiple comparisons tests (alpha= 0.05). microTBS significantly decreased after chemical and mechanical challenges (P< 0.05). CSE showed higher microTBS than the other adhesive systems, regardless the bonding protocol. FUT attained the highest microTBS after doubling the application time. H3PO4 and H3PO4 + NaOCl pretreatments significantly decreased bonding efficacy of the adhesives.

  19. Effect of Atomic Hydrogen on Preparation of Highly Moisture-Resistive SiNx Films at Low Substrate Temperatures

    NASA Astrophysics Data System (ADS)

    Heya, Akira; Niki, Toshikazu; Takano, Masahiro; Yonezawa, Yasuto; Minamikawa, Toshiharu; Muroi, Susumu; Minami, Shigehira; Izumi, Akira; Masuda, Atsushi; Umemoto, Hironobu; Matsumura, Hideki

    2004-12-01

    Highly moisture-resistive SiNx films on a Si substrate are obtained at substrate temperatures of 80°C by catalytic chemical vapor deposition (Cat-CVD) using a source gas with H2. Atomic hydrogen effected the selective etching of a weak-bond regions and an increase in atomic density induced by the energy of the surface reaction. It is concluded that Cat-CVD using H2 is a promising candidate for the fabrication of highly moisture-resistive SiNx films at low temperatures.

  20. Process for reducing series resistance of solar-cell metal-contact systems with a soldering-flux etchant

    DOEpatents

    Coyle, R.T.; Barrett, J.M.

    1982-05-04

    Disclosed is a process for substantially reducing the series resistance of a solar cell having a thick film metal contact assembly thereon while simultaneously removing oxide coatings from the surface of the assembly prior to applying solder therewith. The process includes applying a flux to the contact assembly and heating the cell for a period of time sufficient to substantially remove the series resistance associated with the assembly by etching the assembly with the flux while simultaneously removing metal oxides from said surface of said assembly.

  1. Process for reducing series resistance of solar cell metal contact systems with a soldering flux etchant

    DOEpatents

    Coyle, R. T.; Barrett, Joy M.

    1984-01-01

    Disclosed is a process for substantially reducing the series resistance of a solar cell having a thick film metal contact assembly thereon while simultaneously removing oxide coatings from the surface of the assembly prior to applying solder therewith. The process includes applying a flux to the contact assembly and heating the cell for a period of time sufficient to substantially remove the series resistance associated with the assembly by etching the assembly with the flux while simultaneously removing metal oxides from said surface of said assembly.

  2. Development of a rapid screening protocol for selection of strains resistant to spray drying and storage in dry powder.

    PubMed

    Reimann, S; Grattepanche, F; Baggenstos, C; Rezzonico, E; Berger, B; Arigoni, F; Lacroix, C

    2010-06-01

    An efficient screening method for selection of Bifidobacterium longum strains resistant to spray drying and storage was developed based on randomly amplified polymorphic DNA (RAPD) for identification of the best survivors in mixed strains bacterial preparations. Three different primers were used to generate RAPD profiles of 22 B. longum strains. All strains were distinguished according to their RAPD profiles except for the strain NCC2705 and its H(2)O(2) resistant derivative variant. The 22 strains were grouped in 3 batches of 7, 7 and 8 strains and subjected to spray drying and storage at 30 and 37 °C under anaerobic conditions. Batch survival rates after spray drying reached 17.1±4.4%. Strains showing the highest prevalence and/or resistance to storage at 37 °C were selected from individual batches for subsequent spray drying and storage testing. After 67 days of storage, NCC572 was identified as the dominant strain in powder. The stability of strain NCC572 was confirmed by performing single spray drying and storage tests. Out of 22 B. longum strains, a robust strain was identified by combining RAPD with a simultaneous screening test for survival under spray drying and storage. The method allowed a fast screening of B. longum strains in mixture for resistance to spray drying and storage compared to traditional screening procedures carried out with individual strains, in the same conditions. This approach could be applied to other stress conditions.

  3. Elasto-Capillary Folding Using Stop-Programmable Hinges Fabricated by 3D Micro-Machining

    PubMed Central

    Legrain, Antoine; Berenschot, Erwin J. W.; Tas, Niels R.; Abelmann, Leon

    2015-01-01

    We show elasto-capillary folding of silicon nitride objects with accurate folding angles between flaps of (70.6 ± 0.1)° and demonstrate the feasibility of such accurate micro-assembly with a final folding angle of 90°. The folding angle is defined by stop-programmable hinges that are fabricated starting from silicon molds employing accurate three-dimensional corner lithography. This nano-patterning method exploits the conformal deposition and the subsequent timed isotropic etching of a thin film in a 3D shaped silicon template. The technique leaves a residue of the thin film in sharp concave corners which can be used as an inversion mask in subsequent steps. Hinges designed to stop the folding at 70.6° were fabricated batchwise by machining the V-grooves obtained by KOH etching in (110) silicon wafers; 90° stop-programmable hinges were obtained starting from silicon molds obtained by dry etching on (100) wafers. The presented technique has potential to achieve any folding angle and opens a new route towards creating structures with increased complexity, which will ultimately lead to a novel method for device fabrication. PMID:25992886

  4. Preparation and Characterization of Ato Nanoparticles by Coprecipitation with Modified Drying Method

    NASA Astrophysics Data System (ADS)

    Liu, Shimin; Liang, Dongdong; Liu, Jindong; Jiang, Weiwei; Liu, Chaoqian; Ding, Wanyu; Wang, Hualin; Wang, Nan

    Antimony-doped tin oxide (ATO) nanoparticles were prepared by coprecipitation by packing drying and traditional direct drying (for comparison) methods. The as-prepared ATO nanoparticles were characterized by TG, XRD, EDS, TEM, HRTEM, BET, bulk density and electrical resistivity measurements. Results indicated that the ATO nanoparticles obtained by coprecipitation with direct drying method featured hard-agglomerated morphology, high bulk density, low surface area and low electrical resistivity, probably due to the direct liquid evaporation during drying, the fast shrinkage of the precipitate, the poor removal efficiency of liquid molecules and the hard agglomerate formation after calcination. Very differently, the ATO product obtained by the packing and drying method featured free-agglomerated morphology, low bulk density, high surface area and high electrical resistivity ascribed probably to the formed vapor cyclone environment and liquid evaporation-resistance, avoiding fast liquid removal and improving the removal efficiency of liquid molecules. The intrinsic formation mechanism of ATO nanoparticles from different drying methods was illustrated based on the dehydration process of ATO precipitates. Additionally, the packing and drying time played key roles in determining the bulk density, morphology and electrical conductivity of ATO nanoparticles.

  5. Influence of the doping type and level on the morphology of porous Si formed by galvanic etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pyatilova, O. V., E-mail: 5ilova87@gmail.com; Gavrilov, S. A.; Shilyaeva, Yu. I.

    The formation of porous silicon (por-Si) layers by the galvanic etching of single-crystal Si samples (doped with boron or phosphorus) in an HF/C{sub 2}H{sub 5}OH/H{sub 2}O{sub 2} solution is investigated. The por-Si layers are analyzed by the capillary condensation of nitrogen and scanning electron microscopy (SEM). The dependences of the morphological characteristics of por-Si (pore diameter, specific surface area, pore volume, and thickness of the pore walls), which determine the por-Si combustion kinetics, on the dopant type and initial wafer resistivity are established.

  6. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires.

    PubMed

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Unalan, Husnu Emrah

    2011-04-15

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  7. Effect of electroless etching parameters on the growth and reflection properties of silicon nanowires

    NASA Astrophysics Data System (ADS)

    Ozdemir, Baris; Kulakci, Mustafa; Turan, Rasit; Emrah Unalan, Husnu

    2011-04-01

    Vertically aligned silicon nanowire (Si NW) arrays have been fabricated over large areas using an electroless etching (EE) method, which involves etching of silicon wafers in a silver nitrate and hydrofluoric acid based solution. A detailed parametric study determining the relationship between nanowire morphology and time, temperature, solution concentration and starting wafer characteristics (doping type, resistivity, crystallographic orientation) is presented. The as-fabricated Si NW arrays were analyzed by field emission scanning electron microscope (FE-SEM) and a linear dependency of nanowire length to both temperature and time was obtained and the change in the growth rate of Si NWs at increased etching durations was shown. Furthermore, the effects of EE parameters on the optical reflectivity of the Si NWs were investigated in this study. Reflectivity measurements show that the 42.8% reflectivity of the starting silicon wafer drops to 1.3%, recorded for 10 µm long Si NW arrays. The remarkable decrease in optical reflectivity indicates that Si NWs have a great potential to be utilized in radial or coaxial p-n heterojunction solar cells that could provide orthogonal photon absorption and enhanced carrier collection.

  8. Interface and transport properties of metallization contacts to flat and wet-etching roughed N-polar n-type GaN.

    PubMed

    Wang, Liancheng; Liu, Zhiqiang; Guo, Enqing; Yang, Hua; Yi, Xiaoyan; Wang, Guohong

    2013-06-26

    The electrical characteristics of metallization contacts to flat (F-sample, without wet-etching roughed) and wet-etching roughed (R-sample) N-polar (Nitrogen-polar) n-GaN have been investigated. R-sample shows higher contact resistance (Rc) to Al/Ti/Au (~2.5 × 10(-5) Ω·cm(2)) and higher Schottky barriers height (SBH, ~0.386 eV) to Ni/Au, compared with that of F-sample (~1.3 × 10(-6) Ω·cm(2), ~0.154 eV). Reasons accounting for this discrepancy has been detail investigated and discussed: for R-sample, wet-etching process caused surface state and spontaneous polarization variation will degraded its electrical characteristics. Metal on R-sample shows smoother morphology, however, the effect of metal deposition state on electrical characteristics is negligible. Metallization contact area for both samples has also been further considered. Electrical characteristics of metallization contact to both samples show degradation upon annealing. The VLED chip (1 mm × 1 mm), which was fabricated on the basis of a hybrid scheme, coupling the advantage of F- and R-sample, shows the lowest forward voltage (2.75 V@350 mA) and the highest light output power.

  9. Development of Ordered, Porous (Sub-25 nm Dimensions) Surface Membrane Structures Using a Block Copolymer Approach.

    PubMed

    Ghoshal, Tandra; Holmes, Justin D; Morris, Michael A

    2018-05-08

    In an effort to develop block copolymer lithography to create high aspect vertical pore arrangements in a substrate surface we have used a microphase separated poly(ethylene oxide) -b- polystyrene (PEO-b-PS) block copolymer (BCP) thin film where (and most unusually) PS not PEO is the cylinder forming phase and PEO is the majority block. Compared to previous work, we can amplify etch contrast by inclusion of hard mask material into the matrix block allowing the cylinder polymer to be removed and the exposed substrate subject to deep etching thereby generating uniform, arranged, sub-25 nm cylindrical nanopore arrays. Briefly, selective metal ion inclusion into the PEO matrix and subsequent processing (etch/modification) was applied for creating iron oxide nanohole arrays. The oxide nanoholes (22 nm diameter) were cylindrical, uniform diameter and mimics the original BCP nanopatterns. The oxide nanohole network is demonstrated as a resistant mask to fabricate ultra dense, well ordered, good sidewall profile silicon nanopore arrays on substrate surface through the pattern transfer approach. The Si nanopores have uniform diameter and smooth sidewalls throughout their depth. The depth of the porous structure can be controlled via the etch process.

  10. Non-chemically amplified 248-nm resist materials

    NASA Astrophysics Data System (ADS)

    Willson, C. Grant; Yueh, Wang; Leeson, Michael J.; Steinhausler, Thomas; McAdams, Christopher L.; Dammel, Ralph R.; Sounik, James R.; Aslam, M.; Vicari, Richard; Sheehan, Michael

    1997-07-01

    Remarkable progress has been made in the formulation of chemically amplified resists for deep-UV (DUV or 248 nm) lithography. These materials are now in general use in full scale manufacturing. One of the deterrents to rapid and universal adoption of DUV lithography has been the combination of high cost of ownership and a narrow process latitude when compared to conventional i-line process alternatives. A significant part of the high cost of the DUV process is associated with installing and maintaining special air handling equipment that is required to remove basic contaminants from the ambient. Manufacture process latitude demands this special air handling. The chemically amplified resists were developed originally to support mercury lamp powered exposure systems. The sensitivity realized by chemical amplification is required to enable useful productivity with such systems that generate very little DUV flux at the wafer plane. With the advent of high powered excimer laser based illumination systems for 248 nm steppers and step-and-scan systems, it is appropriate to re-examine the applicability of non-chemically amplified DUV resist systems. These systems are less sensitive but have the potential to offer both lower cost of ownership and improved process latitude. A series of photoactive compounds (PACs) have been synthesized and auditioned for use in the formulation of a non-chemically amplified 248 nm resist. The most promising of these materials are analogs of 3-oxo-3-diazocoumarin. This chromophore displays photochemistry that is analogous to that of the diazonaphthoquinones (DNQ) that are the basis of i-line resist formulations, but it bleaches at 248 nm. Several structural analogs of the chromophore have been synthesized and a variety of ballast groups have been studied with the goal of enhancing the dissolution inhibition properties of the molecule. The diazocoumarin PACs have been formulated with customized phenolic resins that were designed to provide the combination of optical transparency, dry etch resistance and the dissolution characteristics that are required for manufacturing applications. The resins are copolymers of poly(4-hydroxystyrene) and blends of these polymers with novolac.

  11. Photomask etch system and process for 10nm technology node and beyond

    NASA Astrophysics Data System (ADS)

    Chandrachood, Madhavi; Grimbergen, Michael; Yu, Keven; Leung, Toi; Tran, Jeffrey; Chen, Jeff; Bivens, Darin; Yalamanchili, Rao; Wistrom, Richard; Faure, Tom; Bartlau, Peter; Crawford, Shaun; Sakamoto, Yoshifumi

    2015-10-01

    While the industry is making progress to offer EUV lithography schemes to attain ultimate critical dimensions down to 20 nm half pitch, an interim optical lithography solution to address an immediate need for resolution is offered by various integration schemes using advanced PSM (Phase Shift Mask) materials including thin e-beam resist and hard mask. Using the 193nm wavelength to produce 10nm or 7nm patterns requires a range of optimization techniques, including immersion and multiple patterning, which place a heavy demand on photomask technologies. Mask schemes with hard mask certainly help attain better selectivity and hence better resolution but pose integration challenges and defectivity issues. This paper presents a new photomask etch solution for attenuated phase shift masks that offers high selectivity (Cr:Resist > 1.5:1), tighter control on the CD uniformity with a 3sigma value approaching 1 nm and controllable CD bias (5-20 nm) with excellent CD linearity performance (<5 nm) down to the finer resolution. The new system has successfully demonstrated capability to meet the 10 nm node photomask CD requirements without the use of more complicated hard mask phase shift blanks. Significant improvement in post wet clean recovery performance was demonstrated by the use of advanced chamber materials. Examples of CD uniformity, linearity, and minimum feature size, and etch bias performance on 10 nm test site and production mask designs will be shown.

  12. Thin film and high-etch-rate type 248-nm bottom antireflective coatings

    NASA Astrophysics Data System (ADS)

    Enomoto, Tomoyuki; Takei, Satoshi; Kishioka, Takahiro; Hatanaka, Tadashi; Sakamoto, Rikimaru; Nakajima, Yasuyuki

    2004-05-01

    A frequent problem encountered by photoresists during the manufacturing of semiconductor device is that activating radiation is reflected back into the photoresist by the substrate. So, it is necessary that the light reflection is reduced from the substrate. One approach to reduce the light reflection is the use of bottom anti-reflective coating (BARC) applied to the substrate beneath the photoresist layer. The BARC technology has been utilized for a few years to minimize the reflectivity. As the chip size is reduced to sub 0.13 micron, the photoresist thickness has to decrease with the aspect ratio being less than 3.0. Therefore, new Organic BARC is strongly required which has the minimum reflectivity with thinner BARC thickness and higher etch selectivity toward resists. Nissan Chemical Industries, Ltd. and Brewer Science, Inc. have developed the advanced Organic BARC for achieving the above purpose. As a result, the suitable high performance NCA3000 series 248nm Organic BARCs were developed. Using CF4 gas as etchant, the plasma etch rate of NCA3000 series is about 1.4-1.6 times higher than that of conventional 248nm resists and 1.1-1.2 times higher than that of the existing product. The NCA3000 series can minimize the substrate reflectivity at below 45nm BARC thickness, shows excellent litho performance and coating properties.

  13. Non-chemically amplified 193-nm top surface imaging photoresist development: polymer substituent and polydispersity effects

    NASA Astrophysics Data System (ADS)

    Kim, Myoung-Soo; Kim, Hyoung-Gi; Kim, Hyeong-Soo; Baik, Ki-Ho; Johnson, Donald W.; Cernigliaro, George J.; Minsek, David W.

    1999-06-01

    Thin film imaging processes such as top surface imaging (TSI) are candidates for sub-150 nm lithography using 193 nm lithography. Single component, non-chemically amplified, positive tone TSI photoresists based on phenolic polymers demonstrate good post-etch contrast, resolution, and minimal line edge roughness, in addition to being the most straightforward thin film imaging approach. In this approach, ArF laser exposure results directly in radiation- induced crosslinking of the phenolic polymer, followed by formation of a thin etch mask at the surface of the un- exposed regions by vapor-phase silylation, followed by reactive ion etching of the non-silylated regions. However, single component resists based on poly(para-hydroxystryene) (PHS), such as MicroChem's Nano MX-P7, suffer from slow photospeed as well as low silylation contrast which can cause reproducibility and line-edge-roughness problems. We report that selected aromatic substitution of the poly(para- hydroxystryene) polymer can increase the photospeed by up to a factor of four relative to un-substituted PHS. In this paper we report the synthesis and lithographic evaluations of four experimental TSI photoresists. MX-EX-1, MX-EX-2, MX- EX-3 and MX-EX-4 are non-chemically amplified resists based on aromatic substitutions of chloro- and hydroxymethyl- groups and PHS. We report optimized lithographic processing conditions, line edge roughness, silylation contrast, and compare the results to the parent PHS photoresist.

  14. Non-CAR resists and advanced materials for Massively Parallel E-Beam Direct Write process integration

    NASA Astrophysics Data System (ADS)

    Pourteau, Marie-Line; Servin, Isabelle; Lepinay, Kévin; Essomba, Cyrille; Dal'Zotto, Bernard; Pradelles, Jonathan; Lattard, Ludovic; Brandt, Pieter; Wieland, Marco

    2016-03-01

    The emerging Massively Parallel-Electron Beam Direct Write (MP-EBDW) is an attractive high resolution high throughput lithography technology. As previously shown, Chemically Amplified Resists (CARs) meet process/integration specifications in terms of dose-to-size, resolution, contrast, and energy latitude. However, they are still limited by their line width roughness. To overcome this issue, we tested an alternative advanced non-CAR and showed it brings a substantial gain in sensitivity compared to CAR. We also implemented and assessed in-line post-lithographic treatments for roughness mitigation. For outgassing-reduction purpose, a top-coat layer is added to the total process stack. A new generation top-coat was tested and showed improved printing performances compared to the previous product, especially avoiding dark erosion: SEM cross-section showed a straight pattern profile. A spin-coatable charge dissipation layer based on conductive polyaniline has also been tested for conductivity and lithographic performances, and compatibility experiments revealed that the underlying resist type has to be carefully chosen when using this product. Finally, the Process Of Reference (POR) trilayer stack defined for 5 kV multi-e-beam lithography was successfully etched with well opened and straight patterns, and no lithography-etch bias.

  15. Improvement of a block co-polymer (PS-b-PDMS) template etch profile using amorphous carbon layer

    NASA Astrophysics Data System (ADS)

    Oh, JiSoo; Oh, Jong Sik; Sung, DaIn; Yim, SoonMin; Song, SeungWon; Yeom, GeunYoung

    2017-03-01

    Block copolymers (BCPs) are consisted of at least two types of monomers which have covalent bonding. One of the widely investigated BCPs is polystyrene-block-polydimethylsiloxane (PS-b-PDMS), which is used as an alternative patterning method for various deep nanoscale devices due to its high Flory-Huggins interaction parameter (χ), such as optical devices and transistors, replacing conventional photolithography. As an alternate or supplementary nextgeneration lithography technology to extreme ultraviolet lithography (EUVL), BCP lithography utilizing the DSA of BCP has been actively studied. However, the nanoscale BCP mask material is easily damaged by the plasma and has a very low etch selectivity over bottom semiconductor materials, because it is composed of polymeric materials even though it contains Si in PDMS. In this study, an amorphous carbon layer (ACL) was inserted as a hardmask material between BCP and materials to be patterned, and, by using O2 plasmas, the characteristics of dry etching of ACL for high aspect ratio (HAR) using a 10 nm PDMS pattern were investigated. The results showed that, by using a PS-b-PDMS pattern with an aspect ratio of 0.3 0.9:1, a HAR PDMS/ACL double layer mask with an aspect ratio of 10:1 could be fabricated. In addition, by the optimization of the plasma etch process, ACL masks with excellent sidewall roughness (SWR,1.35 nm) and sidewall angle (SWA, 87.9˚) could be fabricated.

  16. Etching-free patterning method for electrical characterization of atomically thin MoSe2 films grown by chemical vapor deposition

    NASA Astrophysics Data System (ADS)

    Utama, M. Iqbal Bakti; Lu, Xin; Zhan, Da; Ha, Son Tung; Yuan, Yanwen; Shen, Zexiang; Xiong, Qihua

    2014-10-01

    Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures.Patterning two-dimensional materials into specific spatial arrangements and geometries is essential for both fundamental studies of materials and practical applications in electronics. However, the currently available patterning methods generally require etching steps that rely on complicated and expensive procedures. We report here a facile patterning method for atomically thin MoSe2 films using stripping with an SU-8 negative resist layer exposed to electron beam lithography. Additional steps of chemical and physical etching were not necessary in this SU-8 patterning method. The SU-8 patterning was used to define a ribbon channel from a field effect transistor of MoSe2 film, which was grown by chemical vapor deposition. The narrowing of the conduction channel area with SU-8 patterning was crucial in suppressing the leakage current within the device, thereby allowing a more accurate interpretation of the electrical characterization results from the sample. An electrical transport study, enabled by the SU-8 patterning, showed a variable range hopping behavior at high temperatures. Electronic supplementary information (ESI) available: Further experiments on patterning and additional electrical characterizations data. See DOI: 10.1039/c4nr03817g

  17. Optical and electrical properties of ion beam textured Kapton and Teflon

    NASA Technical Reports Server (NTRS)

    Mirtich, M. J.; Sovey, J. S.

    1977-01-01

    An electron bombardment argon ion source was used to ion etch polyimide (Kapton) and fluorinated ethylene, FEP (Teflon). Samples of polyimide and FEP were exposed to (0.5-1.0) keV Ar ions at ion current densities of (1.0-1/8) mA/sq cm for various exposure times. Changes in the optical and electrical properties of the samples were used to characterize the exposure. Spectral reflectance and transmittance measurements were made between 0.33 and 2.16 micron m using an integrating sphere after each exposure. From these measurements, values of solar absorptance were obtained. Total emittance measurements were also recorded for some samples. Surface resistivity was used to determine changes in the electrical conductivity of the etched samples. A scanning electron microscope recorded surface structure after exposure. Spectral optical data, resistivity measurements, calculated absorptance and emittance measurements are presented along with photomicrographs of the surface structure for the various exposures to Ar ions.

  18. Improved Ohmic-contact to AlGaN/GaN using Ohmic region recesses by self-terminating thermal oxidation assisted wet etching technique

    NASA Astrophysics Data System (ADS)

    Liu, J.; Wang, J.; Wang, H.; Zhu, L.; Wu, W.

    2017-06-01

    Lower Ti/Al/Ni/Au Ohmic contact resistance on AlGaN/GaN with wider rapid thermal annealing (RTA) temperature window was achieved using recessed Ohmic contact structure based on self-terminating thermal oxidation assisted wet etching technique (STOAWET), in comparison with conventional Ohmic contacts. Even at lower temperature such as 650°C, recessed structure by STOAWET could still obtain Ohmic contact with contact resistance of 1.97Ω·mm, while conventional Ohmic structure mainly featured as Schottky contact. Actually, both Ohmic contact recess and mesa isolation processes could be accomplished by STOAWET in one process step and the process window of STOAWET is wide, simplifying AlGaN/GaN HEMT device process. Our experiment shows that the isolation leakage current by STOAWET is about one order of magnitude lower than that by inductivity coupled plasma (ICP) performed on the same wafer.

  19. Development of a unique laboratory standard: Indium gallium arsenide detector for the 500-1700 nm spectral region

    NASA Technical Reports Server (NTRS)

    1987-01-01

    A planar (5 mm diameter) indium gallium arsenide detector having a high (greater than 50 pct) quantum efficiency from the visible into the infrared spectrum (500 to 1700 nm) was fabricated. Quantum efficiencies as high as 37 pct at 510 nm, 58 pct at 820 nm and 62 pct at 1300 nm and 1550 nm were measured. A planar InP/InGaAs detector structure was also fabricated using vapor phase epitaxy to grow device structures with 0, 0.2, 0.4 and 0.6 micrometer thick InP caps. Quantum efficiency was studied as a function of cap thickness. Conventional detector structures were also used by completely etching off the InP cap after zinc diffusion. Calibrated quantum efficiencies were measured. Best results were obtained with devices whose caps were completely removed by etching. Certain problems still remain with these detectors including non-uniform shunt resistance, reproducibility, contact resistance and narrow band anti-reflection coatings.

  20. Design and development of next-generation bottom anti-reflective coatings for 45nm process with hyper NA lithography

    NASA Astrophysics Data System (ADS)

    Nakajima, Makoto; Sakaguchi, Takahiro; Hashimoto, Keisuke; Sakamoto, Rikimaru; Kishioka, Takahiro; Takei, Satoshi; Enomoto, Tomoyuki; Nakajima, Yasuyuki

    2006-03-01

    Integrated circuit manufacturers are consistently seeking to minimize device feature dimensions in order to reduce chip size and increase integration level. Feature sizes on chips are achieved sub 65nm with the advanced 193nm microlithography process. R&D activities of 45nm process have been started so far, and 193nm lithography is used for this technology. The key parameters for this lithography process are NA of exposure tool, resolution capability of resist, and reflectivity control with bottom anti-reflective coating (BARC). In the point of etching process, single-layer resist process can't be applied because resist thickness is too thin for getting suitable aspect ratio. Therefore, it is necessary to design novel BARC system and develop hard mask materials having high etching selectivity. This system and these materials can be used for 45nm generation lithography. Nissan Chemical Industries, Ltd. and Brewer Science, Inc. have been designed and developed the advanced BARCs for the above propose. In order to satisfy our target, we have developed novel BARC and hard mask materials. We investigated the multi-layer resist process stacked 4 layers (resist / thin BARC / silicon-contained BARC (Si-ARC) / spin on carbon hard mask (SOC)) (4 layers process). 4 layers process showed the excellent lithographic performance and pattern transfer performance. In this paper, we will discuss the detail of our approach and materials for 4 layers process.

  1. Salivary contamination during bonding procedures with a one-bottle adhesive system.

    PubMed

    Fritz, U B; Finger, W J; Stean, H

    1998-09-01

    The effect of salivary contamination of enamel and dentin on bonding efficacy of an experimental one-bottle resin adhesive was investigated. The adhesive was a light-curing urethane dimethacrylate/hydroxyethyl methacrylate/4-methacryloxyethyl trimellitate anhydride mixture dissolved in acetone. Evaluation parameters were shear bond strength and marginal gap width in a dental cavity. Apart from a control group without contamination (group 1), etched enamel and dentin were (2) contaminated with saliva and air dried; (3) contaminated, rinsed, and blot dried; (4) coated with adhesive, contaminated, rinsed, and blot dried; (5) coated with adhesive, light cured, contaminated, rinsed, and air dried; or (6) treated as in group 5, with additional adhesive application after air drying. There was no negative effect in groups 3 and 4, compared with control. Air drying after salivary contamination (group 2) resulted in low shear bond strengths and wide marginal gaps. Contamination of the cured adhesive layer (groups 5 and 6) had no adverse effect on enamel shear bond strengths, but resulted in 50% reduced dentin shear bond strengths and wide marginal gaps. The one-bottle adhesive system is relatively insensitive to salivary contamination, provided that the contamination occurs prior to light curing of the adhesive and is carefully rinsed and blot dried. Salivary contact after adhesive curing must be avoided.

  2. Occurrence of tributyltin (TBT)-resistant bacteria is not related to TBT pollution in Mekong River and coastal sediment: with a hypothesis of selective pressure from suspended solid.

    PubMed

    Suehiro, Fujiyo; Mochizuki, Hiroko; Nakamura, Shinji; Iwata, Hisato; Kobayashi, Takeshi; Tanabe, Shinsuke; Fujimori, Yoshifumi; Nishimura, Fumitake; Tuyen, Bui Cach; Tana, Touch Seang; Suzuki, Satoru

    2007-07-01

    Tributyltin (TBT) is organotin compound that is toxic to aquatic life ranging from bacteria to mammals. This study examined the concentration of TBT in sediment from and near the Mekong River and the distribution of TBT-resistant bacteria. TBT concentrations ranged from <2.4 to 2.4 ng/g (dry wt) in river sediment and <2.4-15 ng g(-1) (dry wt) in harbor sediment. Viable count of total bacteria ranged from 2.0 x 10(4) to 1.4 x 10(7)cfu/g, and counts of TBT-resistant bacteria ranged <1.0 x 10(2) to 2.5 x 10(4)cfu/g. The estimated occurrence rate of TBT-resistant bacteria ranged from <0.01 to 34% and was highest in upstream sites in Cambodia. The occurrences of TBT in the sediment and of TBT-resistant bacteria were unrelated, and chemicals other than TBT might induce TBT resistance. TBT-resistant bacteria were more abundant in the dry season than in the rainy season. Differences in the selection process of TBT-resistant bacteria between dry and rainy seasons were examined using an advection-diffusion model of a suspended solid (SS) that conveys chemicals. The estimated dilution-diffusion time over a distance of 120 km downstream from a release site was 20 days during dry season and 5 days during rainy season, suggesting that bacteria at the sediment surface could be exposed to SS for longer periods during dry season.

  3. Antibiotic resistance of lactic acid bacteria isolated from dry-fermented sausages.

    PubMed

    Fraqueza, Maria João

    2015-11-06

    Dry-fermented sausages are meat products highly valued by many consumers. Manufacturing process involves fermentation driven by natural microbiota or intentionally added starter cultures and further drying. The most relevant fermentative microbiota is lactic acid bacteria (LAB) such as Lactobacillus, Pediococcus and Enterococcus, producing mainly lactate and contributing to product preservation. The great diversity of LAB in dry-fermented sausages is linked to manufacturing practices. Indigenous starters development is considered to be a very promising field, because it allows for high sanitary and sensorial quality of sausage production. LAB have a long history of safe use in fermented food, however, since they are present in human gastrointestinal tract, and are also intentionally added to the diet, concerns have been raised about the antimicrobial resistance in these beneficial bacteria. In fact, the food chain has been recognized as one of the key routes of antimicrobial resistance transmission from animal to human bacterial populations. The World Health Organization 2014 report on global surveillance of antimicrobial resistance reveals that this issue is no longer a future prediction, since evidences establish a link between the antimicrobial drugs use in food-producing animals and the emergence of resistance among common pathogens. This poses a risk to the treatment of nosocomial and community-acquired infections. This review describes the possible sources and transmission routes of antibiotic resistant LAB of dry-fermented sausages, presenting LAB antibiotic resistance profile and related genetic determinants. Whenever LAB are used as starters in dry-fermented sausages processing, safety concerns regarding antimicrobial resistance should be addressed since antibiotic resistant genes could be mobilized and transferred to other bacteria. Copyright © 2015 Elsevier B.V. All rights reserved.

  4. Endospore production allows using spray-drying as a possible formulation system of the biocontrol agent Bacillus subtilis CPA-8.

    PubMed

    Yánez-Mendizabal, V; Viñas, I; Usall, J; Cañamás, T; Teixidó, N

    2012-04-01

    The role of endospore production by Bacillus subtilis CPA-8 on survival during spray-drying was investigated by comparison with a non-spore-forming biocontrol agent Pantoea agglomerans CPA-2. Endospore formation promoted heat resistance in CPA-8 depending on growth time (72 h cultures were more resistant than 24 h ones). The survival of CPA-8 and CPA-2 after spray-drying was determined after being grown in optimised media for 24 and 72 h. Spray-dried 72 h CPA-8 had the best survival (32%), while CPA-2 viability was less than 2%. CPA-8 survival directly related with its ability to produce endospores. Spray-dried CPA-8 reduced Monilinia fructicola conidia germination similarly to fresh cells, demonstrating that spray-drying did not adversely affect biocontrol efficacy. Endospore production thus improves CPA-8 resistance to spray-drying. These results can provide a reliable basis for optimising of the spray-drying formulation process for CPA-8 and other microorganisms.

  5. Sulfur passivation techniques for III-V wafer bonding

    NASA Astrophysics Data System (ADS)

    Jackson, Michael James

    The use of direct wafer bonding in a multijunction III-V solar cell structure requires the formation of a low resistance bonded interface with minimal thermal treatment. A wafer bonded interface behaves as two independent surfaces in close proximity, hence a major source of resistance is Fermi level pinning common in III-V surfaces. This study demonstrates the use of sulfur passivation in III-V wafer bonding to reduce the energy barrier at the interface. Two different sulfur passivation processes are addressed. A dry sulfur passivation method that utilizes elemental sulfur vapor activated by ultraviolet light in vacuum is compared with aqueous sulfide and native oxide etch treatments. Through the addition of a sulfur desorption step in vacuum, the UV-S treatment achieves bondable surfaces free of particles contamination or surface roughening. X-ray photoelectron spectroscopy measurements of the sulfur treated GaAs surfaces find lower levels of oxide and the appearance of sulfide species. After 4 hrs of air exposure, the UV-S treated GaAs actually showed an increase in the amount of sulfide bonded to the semiconductor, resulting in less oxidation compared to the aqueous sulfide treatment. Large area bonding is achieved for sulfur treated GaAs / GaAs and InP / InP with bulk fracture strength achieved after annealing at 400 °C and 300 °C respectively, without large compressive forces. The electrical conductivity across a sulfur treated 400 °C bonded n-GaAs/n-GaAs interface significantly increased with a short anneal (1-2 minutes) at elevated temperatures (50--600 °C). Interfaces treated with the NH4OH oxide etch, on the other hand, exhibited only mild improvement in accordance with previously published studies in this area. TEM and STEM images revealed similar interfacial microstructure changes with annealing for both sulfur treated and NH4OH interfaces, whereby some areas have direct semiconductor-semiconductor contact without any interfacial layer. Fitting the observed temperature dependence of zero bias conductance using a model for tunneling through a grain boundary reveals that the addition of sulfur at the interface lowered the interfacial energy barrier by 0.2 eV. The interface resistance for these sulfur-treated structures is less than 0.03 O·cm 2 at room temperature. These results emphasize that sulfur passivation techniques reduce interface states that otherwise limit the implementation of wafer bonding for high efficiency solar cells and other devices.

  6. Unclassified Publications of Lincoln Laboratory, 1 January-31 December 1987. Volume 13

    DTIC Science & Technology

    1987-12-31

    Visible-Laser Photochemical Etching of Cr , Mo, and W 5901 High-Speed Electronic Beam Steering Using Injection Locking of a Laser-Diode Array...of High- Power Broad-Area Diode Lasers High-Temperature Point-Contact Transistors and Schottky Diodes Formed on Synthetic Boron- Doped Diamond...SPEECHES MS No. 593IB C02 Laser Radar 6550B Recent Advances in Transition-Metal- Doped Lasers 6714D Radiation Damage in Dry

  7. Recent advances of high voltage AlGaN/GaN power HFETs

    NASA Astrophysics Data System (ADS)

    Uemoto, Yasuhiro; Ueda, Tetsuzo; Tanaka, Tsuyoshi; Ueda, Daisuke

    2009-02-01

    We review our recent advances of GaN-based high voltage power transistors. These are promising owing to low on-state resistance and high breakdown voltage taking advantages of superior material properties. However, there still remain a couple of technical issues to be solved for the GaN devices to replace the existing Si-based power devices. The most critical issue is to achieve normally-off operation which is strongly desired for the safety operation, however, it has been very difficult because of the built-in polarization electric field. Our new device called GIT (Gate Injection Transistor) utilizing conductivity modulation successfully achieves the normally-off operation keeping low on-state resistance. The fabricated GIT on a Si substrate exhibits threshold voltage of +1.0V. The obtained on-state resistance and off-state breakdown voltage were 2.6mΩ•cm2 and 800V, respectively. Remaining technical issue is to further increase the breakdown voltage. So far, the reported highest off-state breakdown voltage of AlGaN/GaN HFETs has been 1900V. Overcoming these issues by a novel device structure, we have demonstrated the world highest breakdown voltages of 10400V using thick poly-crystalline AlN as a passivation film and Via-holes through sapphire which enable very efficient layout of the lateral HFET array avoiding any undesired breakdown of passivation films. Since conventional wet or dry etching cannot be used for chemically stable sapphire, high power pulsed laser is used to form the via-holes. The presented GaN power devices demonstrate that GaN is advantageous for high voltage power switching applications replacing currently used Si-based power MOSFETs and IGBTs.

  8. Moth eye-inspired anti-reflective surfaces for improved IR optical systems & visible LEDs fabricated with colloidal lithography and etching.

    PubMed

    Chan, Lesley W; Morse, Daniel E; Gordon, Michael J

    2018-05-08

    Near- and sub-wavelength photonic structures are used by numerous organisms (e.g. insects, cephalopods, fish, birds) to create vivid and often dynamically-tunable colors, as well as create, manipulate, or capture light for vision, communication, crypsis, photosynthesis, and defense. This review introduces the physics of moth eye (ME)-like, biomimetic nanostructures and discusses their application to reduce optical losses and improve efficiency of various optoelectronic devices, including photodetectors, photovoltaics, imagers, and light emitting diodes. Light-matter interactions at structured and heterogeneous surfaces over different length scales are discussed, as are the various methods used to create ME-inspired surfaces. Special interest is placed on a simple, scalable, and tunable method, namely colloidal lithography with plasma dry etching, to fabricate ME-inspired nanostructures in a vast suite of materials. Anti-reflective surfaces and coatings for IR devices and enhancing light extraction from visible light emitting diodes are highlighted.

  9. Fabrication of porous nanostructures for Raman signal amplification

    NASA Astrophysics Data System (ADS)

    Mitsai, E. V.; Syubaev, S. A.; Kuchmizhak, A. A.

    2018-01-01

    Pulsed-laser dry printing of noble-metal microrings with a tunable internal porous structure, which can be revealed via an ion-beam etching post-procedure, was demonstrated. Average size of the pores inside the microrings were shown to be tuned in a wide range by varying the incident pulse energy and a nitrogen doping level controlled in the process of magnetron deposition of the gold film in the appropriate gaseous environment. The fabricated porous microrings were shown to provide many-fold near-field enhancement of incident electromagnetic fields, which was confirmed by mapping of the characteristic Raman band of a nanometer-thick covering layer of Rhodamine 6G molecules and supporting calculations. The proposed laser-printing/ion-beam etching approach is demonstrated to be a unique tool aimed at designing and fabricating multifunctional plasmonic structures and metasurfaces for spectroscopic bioidentification based on surface-enhanced Raman scattering and photoluminescence detection schemes.

  10. Dry-heat resistance of selected psychrophiles. [Viking lander in spacecraft sterilization

    NASA Technical Reports Server (NTRS)

    Winans, L.; Pflug, I. J.; Foster, T. L.

    1977-01-01

    The dry-heat resistance characteristics of spores of psychrophilic organisms isolated from soil samples from the Viking spacecraft assembly areas at Cape Kennedy Space Flight Center, Cape Canaveral, Fla., were studied. Spore suspensions were produced, and dry-heat D values were determined for the microorganisms that demonstrated growth or survival under a simulated Martian environment. The dry-heat tests were carried out by using the planchet-boat-hot plate system at 110 and 125 C with an ambient relative humidity of 50% at 22 C. The spores evaluated had a relatively low resistance to dry heat. D (110 C) values ranged from 7.5 to 122 min, whereas the D (125 C) values ranged from less than 1.0 to 9.8 min.

  11. Dry-heat resistance of selected psychrophiles.

    PubMed Central

    Winans, L; Pflug, I J; Foster, T L

    1977-01-01

    The dry-heat resistance characteristics of spores of psychrophilic organisms isolated from soil samples from the Viking spacecraft assembly areas at Cape Kennedy Space Flight Center, Cape Canaveral, Fla., were studied. Spore suspensions were produced, and dry-heat D values were determined for the microorganisms that demonstrated growth or survival under a simulated Martian environment. The dry-heat tests were carried out by using the planchet-boat-hot plate system at 110 and 125 degrees C with an ambient relative humidity of 50% at 22 degrees C. The spores evaluated had a relatively low resistance to dry heat. D(110 degrees C) values ranged from 7.5 to 122 min, whereas the D(123 degrees C) values ranged from less than 1.0 to 9.8 min. PMID:410367

  12. Flexible Chip Scale Package and Interconnect for Implantable MEMS Movable Microelectrodes for the Brain.

    PubMed

    Jackson, Nathan; Muthuswamy, Jit

    2009-04-01

    We report here a novel approach called MEMS microflex interconnect (MMFI) technology for packaging a new generation of Bio-MEMS devices that involve movable microelectrodes implanted in brain tissue. MMFI addresses the need for (i) operating space for movable parts and (ii) flexible interconnects for mechanical isolation. We fabricated a thin polyimide substrate with embedded bond-pads, vias, and conducting traces for the interconnect with a backside dry etch, so that the flexible substrate can act as a thin-film cap for the MEMS package. A double gold stud bump rivet bonding mechanism was used to form electrical connections to the chip and also to provide a spacing of approximately 15-20 µm for the movable parts. The MMFI approach achieved a chip scale package (CSP) that is lightweight, biocompatible, having flexible interconnects, without an underfill. Reliability tests demonstrated minimal increases of 0.35 mΩ, 0.23 mΩ and 0.15 mΩ in mean contact resistances under high humidity, thermal cycling, and thermal shock conditions respectively. High temperature tests resulted in an increase in resistance of > 90 mΩ when aluminum bond pads were used, but an increase of ~ 4.2 mΩ with gold bond pads. The mean-time-to-failure (MTTF) was estimated to be at least one year under physiological conditions. We conclude that MMFI technology is a feasible and reliable approach for packaging and interconnecting Bio-MEMS devices.

  13. Silicon cells made by self-aligned selective-emitter plasma-etchback process

    DOEpatents

    Ruby, Douglas S.; Schubert, William K.; Gee, James M.; Zaidi, Saleem H.

    2000-01-01

    Photovoltaic cells and methods for making them are disclosed wherein the metallized grids of the cells are used to mask portions of cell emitter regions to allow selective etching of phosphorus-doped emitter regions. The preferred etchant is SF.sub.6 or a combination of SF.sub.6 and O.sub.2. This self-aligned selective etching allows for enhanced blue response (versus cells with uniform heavy doping of the emitter) while preserving heavier doping in the region beneath the gridlines needed for low contact resistance. Embodiments are disclosed for making cells with or without textured surfaces. Optional steps include plasma hydrogenation and PECVD nitride deposition, each of which are suited to customized applications for requirements of given cells to be manufactured. The techniques disclosed could replace expensive and difficult alignment methodologies used to obtain selectively etched emitters, and they may be easily integrated with existing plasma processing methods and techniques of the invention may be accomplished in a single plasma-processing chamber.

  14. Electron transport characteristics of silicon nanowires by metal-assisted chemical etching

    NASA Astrophysics Data System (ADS)

    Qi, Yangyang; Wang, Zhen; Zhang, Mingliang; Wang, Xiaodong; Ji, An; Yang, Fuhua

    2014-03-01

    The electron transport characteristics of silicon nanowires (SiNWs) fabricated by metal-assisted chemical etching with different doping concentrations were studied. By increasing the doping concentration of the starting Si wafer, the resulting SiNWs were prone to have a rough surface, which had important effects on the contact and the electron transport. A metal-semiconductor-metal model and a thermionic field emission theory were used to analyse the current-voltage (I-V) characteristics. Asymmetric, rectifying and symmetric I-V curves were obtained. The diversity of the I-V curves originated from the different barrier heights at the two sides of the SiNWs. For heavily doped SiNWs, the critical voltage was one order of magnitude larger than that of the lightly doped, and the resistance obtained by differentiating the I-V curves at large bias was also higher. These were attributed to the lower electron tunnelling possibility and higher contact barrier, due to the rough surface and the reduced doping concentration during the etching process.

  15. Spin-on metal oxide materials with high etch selectivity and wet strippability

    NASA Astrophysics Data System (ADS)

    Yao, Huirong; Mullen, Salem; Wolfer, Elizabeth; McKenzie, Douglas; Rahman, Dalil; Cho, JoonYeon; Padmanaban, Munirathna; Petermann, Claire; Hong, SungEun; Her, YoungJun

    2016-03-01

    Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.

  16. Electric and ferroelectric properties of PZT/BLT multilayer films prepared by photochemical metal-organic deposition

    NASA Astrophysics Data System (ADS)

    Park, Hyeong-Ho; Lee, Hong-Sub; Park, Hyung-Ho; Hill, Ross H.; Hwang, Yun Taek

    2009-01-01

    The electric and ferroelectric properties of lead zirconate titanate (PZT) and lanthanum-substituted bismuth titanate (BLT) multilayer films prepared using photosensitive precursors were characterized. The electric and ferroelectric properties were investigated by studying the effect of the stacking order of four ferroelectric layers of PZT or BLT in 4-PZT, PZT/2-BLT/PZT, BLT/2-PZT/BLT, and 4-BLT multilayer films. The remnant polarization values of the 4-BLT and BLT/2-PZT/BLT multilayer films were 12 and 17 μC/cm 2, respectively. Improved ferroelectric properties of the PZT/BLT multilayer films were obtained by using a PZT intermediate layer. The films which contained a BLT layer on the Pt substrate had improved leakage currents of approximately two orders of magnitude and enhanced fatigue resistances compared to the films with a PZT layer on the Pt substrate. These improvements are due to the reduced number of defects and space charges near the Pt electrodes. The PZT/BLT multilayer films prepared by photochemical metal-organic deposition (PMOD) possessed enhanced electric and ferroelectric properties, and allow direct patterning to fabricate micro-patterned systems without dry etching.

  17. Mask replication using jet and flash imprint lithography

    NASA Astrophysics Data System (ADS)

    Selinidis, Kosta S.; Jones, Chris; Doyle, Gary F.; Brown, Laura; Imhof, Joseph; LaBrake, Dwayne L.; Resnick, Douglas J.; Sreenivasan, S. V.

    2011-11-01

    The Jet and Flash Imprint Lithography (J-FILTM) process uses drop dispensing of UV curable resists to assist high resolution patterning for subsequent dry etch pattern transfer. The technology is actively being used to develop solutions for memory markets including Flash memory and patterned media for hard disk drives. It is anticipated that the lifetime of a single template (for patterned media) or mask (for semiconductor) will be on the order of 104 - 105imprints. This suggests that tens of thousands of templates/masks will be required to satisfy the needs of a manufacturing environment. Electron-beam patterning is too slow to feasibly deliver these volumes, but instead can provide a high quality "master" mask which can be replicated many times with an imprint lithography tool. This strategy has the capability to produce the required supply of "working" templates/masks. In this paper, we review the development of the mask form factor, imprint replication tools and the semiconductor mask replication process. A PerfectaTM MR5000 mask replication tool has been developed specifically to pattern replica masks from an ebeam written master. Performance results, including image placement, critical dimension uniformity, and pattern transfer are covered in detail.

  18. An advanced arc track resistant airframe wire

    NASA Technical Reports Server (NTRS)

    Beatty, J.

    1995-01-01

    Tensolite, a custom cable manufacturer specializing in high temperature materials as the dielectric medium, develops an advance arc track resistant airframe wire called Tufflite 2000. Tufflite 2000 has the following advantages over the other traditional wires: lighter weight and smaller in diameter; excellent wet and dry arc track resistance; superior dynamic cut-through performance even at elevated temperatures; flight proven performance on Boeing 737 and 757 airplanes; and true 260 C performance by utilizing Nickel plated copper conductors. This paper reports the different tests performed on Tufflite 2000: accelerated aging, arc resistance (wet and dry), dynamic cut through, humidity resistance, wire-to-wire abrasion, flammability, smoke, weight, notch sensitivity, flexibility, and markability. It particularly focuses on the BSI (British Standards Institute) dry arc resistance test and BSI wet arc tracking.

  19. Evaluation of Adhesive Bonding of Lithium Disilicate Ceramic Material with Duel Cured Resin Luting Agents

    PubMed Central

    Gundawar, Sham M.; Radke, Usha M.

    2015-01-01

    Purpose: The purpose of this vitro study was to comparatively evaluate the adhesive bonding of dual cured resin luting agents with lithium disilicate ceramic material. Materials and Methods: Porcelain laminate veneers were prepared with lithium disilicate ceramic material i.e. IPS Empress II( E-Max Press). These laminates were bonded with RelyX ARC, Panavia F 2.0, Variolink II, Duolink and Nexus NX3.The porcelain laminates were etched with 9.6% hydrofluoric acid (Pulpdent Corporation) for one minute, washed for 15 sec with three way syringe and dried for 15 sec with air syringe. The silane (Ultradent) was applied with the help of applicator tip in a single coat and kept undisturbed for one minute. The prepared surfaces of the premolars were treated with 37% phosphoric acid (Prime dent) for 15 sec, thoroughly rinsed and dried as per manufactures instructions. The shear bond test was carried out on all samples with the Universal testing machine (Instron U.S.A.) The scanning electron microscopic study was performed at the fractured interface of representative samples from each group of luting agents. Result: In this study, the highest value of shear bond strength was obtained for NEXUS NX3 and the lowest for VARIOLINK II. Conclusion: The difference in bond strength can be interpreted as the difference in fracture resistance of luting agents, to which shearing load was applied during the shear bond strength test. It is inferred from this study that the composition of the luting agent determines the adhesive characteristics in addition to surface treatment and bonding surface area. PMID:25859514

  20. Inhibition of endogenous human dentin MMPs by Gluma

    PubMed Central

    Sabatini, Camila; Scheffel, Débora L.S.; Scheffel, Régis H.; Agee, Kelli A.; Rouch, Katelyn; Takahashi, Masahiro; Breschi, Lorenzo; Mazzoni, Annalisa; Tjäderhane, Leo; Tay, Franklin R.; Pashley, David H.

    2014-01-01

    Objective The objective of this study was to determine if Gluma dentin desensitizer (5.0% glutaraldehyde and 35% HEMA in water) can inhibit the endogenous MMPs of dentin matrices in 60 sec. and to evaluate its effect on dentin matrix stiffness and dry mass weight. Methods Dentin beams of 2×1×6 mm were obtained from extracted human third molars coronal dentin. To measure the influence of Gluma treatment time on total MMP activity of dentin, beams were dipped in 37% phosphoric acid (PA) for 15 sec. and rinsed in water. The acid-etched beams were then dipped in Gluma for 5, 15, 30 or 60 sec., rinsed in water and incubated into SensoLyte generic MMP substrate (AnaSpec, Inc.) for 60 min. Controls were dipped in water for 60 sec. Additional beams of 1×1×6 mm were completely demineralized in 37% PA for 18 h, rinsed and used to evaluate changes on the dry weight and modulus of elasticity (E) after 60 sec. of Gluma treatment followed by incubation in simulated body fluid buffer for zero, one or four weeks. E was measured by 3-pt flexure. Results Gluma treatment inhibited total MMP activity of acid-etched dentin by 44, 50, 84, 86 % after 5, 15, 30 or 60 sec. of exposure, respectively. All completely demineralized dentin beams lost stiffness after one and four weeks, with no significant differences between the control and Gluma-treated dentin. Gluma treatment for 60 sec. yielded significantly less dry mass loss than the control after four weeks. Significance The use of Gluma may contribute to the preservation of adhesive interfaces by its cross-linking and inhibitory properties of endogenous dentin MMPs. PMID:24846803

  1. Copper Electrodeposition on a Magnesium Alloy (AZ80) with a U-Shaped Surface

    PubMed Central

    Huang, Ching An; Yeh, Yu Hu; Lin, Che Kuan; Hsieh, Chen Yun

    2014-01-01

    Cu electrodeposition was performed on a cylindrical AZ80 substrate with a U-shaped surface. A uniform deposition of Cu was achieved on an AZ80 electrode via galvanostatic etching, followed by Cu electrodeposition in an eco-friendly alkaline Cu plating bath. Improper wetting and lower rotational speeds of the AZ80 electrode resulted in an uneven Cu deposition at the inner upper site of the U-shaped surface during the Cu electroplating process. This wetting effect could be deduced from the variation in the anodic potential during the galvanostatic etching. The corrosion resistance of the Cu-deposited AZ80 electrode can be considerably improved after Ni electroplating. PMID:28788252

  2. Contact Resistance and Stability Analysis of Oxide-Based Thin Film Transistors

    DTIC Science & Technology

    2006-09-19

    layer (~ 50 nm) is deposited via RF magnetron sputtering from a 2 inch target (Cerac, Inc.; ZnO and IGO (1:1 molar ratio of In2O3: Ga2O3 )) at a pressure...10.2 600 ~ 50 IGO (1:1, In2O3: Ga2O3 ) 90%/10% 5 ~ 3.7 ~ 10.2 600 ~ 50 Table 4.1: Summary of process parameters for TFTs fabricated on thermal silicon...oxide and furnace annealed. Channel Material Wet Etch Etch Rate ZnO ~ 0.01 M HCl ~ 17.5 Å/s IGO (1:1, In2O3: Ga2O3 ) ~ 2 M HCl ~ 6.3 Å/s

  3. Characterization and mechanism of He plasma pretreatment of nanoscale polymer masks for improved pattern transfer fidelity

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weilnboeck, F.; Metzler, D.; Kumar, N.

    2011-12-26

    Roughening of nanoscale polymer masks during plasma etching (PE) limits feature critical dimensions in current and future lithographic technologies. Roughness formation of 193 nm photoresist (PR) is mechanistically explained by plasma-induced changes in mechanical properties introduced at the PR surface ({approx}2 nm) by ions and in parallel in the material bulk ({approx}200 nm) by ultraviolet (UV) plasma radiation. Synergistic roughening of polymer masks can be prevented by pretreating PR patterns with a high dose of He plasma UV exposure to saturate bulk material modifications. During subsequent PE, PR patterns are stabilized and exhibit improved etch resistance and reduced surface/line-edge roughness.

  4. Influence of Very High Breathing Resistance on Exercise Tolerance, Part 1 - Dry Exercise

    DTIC Science & Technology

    2016-01-01

    Influence of Very High Breathing Resistance on Exercise Tolerance, Part 1 – Dry Exercise Authors...Tolerance, Part 1 – Dry Exercise 5a. CONTRACT NUMBER 5b. GRANT NUMBER 5c. PROGRAM ELEMENT NUMBER 6. AUTHOR(S) Warkander D...exercise (60% of peak O2 consumption) on a cycle ergometer on dry land at sea level. R was such that the work of breathing per volume (volume-averaged

  5. Influence of Etching Protocol and Silane Treatment with a Universal Adhesive on Lithium Disilicate Bond Strength.

    PubMed

    Kalavacharla, V K; Lawson, N C; Ramp, L C; Burgess, J O

    2015-01-01

    To measure the effects of hydrofluoric acid (HF) etching and silane prior to the application of a universal adhesive on the bond strength between lithium disilicate and a resin. Sixty blocks of lithium disilicate (e.max CAD, Ivoclar Vivadent) were sectioned into coupons and polished. Specimens were divided into six groups (n=10) based on surface pretreatments, as follows: 1) no treatment (control); 2) 5% HF etch for 20 seconds (5HF); 3) 9.5% HF etch for 60 seconds (9.5HF); 4) silane with no HF (S); 5) 5% HF for 20 seconds + silane (5HFS); and 6) 9.5% HF for 60 seconds + silane (9.5HFS). All etching was followed by rinsing, and all silane was applied in one coat for 20 seconds and then dried. The universal adhesive (Scotchbond Universal, 3M ESPE) was applied onto the pretreated ceramic surface, air thinned, and light cured for 10 seconds. A 1.5-mm-diameter plastic tube filled with Z100 composite (3M ESPE) was applied over the bonded ceramic surface and light cured for 20 seconds on all four sides. The specimens were thermocycled for 10,000 cycles (5°C-50°C/15 s dwell time). Specimens were loaded until failure using a universal testing machine at a crosshead speed of 1 mm/min. The peak failure load was used to calculate the shear bond strength. Scanning electron microscopy images were taken of representative e.max specimens from each group. A two-way analysis of variance (ANOVA) determined that there were significant differences between HF etching, silane treatment, and the interaction between HF and silane treatment (p<0.01). Silane treatment provided higher shear bond strength regardless of the use or concentration of the HF etchant. Individual one-way ANOVA and Tukey post hoc analyses were performed for each silane group. Shear bond strength values for each etch time were significantly different (p<0.01) and could be divided into significantly different groups based on silane treatment: no silane treatment: 0 HF < 5% HF < 9.5% HF; and RelyX silane treatment: 0 HF < 5% HF and 9.5% HF. Both HF and silane treatment significantly improved the bond strength between resin and lithium disilicate when used with a universal adhesive.

  6. Evaluation of TF11 attenuated-PSM mask blanks with DUV laser patterning

    NASA Astrophysics Data System (ADS)

    Xing, Kezhao; Björnberg, Charles; Karlsson, Henrik; Paulsson, Adisa; Beiming, Peter; Vedenpää, Jukka; Walford, Jonathan

    2008-05-01

    Tightening requirements on resolution, CD uniformity and positional accuracy push the development of improved photomask blanks. One such blank for 45nm node attenuated phase shift masks (att-PSM) provides a thinner chrome film, TF11, with a higher etch rate compared to previous generation NTAR5 att-PSM blanks from the same supplier. FEP-171, a positive chemically amplified resist, is commonly used in mask manufacturing for both e-beam and DUV laser pattern generators. TF11 chrome allows the FEP-171 resist thickness to be decreased at least down to 2000 Å while maintaining sufficient etch resistance, thereby improving photomask CD performance. The lower stress level in TF11 chrome films also reduces the image placement error induced by the material. In this study, TF11 chrome and FEP-171 resist are evaluated with exposures on a 248 nm DUV laser pattern generator, the Sigma7500. Patterning is first characterized for resist thicknesses of 2000 Å to 2600 Å in steps of 100 Å, assessing the minimum feature resolution, CD linearity, isolated-dense CD bias and dose sensitivity. Swing curve analysis shows a minimum near 2200 Å and a maximum near 2500 Å, corresponding closely to the reflectivity measurements provided by the blank supplier. The best overall patterning performance is obtained when operating near the swing maximum. The patterning performance is then studied in more detail with a resist thickness of 2550 Å that corresponds to the reflectivity maximum. This is compared to the results with 2000 Å resist, a standard thickness for e-beam exposures on TF11. The lithographic performance on NTAR5 att-PSM blanks with 3200 Å resist is also included for reference. This evaluation indicates that TF11 blanks with 2550 Å resist provide the best overall mask patterning performance obtained with the Sigma7500, showing a global CD uniformity below 4 nm (3s) and minimum feature resolution below 100 nm.

  7. Chemically Modified Microelectrode Arrays. New Kinds of Electronic Devices.

    DTIC Science & Technology

    1987-08-05

    switching. Figure 1 shows a typical process for the fabrication of a microelectrode array consisting of eight, individually addressable Au (or Pt...S4r... -n - 2 ORGANIC CLEAN MRC SPUTTERING PHOTOLITHOGRAPHY _Suttred SI.N, & DRY ETCH _LorVO S1. 1.2 pm Figure 1. Flow chart for fabrication of...microelectrochemical devices, including polypyrrole, 14 poly(N-methylpyrrole), 14b poly(3-methylthiophene), 1 5 and polyaniline .15b,16 These materials can all be made by

  8. Stainless hooks to bond lower lingual retainer.

    PubMed

    Durgekar, Sujala G; Nagaraj, K

    2011-01-01

    We introduced a simple and economical technique for precise placement of lower lingual retainers. Two stainless steel hooks made of 0.6mm wire are placed interdentally in the embrasure area between canine and lateral incisor bilaterally to lock the retainer wire in the correct position. Etch, rinse and dry the enamel surfaces with the retainer passively in place, then bond the retainer with light-cured adhesive. Hooks are simple to fabricate and eliminate the need for a transfer tray.

  9. TOPICAL REVIEW: Black silicon method X: a review on high speed and selective plasma etching of silicon with profile control: an in-depth comparison between Bosch and cryostat DRIE processes as a roadmap to next generation equipment

    NASA Astrophysics Data System (ADS)

    Jansen, H V; de Boer, M J; Unnikrishnan, S; Louwerse, M C; Elwenspoek, M C

    2009-03-01

    An intensive study has been performed to understand and tune deep reactive ion etch (DRIE) processes for optimum results with respect to the silicon etch rate, etch profile and mask etch selectivity (in order of priority) using state-of-the-art dual power source DRIE equipment. The research compares pulsed-mode DRIE processes (e.g. Bosch technique) and mixed-mode DRIE processes (e.g. cryostat technique). In both techniques, an inhibitor is added to fluorine-based plasma to achieve directional etching, which is formed out of an oxide-forming (O2) or a fluorocarbon (FC) gas (C4F8 or CHF3). The inhibitor can be introduced together with the etch gas, which is named a mixed-mode DRIE process, or the inhibitor can be added in a time-multiplexed manner, which will be termed a pulsed-mode DRIE process. Next, the most convenient mode of operation found in this study is highlighted including some remarks to ensure proper etching (i.e. step synchronization in pulsed-mode operation and heat control of the wafer). First of all, for the fabrication of directional profiles, pulsed-mode DRIE is far easier to handle, is more robust with respect to the pattern layout and has the potential of achieving much higher mask etch selectivity, whereas in a mixed-mode the etch rate is higher and sidewall scalloping is prohibited. It is found that both pulsed-mode CHF3 and C4F8 are perfectly suited to perform high speed directional etching, although they have the drawback of leaving the FC residue at the sidewalls of etched structures. They show an identical result when the flow of CHF3 is roughly 30 times the flow of C4F8, and the amount of gas needed for a comparable result decreases rapidly while lowering the temperature from room down to cryogenic (and increasing the etch rate). Moreover, lowering the temperature lowers the mask erosion rate substantially (and so the mask selectivity improves). The pulsed-mode O2 is FC-free but shows only tolerable anisotropic results at -120 °C. The downside of needing liquid nitrogen to perform cryogenic etching can be improved by using a new approach in which both the pulsed and mixed modes are combined into the so-called puffed mode. Alternatively, the use of tetra-ethyl-ortho-silicate (TEOS) as a silicon oxide precursor is proposed to enable sufficient inhibiting strength and improved profile control up to room temperature. Pulsed-mode processing, the second important aspect, is commonly performed in a cycle using two separate steps: etch and deposition. Sometimes, a three-step cycle is adopted using a separate step to clean the bottom of etching features. This study highlights an issue, known by the authors but not discussed before in the literature: the need for proper synchronization between gas and bias pulses to explore the benefit of three steps. The transport of gas from the mass flow controller towards the wafer takes time, whereas the application of bias to the wafer is relatively instantaneous. This delay causes a problem with respect to synchronization when decreasing the step time towards a value close to the gas residence time. It is proposed to upgrade the software with a delay time module for the bias pulses to be in pace with the gas pulses. If properly designed, the delay module makes it possible to switch on the bias exactly during the arrival of the gas for the bottom removal step and so it will minimize the ionic impact because now etch and deposition steps can be performed virtually without bias. This will increase the mask etch selectivity and lower the heat impact significantly. Moreover, the extra bottom removal step can be performed at (also synchronized!) low pressure and therefore opens a window for improved aspect ratios. The temperature control of the wafer, a third aspect of this study, at a higher etch rate and longer etch time, needs critical attention, because it drastically limits the DRIE performance. It is stressed that the exothermic reaction (high silicon loading) and ionic impact (due to metallic masks and/or exposed silicon) are the main sources of heat that might raise the wafer temperature uncontrollably, and they show the weakness of the helium backside technique using mechanical clamping. Electrostatic clamping, an alternative technique, should minimize this problem because it is less susceptible to heat transfer when its thermal resistance and the gap of the helium backside cavity are minimized; however, it is not a subject of the current study. Because oxygen-growth-based etch processes (due to their ultra thin inhibiting layer) rely more heavily on a constant wafer temperature than fluorocarbon-based processes, oxygen etches are more affected by temperature fluctuations and drifts during the etching. The fourth outcome of this review is a phenomenological model, which explains and predicts many features with respect to loading, flow and pressure behaviour in DRIE equipment including a diffusion zone. The model is a reshape of the flow model constructed by Mogab, who studied the loading effect in plasma etching. Despite the downside of needing a cryostat, it is shown that—when selecting proper conditions—a cryogenic two-step pulsed mode can be used as a successful technique to achieve high speed and selective plasma etching with an etch rate around 25 µm min-1 (<1% silicon load) with nearly vertical walls and resist etch selectivity beyond 1000. With the model in hand, it can be predicted that the etch rate can be doubled (50 µm min-1 at an efficiency of 33% for the fluorine generation from the SF6 feed gas) by minimizing the time the free radicals need to pass the diffusion zone. It is anticipated that this residence time can be reduced sufficiently by a proper inductive coupled plasma (ICP) source design (e.g. plasma shower head and concentrator). In order to preserve the correct profile at such high etch rates, the pressure during the bottom removal step should be minimized and, therefore, the synchronized three-step pulsed mode is believed to be essential to reach such high etch rates with sufficient profile control. In order to improve the etch rate even further, the ICP power should be enhanced; the upgrading of the turbopump seems not yet to be relevant because the throttle valve in the current study had to be used to restrict the turbo efficiency. In order to have a versatile list of state-of-the-art references, it has been decided to arrange it in subjects. The categories concerning plasma physics and applications are, for example, books, reviews, general topics, fluorine-based plasmas, plasma mixtures with oxygen at room temperature, wafer heat transfer and high aspect ratio trench (HART) etching. For readers 'new' to this field, it is advisable to study at least one (but rather more than one) of the reviews concerning plasma as found in the first 30 references. In many cases, a paper can be classified into more than one category. In such cases, the paper is directed to the subject most suited for the discussion of the current review. For example, many papers on heat transfer also treat cryogenic conditions and all the references dealing with highly anisotropic behaviour have been directed to the category HARTs. Additional pointers could get around this problem but have the disadvantage of creating a kind of written spaghetti. I hope that the adapted organization structure will help to have a quick look at and understanding of current developments in high aspect ratio plasma etching. Enjoy reading... Henri Jansen 18 June 2008

  10. Limitations of threshold voltage engineering of AlGaN/GaN heterostructures by dielectric interface charge density and manipulation by oxygen plasma surface treatments

    NASA Astrophysics Data System (ADS)

    Lükens, G.; Yacoub, H.; Kalisch, H.; Vescan, A.

    2016-05-01

    The interface charge density between the gate dielectric and an AlGaN/GaN heterostructure has a significant impact on the absolute value and stability of the threshold voltage Vth of metal-insulator-semiconductor (MIS) heterostructure field effect transistor. It is shown that a dry-etching step (as typically necessary for normally off devices engineered by gate-recessing) before the Al2O3 gate dielectric deposition introduces a high positive interface charge density. Its origin is most likely donor-type trap states shifting Vth to large negative values, which is detrimental for normally off devices. We investigate the influence of oxygen plasma annealing techniques of the dry-etched AlGaN/GaN surface by capacitance-voltage measurements and demonstrate that the positive interface charge density can be effectively compensated. Furthermore, only a low Vth hysteresis is observable making this approach suitable for threshold voltage engineering. Analysis of the electrostatics in the investigated MIS structures reveals that the maximum Vth shift to positive voltages achievable is fundamentally limited by the onset of accumulation of holes at the dielectric/barrier interface. In the case of the Al2O3/Al0.26Ga0.74N/GaN material system, this maximum threshold voltage shift is limited to 2.3 V.

  11. Nano-cone resistive memory for ultralow power operation.

    PubMed

    Kim, Sungjun; Jung, Sunghun; Kim, Min-Hwi; Kim, Tae-Hyeon; Bang, Suhyun; Cho, Seongjae; Park, Byung-Gook

    2017-03-24

    SiN x -based nano-structure resistive memory is fabricated by fully silicon CMOS compatible process integration including particularly designed anisotropic etching for the construction of a nano-cone silicon bottom electrode (BE). Bipolar resistive switching characteristics have significantly reduced switching current and voltage and are demonstrated in a nano-cone BE structure, as compared with those in a flat BE one. We have verified by systematic device simulations that the main cause of reduction in the performance parameters is the high electric field being more effectively concentrated at the tip of the cone-shaped BE. The greatly improved nonlinearity of the nano-cone resistive memory cell will be beneficial in the ultra-high-density crossbar array.

  12. A Low Resistance Infrared Bolometer for Use with a Squid Detection System.

    DTIC Science & Technology

    1982-09-24

    sensitivity. After treatment at 350C (near the Au-Ge eutectic temperature) the sensitivity and resistance decreased, as shown in Fig. 6. The as-evaporated... recrystallize with a very fine grain size, however, the thicker film (Sample No. 7A) revealed larger topographic bumps, Figure 21(b). The light etch sample (No...LOS ANGEI.ES. CA. 900fi ....... areas were of a similar thickness on both sets of samples. The thin film (Sample 3A) recrystallized in the contact

  13. Physics and Techniques for the Investigation of the Properties of Ultra Small Systems

    DTIC Science & Technology

    1990-05-09

    unetched samples were found to be identical. The T, of the etched sample was seen to be ehanced by - 30 inK , contrary to the observations on the free...elastic mean free path is considerably shorter than the thermal phonon wavelength. Once again, the technique used to extract the scattering rates was to...thermal resistance extracted from the 3w response data is plotted against the temperature. The data are expressed as boundary resistances, Rthx Area

  14. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors

    NASA Astrophysics Data System (ADS)

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  15. High power blue laser diodes on semipolar (202¯1¯) GaN substrates

    NASA Astrophysics Data System (ADS)

    Pourhashemi, Seyed Arash

    High power blue laser didoes (LDs), among other applications, show the promise of realizing efficient and reliable solid state lighting systems. Since first GaN optoelectronic devices were demonstrated in early 1990s, GaN LDs were traditionally fabricated on polar c-plane. However in recent years there has been a growing interest in nonpolar and semipolar planes. Nonpolar and semipolar devices offer the prospect of achieving higher efficiencies though elimination or reduction of polarization-related electric fields. In this project I investigated semipolar (202 ¯1 ¯) plane of GaN for blue LDs fabrication. Results include blue LD (Lambda=450 nm) with highest output power, differential quantum efficiency (?d) and external quantum efficiency (EQE) reported for a GaN LD on a semipolar plane to date. Output power of 2.52 W, etad=50% and EQE=39% were achieved in pulsed mode and output power of 1.71 W was achieved in true CW mode. Moreover, use of indium tin oxide (ITO) as cladding layer in order to reduce the thickness of Mg-doped p-GaN layer was investigated. Blue LDs with ITO cladding were demonstrated in this work with highest output power, etad and EQE reported for a GaN LD with transparent conducting oxide (TCO) cladding layer to date. The lack of any natural cleavage plane orthogonal to the in-plane projection of the c-axis on semipolar planes has made Cl2-based dry etch processes the most common way to form mirror facets for semipolar LDs. However, mirror facets fabricated by dry etching can be inclined or rough. For this work, mechanical polishing was used to form LD mirror facets. The dependence of output power on current did not change with repeated CW measurements, indicating that the polished facets did not degrade under high power CW operation. These results show that polished facets are a viable alternative to cleaved or etched facets for high power CW semipolar LDs.

  16. Novel single-cell mega-size chambers for electrochemical etching of panorama position-sensitive polycarbonate ion image detectors.

    PubMed

    Sohrabi, Mehdi

    2017-11-01

    A novel development is made here by inventing panorama single-cell mega-size electrochemical etching (MS-ECE) chamber systems for processing panorama position-sensitive mega-size polycarbonate ion image detectors (MS-PCIDs) of potential for many neutron and ion detection applications in particular hydrogen ions or proton tracks and images detected for the first time in polycarbonates in this study. The MS-PCID is simply a large polycarbonate sheet of a desired size. The single-cell MS-ECE invented consists of two large equally sized transparent Plexiglas sheets as chamber walls holding a MS-PCID and the ECE chamber components tightly together. One wall has a large flat stainless steel electrode (dry cell) attached to it which is directly in contact with the MS-PCID and the other wall has a rod electrode with two holes to facilitate feeding and draining out the etching solution from the wet cell. A silicon rubber washer plays the role of the wet cell to hold the etchant and the electrical insulator to isolate the dry cell from the wet cell. A simple 50 Hz-HV home-made generator provides an adequate field strength through the two electrodes across the MS-ECE chamber. Two panorama single-cell MS-ECE chamber systems (circular and rectangular shapes) constructed were efficiently applied to processing the MS-PCIDs for 4π ion emission image detection of different gases in particular hydrogen ions or protons in a 3.5 kJ plasma focus device (PFD as uniquely observed by the unaided eyes). The panorama MS-PCID/MS-ECE image detection systems invented are novel with high potential for many applications in particular as applied to 4π panorama ion emission angular distribution image detection studies in PFD space, some results of which are presented and discussed.

  17. Fabrication of high aspect ratio TiO{sub 2} and Al{sub 2}O{sub 3} nanogratings by atomic layer deposition

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Shkondin, Evgeniy, E-mail: eves@fotonik.dtu.dk; Takayama, Osamu; Lavrinenko, Andrei V.

    The authors report on the fabrication of TiO{sub 2} and Al{sub 2}O{sub 3} nanostructured gratings with an aspect ratio of up to 50. The gratings were made by a combination of atomic layer deposition (ALD) and dry etch techniques. The workflow included fabrication of a Si template using deep reactive ion etching followed by ALD of TiO{sub 2} or Al{sub 2}O{sub 3}. Then, the template was etched away using SF{sub 6} in an inductively coupled plasma tool, which resulted in the formation of isolated ALD coatings, thereby achieving high aspect ratio grating structures. SF{sub 6} plasma removes silicon selectively withoutmore » any observable influence on TiO{sub 2} or Al{sub 2}O{sub 3}, thus revealing high selectivity throughout the fabrication. Scanning electron microscopy was used to analyze every fabrication step. Due to nonreleased stress in the ALD coatings, the top parts of the gratings were observed to bend inward as the Si template was removed, thus resulting in a gradual change in the pitch value of the structures. The pitch on top of the gratings is 400 nm, and it gradually reduces to 200 nm at the bottom. The form of the bending can be reshaped by Ar{sup +} ion beam etching. The chemical purity of the ALD grown materials was analyzed by x-ray photoelectron spectroscopy. The approach presented opens the possibility to fabricate high quality optical metamaterials and functional nanostructures.« less

  18. Degradation of Staphylococcus aureus bacteria by neutral oxygen atoms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cvelbar, U.; Mozetic, M.; Hauptman, N.

    2009-11-15

    The degradation of Staphylococcus aureus bacteria during treatment with neutral oxygen atoms was monitored by scanning electron microscopy. Experiments were performed in an afterglow chamber made from borosilicate glass. The source of oxygen atoms was remote inductively coupled radiofrequency oxygen plasma. The density of atoms at the samples was 8x10{sup 20} m{sup -3}. The treatment was performed at room temperature. The first effect was the removal of dried capsule. Capsule on exposed parts of bacteria was removed after receiving the dose of 6x10{sup 23} at./m{sup 2}, while the parts of capsule filling the gaps between bacteria were removed after receivingmore » the dose of 2.4x10{sup 24} m{sup -2}. After removing the capsule, degradation continued as etching of bacterial cell wall. The etching was rather nonuniform as holes with diameter of several 10 nm were observed. The cell wall was removed after receiving the dose of about 7x10{sup 24} m{sup -2}. The etching probabilities were about 2x10{sup -5} for the capsule and 2x10{sup -6} for the cell wall. The results were explained by different compositions of capsule and the cell wall.« less

  19. Novel ultra-lightweight and high-resolution MEMS x-ray optics

    NASA Astrophysics Data System (ADS)

    Mitsuishi, Ikuyuki; Ezoe, Yuichiro; Takagi, Utako; Mita, Makoto; Riveros, Raul; Yamaguchi, Hitomi; Kato, Fumiki; Sugiyama, Susumu; Fujiwara, Kouzou; Morishita, Kohei; Nakajima, Kazuo; Fujihira, Shinya; Kanamori, Yoshiaki; Yamasaki, Noriko Y.; Mitsuda, Kazuhisa; Maeda, Ryutaro

    2009-05-01

    We have been developing ultra light-weight X-ray optics using MEMS (Micro Electro Mechanical Systems) technologies.We utilized crystal planes after anisotropic wet etching of silicon (110) wafers as X-ray mirrors and succeeded in X-ray reflection and imaging. Since we can etch tiny pores in thin wafers, this type of optics can be the lightest X-ray telescope. However, because the crystal planes are alinged in certain directions, we must approximate ideal optical surfaces with flat planes, which limits angular resolution of the optics on the order of arcmin. In order to overcome this issue, we propose novel X-ray optics based on a combination of five recently developed MEMS technologies, namely silicon dry etching, X-ray LIGA, silicon hydrogen anneal, magnetic fluid assisted polishing and hot plastic deformation of silicon. In this paper, we describe this new method and report on our development of X-ray mirrors fabricated by these technologies and X-ray reflection experiments of two types of MEMS X-ray mirrors made of silicon and nickel. For the first time, X-ray reflections on these mirrors were detected in the angular response measurements. Compared to model calculations, surface roughness of the silicon and nickel mirrors were estimated to be 5 nm and 3 nm, respectively.

  20. Control of spectral transmission enhancement properties of random anti-reflecting surface structures fabricated using gold masking

    NASA Astrophysics Data System (ADS)

    Peltier, Abigail; Sapkota, Gopal; Potter, Matthew; Busse, Lynda E.; Frantz, Jesse A.; Shaw, L. Brandon; Sanghera, Jasbinder S.; Aggarwal, Ishwar D.; Poutous, Menelaos K.

    2017-02-01

    Random anti-reflecting subwavelength surface structures (rARSS) have been shown to suppress Fresnel reflection and scatter from optical surfaces. The structures effectively function as a gradient-refractive-index at the substrate boundary, and the spectral transmission properties of the boundary have been shown to depend on the structure's statistical properties (diameter, height, and density.) We fabricated rARSS on fused silica substrates using gold masking. A thin layer of gold was deposited on the surface of the substrate and then subjected to a rapid thermal annealing (RTA) process at various temperatures. This RTA process resulted in the formation of gold "islands" on the surface of the substrate, which then acted as a mask while the substrate was dry etched in a reactive ion etching (RIE) process. The plasma etch yielded a fused silica surface covered with randomly arranged "rods" that act as the anti-reflective layer. We present data relating the physical characteristics of the gold "island" statistical populations, and the resulting rARSS "rod" population, as well as, optical scattering losses and spectral transmission properties of the final surfaces. We focus on comparing results between samples processed at different RTA temperatures, as well as samples fabricated without undergoing RTA, to relate fabrication process statistics to transmission enhancement values.

Top