Sample records for e-beam direct write

  1. Demonstration of lithography patterns using reflective e-beam direct write

    NASA Astrophysics Data System (ADS)

    Freed, Regina; Sun, Jeff; Brodie, Alan; Petric, Paul; McCord, Mark; Ronse, Kurt; Haspeslagh, Luc; Vereecke, Bart

    2011-04-01

    Traditionally, e-beam direct write lithography has been too slow for most lithography applications. E-beam direct write lithography has been used for mask writing rather than wafer processing since the maximum blur requirements limit column beam current - which drives e-beam throughput. To print small features and a fine pitch with an e-beam tool requires a sacrifice in processing time unless one significantly increases the total number of beams on a single writing tool. Because of the uncertainty with regards to the optical lithography roadmap beyond the 22 nm technology node, the semiconductor equipment industry is in the process of designing and testing e-beam lithography tools with the potential for high volume wafer processing. For this work, we report on the development and current status of a new maskless, direct write e-beam lithography tool which has the potential for high volume lithography at and below the 22 nm technology node. A Reflective Electron Beam Lithography (REBL) tool is being developed for high throughput electron beam direct write maskless lithography. The system is targeting critical patterning steps at the 22 nm node and beyond at a capital cost equivalent to conventional lithography. Reflective Electron Beam Lithography incorporates a number of novel technologies to generate and expose lithographic patterns with a throughput and footprint comparable to current 193 nm immersion lithography systems. A patented, reflective electron optic or Digital Pattern Generator (DPG) enables the unique approach. The Digital Pattern Generator is a CMOS ASIC chip with an array of small, independently controllable lens elements (lenslets), which act as an array of electron mirrors. In this way, the REBL system is capable of generating the pattern to be written using massively parallel exposure by ~1 million beams at extremely high data rates (~ 1Tbps). A rotary stage concept using a rotating platen carrying multiple wafers optimizes the writing strategy of

  2. E-beam direct write is free

    NASA Astrophysics Data System (ADS)

    Glasser, Lance A.

    2007-10-01

    In this paper we discuss four business concepts that will impact the adoption of e-beam direct write (EbDW). They are: (1) The economically advantageous region for EbDW. At what costs and volumes EbDW is economically advantageous is controlled by a two-sided constraint involving the cost of reticles on one hand and the cost of design on the other. (2) The important role of product derivatives and other markets that can be satisfied by designs with heavy IP reuse. The natural long tail in demand for differentiated products is today chopped off by the high costs of reticles. We show data on the elasticity of the product derivative market with respect to certain costs. (3) That because reticle prices typically decline at a 30% per year for the first few years after a new node is introduced, delaying the fabrication of that first reticle set for a new product can save millions, more than paying for EbDW. The applicability of this technique is, however, limited by the need for product requalifaction. (4) Finally, we introduce the business concept of the virtual reticle as a possible component in EbDW pricing.

  3. Lossless compression algorithm for REBL direct-write e-beam lithography system

    NASA Astrophysics Data System (ADS)

    Cramer, George; Liu, Hsin-I.; Zakhor, Avideh

    2010-03-01

    Future lithography systems must produce microchips with smaller feature sizes, while maintaining throughputs comparable to those of today's optical lithography systems. This places stringent constraints on the effective data throughput of any maskless lithography system. In recent years, we have developed a datapath architecture for direct-write lithography systems, and have shown that compression plays a key role in reducing throughput requirements of such systems. Our approach integrates a low complexity hardware-based decoder with the writers, in order to decompress a compressed data layer in real time on the fly. In doing so, we have developed a spectrum of lossless compression algorithms for integrated circuit layout data to provide a tradeoff between compression efficiency and hardware complexity, the latest of which is Block Golomb Context Copy Coding (Block GC3). In this paper, we present a modified version of Block GC3 called Block RGC3, specifically tailored to the REBL direct-write E-beam lithography system. Two characteristic features of the REBL system are a rotary stage resulting in arbitrarily-rotated layout imagery, and E-beam corrections prior to writing the data, both of which present significant challenges to lossless compression algorithms. Together, these effects reduce the effectiveness of both the copy and predict compression methods within Block GC3. Similar to Block GC3, our newly proposed technique Block RGC3, divides the image into a grid of two-dimensional "blocks" of pixels, each of which copies from a specified location in a history buffer of recently-decoded pixels. However, in Block RGC3 the number of possible copy locations is significantly increased, so as to allow repetition to be discovered along any angle of orientation, rather than horizontal or vertical. Also, by copying smaller groups of pixels at a time, repetition in layout patterns is easier to find and take advantage of. As a side effect, this increases the total number

  4. Effects on electron scattering and resist characteristics using assisting underlayers for e-beam direct write lithography

    NASA Astrophysics Data System (ADS)

    Thrun, Xaver; Choi, Kang-Hoon; Hanisch, Norbert; Hohle, Christoph; Steidel, Katja; Guerrero, Douglas; Figueiro, Thiago; Bartha, Johann W.

    2013-03-01

    Resist processing for future technology nodes becomes more and more complex. The resist film thickness is getting thinner and hardmask concepts (trilayer) are needed for reproducible etch transfer into the stack. Additional layers between resist and substrate are influencing the electron scattering in e-beam lithography and may also improve sensitivity and resolution. In this study, bare silicon wafers with different assisting underlayers were processed in a 300 mm CMOS manufacturing environment and were exposed on a 50 keV VISTEC SB3050DW variable-shaped electron beam direct writer at Fraunhofer CNT. The underlayers are organic-inorganic hybrid coatings with different metal additives. The negative-tone resist was evaluated in terms of contrast, sensitivity, resolution and LWR/LER as a function of the stack. The interactions between resist and different assisting underlayers on e-beam direct writing will be investigated. These layers could be used to optimize the trade-off among resolution, LWR and sensitivity in future applications.

  5. Verification of E-Beam direct write integration into 28nm BEOL SRAM technology

    NASA Astrophysics Data System (ADS)

    Hohle, Christoph; Choi, Kang-Hoon; Gutsch, Manuela; Hanisch, Norbert; Seidel, Robert; Steidel, Katja; Thrun, Xaver; Werner, Thomas

    2015-03-01

    Electron beam direct write lithography (EBDW) potentially offers advantages for low-volume semiconductor manufacturing, rapid prototyping or design verification due to its high flexibility without the need of costly masks. However, the integration of this advanced patterning technology into complex CMOS manufacturing processes remains challenging. The low throughput of today's single e-Beam tools limits high volume manufacturing applications and maturity of parallel (multi) beam systems is still insufficient [1,2]. Additional concerns like transistor or material damage of underlying layers during exposure at high electron density or acceleration voltage have to be addressed for advanced technology nodes. In the past we successfully proved that potential degradation effects of high-k materials or ULK shrink can be neglected and were excluded by demonstrating integrated electrical results of 28nm node transistor and BEOL performance following 50kV electron beam dry exposure [3]. Here we will give an update on the integration of EBDW in the 300mm CMOS manufacturing processes of advanced integrated circuits at the 28nm SRAM node of GLOBALFOUNDRIES Dresden. The work is an update to what has been previously published [4]. E-beam patterning results of BEOL full chip metal and via layers with a dual damascene integration scheme using a 50kV VISTEC SB3050DW variable shaped electron beam direct writer at Fraunhofer IPMSCNT are demonstrated. For the patterning of the Metal layer a Mix & Match concept based on the sequence litho - etch -litho -etch (LELE) was developed and evaluated wherein several exposure fields were blanked out during the optical exposure. Etch results are shown and compared to the POR. Results are also shown on overlay performance and optimized e-Beam exposure time using most advanced data prep solutions and resist processes. The patterning results have been verified using fully integrated electrical measurement of metal lines and vias on wafer level. In

  6. High-throughput NGL electron-beam direct-write lithography system

    NASA Astrophysics Data System (ADS)

    Parker, N. William; Brodie, Alan D.; McCoy, John H.

    2000-07-01

    Electron beam lithography systems have historically had low throughput. The only practical solution to this limitation is an approach using many beams writing simultaneously. For single-column multi-beam systems, including projection optics (SCALPELR and PREVAIL) and blanked aperture arrays, throughput and resolution are limited by space-charge effects. Multibeam micro-column (one beam per column) systems are limited by the need for low voltage operation, electrical connection density and fabrication complexities. In this paper, we discuss a new multi-beam concept employing multiple columns each with multiple beams to generate a very large total number of parallel writing beams. This overcomes the limitations of space-charge interactions and low voltage operation. We also discuss a rationale leading to the optimum number of columns and beams per column. Using this approach we show how production throughputs >= 60 wafers per hour can be achieved at CDs direct-write (maskless) lithography are significant especially for small-volume semiconductor fabrication, for example ASICs, SOCs and MPUs.

  7. Direct write electron beam lithography: a historical overview

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2010-09-01

    Maskless pattern generation capability in combination with practically limitless resolution made probe-forming electron beam systems attractive tools in the semiconductor fabrication process. However, serial exposure of pattern elements with a scanning beam is a slow process and throughput presented a key challenge in electron beam lithography from the beginning. To meet this challenge imaging concepts with increasing exposure efficiency have been developed projecting ever larger number of pixels in parallel. This evolution started in the 1960s with the SEM-type Gaussian beam systems writing one pixel at a time directly on wafers. During the 1970s IBM pioneered the concept of shaped beams containing multiple pixels which led to higher throughput and an early success of e-beam direct write (EBDW) in large scale manufacturing of semiconductor chips. EBDW in a mix-and match approach with optical lithography provided unique flexibility in part number management and cycle time reduction and proved extremely cost effective in IBM's Quick-Turn-Around-Time (QTAT) facilities. But shaped beams did not keep pace with Moore's law because of limitations imposed by the physics of charged particles: Coulomb interactions between beam electrons cause image blur and consequently limit beam current and throughput. A new technology approach was needed. Physically separating beam electrons into multiple beamlets to reduce Coulomb interaction led to the development of massively parallel projection of pixels. Electron projection lithography (EPL) - a mask based imaging technique emulating optical steppers - was pursued during the 1990s by Bell Labs with SCALPEL and by IBM with PREVAIL in partnership with Nikon. In 2003 Nikon shipped the first NCR-EB1A e-beam stepper based on the PREVAIL technology to Selete. It exposed pattern segments containing 10 million pixels in single shot and represented the first successful demonstration of massively parallel pixel projection. However the window

  8. Diffractive optics fabricated by direct write methods with an electron beam

    NASA Technical Reports Server (NTRS)

    Kress, Bernard; Zaleta, David; Daschner, Walter; Urquhart, Kris; Stein, Robert; Lee, Sing H.

    1993-01-01

    State-of-the-art diffractive optics are fabricated using e-beam lithography and dry etching techniques to achieve multilevel phase elements with very high diffraction efficiencies. One of the major challenges encountered in fabricating diffractive optics is the small feature size (e.g. for diffractive lenses with small f-number). It is not only the e-beam system which dictates the feature size limitations, but also the alignment systems (mask aligner) and the materials (e-beam and photo resists). In order to allow diffractive optics to be used in new optoelectronic systems, it is necessary not only to fabricate elements with small feature sizes but also to do so in an economical fashion. Since price of a multilevel diffractive optical element is closely related to the e-beam writing time and the number of etching steps, we need to decrease the writing time and etching steps without affecting the quality of the element. To do this one has to utilize the full potentials of the e-beam writing system. In this paper, we will present three diffractive optics fabrication techniques which will reduce the number of process steps, the writing time, and the overall fabrication time for multilevel phase diffractive optics.

  9. Integration of e-beam direct write in BEOL processes of 28nm SRAM technology node using mix and match

    NASA Astrophysics Data System (ADS)

    Gutsch, Manuela; Choi, Kang-Hoon; Hanisch, Norbert; Hohle, Christoph; Seidel, Robert; Steidel, Katja; Thrun, Xaver; Werner, Thomas

    2014-10-01

    Many efforts were spent in the development of EUV technologies, but from a customer point of view EUV is still behind expectations. In parallel since years maskless lithography is included in the ITRS roadmap wherein multi electron beam direct patterning is considered as an alternative or complementary approach for patterning of advanced technology nodes. The process of multi beam exposures can be emulated by single beam technologies available in the field. While variable shape-beam direct writers are already used for niche applications, the integration capability of e-beam direct write at advanced nodes has not been proven, yet. In this study the e-beam lithography was implemented in the BEoL processes of the 28nm SRAM technology. Integrated 300mm wafers with a 28nm back-end of line (BEoL) stack from GLOBALFOUNDRIES, Dresden, were used for the experiments. For the patterning of the Metal layer a Mix and Match concept based on the sequence litho - etch - litho - etch (LELE) was developed and evaluated wherein several exposure fields were blanked out during the optical exposure. E-beam patterning results of BEoL Metal and Via layers are presented using a 50kV VISTEC SB3050DW variable shaped electron beam direct writer at Fraunhofer IPMS-CNT. Etch results are shown and compared to the POR. In summary we demonstrate the integration capability of EBDW into a productive CMOS process flow at the example of the 28nm SRAM technology node.

  10. Non-CAR resists and advanced materials for Massively Parallel E-Beam Direct Write process integration

    NASA Astrophysics Data System (ADS)

    Pourteau, Marie-Line; Servin, Isabelle; Lepinay, Kévin; Essomba, Cyrille; Dal'Zotto, Bernard; Pradelles, Jonathan; Lattard, Ludovic; Brandt, Pieter; Wieland, Marco

    2016-03-01

    The emerging Massively Parallel-Electron Beam Direct Write (MP-EBDW) is an attractive high resolution high throughput lithography technology. As previously shown, Chemically Amplified Resists (CARs) meet process/integration specifications in terms of dose-to-size, resolution, contrast, and energy latitude. However, they are still limited by their line width roughness. To overcome this issue, we tested an alternative advanced non-CAR and showed it brings a substantial gain in sensitivity compared to CAR. We also implemented and assessed in-line post-lithographic treatments for roughness mitigation. For outgassing-reduction purpose, a top-coat layer is added to the total process stack. A new generation top-coat was tested and showed improved printing performances compared to the previous product, especially avoiding dark erosion: SEM cross-section showed a straight pattern profile. A spin-coatable charge dissipation layer based on conductive polyaniline has also been tested for conductivity and lithographic performances, and compatibility experiments revealed that the underlying resist type has to be carefully chosen when using this product. Finally, the Process Of Reference (POR) trilayer stack defined for 5 kV multi-e-beam lithography was successfully etched with well opened and straight patterns, and no lithography-etch bias.

  11. Progress and process improvements for multiple electron-beam direct write

    NASA Astrophysics Data System (ADS)

    Servin, Isabelle; Pourteau, Marie-Line; Pradelles, Jonathan; Essomba, Philippe; Lattard, Ludovic; Brandt, Pieter; Wieland, Marco

    2017-06-01

    Massively parallel electron beam direct write (MP-EBDW) lithography is a cost-effective patterning solution, complementary to optical lithography, for a variety of applications ranging from 200 to 14 nm. This paper will present last process/integration results to achieve targets for both 28 and 45 nm nodes. For 28 nm node, we mainly focus on line-width roughness (LWR) mitigation by playing with stack, new resist platform and bias design strategy. The lines roughness was reduced by using thicker spin-on-carbon (SOC) hardmask (-14%) or non-chemically amplified (non-CAR) resist with bias writing strategy implementation (-20%). Etch transfer into trilayer has been demonstrated by preserving pattern fidelity and profiles for both CAR and non-CAR resists. For 45 nm node, we demonstrate the electron-beam process integration within optical CMOS flows. Resists based on KrF platform show a full compatibility with multiple stacks to fit with conventional optical flow used for critical layers. Electron-beam resist performances have been optimized to fit the specifications in terms of resolution, energy latitude, LWR and stack compatibility. The patterning process overview showing the latest achievements is mature enough to enable starting the multi-beam technology pre-production mode.

  12. Trehalose glycopolymer resists allow direct writing of protein patterns by electron-beam lithography

    NASA Astrophysics Data System (ADS)

    Bat, Erhan; Lee, Juneyoung; Lau, Uland Y.; Maynard, Heather D.

    2015-03-01

    Direct-write patterning of multiple proteins on surfaces is of tremendous interest for a myriad of applications. Precise arrangement of different proteins at increasingly smaller dimensions is a fundamental challenge to apply the materials in tissue engineering, diagnostics, proteomics and biosensors. Herein, we present a new resist that protects proteins during electron-beam exposure and its application in direct-write patterning of multiple proteins. Polymers with pendant trehalose units are shown to effectively crosslink to surfaces as negative resists, while at the same time providing stabilization to proteins during the vacuum and electron-beam irradiation steps. In this manner, arbitrary patterns of several different classes of proteins such as enzymes, growth factors and immunoglobulins are realized. Utilizing the high-precision alignment capability of electron-beam lithography, surfaces with complex patterns of multiple proteins are successfully generated at the micrometre and nanometre scale without requiring cleanroom conditions.

  13. Design of titania nanotube structures by focused laser beam direct writing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Enachi, Mihai; Stevens-Kalceff, Marion A.; Sarua, Andrei

    In this work, we report on electrochemical fabrication of titania films consisting of nanotubes (NTs) and their treatment by focused laser beam. The results of sample characterization by optical and scanning electron microscopy, cathodoluminescence imaging, and Raman scattering scanning spectroscopy are compared to those inherent to specimens subjected to thermal treatment in a furnace. The obtained data demonstrate possibilities for controlling crystallographic structure of TiO{sub 2} NTs by focused laser beam direct writing. These findings open new prospects for the design and fabrication of spatial architectures based on titania nanotubes.

  14. Direct nanopatterning of polymer/silver nanoblocks under low energy electron beam irradiation.

    PubMed

    El Mel, Abdel-Aziz; Stephant, Nicolas; Gautier, Romain

    2016-10-06

    In this communication, we report on the growth, direct writing and nanopatterning of polymer/silver nanoblocks under low energy electron beam irradiation using a scanning electron microscope. The nanoblocks are produced by placing a droplet of an ethylene glycol solution containing silver nitrate and polyvinylpyrrolidone diluted in ethanol directly on a hot substrate heated up to 150 °C. Upon complete evaporation of the droplet, nanospheres, nano- and micro-triangles and nanoblocks made of silver-containing polymers, form over the substrate surface. Considering the nanoblocks as a model system, we demonstrate that such nanostructures are extremely sensitive to the e-beam extracted from the source of a scanning electron microscope operating at low acceleration voltages (between 5 and 7 kV). This sensitivity allows us to efficiently create various nanopatterns (e.g. arrays of holes, oblique slits and nanotrenches) in the material under e-beam irradiation. In addition to the possibility of writing, the nanoblocks revealed a self-healing ability allowing them to recover a relatively smooth surface after etching. Thanks to these properties, such nanomaterials can be used as a support for data writing and erasing on the nanoscale under low energy electron beam irradiation.

  15. Geometrical E-beam proximity correction for raster scan systems

    NASA Astrophysics Data System (ADS)

    Belic, Nikola; Eisenmann, Hans; Hartmann, Hans; Waas, Thomas

    1999-04-01

    High pattern fidelity is a basic requirement for the generation of masks containing sub micro structures and for direct writing. Increasing needs mainly emerging from OPC at mask level and x-ray lithography require a correction of the e-beam proximity effect. The most part of e-beam writers are raster scan system. This paper describes a new method for geometrical pattern correction in order to provide a correction solution for e-beam system that are not able to apply variable doses.

  16. The Conductive Silver Nanowires Fabricated by Two-beam Laser Direct Writing on the Flexible Sheet.

    PubMed

    He, Gui-Cang; Zheng, Mei-Ling; Dong, Xian-Zi; Jin, Feng; Liu, Jie; Duan, Xuan-Ming; Zhao, Zhen-Sheng

    2017-02-02

    Flexible electrically conductive nanowires are now a key component in the fields of flexible devices. The achievement of metal nanowire with good flexibility, conductivity, compact and smooth morphology is recognized as one critical milestone for the flexible devices. In this study, a two-beam laser direct writing system is designed to fabricate AgNW on PET sheet. The minimum width of the AgNW fabricated by this method is 187 ± 34 nm with the height of 84 ± 4 nm. We have investigated the electrical resistance under different voltages and the applicable voltage per meter range is determined to be less than 7.5 × 10 3  V/m for the fabricated AgNW. The flexibility of the AgNW is very excellent, since the resistance only increases 6.63% even after the stretched bending of 2000 times at such a small bending radius of 1.0 mm. The proposed two-beam laser direct writing is an efficient method to fabricate AgNW on the flexible sheet, which could be applied in flexible micro/nano devices.

  17. Resist heating effect on e-beam mask writing at 75 kV and 60 A/cm2

    NASA Astrophysics Data System (ADS)

    Benes, Zdenek; Deverich, Christina; Huang, Chester; Lawliss, Mark

    2003-12-01

    Resist heating has been known to be one of the main contributors to local CD variation in mask patterning using variable shape e-beam tools. Increasingly complex mask patterns require increased number of shapes which drives the need for higher electron beam current densities to maintain reasonable write times. As beam current density is increased, CD error resulting from resist heating may become a dominating contributor to local CD variations. In this experimental study, the IBM EL4+ mask writer with high voltage and high current density has been used to quantitatively investigate the effect of resist heating on the local CD uniformity. ZEP 7000 and several chemically amplified resists have been evaluated under various exposure conditions (single-pass, multi-pass, variable spot size) and pattern densities. Patterns were designed specifically to allow easy measurement of local CD variations with write strategies designed to maximize the effect of resist heating. Local CD variations as high as 15 nm in 18.75 × 18.75 μm sub-field size have been observed for ZEP 7000 in a single-pass writing with full 1000 nm spots at 50% pattern density. This number can be reduced by increasing the number of passes or by decreasing the maximum spot size. The local CD variation has been reduced to as low as 2 nm for ZEP 7000 for the same pattern under modified exposure conditions. The effectiveness of various writing strategies is discussed as well as their possible deficiencies. Minimal or no resist heating effects have been observed for the chemically amplified resists studied. The results suggest that the resist heating effect can be well controlled by careful selection of the resist/process system and/or writing strategy and that resist heating does not have to pose a problem for high throughput e-beam mask making that requires high voltage and high current densities.

  18. Future direction of direct writing

    NASA Astrophysics Data System (ADS)

    Kim, Nam-Soo; Han, Kenneth N.

    2010-11-01

    Direct write technology using special inks consisting of finely dispersed metal nanoparticles in liquid is receiving an undivided attention in recent years for its wide range of applicability in modern electronic industry. The application of this technology covers radio frequency identification-tag (RFID-tag), flexible-electronics, organic light emitting diodes (OLED) display, e-paper, antenna, bumpers used in flip-chip, underfilling, frit, miniresistance applications and biological uses, artificial dental applications and many more. In this paper, the authors have reviewed various direct write technologies on the market and discussed their advantages and shortfalls. Emphasis has given on microdispensing deposition write (MDDW), maskless mesoscale materials deposition (M3D), and ink-jet technologies. All of these technologies allow printing various patterns without employing a mask or a resist with an enhanced speed with the aid of computer. MDDW and M3D are capable of drawing patterns in three-dimension and MDDW, in particular, is capable of writing nanoinks with high viscosity. However, it is still far away for direct write to be fully implemented in the commercial arena. One of the hurdles to overcome is in manufacturing conductive inks which are chemically and physically stable, capable of drawing patterns with acceptable conductivity, and also capable of drawing patterns with acceptable adhesiveness with the substrates. The authors have briefly discussed problems involved in manufacturing nanometal inks to be used in various writing devices. There are numerous factors to be considered in manufacturing such inks. They are reducing agents, concentrations, oxidation, compact ability allowing good conductivity, and stability in suspension.

  19. Direct-writing lithography using laser diode beam focused with single elliptical microlens

    NASA Astrophysics Data System (ADS)

    Hasan, Md. Nazmul; Haque, Muttahid-Ull; Trisno, Jonathan; Lee, Yung-Chun

    2015-10-01

    A lithography method is proposed for arbitrary patterning using an elliptically diverging laser diode beam focused with a single planoconvex elliptical microlens. Simulations are performed to model the propagation properties of the laser beam and to design the elliptical microlens, which has two different profiles in the x- and y-axis directions. The microlens is fabricated using an excimer laser dragging method and is then attached to the laser diode using double-sided optically cleared adhesive (OCA) tape. Notably, the use of OCA tape removes the need for a complicated alignment procedure and thus significantly reduces the assembly cost. The minimum focused spot of the laser diode beam is investigated by performing single-shot exposure tests on a photoresist (PR) layer. Finally, the practical feasibility of this lithography technique to generate an arbitrary pattern is demonstrated by dotted and continuous features through thin chromium layer deposition on PR and a metal lift-off process. The results show that the minimum feature size for the dotted patterns is around 6.23 μm, while the minimum linewidths for continuous patterns is 6.44 μm. In other words, the proposed focusing technique has significant potential for writing any arbitrary high-resolution pattern for applications like printed circuit board fabrication.

  20. Electron nanoprobe induced oxidation: A simulation of direct-write purification

    DOE PAGES

    Fowlkes, J. D.; Geier, B.; Lewis, B. B.; ...

    2015-06-01

    Electron beam direct-write has recently taken a large step forward with the advent of methods to purify deposits. This development has opened the door for future direct-write device prototyping and editing. In one such approach, an additional beam scanning procedure removes carbonaceous impurities via oxidation from metal–carbon deposits (e.g., PtC 5) in the presence of H 2O or O 2 after deposition. So far, critical aspects of the oxidation reaction remain unclear; experiments reveal clearly that electron stimulated oxidation drives the process yet it is not understood why H 2O purifies by a bottom-up mechanism while O 2 purifies frommore » the top-down. The simulation results presented here suggest that the chemisorption of dissolved O 2 at buried Pt nanoparticle surfaces controls purification in the top-down case while both the high relative solubility coupled with weak physisorption of H 2O explains the bottom-up process. Crucial too is the role that the carbonaceous contaminant itself has on the dissolution and diffusion of O 2 and H 2O. The results pave the way for simulation driven experiments where (1) the transient densification of the deposit can be accounted for in the initial deposit design stage and (2) the deposition and purification steps can be combined.« less

  1. Electron nanoprobe induced oxidation: A simulation of direct-write purification

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Fowlkes, J. D.; Geier, B.; Lewis, B. B.

    Electron beam direct-write has recently taken a large step forward with the advent of methods to purify deposits. This development has opened the door for future direct-write device prototyping and editing. In one such approach, an additional beam scanning procedure removes carbonaceous impurities via oxidation from metal–carbon deposits (e.g., PtC 5) in the presence of H 2O or O 2 after deposition. So far, critical aspects of the oxidation reaction remain unclear; experiments reveal clearly that electron stimulated oxidation drives the process yet it is not understood why H 2O purifies by a bottom-up mechanism while O 2 purifies frommore » the top-down. The simulation results presented here suggest that the chemisorption of dissolved O 2 at buried Pt nanoparticle surfaces controls purification in the top-down case while both the high relative solubility coupled with weak physisorption of H 2O explains the bottom-up process. Crucial too is the role that the carbonaceous contaminant itself has on the dissolution and diffusion of O 2 and H 2O. The results pave the way for simulation driven experiments where (1) the transient densification of the deposit can be accounted for in the initial deposit design stage and (2) the deposition and purification steps can be combined.« less

  2. Single beam write and/or replay of spatial heterodyne holograms

    DOEpatents

    Thomas, Clarence E.; Hanson, Gregory R.

    2007-11-20

    A method of writing a spatially heterodyne hologram having spatially heterodyne fringes includes: passing a single write beam through a spatial light modulator that digitally modulates said single write beam; and focusing the single write beam at a focal plane of a lens to impose a holographic diffraction grating pattern on the photorefractive crystal, the holographic diffraction grating pattern including the spatially heterodyne hologram having spatially heterodyne fringes, wherein only said single write beam is incident on said photorefractive crystal without a reference beam. A method of replaying a spatially heterodyne hologram having spatially heterodyne fringes at a replay angle includes: illuminating a photorefractive crystal having a holographic diffraction grating with a beam from a laser at an illumination angle, the holographic diffraction grating pattern including the spatially heterodyne hologram having spatially heterodyne fringes, wherein a difference between said illumination angle and said replay angle defines a diffraction angle .alpha. that is a function of a plane wave mathematically added to original object wave phase and amplitude data of said spatially heterodyne hologram having spatially heterodyne fringes.

  3. Long-period fibre grating writing with a slit-apertured femtosecond laser beam (λ = 1026 nm)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dostovalov, A V; Wolf, A A; Babin, S A

    We report on long-period grating (LPG) writing in a standard telecom fibre, SMF-28e+, via refractive index modification by femtosecond pulses. A method is proposed for grating writing with a slit-apertured beam, which enables one to produce LPGs with reduced background losses and a resonance peak markedly stronger than that in the case of grating writing with a Gaussian beam. The method can be used to fabricate LPGs for use as spectral filters of fibre lasers and sensing elements of sensor systems. (fibre and integrated-optical structures)

  4. Direct writing of gold nanostructures with an electron beam: On the way to pure nanostructures by combining optimized deposition with oxygen-plasma treatment

    PubMed Central

    Belić, Domagoj; Shawrav, Mostafa M; Bertagnolli, Emmerich

    2017-01-01

    This work presents a highly effective approach for the chemical purification of directly written 2D and 3D gold nanostructures suitable for plasmonics, biomolecule immobilisation, and nanoelectronics. Gold nano- and microstructures can be fabricated by one-step direct-write lithography process using focused electron beam induced deposition (FEBID). Typically, as-deposited gold nanostructures suffer from a low Au content and unacceptably high carbon contamination. We show that the undesirable carbon contamination can be diminished using a two-step process – a combination of optimized deposition followed by appropriate postdeposition cleaning. Starting from the common metal-organic precursor Me2-Au-tfac, it is demonstrated that the Au content in pristine FEBID nanostructures can be increased from 30 atom % to as much as 72 atom %, depending on the sustained electron beam dose. As a second step, oxygen-plasma treatment is established to further enhance the Au content in the structures, while preserving their morphology to a high degree. This two-step process represents a simple, feasible and high-throughput method for direct writing of purer gold nanostructures that can enable their future use for demanding applications. PMID:29259868

  5. Local electric field direct writing – Electron-beam lithography and mechanism

    DOE PAGES

    Jiang, Nan; Su, Dong; Spence, John C. H.

    2017-08-24

    Local electric field induced by a focused electron probe in silicate glass thin films is evaluated in this paper by the migration of cations. Extremely strong local electric fields can be obtained by the focused electron probe from a scanning transmission electron microscope. As a result, collective atomic displacements occur. This newly revised mechanism provides an efficient tool to write patterned nanostructures directly, and thus overcome the low efficiency of the conventional electron-beam lithography. Applying this technique to silicate glass thin films, as an example, a grid of rods of nanometer dimension can be efficiently produced by rapidly scanning amore » focused electron probe. This nanopatterning is achieved through swift phase separation in the sample, without any post-development processes. The controlled phase separation is induced by massive displacements of cations (glass modifiers) within the glass-former network, driven by the strong local electric fields. The electric field is induced by accumulated charge within the electron probed region, which is generated by the excitation of atomic electrons by the incident electron. Throughput is much improved compared to other scanning probe techniques. Finally, the half-pitch spatial resolution of nanostructure in this particular specimen is 2.5 nm.« less

  6. Local electric field direct writing – Electron-beam lithography and mechanism

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jiang, Nan; Su, Dong; Spence, John C. H.

    Local electric field induced by a focused electron probe in silicate glass thin films is evaluated in this paper by the migration of cations. Extremely strong local electric fields can be obtained by the focused electron probe from a scanning transmission electron microscope. As a result, collective atomic displacements occur. This newly revised mechanism provides an efficient tool to write patterned nanostructures directly, and thus overcome the low efficiency of the conventional electron-beam lithography. Applying this technique to silicate glass thin films, as an example, a grid of rods of nanometer dimension can be efficiently produced by rapidly scanning amore » focused electron probe. This nanopatterning is achieved through swift phase separation in the sample, without any post-development processes. The controlled phase separation is induced by massive displacements of cations (glass modifiers) within the glass-former network, driven by the strong local electric fields. The electric field is induced by accumulated charge within the electron probed region, which is generated by the excitation of atomic electrons by the incident electron. Throughput is much improved compared to other scanning probe techniques. Finally, the half-pitch spatial resolution of nanostructure in this particular specimen is 2.5 nm.« less

  7. Direct metal writing: Controlling the rheology through microstructure

    DOE PAGES

    Chen, Wen; Thornley, Luke; Coe, Hannah G.; ...

    2017-02-27

    Most metal additive manufacturing approaches are based on powder-bed melting techniques such as laser selective melting or electron beam melting, which often yield uncontrolled microstructures with defects (e.g., pores or microcracks) and residual stresses. Here, we introduce a proof-of-concept prototype of a 3D metal freeform fabrication process by direct writing of metallic alloys in the semi-solid regime. This process is achieved through controlling the particular microstructure and the rheological behavior of semi-solid alloy slurries, which demonstrate a well suited viscosity and a shear thinning property to retain the shape upon printing. Furthermore, the ability to control the microstructure through thismore » method yields a flexible manufacturing route to fabricating 3D metal parts with full density and complex geometries.« less

  8. Direct metal writing: Controlling the rheology through microstructure

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chen, Wen; Thornley, Luke; Coe, Hannah G.

    Most metal additive manufacturing approaches are based on powder-bed melting techniques such as laser selective melting or electron beam melting, which often yield uncontrolled microstructures with defects (e.g., pores or microcracks) and residual stresses. Here, we introduce a proof-of-concept prototype of a 3D metal freeform fabrication process by direct writing of metallic alloys in the semi-solid regime. This process is achieved through controlling the particular microstructure and the rheological behavior of semi-solid alloy slurries, which demonstrate a well suited viscosity and a shear thinning property to retain the shape upon printing. Furthermore, the ability to control the microstructure through thismore » method yields a flexible manufacturing route to fabricating 3D metal parts with full density and complex geometries.« less

  9. Laser-based direct-write techniques for cell printing

    PubMed Central

    Schiele, Nathan R; Corr, David T; Huang, Yong; Raof, Nurazhani Abdul; Xie, Yubing; Chrisey, Douglas B

    2016-01-01

    Fabrication of cellular constructs with spatial control of cell location (±5 μm) is essential to the advancement of a wide range of applications including tissue engineering, stem cell and cancer research. Precise cell placement, especially of multiple cell types in co- or multi-cultures and in three dimensions, can enable research possibilities otherwise impossible, such as the cell-by-cell assembly of complex cellular constructs. Laser-based direct writing, a printing technique first utilized in electronics applications, has been adapted to transfer living cells and other biological materials (e.g., enzymes, proteins and bioceramics). Many different cell types have been printed using laser-based direct writing, and this technique offers significant improvements when compared to conventional cell patterning techniques. The predominance of work to date has not been in application of the technique, but rather focused on demonstrating the ability of direct writing to pattern living cells, in a spatially precise manner, while maintaining cellular viability. This paper reviews laser-based additive direct-write techniques for cell printing, and the various cell types successfully laser direct-written that have applications in tissue engineering, stem cell and cancer research are highlighted. A particular focus is paid to process dynamics modeling and process-induced cell injury during laser-based cell direct writing. PMID:20814088

  10. Direct-write liquid phase transformations with a scanning transmission electron microscope

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Unocic, Raymond R.; Lupini, Andrew R.; Borisevich, Albina Y.

    The highly energetic electron beam from a scanning transmission electron microscope (STEM) can induce local changes in the state of matter, ranging from local knock-out and atomic movement, to amorphization/crystallization, and chemical/electrochemical reactions occuring at localized liquid-solid and gas-solid interfaces. To date, fundamental studies of e-beam induced phenomena and practical applications have been limited by conventional e-beam rastering modes that allow only for uniform e-beam exposures. Here we develop an automated liquid phase nanolithography method that is capable of directly writing nanometer scaled features within silicon nitride encapsulated liquid cells. An external beam control system, connected to the scan coilsmore » of an aberration-corrected STEM, is used to precisely control the position, dwell time, and scan velocity of a sub-nanometer STEM probe. Site-specific locations in a sealed liquid cell containing an aqueous solution of H 2PdCl 4 are irradiated to controllably deposit palladium onto silicon nitride membranes. We determine the threshold electron dose required for the radiolytic deposition of metallic palladium, explore the influence of electron dose on the feature size and morphology of nanolithographically patterned nanostructures, and propose a feedback-controlled monitoring method for active control of the nanofabricated structures through STEM detector signal monitoring. As a result, this approach enables both fundamental studies of electron beam induced interactions with matter, as well as opens a pathway to fabricate nanostructures with tailored architectures and chemistries via shape-controlled nanolithographic patterning from liquid phase precursors.« less

  11. Direct-write liquid phase transformations with a scanning transmission electron microscope

    DOE PAGES

    Unocic, Raymond R.; Lupini, Andrew R.; Borisevich, Albina Y.; ...

    2016-08-03

    The highly energetic electron beam from a scanning transmission electron microscope (STEM) can induce local changes in the state of matter, ranging from local knock-out and atomic movement, to amorphization/crystallization, and chemical/electrochemical reactions occuring at localized liquid-solid and gas-solid interfaces. To date, fundamental studies of e-beam induced phenomena and practical applications have been limited by conventional e-beam rastering modes that allow only for uniform e-beam exposures. Here we develop an automated liquid phase nanolithography method that is capable of directly writing nanometer scaled features within silicon nitride encapsulated liquid cells. An external beam control system, connected to the scan coilsmore » of an aberration-corrected STEM, is used to precisely control the position, dwell time, and scan velocity of a sub-nanometer STEM probe. Site-specific locations in a sealed liquid cell containing an aqueous solution of H 2PdCl 4 are irradiated to controllably deposit palladium onto silicon nitride membranes. We determine the threshold electron dose required for the radiolytic deposition of metallic palladium, explore the influence of electron dose on the feature size and morphology of nanolithographically patterned nanostructures, and propose a feedback-controlled monitoring method for active control of the nanofabricated structures through STEM detector signal monitoring. As a result, this approach enables both fundamental studies of electron beam induced interactions with matter, as well as opens a pathway to fabricate nanostructures with tailored architectures and chemistries via shape-controlled nanolithographic patterning from liquid phase precursors.« less

  12. Three-dimensional integration of microoptical components buried inside photosensitive glass by femtosecond laser direct writing

    NASA Astrophysics Data System (ADS)

    Wang, Zhongke; Sugioka, Koji; Midorikawa, Katsumi

    2007-12-01

    We report the three-dimensional (3D) integration of microoptical components such as microlenses, micromirrors and optical waveguides in a single glass chip by femtosecond (fs) laser direct writing. First, two types of microoptical lenses were fabricated inside photosensitive Foturan glass by forming hollow microstructures using fs laser direct writing followed by thermal treatment, successive wet etching and additional annealing. One type of lens is the cylindrical microlens with a curvature radius R of 1.0 mm, and the other is the plano-convex microlens with radius R of 0.75 mm. Subsequently, by the continuous procedure of hollow microstructure fabrication, a micromirror was integrated with the plano-convex microlens in the single glass chip. Further integration of waveguides was performed by internal refractive index modification using fs laser direct writing after the hollow structure fabrication of the microlens and the micromirror. A demonstration of the laser beam transmission in the integrated optical microdevice shows that the 3D integration of waveguides with a micromirror and a microoptical lens in a single glass chip is highly effective for light beam guiding and focusing.

  13. The Next Generation Focusing Lenses for Proton Beam Writing

    DTIC Science & Technology

    2009-07-28

    Final Report AOARD 07-4017 The Next Generation Focusing Lenses for Proton Beam Writing JA van Kan1, AA Bettiol1, T. Osipowicz2, MBH Breese3, and F...with a finely focused 2 MeV beam was used to write holes in a matrix of thick PMMA . A G-G developer was used to develop the PMMA patterns. The G-G...The deposition speed was about 1 μm of plated Au in every 5 min. When a sufficient thickness of Au had been deposited, the PMMA around the gold

  14. Fabrication of computer-generated holograms using femtosecond laser direct writing.

    PubMed

    Berlich, René; Richter, Daniel; Richardson, Martin; Nolte, Stefan

    2016-04-15

    We demonstrate a single-step fabrication method for computer-generated holograms based on femtosecond laser direct writing. Therefore, a tightly arranged longitudinal waveguide array is directly inscribed into a transparent material. By tailoring the individual waveguide length, the phase profile of an incident laser beam can be arbitrarily adapted. The approach is verified in common borosilicate glass by inscribing a designed phase hologram, which forms the desired intensity pattern in its far field. The resulting performance is analyzed, and the potential as well as limitations of the method are discussed.

  15. Expanding the developmental models of writing: A direct and indirect effects model of developmental writing (DIEW)

    PubMed Central

    Kim, Young-Suk Grace; Schatschneider, Christopher

    2016-01-01

    We investigated direct and indirect effects of component skills on writing (DIEW) using data from 193 children in Grade 1. In this model, working memory was hypothesized to be a foundational cognitive ability for language and cognitive skills as well as transcription skills, which, in turn, contribute to writing. Foundational oral language skills (vocabulary and grammatical knowledge) and higher-order cognitive skills (inference and theory of mind) were hypothesized to be component skills of text generation (i.e., discourse-level oral language). Results from structural equation modeling largely supported a complete mediation model among four variations of the DIEW model. Discourse-level oral language, spelling, and handwriting fluency completely mediated the relations of higher-order cognitive skills, foundational oral language, and working memory to writing. Moreover, language and cognitive skills had both direct and indirect relations to discourse-level oral language. Total effects, including direct and indirect effects, were substantial for discourse-level oral language (.46), working memory (.43), and spelling (.37), followed by vocabulary (.19), handwriting (.17), theory of mind (.12), inference (.10), and grammatical knowledge (.10). The model explained approximately 67% of variance in writing quality. These results indicate that multiple language and cognitive skills make direct and indirect contributions, and it is important to consider both direct and indirect pathways of influences when considering skills that are important to writing. PMID:28260812

  16. Suppressing Ghost Diffraction in E-Beam-Written Gratings

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel; Backlund, Johan

    2009-01-01

    A modified scheme for electron-beam (E-beam) writing used in the fabrication of convex or concave diffraction gratings makes it possible to suppress the ghost diffraction heretofore exhibited by such gratings. Ghost diffraction is a spurious component of diffraction caused by a spurious component of grating periodicity as described below. The ghost diffraction orders appear between the main diffraction orders and are typically more intense than is the diffuse scattering from the grating. At such high intensity, ghost diffraction is the dominant source of degradation of grating performance. The pattern of a convex or concave grating is established by electron-beam writing in a resist material coating a substrate that has the desired convex or concave shape. Unfortunately, as a result of the characteristics of electrostatic deflectors used to control the electron beam, it is possible to expose only a small field - typically between 0.5 and 1.0 mm wide - at a given fixed position of the electron gun relative to the substrate. To make a grating larger than the field size, it is necessary to move the substrate to make it possible to write fields centered at different positions, so that the larger area is synthesized by "stitching" the exposed fields.

  17. In situ manufacture of magnetic tunnel junctions by a direct-write process

    NASA Astrophysics Data System (ADS)

    Costanzi, Barry N.; Riazanova, Anastasia V.; Dan Dahlberg, E.; Belova, Lyubov M.

    2014-06-01

    In situ construction of Co/SiO2/Co magnetic tunnel junctions using direct-write electron-beam-induced deposition is described. Proof-of-concept devices were built layer by layer depositing the specific components one at a time, allowing device manufacture using a strictly additive process. The devices exhibit a magnetic tunneling signature which agrees qualitatively with the Slonczewski model of magnetic tunneling.

  18. Nanoscale Engineering in VO2 Nanowires via Direct Electron Writing Process.

    PubMed

    Zhang, Zhenhua; Guo, Hua; Ding, Wenqiang; Zhang, Bin; Lu, Yue; Ke, Xiaoxing; Liu, Weiwei; Chen, Furong; Sui, Manling

    2017-02-08

    Controlling phase transition in functional materials at nanoscale is not only of broad scientific interest but also important for practical applications in the fields of renewable energy, information storage, transducer, sensor, and so forth. As a model functional material, vanadium dioxide (VO 2 ) has its metal-insulator transition (MIT) usually at a sharp temperature around 68 °C. Here, we report a focused electron beam can directly lower down the transition temperature of a nanoarea to room temperature without prepatterning the VO 2 . This novel process is called radiolysis-assisted MIT (R-MIT). The electron beam irradiation fabricates a unique gradual MIT zone to several times of the beam size in which the temperature-dependent phase transition is achieved in an extended temperature range. The gradual transformation zone offers to precisely control the ratio of metal/insulator phases. This direct electron writing technique can open up an opportunity to precisely engineer nanodomains of diversified electronic properties in functional material-based devices.

  19. Potential of e-beam writing for diffractive optics

    NASA Astrophysics Data System (ADS)

    Kley, Ernst-Bernhard; Wyrowski, Frank

    1997-05-01

    E-beam lithography (EBL) is a powerful tool in optics. Optician can use the progress in EBL to fabricate optical components and systems with novel functions. However, EBL is dominated by microelectronics. Therefore the demands of optics are not always met by the exiting EBL technology. Some possibilities as well as limits of EBL in optics are discussed at the example of diffractive optics.

  20. Direct writing on graphene 'paper' by manipulating electrons as 'invisible ink'.

    PubMed

    Zhang, Wei; Zhang, Qiang; Zhao, Meng-Qiang; Kuhn, Luise Theil

    2013-07-12

    The combination of self-assembly (bottom up) and nano-imprint lithography (top down) is an efficient and effective way to record information at the nanoscale by writing. The use of an electron beam for writing is quite a promising strategy; however, the 'paper' on which to save the information is not yet fully realized. Herein, graphene was selected as the thinnest paper for recording information at the nanoscale. In a transmission electron microscope, in situ high precision writing and drawing were achieved on graphene nanosheets by manipulating electrons with a 1 nm probe (probe current ~2 × 10(-9) A m(-2)) in scanning transmission electron microscopy (STEM) mode. Under electron probe irradiation, the carbon atom tends to displace within a crystalline specimen, and dangling bonds are formed from the original sp(2) bonding after local carbon atoms have been kicked off. The absorbed random foreign amorphous carbon assembles along the line of the scanning direction induced by secondary electrons and is immobilized near the edge. With the ultralow secondary electron yield of the graphene, additional foreign atoms determining the accuracy of the pattern have been greatly reduced near the targeting region. Therefore, the electron probe in STEM mode serves as invisible ink for nanoscale writing and drawing. These results not only shed new light on the application of graphene by the interaction of different forms of carbon, but also illuminate the interaction of different carbon forms through electron beams.

  1. Next Generation Proton Beam Writing: A Platform Technology for Nanowire Integration

    DTIC Science & Technology

    2010-06-01

    Final Report AOARD 09-4020 Next Generation Proton Beam Writing: a platform technology for Nanowire Integration JA van Kan1, AA Bettiol1, T...PBW with a finely focused 2 MeV beam was used to write holes in a matrix of thick PMMA . A G-G developer was used to develop the PMMA patterns. The...solution. The deposition speed was about 1 μm of plated Au in every 5 min. When a sufficient thickness of Au had been deposited, the PMMA around the

  2. Plasmonic direct writing lithography with a macroscopical contact probe

    NASA Astrophysics Data System (ADS)

    Huang, Yuerong; Liu, Ling; Wang, Changtao; Chen, Weidong; Liu, Yunyue; Li, Ling

    2018-05-01

    In this work, we design a plasmonic direct writing lithography system with a macroscopical contact probe to achieve nanometer scale spots. The probe with bowtie-shaped aperture array adopts spring hinge and beam deflection method (BDM) to realize near-field lithography. Lithography results show that a macroscopical plasmonic contact probe can achieve a patterning resolution of around 75 nm at 365 nm wavelength, and demonstrate that the lithography system is promising for practical applications due to beyond the diffraction limit, low cost, and simplification of system configuration. CST calculations provide a guide for the design of recording structure and the arrangement of placing polarizer.

  3. Proton beam writing of microstructures in Agar gel for patterned cell growth

    NASA Astrophysics Data System (ADS)

    Larisch, Wolfgang; Koal, Torsten; Werner, Ronald; Hohlweg, Marcus; Reinert, Tilo; Butz, Tilman

    2011-10-01

    A rather useful prerequisite for many biological and biophysical studies, e.g., for cell-cell communication or neuronal networks, is confined cell growth on micro-structured surfaces. Solidified Agar layers have smooth surfaces which are electrically neutral and thus inhibit receptor binding and cell adhesion. For the first time, Agar microstructures have been manufactured using proton beam writing (PBW). In the irradiated Agar material the polysaccharides are split into oligosaccharides which can easily be washed off leaving Agar-free areas for cell adhesion. The beam diameter of 1 μm allows the fabrication of compartments accommodating single cells which are connected by micrometer-sized channels. Using the external beam the production process is very fast. Up to 50 Petri dishes can be produced per day which makes this technique very suitable for biological investigations which require large throughputs.

  4. Additive direct-write microfabrication for MEMS: A review

    NASA Astrophysics Data System (ADS)

    Teh, Kwok Siong

    2017-12-01

    Direct-write additive manufacturing refers to a rich and growing repertoire of well-established fabrication techniques that builds solid objects directly from computer- generated solid models without elaborate intermediate fabrication steps. At the macroscale, direct-write techniques such as stereolithography, selective laser sintering, fused deposition modeling ink-jet printing, and laminated object manufacturing have significantly reduced concept-to-product lead time, enabled complex geometries, and importantly, has led to the renaissance in fabrication known as the maker movement. The technological premises of all direct-write additive manufacturing are identical—converting computer generated three-dimensional models into layers of two-dimensional planes or slices, which are then reconstructed sequentially into threedimensional solid objects in a layer-by-layer format. The key differences between the various additive manufacturing techniques are the means of creating the finished layers and the ancillary processes that accompany them. While still at its infancy, direct-write additive manufacturing techniques at the microscale have the potential to significantly lower the barrier-of-entry—in terms of cost, time and training—for the prototyping and fabrication of MEMS parts that have larger dimensions, high aspect ratios, and complex shapes. In recent years, significant advancements in materials chemistry, laser technology, heat and fluid modeling, and control systems have enabled additive manufacturing to achieve higher resolutions at the micrometer and nanometer length scales to be a viable technology for MEMS fabrication. Compared to traditional MEMS processes that rely heavily on expensive equipment and time-consuming steps, direct-write additive manufacturing techniques allow for rapid design-to-prototype realization by limiting or circumventing the need for cleanrooms, photolithography and extensive training. With current direct-write additive

  5. High performance Si immersion gratings patterned with electron beam lithography

    NASA Astrophysics Data System (ADS)

    Gully-Santiago, Michael A.; Jaffe, Daniel T.; Brooks, Cynthia B.; Wilson, Daniel W.; Muller, Richard E.

    2014-07-01

    Infrared spectrographs employing silicon immersion gratings can be significantly more compact than spectro- graphs using front-surface gratings. The Si gratings can also offer continuous wavelength coverage at high spectral resolution. The grooves in Si gratings are made with semiconductor lithography techniques, to date almost entirely using contact mask photolithography. Planned near-infrared astronomical spectrographs require either finer groove pitches or higher positional accuracy than standard UV contact mask photolithography can reach. A collaboration between the University of Texas at Austin Silicon Diffractive Optics Group and the Jet Propulsion Laboratory Microdevices Laboratory has experimented with direct writing silicon immersion grating grooves with electron beam lithography. The patterning process involves depositing positive e-beam resist on 1 to 30 mm thick, 100 mm diameter monolithic crystalline silicon substrates. We then use the facility JEOL 9300FS e-beam writer at JPL to produce the linear pattern that defines the gratings. There are three key challenges to produce high-performance e-beam written silicon immersion gratings. (1) E- beam field and subfield stitching boundaries cause periodic cross-hatch structures along the grating grooves. The structures manifest themselves as spectral and spatial dimension ghosts in the diffraction limited point spread function (PSF) of the diffraction grating. In this paper, we show that the effects of e-beam field boundaries must be mitigated. We have significantly reduced ghost power with only minor increases in write time by using four or more field sizes of less than 500 μm. (2) The finite e-beam stage drift and run-out error cause large-scale structure in the wavefront error. We deal with this problem by applying a mark detection loop to check for and correct out minuscule stage drifts. We measure the level and direction of stage drift and show that mark detection reduces peak-to-valley wavefront error

  6. Model of diffusion-assisted direct laser writing by means of nanopolymerization in the presence of radical quencher

    NASA Astrophysics Data System (ADS)

    Pikulin, Alexander; Bityurin, Nikita; Sokolov, Viktor I.

    2015-12-01

    Diffusion-assisted direct laser writing (DA-DLW) by multiphoton polymerization has been recently shown to be one of the most promising methods for the high-resolution 3D nanofabrication [I. Sakellari, et al., ACS Nano 6, 2302 (2012)]. The improvement of the writing spatial resolution has been observed under certain conditions when the mobile radical quencher (polymerization inhibitor) is added to the photosensitive composition. In this work, we present a theoretical study of this method, focusing on the resolution capabilities and optimal writing parameters. The laser beam absorption in the polymerizable composition causes the localized depletion of the quencher molecules. If the quencher depletion is balanced by its diffusion from the outside of the focal volume, the quasi-stationary non-equillibrium concentration spatial profile with zero minimum can be obtained. The polymer is then effectively formed only in the domain where the quencher is depleted. The spatially-distributed quencher, in this case, has the effect similar to that of the vortex beam in STimulated Emission Microscopy (STED).

  7. Proton-beam writing channel based on an electrostatic accelerator

    NASA Astrophysics Data System (ADS)

    Lapin, A. S.; Rebrov, V. A.; Kolin'ko, S. V.; Salivon, V. F.; Ponomarev, A. G.

    2016-09-01

    We have described the structure of the proton-beam writing channel as a continuation of a nuclear scanning microprobe channel. The problem of the accuracy of positioning a probe by constructing a new high-frequency electrostatic scanning system has been solved. Special attention has been paid to designing the probe-forming system and its various configurations have been considered. The probe-forming system that best corresponds to the conditions of the lithographic process has been found based on solving the problem of optimizing proton beam formation. A system for controlling beam scanning using multifunctional module of integrated programmable logic systems has been developed.

  8. Direct femtosecond laser writing of buried infrared waveguides in chalcogenide glasses

    NASA Astrophysics Data System (ADS)

    Le Coq, D.; Bychkov, E.; Masselin, P.

    2016-02-01

    Direct laser writing technique is now widely used in particular in glass, to produce both passive and active photonic devices. This technique offers a real scientific opportunity to generate three-dimensional optical components and since chalcogenide glasses possess transparency properties from the visible up to mid-infrared range, they are of great interest. Moreover, they also have high optical non-linearity and high photo-sensitivity that make easy the inscription of refractive index modification. The understanding of the fundamental and physical processes induced by the laser pulses is the key to well-control the laser writing and consequently to realize integrated photonic devices. In this paper, we will focus on two different ways allowing infrared buried waveguide to be obtained. The first part will be devoted to a very original writing process based on a helical translation of the sample through the laser beam. In the second part, we will report on another original method based on both a filamentation phenomenon and a point by point technique. Finally, we will demonstrate that these two writing techniques are suitable for the design of single mode waveguide for wavelength ranging from the visible up to the infrared but also to fabricate optical components.

  9. Time-resolved scanning Kerr microscopy of flux beam formation in hard disk write heads

    NASA Astrophysics Data System (ADS)

    Valkass, Robert A. J.; Spicer, Timothy M.; Burgos Parra, Erick; Hicken, Robert J.; Bashir, Muhammad A.; Gubbins, Mark A.; Czoschke, Peter J.; Lopusnik, Radek

    2016-06-01

    To meet growing data storage needs, the density of data stored on hard disk drives must increase. In pursuit of this aim, the magnetodynamics of the hard disk write head must be characterized and understood, particularly the process of "flux beaming." In this study, seven different configurations of perpendicular magnetic recording (PMR) write heads were imaged using time-resolved scanning Kerr microscopy, revealing their detailed dynamic magnetic state during the write process. It was found that the precise position and number of driving coils can significantly alter the formation of flux beams during the write process. These results are applicable to the design and understanding of current PMR and next-generation heat-assisted magnetic recording devices, as well as being relevant to other magnetic devices.

  10. How directional change in reading/writing habits relates to directional change in displayed pictures.

    PubMed

    Lee, Hachoung; Oh, Songjoo

    2016-01-01

    It has been suggested that reading/writing habits may influence the appreciation of pictures. For example, people who read and write in a rightward direction have an aesthetic preference for pictures that face rightward over pictures that face leftward, and vice versa. However, correlations for this phenomenon have only been found in cross-cultural studies. Will a directional change in reading/writing habits within a culture relate to changes in picture preference? Korea is a good place to research this question because the country underwent gradual changes in reading/writing direction habits, from leftward to rightward, during the 20th century. In this study, we analyzed the direction of drawings and photos published in the two oldest newspapers in Korea from 1920-2013. The results show that the direction of the drawings underwent a clear shift from the left to the right, but the direction of the photos did not change. This finding suggests a close psychological link between the habits of reading/writing and drawing that cannot be accounted for simply by an accidental correspondence across different cultures.

  11. Laser direct writing of micro- and nano-scale medical devices

    PubMed Central

    Gittard, Shaun D; Narayan, Roger J

    2010-01-01

    Laser-based direct writing of materials has undergone significant development in recent years. The ability to modify a variety of materials at small length scales and using short production times provides laser direct writing with unique capabilities for fabrication of medical devices. In many laser-based rapid prototyping methods, microscale and submicroscale structuring of materials is controlled by computer-generated models. Various laser-based direct write methods, including selective laser sintering/melting, laser machining, matrix-assisted pulsed-laser evaporation direct write, stereolithography and two-photon polymerization, are described. Their use in fabrication of microstructured and nanostructured medical devices is discussed. Laser direct writing may be used for processing a wide variety of advanced medical devices, including patient-specific prostheses, drug delivery devices, biosensors, stents and tissue-engineering scaffolds. PMID:20420557

  12. Zone-boundary optimization for direct laser writing of continuous-relief diffractive optical elements.

    PubMed

    Korolkov, Victor P; Nasyrov, Ruslan K; Shimansky, Ruslan V

    2006-01-01

    Enhancing the diffraction efficiency of continuous-relief diffractive optical elements fabricated by direct laser writing is discussed. A new method of zone-boundary optimization is proposed to correct exposure data only in narrow areas along the boundaries of diffractive zones. The optimization decreases the loss of diffraction efficiency related to convolution of a desired phase profile with a writing-beam intensity distribution. A simplified stepped transition function that describes optimized exposure data near zone boundaries can be made universal for a wide range of zone periods. The approach permits a similar increase in the diffraction efficiency as an individual-pixel optimization but with fewer computation efforts. Computer simulations demonstrated that the zone-boundary optimization for a 6 microm period grating increases the efficiency by 7% and 14.5% for 0.6 microm and 1.65 microm writing-spot diameters, respectively. The diffraction efficiency of as much as 65%-90% for 4-10 microm zone periods was obtained experimentally with this method.

  13. Time-resolved scanning Kerr microscopy of flux beam formation in hard disk write heads

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Valkass, Robert A. J., E-mail: rajv202@ex.ac.uk; Spicer, Timothy M.; Burgos Parra, Erick

    To meet growing data storage needs, the density of data stored on hard disk drives must increase. In pursuit of this aim, the magnetodynamics of the hard disk write head must be characterized and understood, particularly the process of “flux beaming.” In this study, seven different configurations of perpendicular magnetic recording (PMR) write heads were imaged using time-resolved scanning Kerr microscopy, revealing their detailed dynamic magnetic state during the write process. It was found that the precise position and number of driving coils can significantly alter the formation of flux beams during the write process. These results are applicable tomore » the design and understanding of current PMR and next-generation heat-assisted magnetic recording devices, as well as being relevant to other magnetic devices.« less

  14. Model of diffusion-assisted direct laser writing by means of nanopolymerization in the presence of radical quencher

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Pikulin, Alexander, E-mail: pikulin@ufp.appl.sci-nnov.ru; Bityurin, Nikita; Institute of Applied Physics of Russian Academy of Sciences, 46, Ul’yanov Str., Nizhniy Novgorod, 603950

    Diffusion-assisted direct laser writing (DA-DLW) by multiphoton polymerization has been recently shown to be one of the most promising methods for the high-resolution 3D nanofabrication [I. Sakellari, et al., ACS Nano 6, 2302 (2012)]. The improvement of the writing spatial resolution has been observed under certain conditions when the mobile radical quencher (polymerization inhibitor) is added to the photosensitive composition. In this work, we present a theoretical study of this method, focusing on the resolution capabilities and optimal writing parameters. The laser beam absorption in the polymerizable composition causes the localized depletion of the quencher molecules. If the quencher depletionmore » is balanced by its diffusion from the outside of the focal volume, the quasi-stationary non-equillibrium concentration spatial profile with zero minimum can be obtained. The polymer is then effectively formed only in the domain where the quencher is depleted. The spatially-distributed quencher, in this case, has the effect similar to that of the vortex beam in STimulated Emission Microscopy (STED)« less

  15. λ/26 silver nanodots fabricated by direct laser writing through highly sensitive two-photon photoreduction

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Cao, Yaoyu; Gu, Min, E-mail: mgu@swin.edu.au

    We demonstrated an approach to break the diffraction limit and realise deep-subwavelength two-photon direct laser writing by employing a highly sensitive photoreduction process. The photoreduction photosensitivity increased by at least 4 times while the wavelength of the fabrication laser beam was tuned from 800 nm to 580 nm. The increase of the photosensitivity resulted in improved resolution for the silver dot fabrication. By developing the photoreduction material with adding electron donors, the photosensitivity further increased and enabled the realisation of a single silver dot at 22 nm which is λ/26 for the wavelength of the fabrication laser beam.

  16. Direct write of copper-graphene composite using micro-cold spray

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Dardona, Sameh, E-mail: dardona@utrc.utc.com; She, Ying; Schmidt, Wayde R.

    Direct write of a new class of composite materials containing copper and graphene in the powder phase is described. The composite was synthesized using batch electroless plating of copper for various times onto Nano Graphene Platelets (NGP) to control the amount of copper deposited within the loosely aggregated graphene powder. Copper deposition was confirmed by both Focused Ion Beam (FIB) and Auger electron spectroscopic analysis. A micro-cold spray technique was used to deposit traces that are ∼230 μm wide and ∼5 μm thick of the formulated copper/graphene powder onto a glass substrate. The deposited traces were found to have goodmore » adhesion to the substrate with ∼65x the copper bulk resistivity.« less

  17. Self-organized micro-holes on titania based sol-gel films under continuous direct writing with a continuous wave ultraviolet laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Bakhti, S.; Destouches, N.; Gamet, E.

    The microstructuring of titania based sol-gel films is investigated by direct writing with a continuous wave ultraviolet laser beam emitting at 244 nm. Depending on the exposure conditions, the films exhibit a volume expansion, a volume shrinkage, a self-shaped delamination, or are damaged. This paper is mainly focused on the regime where spontaneous local delamination occurs, which corresponds to a narrow range of laser irradiances and writing speeds. In this regime, self-organized round-shape micro-holes opened on the substrate are generated.

  18. Fabrication of multi-scale periodic surface structures on Ti-6Al-4V by direct laser writing and direct laser interference patterning for modified wettability applications

    NASA Astrophysics Data System (ADS)

    Huerta-Murillo, D.; Aguilar-Morales, A. I.; Alamri, S.; Cardoso, J. T.; Jagdheesh, R.; Lasagni, A. F.; Ocaña, J. L.

    2017-11-01

    In this work, hierarchical surface patterns fabricated on Ti-6Al-4V alloy combining two laser micro-machining techniques are presented. The used technologies are based on nanosecond Direct Laser Writing and picosecond Direct Laser Interference Patterning. Squared shape micro-cells with different hatch distances were produced by Direct Laser Writing with depths values in the micro-scale, forming a well-defined closed packet. Subsequently, cross-like periodic patterns were fabricated by means of Direct Laser Interference Patterning using a two-beam configuration, generating a dual-scale periodic surface structure in both micro- and nano-scale due to the formation of Laser-Induced Periodic Surface Structure after the picosecond process. As a result a triple hierarchical periodic surface structure was generated. The surface morphology of the irradiated area was characterized with scanning electron microscopy and confocal microscopy. Additionally, static contact angle measurements were made to analyze the wettability behavior of the structures, showing a hydrophobic behavior for the hierarchical structures.

  19. Final Report for Grant "Direct Writing via Novel Aromatic Ladder Polymer Precursors"

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    C. B. Gorman

    2010-10-29

    This report describes activities and findings under the above entitled grant. These pertain to the development of new synthetic routes to novel precursor polymers and oligomers that are applicable for conversion from electrical insulators to electrical conductors under the application of light (e.g. direct photolithographic writing)

  20. Assessing the writing of deaf college students: reevaluating a direct assessment of writing.

    PubMed

    Schley, Sara; Albertini, John

    2005-01-01

    The NTID Writing Test was developed to assess the writing ability of postsecondary deaf students entering the National Technical Institute for the Deaf and to determine their appropriate placement into developmental writing courses. While previous research (Albertini et al., 1986; Albertini et al., 1996; Bochner, Albertini, Samar, & Metz, 1992) has shown the test to be reliable between multiple test raters and as a valid measure of writing ability for placement into these courses, changes in curriculum and the rater pool necessitated a new look at interrater reliability and concurrent validity. We evaluated the rating scores for 236 samples from students who entered the college during the fall 2001. Using a multiprong approach, we confirmed the interrater reliability and the validity of this direct measure of assessment. The implications of continued use of this and similar tests in light of definitions of validity, local control, and the nature of writing are discussed.

  1. Femtosecond laser fabrication of birefringent directional couplers as polarization beam splitters in fused silica.

    PubMed

    Fernandes, Luís A; Grenier, Jason R; Herman, Peter R; Aitchison, J Stewart; Marques, Paulo V S

    2011-06-20

    Integrated polarization beam splitters based on birefringent directional couplers are demonstrated. The devices are fabricated in bulk fused silica glass by femtosecond laser writing (300 fs, 150 nJ at 500 kHz, 522 nm). The birefringence was measured from the spectral splitting of the Bragg grating resonances associated with the vertically and horizontally polarized modes. Polarization splitting directional couplers were designed and demonstrated with 0.5 dB/cm propagation losses and -19 dB and -24 dB extinction ratios for the polarization splitting.

  2. The Development of Composition Skills via Directed Writing.

    ERIC Educational Resources Information Center

    Rahilly, Leonard J.

    To alleviate problems associated with free composition as a method of foreign language writing instruction, the directed writing method was adapted for use in a college French composition course. High-quality French texts, often of only a page or two and written by native speakers, are used as a basis for grammatical analysis and discussion and a…

  3. Direct measurements on imaging riometer antenna array beam directivities

    NASA Astrophysics Data System (ADS)

    Wilson, A.; Nel, J. J.; Mathews, M. J.; Stoker, P. H.

    2001-01-01

    Spatial structures in enhanced ionization of the ionosphere are observed by absorption of cosmic radio waves. These structures are resolved by using theoretically derived imaging riometer antenna array directivities. These directivities are calculated from beam phasing of 64 crossed dipole elements of the 38.2-MHz antenna array at SANAE IV, Antarctica. In order to ensure that these derived directivities are representative of the actual viewing directions of the 64-beams, a radio transmitter was flown by helicopter across the antenna array. In this paper variations in the receiver signal strengths, recorded when flying across beam-viewing directions, are compared with the spatial and angular-dependent profiles of expected receiver output responses, derived theoretically from the directivities of the antenna array. A Global Positioning System (GPS) device on board the helicopter was used for positional recording. The derived and recorded profiles did coincide occasionally, but at other instances relative displacements and differences in magnitude of responses were observed. These displacements and differences could be attributed to degradation in position fixes imposed deliberately by selective availability on the GPS system. Excellent coincidence for a number of beam crossings proved that the viewing directions are accurate in all the beam directions, since the multi-dimensional Butler matrix produces 64 simultaneous beams.

  4. Research Update: Focused ion beam direct writing of magnetic patterns with controlled structural and magnetic properties

    NASA Astrophysics Data System (ADS)

    Urbánek, Michal; Flajšman, Lukáš; Křižáková, Viola; Gloss, Jonáš; Horký, Michal; Schmid, Michael; Varga, Peter

    2018-06-01

    Focused ion beam irradiation of metastable Fe78Ni22 thin films grown on Cu(100) substrates is used to create ferromagnetic, body-centered cubic patterns embedded into paramagnetic, face-centered-cubic surrounding. The structural and magnetic phase transformation can be controlled by varying parameters of the transforming gallium ion beam. The focused ion beam parameters such as the ion dose, number of scans, and scanning direction can be used not only to control a degree of transformation but also to change the otherwise four-fold in-plane magnetic anisotropy into the uniaxial anisotropy along a specific crystallographic direction. This change is associated with a preferred growth of specific crystallographic domains. The possibility to create magnetic patterns with continuous magnetization transitions and at the same time to create patterns with periodical changes in magnetic anisotropy makes this system an ideal candidate for rapid prototyping of a large variety of nanostructured samples. Namely, spin-wave waveguides and magnonic crystals can be easily combined into complex devices in a single fabrication step.

  5. Femtosecond laser direct writing of monocrystalline hexagonal silver prisms

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Vora, Kevin; Kang, SeungYeon; Moebius, Michael

    Bottom-up growth methods and top-down patterning techniques are both used to fabricate metal nanostructures, each with a distinct advantage: One creates crystalline structures and the other offers precise positioning. Here, we present a technique that localizes the growth of metal crystals to the focal volume of a laser beam, combining advantages from both approaches. We report the fabrication of silver nanoprisms—hexagonal nanoscale silver crystals—through irradiation with focused femtosecond laser pulses. The growth of these nanoprisms is due to a nonlinear optical interaction between femtosecond laser pulses and a polyvinylpyrrolidone film doped with silver nitrate. The hexagonal nanoprisms have bases hundredsmore » of nanometers in size and the crystal growth occurs over exposure times of less than 1 ms (8 orders of magnitude faster than traditional chemical techniques). Electron backscatter diffraction analysis shows that the hexagonal nanoprisms are monocrystalline. The fabrication method combines advantages from both wet chemistry and femtosecond laser direct-writing to grow silver crystals in targeted locations. The results presented in this letter offer an approach to directly positioning and growing silver crystals on a substrate, which can be used for plasmonic devices.« less

  6. Electron-beam lithography for micro and nano-optical applications

    NASA Technical Reports Server (NTRS)

    Wilson, Daniel W.; Muller, Richard E.; Echternach, Pierre M.

    2005-01-01

    Direct-write electron-beam lithography has proven to be a powerful technique for fabricating a variety of micro- and nano-optical devices. Binary E-beam lithography is the workhorse technique for fabricating optical devices that require complicated precision nano-scale features. We describe a bi-layer resist system and virtual-mark height measurement for improving the reliability of fabricating binary patterns. Analog E-beam lithography is a newer technique that has found significant application in the fabrication of diffractive optical elements. We describe our techniques for fabricating analog surface-relief profiles in E-beam resist, including some discussion regarding overcoming the problems of resist heating and charging. We also describe a multiple-field-size exposure scheme for suppression of field-stitch induced ghost diffraction orders produced by blazed diffraction gratings on non-flat substrates.

  7. Character Reversal in Children: The Prominent Role of Writing Direction

    ERIC Educational Resources Information Center

    Fischer, Jean-Paul

    2017-01-01

    Recent research has established that 5- to 6-year-old typically developing children in a left-right writing culture spontaneously reverse left-oriented characters (e.g., they write a [reversed J] instead of J) when they write single characters. Thus, children seem to implicitly apply a right-writing rule (RWR: see Fischer & Koch, 2016a). In…

  8. Direct Writing of Graphene-based Nanoelectronics via Atomic Force Microscopy

    DTIC Science & Technology

    2012-05-07

    To) 07-05-2012 4. TITLE AND SUBTITLE 5a. CONTRACT NUMBER Direct Writing of Graphene -based Nanoelectronics via Atomic Force Microscopy 5b. GRANT...ABSTRACT This project employs direct writing with an atomic force microscope (AFM) to fabricate simple graphene -based electronic components like resistors...and transistors at nanometer-length scales. The goal is to explore their electrical properties for graphene -based electronics. Conducting

  9. Proton beam writing of long, arbitrary structures for micro/nano photonics and fluidics applications

    NASA Astrophysics Data System (ADS)

    Udalagama, Chammika; Teo, E. J.; Chan, S. F.; Kumar, V. S.; Bettiol, A. A.; Watt, F.

    2011-10-01

    The last decade has seen proton beam writing maturing into a versatile lithographic technique able to produce sub-100 nm, high aspect ratio structures with smooth side walls. However, many applications in the fields of photonics and fluidics require the fabrication of structures with high spatial resolution that extends over several centimetres. This cannot be achieved by purely magnetic or electrostatic beam scanning due to the large off-axis beam aberrations in high demagnification systems. As a result, this has limited us to producing long straight structures using a combination of beam and stage scanning. In this work we have: (1) developed an algorithm to include any arbitrary pattern into the writing process by using a more versatile combination of beam and stage scanning while (2) incorporating the use of the ubiquitous AutoCAD DXF (drawing exchange format) into the design process. We demonstrate the capability of this approach in fabricating structures such as Y-splitters, Mach-Zehnder modulators and microfluidic channels that are over several centimetres in length, in polymer. We also present optimisation of such parameters as scanning speed and scanning loops to improve on the surface roughness of the structures. This work opens up new possibilities of using CAD software in PBW for microphotonics and fluidics device fabrication.

  10. The Development of E-Mail Literacy: From Writing to Peers to Writing to Authority Figures

    ERIC Educational Resources Information Center

    Chen, Chi-Fen Emily

    2006-01-01

    Though e-mail has become a common interpersonal communication medium, it does not mean that this medium is used without difficulty. While people can write e-mails to peers in any manner they like, writing e-mails to authority figures requires higher pragmatic competence and critical language awareness of how discourse shapes and reflects power…

  11. Effect of Direct Grammar Instruction on Student Writing Skills

    ERIC Educational Resources Information Center

    Robinson, Lisa; Feng, Jay

    2016-01-01

    Grammar Instruction has an important role to play in helping students to speak and write more effectively. The purpose of this study was to examine the effects of direct grammar instruction on the quality of student's writing skills. The participants in this study included 18 fifth grade students and two fifth grade teachers. Based on the results…

  12. Expanding the Developmental Models of Writing: A Direct and Indirect Effects Model of Developmental Writing (DIEW)

    ERIC Educational Resources Information Center

    Kim, Young-Suk Grace; Schatschneider, Christopher

    2017-01-01

    We investigated direct and indirect effects of component skills on writing (DIEW) using data from 193 children in Grade 1. In this model, working memory was hypothesized to be a foundational cognitive ability for language and cognitive skills as well as transcription skills, which, in turn, contribute to writing. Foundational oral language skills…

  13. Adaptive slit beam shaping for direct laser written waveguides.

    PubMed

    Salter, P S; Jesacher, A; Spring, J B; Metcalf, B J; Thomas-Peter, N; Simmonds, R D; Langford, N K; Walmsley, I A; Booth, M J

    2012-02-15

    We demonstrate an improved method for fabricating optical waveguides in bulk materials by means of femtosecond laser writing. We use an LC spatial light modulator (SLM) to shape the beam focus by generating adaptive slit illumination in the pupil of the objective lens. A diffraction grating is applied in a strip across the SLM to simulate a slit, with the first diffracted order mapped onto the pupil plane of the objective lens while the zeroth order is blocked. This technique enables real-time control of the beam-shaping parameters during writing, facilitating the fabrication of more complicated structures than is possible using nonadaptive methods. Waveguides are demonstrated in fused silica with a coupling loss to single-mode fibers in the range of 0.2 to 0.5 dB and propagation loss <0.4 dB/cm.

  14. Fabrication process of superconducting integrated circuits with submicron Nb/AlOx/Nb junctions using electron-beam direct writing technique

    NASA Astrophysics Data System (ADS)

    Aoyagi, Masahiro; Nakagawa, Hiroshi

    1997-07-01

    For enhancing operating speed of a superconducting integrated circuit (IC), the device size must be reduced into the submicron level. For this purpose, we have introduced electron beam (EB) direct writing technique into the fabrication process of a Nb/AlOx/Nb Josephson IC. A two-layer (PMMA/(alpha) M-CMS) resist method called the portable conformable mask (PCM) method was utilized for having a high aspect ratio. The electron cyclotron resonance (ECR) plasma etching technique was utilized. We have fabricated micron or submicron-size Nb/AlOx/Nb Josephson junctions, where the size of the junction was varied from 2 micrometer to 0.5 micrometer at 0.1 micrometer intervals. These junctions were designed for evaluating the spread of the junction critical current. We achieved minimum-to-maximum Ic spread of plus or minus 13% for 0.81-micrometer-square (plus or minus 16% for 0.67-micrometer-square) 100 junctions spreading in 130- micrometer-square area. The size deviation of 0.05 micrometer was estimated from the spread values. We have successfully demonstrated a small-scale logic IC with 0.9-micrometer-square junctions having a 50 4JL OR-gate chain, where 4JL means four junctions logic family. The circuit was designed for measuring the gate delay. We obtained a preliminary result of the OR- gate logic delay, where the minimum delay was 8.6 ps/gate.

  15. Laser-guided direct writing for three-dimensional tissue engineering: Analysis and application of radiation forces

    NASA Astrophysics Data System (ADS)

    Nahmias, Yaakov Koby

    Tissue Engineering aims for the creation of functional tissues or organs using a combination of biomaterials and living cells. Artificial tissues can be implanted in patients to restore tissue function that was lost due to trauma, disease, or genetic disorder. Tissue equivalents may also be used to screen the effects of drugs and toxins, reducing the use of animals in research. One of the principle limitations to the size of engineered tissue is oxygen and nutrient transport. Lacking their own vascular bed, cells embedded in the engineered tissue will consume all available oxygen within hours while out branching blood vessels will take days to vascularize the implanted tissue. Establishing capillaries within the tissue prior to implantation can potentially eliminate this limitation. One approach to establishing capillaries within the tissue is to directly write endothelial cells with micrometer accuracy as it is being built. The patterned endothelial cells will then self-assemble into vascular structures within the engineering tissue. The cell patterning technique known as laser-guided direct writing can confine multiple cells in a laser beam and deposit them as a steady stream on any non-absorbing surface with micrometer scale accuracy. By applying the generalized Lorenz-Mie theory for light scattering on laser-guided direct writing we were able to accurately predict the behavior of with various cells and particles in the focused laser. In addition, two dimensionless parameters were identified for general radiation-force based system design. Using laser-guided direct writing we were able to direct the assembly of endothelial vascular structures with micrometer accuracy in two and three dimensions. The patterned vascular structures provided the backbone for subsequent in vitro liver morphogenesis. Our studies show that hepatocytes migrate toward and adhere to endothelial vascular structures in response to endothelial-secreted hepatocyte growth factor (HGF). Our

  16. 3D direct writing fabrication of electrodes for electrochemical storage devices

    NASA Astrophysics Data System (ADS)

    Wei, Min; Zhang, Feng; Wang, Wei; Alexandridis, Paschalis; Zhou, Chi; Wu, Gang

    2017-06-01

    Among different printing techniques, direct ink writing is commonly used to fabricate 3D battery and supercapacitor electrodes. The major advantages of using the direct ink writing include effectively building 3D structure for energy storage devices and providing higher power density and higher energy density than traditional techniques due to the increased surface area of electrode. Nevertheless, direct ink writing has high standards for the printing inks, which requires high viscosity, high yield stress under shear and compression, and well-controlled viscoelasticity. Recently, a number of 3D-printed energy storage devices have been reported, and it is very important to understand the printing process and the ink preparation process for further material design and technology development. We discussed current progress of direct ink writing technologies by using various electrode materials including carbon nanotube-based material, graphene-based material, LTO (Li4Ti5O12), LFP (LiFePO4), LiMn1-xFexPO4, and Zn-based metallic oxide. Based on achieve electrochemical performance, these 3D-printed devices deliver performance comparable to the energy storage device fabricated using traditional methods still leaving large room for further improvement. Finally, perspectives are provided on the potential future direction of 3D printing for all solid-state electrochemical energy storage devices.

  17. Direct writing of micro/nano-scale patterns by means of particle lens arrays scanned by a focused diode pumped Nd:YVO4 laser

    NASA Astrophysics Data System (ADS)

    Pena, Ana; Wang, Zengbo; Whitehead, David; Li, Lin

    2010-11-01

    A practical approach to a well-known technique of laser micro/nano-patterning by optical near fields is presented. It is based on surface patterning by scanning a Gaussian laser beam through a self-assembled monolayer of silica micro-spheres on a single-crystalline silicon (Si) substrate. So far, the outcome of this kind of near-field patterning has been related to the simultaneous, parallel surface-structuring of large areas either by top hat or Gaussian laser intensity distributions. We attempt to explore the possibility of using the same technique in order to produce single, direct writing of features. This could be of advantage for applications in which only some areas need to be patterned (i.e. local area selective patterning) or single lines are required (e.g. a particular micro/nano-fluidic channel). A diode pumped Nd:YVO4 laser system (wavelength of 532 nm, pulse duration of 8 ns, repetition rate of 30 kHz) with a computer-controlled 3 axis galvanometer beam scanner was employed to write user-defined patterns through the particle lens array on the Si substrate. After laser irradiation, the obtained patterns which are in the micro-scale were composed of sub-micro/micro-holes or bumps. The micro-pattern resolution depends on the dimension of both the micro-sphere’s diameter and the beam’s spot size. The developed technique could potentially be employed to fabricate photonic crystal structures mimicking nature’s butterfly wings and anti-reflective “moth eye” arrays for photovoltaic cells.

  18. Independent control of beam astigmatism and ellipticity using a SLM for fs-laser waveguide writing.

    PubMed

    Ruiz de la Cruz, A; Ferrer, A; Gawelda, W; Puerto, D; Sosa, M Galván; Siegel, J; Solis, J

    2009-11-09

    We have used a low repetition rate (1 kHz), femtosecond laser amplifier in combination with a spatial light modulator (SLM) to write optical waveguides with controllable cross-section inside a phosphate glass sample. The SLM is used to induce a controllable amount of astigmatism in the beam wavefront while the beam ellipticity is controlled through the propagation distance from the SLM to the focusing optics of the writing set-up. The beam astigmatism leads to the formation of two separate disk-shaped foci lying in orthogonal planes. Additionally, the ellipticity has the effect of enabling control over the relative peak irradiances of the two foci, making it possible to bring the peak irradiance of one of them below the material transformation threshold. This allows producing a single waveguide with controllable cross-section. Numerical simulations of the irradiance distribution at the focal region under different beam shaping conditions are compared to in situ obtained experimental plasma emission images and structures produced inside the glass, leading to a very satisfactory agreement. Finally, guiding structures with controllable cross-section are successfully produced in the phosphate glass using this approach.

  19. 3-dimensional free standing micro-structures by proton beam writing of Su 8-silver nanoParticle polymeric composite

    NASA Astrophysics Data System (ADS)

    Igbenehi, H.; Jiguet, S.

    2012-09-01

    Proton beam lithography a maskless direct-write lithographic technique (well suited for producing 3-Dimensional microstructures in a range of resist and semiconductor materials) is demonstrated as an effective tool in the creation of electrically conductive freestanding micro-structures in an Su 8 + Nano Silver polymer composite. The structures produced show non-ohmic conductivity and fit the percolation theory conduction model of tunneling of separated nanoparticles. Measurements show threshold switching and a change in conductivity of at least 4 orders of magnitude. The predictable range of protons in materials at a given energy is exploited in the creation of high aspect ratio, free standing micro-structures, made from a commercially available SU8 Silver nano-composite (GMC3060 form Gersteltec Inc. a negative tone photo-epoxy with added metallic nano-particles(Silver)) to create films with enhanced electrical properties when exposed and cured. Nano-composite films are directly written on with a finely focused MeV accelerated Proton particle beam. The energy loss of the incident proton beams in the target polymer nano- composite film is concentrated at the end of its range, where damage occurs; changing the chemistry of the nano-composite film via an acid initiated polymerization - creating conduction paths. Changing the energy of the incident beams provide exposed regions with different penetration and damage depth - exploited in the demonstrated cantilever microstructure.

  20. Multiple beam mask writers: an industry solution to the write time crisis

    NASA Astrophysics Data System (ADS)

    Litt, Lloyd C.

    2010-09-01

    The semiconductor industry is under constant pressure to reduce production costs even as technology complexity increases. Lithography represents the most expensive process due to its high capital equipment costs and the implementation of low-k1 lithographic processes, which has added to the complexity of making masks through the greater use of optical proximity correction, pixelated masks, and double or triple patterning. Each of these mask technologies allows the production of semiconductors at future nodes while extending the utility of current immersion tools. Low k1 patterning complexity combined with increased data due to smaller feature sizes is driving extremely long mask write times. While a majority of the industry is willing to accept mask write times of up to 24 hours, evidence suggests that the write times for many masks at the 22 nm node and beyond will be significantly longer. It has been estimated that $50M+ in non-recurring engineering (NRE) costs will be required to develop a multiple beam mask writer system, yet the business case to recover this kind of investment is not strong. Moreover, funding such a development is a high risk for an individual supplier. The problem is compounded by a disconnect between the tool customer (the mask supplier) and the final mask customer that will bear the increased costs if a high speed writer is not available. Since no individual company will likely risk entering this market, some type of industry-wide funding model will be needed. Because SEMATECH's member companies strongly support a multiple beam technology for mask writers to reduce the write time and cost of 193 nm and EUV masks, SEMATECH plans to pursue an advanced mask writer program in 2011 and 2012. In 2010, efforts will focus on identifying a funding model to address the investment to develop such a technology.

  1. Laser Direct Write Synthesis of Lead Halide Perovskites

    DOE PAGES

    Chou, Stanley S.; Swartzentruber, Brian S.; Janish, Matthew T.; ...

    2016-09-05

    Lead halide perovskites are increasingly considered for applications beyond photovoltaics, for example, light emission and detection, where an ability to pattern and prototype microscale geometries can facilitate the incorporation of this class of materials into devices. In this study, we demonstrate laser direct write of lead halide perovskites, a remarkably simple procedure that takes advantage of the inverse dependence between perovskite solubility and temperature by using a laser to induce localized heating of an absorbing substrate. We also demonstrate arbitrary pattern formation of crystalline CH 3NH 3PbBr 3 on a range of substrates and fabricate and characterize a microscale photodetectormore » using this approach. This direct write methodology provides a path forward for the prototyping and production of perovskite-based devices.« less

  2. Laser Direct Write Synthesis of Lead Halide Perovskites

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Chou, Stanley S.; Swartzentruber, Brian S.; Janish, Matthew T.

    Lead halide perovskites are increasingly considered for applications beyond photovoltaics, for example, light emission and detection, where an ability to pattern and prototype microscale geometries can facilitate the incorporation of this class of materials into devices. In this study, we demonstrate laser direct write of lead halide perovskites, a remarkably simple procedure that takes advantage of the inverse dependence between perovskite solubility and temperature by using a laser to induce localized heating of an absorbing substrate. We also demonstrate arbitrary pattern formation of crystalline CH 3NH 3PbBr 3 on a range of substrates and fabricate and characterize a microscale photodetectormore » using this approach. This direct write methodology provides a path forward for the prototyping and production of perovskite-based devices.« less

  3. Direct laser writing of graphene electronics.

    PubMed

    El-Kady, Maher F; Kaner, Richard B

    2014-09-23

    One of the fundamental issues with graphene for logic applications is its lack of a band gap. In this issue of ACS Nano, Shim and colleagues introduce an effective approach for modulating the current flow in graphene by forming p-n junctions using lasers. The findings could lead to a new route for controlling the electronic properties of graphene-based devices. We highlight recent progress in the direct laser synthesis and patterning of graphene for numerous applications. We also discuss the challenges and opportunities in translating this remarkable progress toward the direct laser writing of graphene electronics at large scales.

  4. Microfabrication of biocompatible hydrogels by proton beam writing

    NASA Astrophysics Data System (ADS)

    Nagasawa, Naotsugu; Kimura, Atsushi; Idesaki, Akira; Yamada, Naoto; Koka, Masashi; Satoh, Takahiro; Ishii, Yasuyuki; Taguchi, Mitsumasa

    2017-10-01

    Functionalization of biocompatible materials is expected to be widely applied in biomedical engineering and regenerative medicine fields. Hydrogel has been expected as a biocompatible scaffold which support to keep an organ shape during cell multiplying in regenerative medicine. Therefore, it is important to understanding a surface microstructure (minute shape, depth of flute) and a chemical characteristic of the hydrogel affecting the cell culture. Here, we investigate the microfabrication of biocompatible polymeric materials, such as the water-soluble polysaccharide derivatives hydroxypropyl cellulose and carboxymethyl cellulose, by use of proton beam writing (PBW). These polymeric materials were dissolved thoroughly in pure water using a planetary centrifugal mixer, and a sample sheet (1 mm thick) was formed on polyethylene terephthalate (PET) film. Crosslinking to form hydrogels was induced using a 3.0 MeV focused proton beam from the single-ended accelerator at Takasaki Ion Accelerators for Advanced Radiation Application. The aqueous samples were horizontally irradiated with the proton beam through the PET cover film, and then rinsed with deionized water. Microstructured hydrogels were obtained on the PET film using the PBW technique without toxic crosslinking reagents. Cell adhesion and proliferation on the microfabricated biocompatible hydrogels were investigated. Microfabrication of HPC and CMC by the use of PBW is expected to produce new biocompatible materials that can be applied in biological and medical applications.

  5. Large-Scale Direct-Writing of Aligned Nanofibers for Flexible Electronics.

    PubMed

    Ye, Dong; Ding, Yajiang; Duan, Yongqing; Su, Jiangtao; Yin, Zhouping; Huang, Yong An

    2018-05-01

    Nanofibers/nanowires usually exhibit exceptionally low flexural rigidities and remarkable tolerance against mechanical bending, showing superior advantages in flexible electronics applications. Electrospinning is regarded as a powerful process for this 1D nanostructure; however, it can only be able to produce chaotic fibers that are incompatible with the well-patterned microstructures in flexible electronics. Electro-hydrodynamic (EHD) direct-writing technology enables large-scale deposition of highly aligned nanofibers in an additive, noncontact, real-time adjustment, and individual control manner on rigid or flexible, planar or curved substrates, making it rather attractive in the fabrication of flexible electronics. In this Review, the ground-breaking research progress in the field of EHD direct-writing technology is summarized, including a brief chronology of EHD direct-writing techniques, basic principles and alignment strategies, and applications in flexible electronics. Finally, future prospects are suggested to advance flexible electronics based on orderly arranged EHD direct-written fibers. This technology overcomes the limitations of the resolution of fabrication and viscosity of ink of conventional inkjet printing, and represents major advances in manufacturing of flexible electronics. © 2018 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  6. Quantum point contacts for electrons on H-Si(111) surfaces using a Ga focused-ion beam for direct-write implant lithography

    NASA Astrophysics Data System (ADS)

    Robertson, Luke D.; Kane, B. E.

    Quantum point contacts (QPCs) realized in materials with anisotropic electron mass, such as Si, may exhibit valley filter phenomena leading to extreme sensitivity to single donor occupancy, and thus are of interest to measurement schemes for donor-based quantum information processing. To this end, we have developed ambipolar devices on a H-Si(111):Si(100)/SiO2 flip-chip assembly which utilize in-plane, degenerately doped n+ (P) and p+ (B) contacts to probe transport in a 2D electron system (2DES). In addition to providing electrostatic isolation of carriers, these p-type contacts can be used as lateral depletion gates to modulate the 2DES conductance, and if extended to the nanoscale can lead to 1D confinement and quantized conductance of the 2DES. In this talk, I will describe our efforts to use a Ga focused-ion beam for direct-write implant lithography to pattern QPCs and Ga nanowires on H-Si(111) surfaces. I will present low temperature (4.2K) conductance data collected on 30nm Ga nanowires to demonstrate their effectiveness as lateral depletion gates, and discuss on going measurements to confine and modulate the conductance of the 2DES using Ga QPCs.

  7. Resist characteristics with direct-write electron beam and SCALPEL exposure system

    NASA Astrophysics Data System (ADS)

    Sato, Mitsuru; Omori, Katsumi; Ishikawa, Kiyoshi; Nakayama, Toshimasa; Novembre, Anthony E.; Ocola, Leonidas E.

    1999-06-01

    High acceleration voltage electron beam exposure is one of the possible candidates for post-optical lithography. The use of electrons, instead of photons, avoids optical related problems such as the standing wave issues. However, resists must conform to certain needs for the SCALPEL system, such as exposure in a vacuum chamber with 100kv electron beams. Taking into account the challenging requirements of high resolution, high sensitivity, low bake dependency and no outgassing, TOK has been able to develop resists to meet most of the SCALPEL system needs. However, due to the nature of chemical amplification and the PEB dependency, as is the case with DUV resist which varies for different features, we must recommend different resist for multiple features such as dense lines, isolated lines and contact holes. TOK has designed an electron beam negative resist, EN-009, which demonstrate 100nm pattern resolution. The dose to print on the SCALPEL system is 5.0(mu) C/cm2. The electron beam positive resist, EP-004M, has been designed for line and space patterns. The dose to print on the SCALPEL system is 8.25(mu) C/cm2. The processing conditions are standard, using 0.26N developer. These are the lowest exposure energies reported to date for similar resolution on this exposure tools.

  8. Direct-Write 3D Nanoprinting of Plasmonic Structures

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Winkler, Robert; Schmidt, Franz-Philipp; Karl-Franzens Univ.

    During the past decade, significant progress has been made in the field of resonant optics ranging from fundamental aspects to concrete applications. And while several techniques have been introduced for the fabrication of highly defined metallic nanostructures, the synthesis of complex, free-standing three-dimensional (3D) structures is still an intriguing, but so far intractable, challenge. Here, we demonstrate a 3D direct-write synthesis approach that addresses this challenge. Specifically, we succeeded in the direct-write fabrication of 3D nanoarchitectures via electron-stimulated reactions, which are applicable on virtually any material and surface morphology. Furthermore, by that, complex 3D nanostructures composed of highly compact, puremore » gold can be fabricated, which reveal strong plasmonic activity and pave the way for a new generation of 3D nanoplasmonic architectures that can be printed on-demand.« less

  9. Direct-Write 3D Nanoprinting of Plasmonic Structures

    DOE PAGES

    Winkler, Robert; Schmidt, Franz-Philipp; Karl-Franzens Univ.; ...

    2016-11-23

    During the past decade, significant progress has been made in the field of resonant optics ranging from fundamental aspects to concrete applications. And while several techniques have been introduced for the fabrication of highly defined metallic nanostructures, the synthesis of complex, free-standing three-dimensional (3D) structures is still an intriguing, but so far intractable, challenge. Here, we demonstrate a 3D direct-write synthesis approach that addresses this challenge. Specifically, we succeeded in the direct-write fabrication of 3D nanoarchitectures via electron-stimulated reactions, which are applicable on virtually any material and surface morphology. Furthermore, by that, complex 3D nanostructures composed of highly compact, puremore » gold can be fabricated, which reveal strong plasmonic activity and pave the way for a new generation of 3D nanoplasmonic architectures that can be printed on-demand.« less

  10. Illuminating e-beam processing

    USDA-ARS?s Scientific Manuscript database

    This month's Processing column will explore electronic beam (e-beam) processing. E-beam processing uses a low energy form of irradiation and has emerged as a highly promising treatment for both food safety and quarantine purposes. It is also used to extend food shelf life. This column will review...

  11. High-Directivity Emissions with Flexible Beam Numbers and Beam Directions Using Gradient-Refractive-Index Fractal Metamaterial

    PubMed Central

    Xu, He-Xiu; Wang, Guang-Ming; Tao, Zui; Cui, Tie Jun

    2014-01-01

    A three-dimensional (3D) highly-directive emission system is proposed to enable beam shaping and beam steering capabilities in wideband frequencies. It is composed of an omnidirectional source antenna and several 3D gradient-refractive-index (GRIN) lenses. To engineer a broadband impedance match, the design method for these 3D lenses is established under the scenario of free-space excitation by using a planar printed monopole. For realizations and demonstrations, a kind of GRIN metamaterial is proposed, which is constructed by non-uniform fractal geometries. Due to the non-resonant and deep-subwavelength features of the fractal elements, the resulting 3D GRIN metamaterial lenses have extra wide bandwidth (3 to 7.5 GHz), and are capable of manipulating electromagnetic wavefronts accurately, advancing the state of the art of available GRIN lenses. The proposal for the versatile highly-directive emissions has been confirmed by simulations and measurements, showing that not only the number of beams can be arbitrarily tailored but also the beam directions can be steerable. The proposal opens a new way to control broadband highly-directive emissions with pre-designed directions, promising great potentials in modern wireless communication systems. PMID:25034268

  12. Fabrication of high-transmission microporous membranes by proton beam writing-based molding technique

    NASA Astrophysics Data System (ADS)

    Wang, Liping; Meyer, Clemens; Guibert, Edouard; Homsy, Alexandra; Whitlow, Harry J.

    2017-08-01

    Porous membranes are widely used as filters in a broad range of micro and nanofluidic applications, e.g. organelle sorters, permeable cell growth substrates, and plasma filtration. Conventional silicon fabrication approaches are not suitable for microporous membranes due to the low mechanical stability of thin film substrates. Other techniques like ion track etching are limited to the production of randomly distributed and randomly orientated pores with non-uniform pore sizes. In this project, we developed a procedure for fabricating high-transmission microporous membranes by proton beam writing (PBW) with a combination of spin-casting and soft lithography. In this approach, focused 2 MeV protons were used to lithographically write patterns consisting of hexagonal arrays of high-density pillars of few μm size in a SU-8 layer coated on a silicon wafer. After development, the pillars were conformably coated with a thin film of poly-para-xylylene (Parylene)-C release agent and spin-coated with polydimethylsiloxane (PDMS). To facilitate demolding, a special technique based on the use of a laser-cut sealing tape ring was developed. This method facilitated the successful delamination of 20-μm thick PDMS membrane with high-density micropores from the mold without rupture or damage.

  13. Direct-Write Fabrication of Cellulose Nano-Structures via Focused Electron Beam Induced Nanosynthesis

    PubMed Central

    Ganner, Thomas; Sattelkow, Jürgen; Rumpf, Bernhard; Eibinger, Manuel; Reishofer, David; Winkler, Robert; Nidetzky, Bernd; Spirk, Stefan; Plank, Harald

    2016-01-01

    In many areas of science and technology, patterned films and surfaces play a key role in engineering and development of advanced materials. Here, we introduce a new generic technique for the fabrication of polysaccharide nano-structures via focused electron beam induced conversion (FEBIC). For the proof of principle, organosoluble trimethylsilyl-cellulose (TMSC) thin films have been deposited by spin coating on SiO2 / Si and exposed to a nano-sized electron beam. It turns out that in the exposed areas an electron induced desilylation reaction takes place converting soluble TMSC to rather insoluble cellulose. After removal of the unexposed TMSC areas, structured cellulose patterns remain on the surface with FWHM line widths down to 70 nm. Systematic FEBIC parameter sweeps reveal a generally electron dose dependent behavior with three working regimes: incomplete conversion, ideal doses and over exposure. Direct (FT-IR) and indirect chemical analyses (enzymatic degradation) confirmed the cellulosic character of ideally converted areas. These investigations are complemented by a theoretical model which suggests a two-step reaction process by means of TMSC → cellulose and cellulose → non-cellulose material conversion in excellent agreement with experimental data. The extracted, individual reaction rates allowed the derivation of design rules for FEBIC parameters towards highest conversion efficiencies and highest lateral resolution. PMID:27585861

  14. Direct-Write Printing on Three-Dimensional Geometries for Miniaturized Detector and Electronic Assemblies

    NASA Technical Reports Server (NTRS)

    Paquette, Beth; Samuels, Margaret; Chen, Peng

    2017-01-01

    Direct-write printing techniques will enable new detector assemblies that were not previously possible with traditional assembly processes. Detector concepts were manufactured using this technology to validate repeatability. Additional detector applications and printed wires on a 3-dimensional magnetometer bobbin will be designed for print. This effort focuses on evaluating performance for direct-write manufacturing techniques on 3-dimensional surfaces. Direct-write manufacturing has the potential to reduce mass and volume for fabrication and assembly of advanced detector concepts by reducing trace widths down to 10 microns, printing on complex geometries, allowing new electronic concept production, and reduced production times of complex those electronics.

  15. Direct ink write fabrication of transparent ceramic gain media

    NASA Astrophysics Data System (ADS)

    Jones, Ivy Krystal; Seeley, Zachary M.; Cherepy, Nerine J.; Duoss, Eric B.; Payne, Stephen A.

    2018-01-01

    Solid-state laser gain media based on the garnet structure with two spatially distinct but optically contiguous regions have been fabricated. Transparent gain media comprised of a central core of Y2.97Nd0.03Al5.00O12.00 (Nd:YAG) and an undoped cladding region of Y3Al5O12 (YAG) were fabricated by direct ink write and transparent ceramic processing. Direct ink write (DIW) was employed to form the green body, offering a general route to preparing functionally structured solid-state laser gain media. Fully-dense transparent optical ceramics in a "top hat" geometry with YAG/Nd:YAG have been fabricated by DIW methods with optical scatter at 1064 nm of <3%/cm.

  16. Direct ink write fabrication of transparent ceramic gain media

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Jones, Ivy Krystal; Seeley, Zachary M.; Cherepy, Nerine J.

    Solid-state laser gain media based on the garnet structure with two spatially distinct but optically contiguous regions have been fabricated. Transparent gain media comprised of a central core of Y 2.97Nd 0.03Al 5.00O 12.00 (Nd:YAG) and an undoped cladding region of Y 3Al 5O 12 (YAG) were fabricated by direct ink write and transparent ceramic processing. Direct ink write (DIW) was employed to form the green body, offering a general route to preparing functionally structured solid-state laser gain media. Lastly, fully-dense transparent optical ceramics in a “top hat” geometry with YAG/Nd:YAG have been fabricated by DIW methods with optical scattermore » at 1064 nm of <3%/cm.« less

  17. Direct ink write fabrication of transparent ceramic gain media

    DOE PAGES

    Jones, Ivy Krystal; Seeley, Zachary M.; Cherepy, Nerine J.; ...

    2018-11-06

    Solid-state laser gain media based on the garnet structure with two spatially distinct but optically contiguous regions have been fabricated. Transparent gain media comprised of a central core of Y 2.97Nd 0.03Al 5.00O 12.00 (Nd:YAG) and an undoped cladding region of Y 3Al 5O 12 (YAG) were fabricated by direct ink write and transparent ceramic processing. Direct ink write (DIW) was employed to form the green body, offering a general route to preparing functionally structured solid-state laser gain media. Lastly, fully-dense transparent optical ceramics in a “top hat” geometry with YAG/Nd:YAG have been fabricated by DIW methods with optical scattermore » at 1064 nm of <3%/cm.« less

  18. E-Story and Writing Skill among Second Language Learners

    ERIC Educational Resources Information Center

    Abd Rahim, Normaliza; Abdul Halim, Hazlina; Mansor, Nor Shahila

    2017-01-01

    The study focused on the use of e-story and writing skill among the second language Korean learners. The objectives of the study were to identify and discuss the students' writing in the second language by using e-story. The samples of the study involved all 21 participants from two classes of Malay language at one of the universities in South…

  19. Write! Write! Write! Ready-to-Use Writing Process Activities for Grades 4-8.

    ERIC Educational Resources Information Center

    Behrman, Carol H.

    This handbook contains over 265 reproducible writing process activities that help make writing fun for students in grades 4-8. The handbook provides stimulating activities to give students the directed practice they need to learn to write clearly and competently. Designed for minimal teacher input, activities are complete with directions geared to…

  20. Sub-100-nm trackwidth development by e-beam lithography for advanced magnetic recording heads

    NASA Astrophysics Data System (ADS)

    Chang, Jei-Wei; Chen, Chao-Peng

    2006-03-01

    Although semiconductor industry ramps the products with 90 nm much quicker than anticipated [1], magnetic recording head manufacturers still have difficulties in producing sub-100 nm read/write trackwidth. Patterning for high-aspectratio writer requires much higher depth of focus (DOF) than most advanced optical lithography, including immersion technique developed recently [2]. Self-aligning reader with its stabilized bias requires a bi-layer lift-off structure where the underlayer is narrower than the top image layer. As the reader's trackwidth is below 100nm, the underlayer becomes very difficult to control. Among available approaches, e-beam lithography remains the most promising one to overcome the challenge of progressive miniaturization. In this communication, the authors discussed several approaches using ebeam lithography to achieve sub-100 nm read/write trackwidth. Our studies indicated the suspended resist bridge design can not only widen the process window for lift-off process but also makes 65 nm trackwidth feasible to manufacture. Necked dog-bone structure seems to be the best design in this application due to less proximity effects from adjacent structures and minimum blockages for ion beam etching. The trackwidth smaller than 65 nm can be fabricated via the combination of e-beam lithography with auxiliary slimming and/or trimming. However, deposit overspray through undercut becomes dominated in such a small dimension. To minimize the overspray, the effects of underlayer thickness need to be further studied.

  1. eRHIC Beam Scrubbing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zhang, S. Y.

    We propose using beam scrubbing to mitigate the electron cloud effect in the eRHIC. The bunch number is adjusted below the heat load limit, then it increases with the reduced secondary electron yield resulted from the beam scrubbing, up to the design bunch number. Since the electron density threshold of beam instability is lower at the injection, a preliminary injection scrubbing should go first, where large chromaticity can be used to keep the beam in the ring for scrubbing. After that, the beam can be ramped to full energy, allowing physics scrubbing. Simulations demonstrated that with beam scrubbing in amore » reasonable period of time, the eRHIC baseline design is feasible.« less

  2. Development of a Beam Trajectory Monitoring System Using e+/e- Pair Production Events

    NASA Astrophysics Data System (ADS)

    Kimura, Shota; Emoto, Yusaku; Fujihara, Kento; Ito, Hiroshi; Kawai, Hideyuki; Kobayashi, Atsushi; Mizuno, Takahiro

    2018-01-01

    In particle therapy, it is important to monitor the Bragg-peak position. It was simulated by GEANT4 Monte Carlo Simulation Code that the distribution of secondary generated gamma rays on the carbon beam therapy and the proton beam therapy. This simulation shows that gamma rays whose energy is 10 MeV or more are intensively generated at the Bragg-peak position. We are developing the system to monitor the Bragg-peak position which can measure pair production events occurred in the detector by gamma rays from irradiation points. The momentum direction of the gamma ray can be determined by measuring passing points and energy of e+ and e- generated by pair production. This system has 5 parts. The first is the conversion part. This part consists of several layers. Each layer is composed of a La-GPS ((Gd0.75La0.24Ce0.01)2Si2O7) scintillator plate and wavelength-shifting fibre (WLSF) sheets. The scintillator plate is sandwiched between sheets, where the directions of the sheets are in orthogonally x and y directions. In this part, gamma rays are converted to e+ e- pairs and the position where the conversion occured is determined. The second is the tracking part. This part consists of 2 layers of scintillating fibre tracker. Each layer has 6 scintillating fibre sheets for x, x', u, u', v, and v'. The third is the energy measurement part. It measures the energy of e+ and e- by scintillator array and Silicon Photomultipliers. The fourth is the veto counter for bremsstrahlung gamma rays from e+ and e-. The fifth is the beam monitor. By experiment, the number of photoelectrons of La-GPS with a WLSF (B-3(300)MJ, Kuraray) sheet and scintillating fibre (SCSF-78, Kuraray) when charged particle passed was measured as 9.7 and 7.6 respectively.

  3. Electron irradiation induced amorphous SiO2 formation at metal oxide/Si interface at room temperature; electron beam writing on interfaces.

    PubMed

    Gurbán, S; Petrik, P; Serényi, M; Sulyok, A; Menyhárd, M; Baradács, E; Parditka, B; Cserháti, C; Langer, G A; Erdélyi, Z

    2018-02-01

    Al 2 O 3 (5 nm)/Si (bulk) sample was subjected to irradiation of 5 keV electrons at room temperature, in a vacuum chamber (pressure 1 × 10 -9 mbar) and formation of amorphous SiO 2 around the interface was observed. The oxygen for the silicon dioxide growth was provided by the electron bombardment induced bond breaking in Al 2 O 3 and the subsequent production of neutral and/or charged oxygen. The amorphous SiO 2 rich layer has grown into the Al 2 O 3 layer showing that oxygen as well as silicon transport occurred during irradiation at room temperature. We propose that both transports are mediated by local electric field and charged and/or uncharged defects created by the electron irradiation. The direct modification of metal oxide/silicon interface by electron-beam irradiation is a promising method of accomplishing direct write electron-beam lithography at buried interfaces.

  4. Beam-beam interaction study of medium energy eRHIC

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hao,Y.; Litvinenko, V. N.; Ptitsyn, V.

    Medium Energy eRHIC (MeRHIC), the first stage design of eRHIC, includes a multi-pass ERL that provides 4GeV high quality electron beam to collide with the ion beam of RHIC. It delivers a minimum luminosity of 10{sup 32} cm{sup -2}s{sup -1}. Beam-beam effects present one of major factors limiting the luminosity of colliders. In this paper, both beam-beam effects on the electron beam and the proton beam in MeRHIC are investigated. The beam-beam interaction can induce a head-tail type instability of the proton beam referred to as the kink instability. Thus, beam stability conditions should be established to avoid proton beammore » loss. Also, the electron beam transverse disruption by collisions has to be evaluated to ensure that the beam quality is good enough for the energy recovery pass. The relation of proton beam stability, electron disruption and consequential luminosity are carried out after thorough discussion.« less

  5. Direct Laser Writing of Single-Material Sheets with Programmable Self-Rolling Capability

    NASA Astrophysics Data System (ADS)

    Bauhofer, Anton; KröDel, Sebastian; Bilal, Osama; Daraio, Chiara; Constantinescu, Andrei

    Direct laser writing, a sub-class of two-photon polymerization, facilitates 3D-printing of single-material microstructures with inherent residual stresses. Here we show that controlled distribution of these stresses allows for fast and cost-effective fabrication of structures with programmable self-rolling capability. We investigate 2D sheets that evolve into versatile 3D structures. Precise control over the shape morphing potential is acquired through variations in geometry and writing parameters. Effects of capillary action and gravity were shown to be relevant for very thin sheets (thickness <1.5um) and have been analytically and experimentally quantified. In contrast to that, the deformations of sheets with larger thickness (>1.5um) are dominated by residual stresses and adhesion forces. The presented structures create local tensions up to 180MPa, causing rolling curvatures of 25E3m-1. A comprehensive analytical model that captures the relevant influence factors was developed based on laminate plate theory. The predicted curvature and directionality correspond well with the experimentally obtained data. Potential applications are found in drug encapsulation and particle traps for emulsions with differing surface energies. This work was supported by the Swiss National Science Foundation.

  6. Direct comparison of the performance of commonly used e-beam resists during nano-scale plasma etching of Si, SiO2, and Cr

    NASA Astrophysics Data System (ADS)

    Goodyear, Andy; Boettcher, Monika; Stolberg, Ines; Cooke, Mike

    2015-03-01

    Electron beam writing remains one of the reference pattern generation techniques, and plasma etching continues to underpin pattern transfer. We report a systematic study of the plasma etch resistance of several e-beam resists, both negative and positive as well as classical and Chemically Amplified Resists: HSQ[1,2] (Dow Corning), PMMA[3] (Allresist GmbH), AR-P6200 (Allresist GmbH), ZEP520 (Zeon Corporation), CAN028 (TOK), CAP164 (TOK), and an additional pCAR (non-disclosed provider). Their behaviour under plasma exposure to various nano-scale plasma etch chemistries was examined (SF6/C4F8 ICP silicon etch, CHF3/Ar RIE SiO2 etch, Cl2/O2 RIE and ICP chrome etch, and HBr ICP silicon etch). Samples of each resist type were etched simultaneously to provide a direct comparison of their etch resistance. Resist thicknesses (and hence resist erosion rates) were measured by spectroscopic ellipsometer in order to provide the highest accuracy for the resist comparison. Etch selectivities (substrate:mask etch rate ratio) are given, with recommendations for the optimum resist choice for each type of etch chemistry. Silicon etch profiles are also presented, along with the exposure and etch conditions to obtain the most vertical nano-scale pattern transfer. We identify one resist that gave an unusually high selectivity for chlorinated and brominated etches which could enable pattern transfer below 10nm without an additional hard mask. In this case the resist itself acts as a hard mask. We also highlight the differing effects of fluorine and bromine-based Silicon etch chemistries on resist profile evolution and hence etch fidelity.

  7. Deep ultraviolet laser direct write for patterning sol-gel InGaZnO semiconducting micro/nanowires and improving field-effect mobility

    PubMed Central

    Lin, Hung-Cheng; Stehlin, Fabrice; Soppera, Olivier; Zan, Hsiao-Wen; Li, Chang-Hung; Wieder, Fernand; Ponche, Arnaud; Berling, Dominique; Yeh, Bo-Hung; Wang, Kuan-Hsun

    2015-01-01

    Deep-UV (DUV) laser was used to directly write indium-gallium-zinc-oxide (IGZO) precursor solution and form micro and nanoscale patterns. The directional DUV laser beam avoids the substrate heating and suppresses the diffraction effect. A IGZO precursor solution was also developed to fulfill the requirements for direct photopatterning and for achieving semi-conducting properties with thermal annealing at moderate temperature. The DUV-induced crosslinking of the starting material allows direct write of semi-conducting channels in thin-film transistors but also it improves the field-effect mobility and surface roughness. Material analysis has been carried out by XPS, FTIR, spectroscopic ellipsometry and AFM and the effect of DUV on the final material structure is discussed. The DUV irradiation step results in photolysis and a partial condensation of the inorganic network that freezes the sol-gel layer in a homogeneous distribution, lowering possibilities of thermally induced reorganization at the atomic scale. Laser irradiation allows high-resolution photopatterning and high-enough field-effect mobility, which enables the easy fabrication of oxide nanowires for applications in solar cell, display, flexible electronics, and biomedical sensors. PMID:26014902

  8. Effects of Direct Instruction and Strategy Modeling on Upper-Primary Students’ Writing Development

    PubMed Central

    López, Paula; Torrance, Mark; Rijlaarsdam, Gert; Fidalgo, Raquel

    2017-01-01

    Strategy-focused instruction is one of the most effective approaches to improve writing skills. It aims to teach developing writers strategies that give them executive control over their writing processes. Programs under this kind of instruction tend to have multiple components that include direct instruction, modeling and scaffolded practice. This multi-component nature has two drawbacks: it makes implementation challenging due to the amount of time and training required to perform each stage, and it is difficult to determine the underlying mechanisms that contribute to its effectiveness. To unpack why strategy-focused instruction is effective, we explored the specific effects of two key components: direct teaching of writing strategies and modeling of strategy use. Six classes (133 students) of upper-primary education were randomly assigned to one of the two experimental conditions, in which students received instruction aimed at developing effective strategies for planning and drafting, or control group with no strategy instruction: Direct Instruction (N = 46), Modeling (N = 45), and Control (N = 42). Writing performance was assessed before the intervention and immediately after the intervention with two tasks, one collaborative and the other one individual to explore whether differential effects resulted from students writing alone or in pairs. Writing performance was assessed through reader-based and text-based measures of text quality. Results at post-test showed similar improvement in both intervention conditions, relatively to controls, in all measures and in both the collaborative and the individual task. No statistically significant differences were observed between experimental conditions. These findings suggest that both components, direct teaching and modeling, are equally effective in improving writing skills in upper primary students, and these effects are present even after a short training. PMID:28713299

  9. Promoting Self-Directed Revision in EFL Writing Classes

    ERIC Educational Resources Information Center

    Coomber, Matthew

    2016-01-01

    Second language writers need to develop the ability to revise their writing independently of third party advice; thus, it is important that teachers devise methods by which to promote habits of self-directed revision. This quasi-experimental study investigates three classroom activities designed to encourage students to independently revise essays…

  10. Alignment of the writing beam with the diffractive structure rotation axis in synthesis of diffractive optical elements in a polar coordinate system

    NASA Astrophysics Data System (ADS)

    Shimanskii, R. V.; Poleshchuk, A. G.; Korolkov, V. P.; Cherkashin, V. V.

    2017-03-01

    A method is developed to ensure precise alignment of the origin of a polar coordinate system in which the laser beam position is defined in writing diffractive optical elements with the optical workpiece rotation axis. This method is used to improve the accuracy of a circular laser writing system in writing large-scale diffractive optical elements in a polar coordinate system. Results of studying new algorithms of detection and correction of positioning errors of the circular laser writing system in the course of writing are reported.

  11. Production data from a Leica ZBA31H+ shaped e-beam mask writer located at the Photronics facility, Manchester, England

    NASA Astrophysics Data System (ADS)

    Johnson, Stephen; Loughran, Dominic; Osborne, Peter; Sixt, Pierre; Doering, Hans-Joachim

    1999-06-01

    The ZBA31H+) is a variable shaped spot, vector scan e- beam lithography system operating at 20 keV. The specified performance is designed to produce reticles to 250 nanometer design rules, and beyond. In November 98 the acceptance results of a newly installed Leica ZBA31H+), at Photonic Manchester, were presented in a paper at the VDE/VDI 15th European Conference on Mask Technology. This paper is a continuation of that work and presents data from a capability study carried out, on 4000 angstrom EBR9 HS31 resist. Analysis of: mean to target, uniformity, X/Y bias, isolated vs. dense linewidths, linearity, and registration performance of the tool is presented, and the effects of re- iterative develop on process capability compared. Theoretically, a shaped beam system has advantages over raster scan in terms of write time and edge definition capabilities. In this paper, comparative write times against an Etec Mebes 4500 system are included. The ZBA31H+) has to write very small polygons in order to image non-axial or non-45 degree features. The resulting effect on image quality and write time is investigated. In order to improve the fidelity of small OPC structures, Leica have investigated alternative writing strategies, and their results to data are presented here.

  12. Direct writing of flexible electronics through room temperature liquid metal ink.

    PubMed

    Gao, Yunxia; Li, Haiyan; Liu, Jing

    2012-01-01

    Conventional approaches of making a flexible circuit are generally complex, environment unfriendly, time and energy consuming, and thus expensive. Here, we describe for the first time the method of using high-performance GaIn(10)-based electrical ink, a significantly neglected room temperature liquid metal, as both electrical conductors and interconnects, for directly writing flexible electronics via a rather easy going and cost effective way. The new generation electric ink was made and its wettability with various materials was modified to be easily written on a group of either soft or rigid substrates such as epoxy resin board, glass, plastic, silica gel, paper, cotton, textiles, cloth and fiber etc. Conceptual experiments were performed to demonstrate and evaluate the capability of directly writing the electrical circuits via the invented metal ink. Mechanisms involved were interpreted through a series of fundamental measurements. The electrical resistivity of the fluid like GaIn(10)-based material was measured as 34.5 µΩ·cm at 297 K by four point probe method and increased with addition of the oxygen quantity, which indicates it as an excellent metal ink. The conductive line can be written with features that are approximately 10 µm thick. Several functional devices such as a light emitting diode (LED) array showing designed lighting patterns and electrical fan were made to work by directly writing the liquid metal on the specific flexible substrates. And satisfactory performances were obtained. The present method opens the way to directly and quickly writing flexible electronics which can be as simple as signing a name or drawing a picture on the paper. The unique merit of the GaIn(10)-based liquid metal ink lies in its low melting temperature, well controlled wettability, high electrical conductivity and good biocompability. The new electronics writing strategy and basic principle has generalized purpose and can be extended to more industrial areas, even

  13. Direct Writing of Flexible Electronics through Room Temperature Liquid Metal Ink

    PubMed Central

    Gao, Yunxia; Li, Haiyan; Liu, Jing

    2012-01-01

    Background Conventional approaches of making a flexible circuit are generally complex, environment unfriendly, time and energy consuming, and thus expensive. Here, we describe for the first time the method of using high-performance GaIn10-based electrical ink, a significantly neglected room temperature liquid metal, as both electrical conductors and interconnects, for directly writing flexible electronics via a rather easy going and cost effective way. Methods The new generation electric ink was made and its wettability with various materials was modified to be easily written on a group of either soft or rigid substrates such as epoxy resin board, glass, plastic, silica gel, paper, cotton, textiles, cloth and fiber etc. Conceptual experiments were performed to demonstrate and evaluate the capability of directly writing the electrical circuits via the invented metal ink. Mechanisms involved were interpreted through a series of fundamental measurements. Results The electrical resistivity of the fluid like GaIn10-based material was measured as 34.5 µΩ·cm at 297 K by four point probe method and increased with addition of the oxygen quantity, which indicates it as an excellent metal ink. The conductive line can be written with features that are approximately 10 µm thick. Several functional devices such as a light emitting diode (LED) array showing designed lighting patterns and electrical fan were made to work by directly writing the liquid metal on the specific flexible substrates. And satisfactory performances were obtained. Conclusions The present method opens the way to directly and quickly writing flexible electronics which can be as simple as signing a name or drawing a picture on the paper. The unique merit of the GaIn10-based liquid metal ink lies in its low melting temperature, well controlled wettability, high electrical conductivity and good biocompability. The new electronics writing strategy and basic principle has generalized purpose and can be

  14. Photo-Induced Click Chemistry for DNA Surface Structuring by Direct Laser Writing.

    PubMed

    Kerbs, Antonina; Mueller, Patrick; Kaupp, Michael; Ahmed, Ishtiaq; Quick, Alexander S; Abt, Doris; Wegener, Martin; Niemeyer, Christof M; Barner-Kowollik, Christopher; Fruk, Ljiljana

    2017-04-11

    Oligonucleotides containing photo-caged dienes were prepared and shown to react quantitatively in a light-induced Diels-Alder cycloaddition with functional maleimides in aqueous solution within minutes. Due to its high yield and fast rate, the reaction was exploited for DNA surface patterning with sub-micrometer resolution employing direct laser writing (DLW). Functional DNA arrays were written by direct laser writing (DLW) in variable patterns, which were further encoded with fluorophores and proteins through DNA directed immobilization. This mild and efficient light-driven platform technology holds promise for the fabrication of complex bioarrays with sub-micron resolution. © 2017 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  15. Direct-write 3D printing of composite materials with magnetically aligned discontinuous reinforcement

    NASA Astrophysics Data System (ADS)

    Martin, Joshua J.; Caunter, Andrew; Dendulk, Amy; Goodrich, Scott; Pembroke, Ryan; Shores, Dan; Erb, Randall M.

    2017-05-01

    Three-dimensional (3D) printing of fiber reinforced composites represents an enabling technology that may bring toughness and specific strength to complex parts. Recently, direct-write 3D printing has been offered as a promising route to manufacturing fiber reinforced composites that show high specific strength. These approaches primarily rely on the use of shear-alignment during the extrusion process to align fibers along the printing direction. Shear alignment prevents fibers from being oriented along principle stress directions of the final designed part. This paper describes a new direct-write style 3D printing system that incorporates magnetic fields to actively control the orientation of reinforcing fibers during the printing of fiber reinforced composites. Such a manufacturing system is fraught with complications from the high shear dominated alignment experienced by the fibers during extrusion to the slow magnetic alignment dynamics of fibers in viscous media. Here we characterize these issues and suggest effective operating windows in which magnetic alignment is a viable approach to orienting reinforcing particles during direct-write 3D printing.

  16. Second Language Learners' Performance and Strategies When Writing Direct and Translated Essays

    ERIC Educational Resources Information Center

    Ismail, Sadiq Abdulwahed Ahmed; Alsheikh, Negmeldin Omer

    2012-01-01

    The purpose of this study was to investigate ESL students' performance and strategies when writing direct and translated essays. The study also aimed at exploring students' strategies when writing in L2 (English) and L1 (Arabic). The study used a mixture of quantitative and qualitative procedures for data collection and analysis. Adapted strategy…

  17. Linguistic Characteristics of ESL Writing in Task-based E-mail Activities.

    ERIC Educational Resources Information Center

    Li, Yili

    2000-01-01

    Investigated the efficacy of integrating task-based e-mail activities into a process-oriented English-as-Second-Language (ESL) writing class. Particular focus was on the linguistic characteristics of 132 pieces of e-mail writing by ESL students in tasks that differed in terms of purpose, audience interaction, and task structure. Computerized text…

  18. Beam pointing direction changes in a misaligned Porro prism resonator

    NASA Astrophysics Data System (ADS)

    Lee, Jyh-Fa; Leung, Chung-Yee

    1988-07-01

    The relative change of the beam pointing direction for a misaligned Porro prism resonator has been analyzed, using an oscillation axis concept for the Porro prism resonator to find the beam direction. Expressions for the beam tilting angles are presented which show that the angular misalignment in the horizontal direction will result in beam tilting in both the horizontal and vertical directions. Good agreement between experimental and theoretical results is found.

  19. Pervasive liquid metal based direct writing electronics with roller-ball pen

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Zheng, Yi; Zhang, Qin; Liu, Jing, E-mail: jliu@mail.ipc.ac.cn

    A roller-ball pen enabled direct writing electronics via room temperature liquid metal ink was proposed. With the rolling to print mechanism, the metallic inks were smoothly written on flexible polymer substrate to form conductive tracks and electronic devices. The contact angle analyzer and scanning electron microscope were implemented to disclose several unique inner properties of the obtained electronics. An ever high writing resolution with line width and thickness as 200 μm and 80 μm, respectively was realized. Further, with the administration of external writing pressure, GaIn{sub 24.5} droplets embody increasing wettability on polymer which demonstrates the pervasive adaptability of themore » roller-ball pen electronics.« less

  20. Electron beam directed energy device and methods of using same

    DOEpatents

    Retsky, Michael W.

    2007-10-16

    A method and apparatus is disclosed for an electron beam directed energy device. The device consists of an electron gun with one or more electron beams. The device includes one or more accelerating plates with holes aligned for beam passage. The plates may be flat or preferably shaped to direct each electron beam to exit the electron gun at a predetermined orientation. In one preferred application, the device is located in outer space with individual beams that are directed to focus at a distant target to be used to impact and destroy missiles. The aimings of the separate beams are designed to overcome Coulomb repulsion. A method is also presented for directing the beams to a target considering the variable terrestrial magnetic field. In another preferred application, the electron beam is directed into the ground to produce a subsurface x-ray source to locate and/or destroy buried or otherwise hidden objects including explosive devices.

  1. Optical lattice-like cladding waveguides by direct laser writing: fabrication, luminescence, and lasing.

    PubMed

    Nie, Weijie; He, Ruiyun; Cheng, Chen; Rocha, Uéslen; Rodríguez Vázquez de Aldana, Javier; Jaque, Daniel; Chen, Feng

    2016-05-15

    We report on the fabrication of optical lattice-like waveguide structures in an Nd:YAP laser crystal by using direct femtosecond laser writing. With periodically arrayed laser-induced tracks, the waveguiding cores can be located in either the regions between the neighbored tracks or the central zone surrounded by a number of tracks as outer cladding. The polarization of the femtosecond laser pulses for the inscription has been found to play a critical role in the anisotropic guiding behaviors of the structures. The confocal photoluminescence investigations reveal different stress-induced modifications of the structures inscribed by different polarization of the femtosecond laser beam, which are considered to be responsible for the refractive index changes of the structures. Under optical pump at 808 nm, efficient waveguide lasing at ∼1  μm wavelength has been realized from the optical lattice-like structure, which exhibits potential applications as novel miniature light sources.

  2. Optical Device for Converting a Laser Beam into Two Co-aligned but Oppositely Directed Beams

    NASA Technical Reports Server (NTRS)

    Jennings, Donald

    2013-01-01

    Optical systems consisting of a series of optical elements require alignment from the input end to the output end. The optical elements can be mirrors, lenses, sources, detectors, or other devices. Complex optical systems are often difficult to align from end-to-end because the alignment beam must be inserted at one end in order for the beam to traverse the entire optical path to the other end. The ends of the optical train may not be easily accessible to the alignment beam. Typically, when a series of optical elements is to be aligned, an alignment laser beam is inserted into the optical path with a pick-off mirror at one end of the series of elements. But it may be impossible to insert the beam at an end-point. It can be difficult to locate the pick-off mirror at the desired position because there is not enough space, there is no mounting surface, or the location is occupied by a source, detector, or other component. Alternatively, the laser beam might be inserted at an intermediate location (not at an end-point) and sent, first in one direction and then the other, to the opposite ends of the optical system for alignment. However, in this case, alignment must be performed in two directions and extra effort is required to co-align the two beams to make them parallel and coincident, i.e., to follow the same path as an end-to-end beam. An optical device has been developed that accepts a laser beam as input and produces two co-aligned, but counter-propagating beams. In contrast to a conventional alignment laser placed at one end of the optical path, this invention can be placed at a convenient position within the optical train and aligned to send its two beams simultaneously along precisely opposite paths that, taken together, trace out exactly the same path as the conventional alignment laser. This invention allows the user the freedom to choose locations within the optical train for placement of the alignment beam. It is also self-aligned by design and requires

  3. Laser deposition and direct-writing of thermoelectric misfit cobaltite thin films

    NASA Astrophysics Data System (ADS)

    Chen, Jikun; Palla-Papavlu, Alexandra; Li, Yulong; Chen, Lidong; Shi, Xun; Döbeli, Max; Stender, Dieter; Populoh, Sascha; Xie, Wenjie; Weidenkaff, Anke; Schneider, Christof W.; Wokaun, Alexander; Lippert, Thomas

    2014-06-01

    A two-step process combining pulsed laser deposition of calcium cobaltite thin films and a subsequent laser induced forward transfer as micro-pixel is demonstrated as a direct writing approach of micro-scale thin film structures for potential applications in thermoelectric micro-devices. To achieve the desired thermo-electric properties of the cobaltite thin film, the laser induced plasma properties have been characterized utilizing plasma mass spectrometry establishing a direct correlation to the corresponding film composition and structure. The introduction of a platinum sacrificial layer when growing the oxide thin film enables a damage-free laser transfer of calcium cobaltite thereby preserving the film composition and crystallinity as well as the shape integrity of the as-transferred pixels. The demonstrated direct writing approach simplifies the fabrication of micro-devices and provides a large degree of flexibility in designing and fabricating fully functional thermoelectric micro-devices.

  4. Sub-10-nm suspended nano-web formation by direct laser writing

    NASA Astrophysics Data System (ADS)

    Wang, Sihao; Yu, Ye; Liu, Hailong; Lim, Kevin T. P.; Madurai Srinivasan, Bharathi; Zhang, Yong Wei; Yang, Joel K. W.

    2018-06-01

    A diffraction-limited three-dimensional (3D) direct laser writing (DLW) system based on two-photon polymerization can routinely pattern structures at the 100 nm length scale. Several schemes have been developed to improve the patterning resolution of 3D DLW but often require customized resist formulations or multi-wavelength exposures. Here, we introduce a scheme to produce suspended nano-webs with feature sizes below 10 nm in IP-Dip resist using sub-threshold exposure conditions in a commercial DLW system. The narrowest suspended lines (nano-webs) measured 7 nm in width. Larger ∼20 nm nano-webs were patterned with ∼80% yield at increased laser powers. In addition, closely spaced nano-gaps with a center-to-center distance of 33 nm were produced by patterning vertically displaced suspended lines followed by metal deposition and liftoff. We provide hypotheses and present preliminary results for a mechanism involving the initiation of a percolative path and a strain-induced narrowing in the nano-web formation. Our approach allows selective features to be patterned with dimensions comparable to the sub-10 nm patterning capability of electron-beam lithography (EBL).

  5. Laser direct writing of carbon/Au composite electrodes for high-performance micro-supercapacitors

    NASA Astrophysics Data System (ADS)

    Cai, Jinguang; Watanabe, Akira; Lv, Chao

    2017-02-01

    Micro-supercapacitors with small size, light weight, flexibility while maintaining high energy and power output are required for portable miniaturized electronics. The fabrication methods and materials should be cost-effective, scalable, and easily integrated to current electronic industry. Carbon materials have required properties for high-performance flexible supercapacitors, including high specific surface areas, electrochemical stability, and high electrical conductivity, as well as the high mechanical tolerance. Laser direct writing method is a non-contact, efficient, single-step fabrication technique without requirements of masks, post-processing, and complex clean room, which is a useful patterning technique, and can be easily integrated with current electronic product lines for commercial use. Previously we have reported micro-supercapacitors fabricated by laser direct writing on polyimide films in air or Ar, which showed highcapacitive performance. However, the conductivity of the carbon materials is still low for fast charge-discharge use. Here, we demonstrated the fabrication of flexible carbon/Au composite high-performance MSCs by first laser direct writing on commercial polyimide films followed by spin-coating Au nanoparticles ink and second in-situ laser direct writing using the low-cost semiconductor laser. As-prepared micro-supercapacitors show an improved conductivity and capacitance of 1.17 mF/cm2 at a high scanning rate of 10,000 mV/s, which is comparable to the reported capacitance of carbon-based micro-supercapacitors. In addition, the micro-supercapacitors have high bend tolerance and long-cycle stability.

  6. Direct writing of bio-functional coatings for cardiovascular applications.

    PubMed

    Perkins, Jessica; Hong, Yi; Ye, Sang-Ho; Wagner, William R; Desai, Salil

    2014-12-01

    The surface modification of metallic biomaterials is of critical importance to enhance the biocompatibility of surgical implant materials and devices. This article investigates the use of a direct-write inkjet technique for multilayer coatings of a biodegradable polymer (polyester urethane urea (PEUU)) embedded with an anti-proliferation drug paclitaxel (Taxol). The direct-write inkjet technique provides selective patterning capability for depositing multimaterial coatings on three-dimensional implant devices such as pins, screws, and stents for orthopedic and vascular applications. Drug release profiles were studied to observe the influence of drug loading and coating thickness for obtaining tunable release kinetics. Platelet deposition studies were conducted following ovine blood contact and significant reduction in platelet deposition was observed on the Taxol loaded PEUU substrate compared with the unloaded control. Rat smooth muscle cells were used for cell proliferation studies. Significant reduction in cell growth was observed following the release of anti-proliferative drug from the biopolymer thin film. This research provides a basis for developing anti-proliferative biocompatible coatings for different biomedical device applications. © 2014 Wiley Periodicals, Inc.

  7. Using Literature-Based Prompts To Teach Writing Competencies: Directed Reading and Writing Lessons.

    ERIC Educational Resources Information Center

    Gelsinger, Barry D.

    Intended to help teachers integrate writing instruction with the study of literature, this teaching guide offers a philosophy of writing instruction, describes a procedure for teaching reading and writing lessons, and provides a sequence of writing skills. For various literature selections, the guide defines vocabulary, provides topic discussion…

  8. In situ mitigation of subsurface and peripheral focused ion beam damage via simultaneous pulsed laser heating

    DOE PAGES

    Stanford, Michael G.; Lewis, Brett B.; Iberi, Vighter O.; ...

    2016-02-16

    Focused helium and neon ion (He(+)/Ne(+) ) beam processing has recently been used to push resolution limits of direct-write nanoscale synthesis. The ubiquitous insertion of focused He(+) /Ne(+) beams as the next-generation nanofabrication tool-of-choice is currently limited by deleterious subsurface and peripheral damage induced by the energetic ions in the underlying substrate. The in situ mitigation of subsurface damage induced by He(+)/Ne(+) ion exposures in silicon via a synchronized infrared pulsed laser-assisted process is demonstrated. The pulsed laser assist provides highly localized in situ photothermal energy which reduces the implantation and defect concentration by greater than 90%. The laser-assisted exposuremore » process is also shown to reduce peripheral defects in He(+) patterned graphene, which makes this process an attractive candidate for direct-write patterning of 2D materials. In conclusion, these results offer a necessary solution for the applicability of high-resolution direct-write nanoscale material processing via focused ion beams.« less

  9. Fabrication of optical waveguides using laser direct writing method

    NASA Astrophysics Data System (ADS)

    Cho, Sung H.; Kim, Jung Min; Kim, Jae G.; Chang, Won S.; Lee, Eung S.

    2004-09-01

    Laser direct writing (LDW) process is developed using 3-rd harmonic Diode Pumped Solid State Laser (DPSSL) with the near UV wavelength of 355 nm. Photo-sensitive curable polymer is irradiated by UV laser and developed using polymer solvent to obtain quasi-3D patterns. We performed basic experiments for the various process conditions such as laser power, writing speed, laser focus, and optical polymer property to get the optimal conditions. This process could be applied to fabricate a single-mode waveguide without expensive mask projection method. Experimentally, the patterns of trapezoidal shape were manufactured into dimension of 8.4μm width and 7.5μm height. Propagation loss of planar waveguide was 1.42 dB/cm at wavelength of 1,550 nm.

  10. Diode-laser pumping into the emitting level for efficient lasing of depressed cladding waveguides realized in Nd:YVO4 by the direct femtosecond-laser writing technique.

    PubMed

    Pavel, Nicolaie; Salamu, Gabriela; Jipa, Florin; Zamfirescu, Marian

    2014-09-22

    Depressed cladding waveguides have been realized in Nd:YVO(4) employing direct writing technique with a femtosecond-laser beam. It was shown that the output performances of such laser devices are improved by the reduction of the quantum defect between the pump wavelength and the laser wavelength. Thus, under the classical pump at 808 nm (i.e. into the (4)F(5/2) level), a 100-μm diameter circular waveguide inscribed in a 0.7-at.% Nd:YVO(4) outputted 1.06-μm laser pulses with 3.0-mJ energy, at 0.30 optical efficiency and slope efficiency of 0.32. The pump at 880 nm (i.e.directly into the (4)F(3/2) emitting level) increased the pulse energy at 3.8 mJ and improved both optical efficiency and slope efficiency at 0.36 and 0.39, respectively. The same waveguide yielded continuous-wave 1.5-W output power at 1.06 μm under the pump at 880 nm. Laser emission at 1.34 μm was also improved using the pump into the (4)F(3/2) emitting level of Nd:YVO(4).

  11. Direct-write assembly of microperiodic planar and spanning ITO microelectrodes

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ahn, Bok Y; Lorang, David J; Duoss, Eric B.

    2010-01-01

    Printed Sn-doped In{sub 2}O{sub 3} (ITO) microelectrodes are fabricated by direct-write assembly of sol–gel inks with varying concentration. This maskless, non-lithographic approach provides a facile route to patterning transparent conductive features in planar arrays and spanning architectures.

  12. Beam normal spin asymmetry for the e p →e Δ (1232 ) process

    NASA Astrophysics Data System (ADS)

    Carlson, Carl E.; Pasquini, Barbara; Pauk, Vladyslav; Vanderhaeghen, Marc

    2017-12-01

    We calculate the single spin asymmetry for the e p →e Δ (1232 ) process, for an electron beam polarized normal to the scattering plane. Such single spin asymmetries vanish in the one-photon exchange approximation and are directly proportional to the absorptive part of a two-photon exchange amplitude. As the intermediate state in such a two-photon exchange process is on its mass shell, the asymmetry allows one to access for the first time the on-shell Δ →Δ as well as N*→Δ electromagnetic transitions. We present the general formalism to describe the e p →e Δ beam normal spin asymmetry, and we provide a numerical estimate of its value using the nucleon, Δ (1232 ), S11(1535 ), and D13(1520 ) intermediate states. We compare our results with the first data from the Qweak@JLab experiment and give predictions for the A4@MAMI experiment.

  13. Beam normal spin asymmetry for the e p → e Δ ( 1232 ) process

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Carlson, Carl E.; Pasquini, Barbara; Pauk, Vladyslav

    Here, we calculate the single spin asymmetry for themore » $$e p \\to e \\Delta(1232)$$ process, for an electron beam polarized normal to the scattering plane. Such single spin asymmetries vanish in the one-photon exchange approximation, and are directly proportional to the absorptive part of a two-photon exchange amplitude. As the intermediate state in such two-photon exchange process is on its mass shell, the asymmetry allows one to access for the first time the on-shell $$\\Delta \\to \\Delta$$ as well as $$N^\\ast \\to \\Delta$$ electromagnetic transitions. We present the general formalism to describe the $$e p \\to e \\Delta$$ beam normal spin asymmetry, and provide a numerical estimate of its value using the nucleon, $$\\Delta(1232)$$, $$S_{11}(1535)$$, and $$D_{13}(1520)$$ intermediate states. We compare our results with the first data from the Qweak@JLab experiment and give predictions for the A4@MAMI experiment.« less

  14. Beam normal spin asymmetry for the e p → e Δ ( 1232 ) process

    DOE PAGES

    Carlson, Carl E.; Pasquini, Barbara; Pauk, Vladyslav; ...

    2017-12-26

    Here, we calculate the single spin asymmetry for themore » $$e p \\to e \\Delta(1232)$$ process, for an electron beam polarized normal to the scattering plane. Such single spin asymmetries vanish in the one-photon exchange approximation, and are directly proportional to the absorptive part of a two-photon exchange amplitude. As the intermediate state in such two-photon exchange process is on its mass shell, the asymmetry allows one to access for the first time the on-shell $$\\Delta \\to \\Delta$$ as well as $$N^\\ast \\to \\Delta$$ electromagnetic transitions. We present the general formalism to describe the $$e p \\to e \\Delta$$ beam normal spin asymmetry, and provide a numerical estimate of its value using the nucleon, $$\\Delta(1232)$$, $$S_{11}(1535)$$, and $$D_{13}(1520)$$ intermediate states. We compare our results with the first data from the Qweak@JLab experiment and give predictions for the A4@MAMI experiment.« less

  15. Laser direct writing of combinatorial libraries of idealized cellular constructs: Biomedical applications

    NASA Astrophysics Data System (ADS)

    Schiele, Nathan R.; Koppes, Ryan A.; Corr, David T.; Ellison, Karen S.; Thompson, Deanna M.; Ligon, Lee A.; Lippert, Thomas K. M.; Chrisey, Douglas B.

    2009-03-01

    The ability to control cell placement and to produce idealized cellular constructs is essential for understanding and controlling intercellular processes and ultimately for producing engineered tissue replacements. We have utilized a novel intra-cavity variable aperture excimer laser operated at 193 nm to reproducibly direct write mammalian cells with micrometer resolution to form a combinatorial array of idealized cellular constructs. We deposited patterns of human dermal fibroblasts, mouse myoblasts, rat neural stem cells, human breast cancer cells, and bovine pulmonary artery endothelial cells to study aspects of collagen network formation, breast cancer progression, and neural stem cell proliferation, respectively. Mammalian cells were deposited by matrix assisted pulsed laser evaporation direct write from ribbons comprised of a UV transparent quartz coated with either a thin layer of extracellular matrix or triazene as a dynamic release layer using CAD/CAM control. We demonstrate that through optical imaging and incorporation of a machine vision algorithm, specific cells on the ribbon can be laser deposited in spatial coherence with respect to geometrical arrays and existing cells on the receiving substrate. Having the ability to direct write cells into idealized cellular constructs can help to answer many biomedical questions and advance tissue engineering and cancer research.

  16. Thermal Writing 1987

    NASA Astrophysics Data System (ADS)

    Peckham, Robert F.

    1987-04-01

    The creating of intelligent marks on a substrate by means of thermal energy has been in use for thousands of years, e.g., branding of livestock and burning images onto wood. During the past 30 years, this type of imaging has been significantly refined. Recent advances allow the creation of color images, 16 shades of gray and letter quality printing on white substrates. Permanent images are now being written with direct thermal processes. The foregoing make thermal writing very attractive for numerous applications. The general technology of how thermal writing is accomplished today, its applications, and why society should use thermal writing are the topics of this paper. To attempt to cover in great technical detail all of the current advancements in thermal writing is beyond our scope here. What is intended is the proposition that THERMAL WRITING is a superior form of creating images on paper substrates for Society's on demand hard copy requirements. First let's look at how thermal writing is being accomplished with today's technologies.

  17. Expressive/Exploratory Technical Writing (XTW) in Engineering: Shifting the Technical Writing Curriculum

    ERIC Educational Resources Information Center

    Warnock, Scott; Kahn, Michael

    2007-01-01

    While the importance of "expressive writing," or informal, self-directed writing, has been well established, teachers underutilize it, particularly in technical writing courses. We introduce the term expressive/exploratory technical writing (XTW), which is the use of informal, self-directed writing to problem-solve in technical fields. We describe…

  18. The edge transient-current technique (E-TCT) with high energy hadron beam

    NASA Astrophysics Data System (ADS)

    Gorišek, Andrej; Cindro, Vladimir; Kramberger, Gregor; Mandić, Igor; Mikuž, Marko; Muškinja, Miha; Zavrtanik, Marko

    2016-09-01

    We propose a novel way to investigate the properties of silicon and CVD diamond detectors for High Energy Physics experiments complementary to the already well-established E-TCT technique using laser beam. In the proposed setup the beam of high energy hadrons (MIPs) is used instead of laser beam. MIPs incident on the detector in the direction parallel to the readout electrode plane and perpendicular to the edge of the detector. Such experiment could prove very useful to study CVD diamond detectors that are almost inaccessible for the E-TCT measurements with laser due to large band-gap as well as to verify and complement the E-TCT measurements of silicon. The method proposed is being tested at CERN in a beam of 120 GeV hadrons using a reference telescope with track resolution at the DUT of few μm. The preliminary results of the measurements are presented.

  19. The Impact of the Direct Teacher Feedback Strategy on the EFL Secondary Stage Students' Writing Performance

    ERIC Educational Resources Information Center

    Elashri, Ismail Ibrahim Elshirbini Abdel Fattah

    2013-01-01

    This study aimed at developing some writing skills for second year secondary stage students through the direct teacher feedback strategy. Hence, the problem of the study was stated in the following statement: "The students at Al Azhar secondary schools are not good at writing. As a result their writing skills are weak." They need to be…

  20. Direct Writing of Three-Dimensional Macroporous Photonic Crystals on Pressure-Responsive Shape Memory Polymers.

    PubMed

    Fang, Yin; Ni, Yongliang; Leo, Sin-Yen; Wang, Bingchen; Basile, Vito; Taylor, Curtis; Jiang, Peng

    2015-10-28

    Here we report a single-step direct writing technology for making three-dimensional (3D) macroporous photonic crystal patterns on a new type of pressure-responsive shape memory polymer (SMP). This approach integrates two disparate fields that do not typically intersect: the well-established templating nanofabrication and shape memory materials. Periodic arrays of polymer macropores templated from self-assembled colloidal crystals are squeezed into disordered arrays in an unusual shape memory "cold" programming process. The recovery of the original macroporous photonic crystal lattices can be triggered by direct writing at ambient conditions using both macroscopic and nanoscopic tools, like a pencil or a nanoindenter. Interestingly, this shape memory disorder-order transition is reversible and the photonic crystal patterns can be erased and regenerated hundreds of times, promising the making of reconfigurable/rewritable nanooptical devices. Quantitative insights into the shape memory recovery of collapsed macropores induced by the lateral shear stresses in direct writing are gained through fundamental investigations on important process parameters, including the tip material, the critical pressure and writing speed for triggering the recovery of the deformed macropores, and the minimal feature size that can be directly written on the SMP membranes. Besides straightforward applications in photonic crystal devices, these smart mechanochromic SMPs that are sensitive to various mechanical stresses could render important technological applications ranging from chromogenic stress and impact sensors to rewritable high-density optical data storage media.

  1. Direct write fabrication of waveguides and interconnects for optical printed wiring boards

    NASA Astrophysics Data System (ADS)

    Dingeldein, Joseph C.

    Current copper based circuit technology is becoming a limiting factor in high speed data transfer applications as processors are improving at a faster rate than are developments to increase on board data transfer. One solution is to utilize optical waveguide technology to overcome these bandwidth and loss restrictions. The use of this technology virtually eliminates the heat and cross-talk loss seen in copper circuitry, while also operating at a higher bandwidth. Transitioning current fabrication techniques from small scale laboratory environments to large scale manufacturing presents significant challenges. Optical-to-electrical connections and out-of-plane coupling are significant hurdles in the advancement of optical interconnects. The main goals of this research are the development of direct write material deposition and patterning tools for the fabrication of waveguide systems on large substrates, and the development of out-of-plane coupler components compatible with standard fiber optic cabling. Combining these elements with standard printed circuit boards allows for the fabrication of fully functional optical-electrical-printed-wiring-boards (OEPWBs). A direct dispense tool was designed, assembled, and characterized for the repeatable dispensing of blanket waveguide layers over a range of thicknesses (25-225 μm), eliminating waste material and affording the ability to utilize large substrates. This tool was used to directly dispense multimode waveguide cores which required no UV definition or development. These cores had circular cross sections and were comparable in optical performance to lithographically fabricated square waveguides. Laser direct writing is a non-contact process that allows for the dynamic UV patterning of waveguide material on large substrates, eliminating the need for high resolution masks. A laser direct write tool was designed, assembled, and characterized for direct write patterning waveguides that were comparable in quality to those

  2. Looking into the crystal ball: future device learning using hybrid e-beam and optical lithography (Keynote Paper)

    NASA Astrophysics Data System (ADS)

    Steen, S. E.; McNab, S. J.; Sekaric, L.; Babich, I.; Patel, J.; Bucchignano, J.; Rooks, M.; Fried, D. M.; Topol, A. W.; Brancaccio, J. R.; Yu, R.; Hergenrother, J. M.; Doyle, J. P.; Nunes, R.; Viswanathan, R. G.; Purushothaman, S.; Rothwell, M. B.

    2005-05-01

    Semiconductor process development teams are faced with increasing process and integration complexity while the time between lithographic capability and volume production has remained more or less constant over the last decade. Lithography tools have often gated the volume checkpoint of a new device node on the ITRS roadmap. The processes have to be redeveloped after the tooling capability for the new groundrule is obtained since straight scaling is no longer sufficient. In certain cases the time window that the process development teams have is actually decreasing. In the extreme, some forecasts are showing that by the time the 45nm technology node is scheduled for volume production, the tooling vendors will just begin shipping the tools required for this technology node. To address this time pressure, IBM has implemented a hybrid-lithography strategy that marries the advantages of optical lithography (high throughput) with electron beam direct write lithography (high resolution and alignment capability). This hybrid-lithography scheme allows for the timely development of semiconductor processes for the 32nm node, and beyond. In this paper we will describe how hybrid lithography has enabled early process integration and device learning and how IBM applied e-beam & optical hybrid lithography to create the world's smallest working SRAM cell.

  3. Electron beam throughput from raster to imaging

    NASA Astrophysics Data System (ADS)

    Zywno, Marek

    2016-12-01

    Two architectures of electron beam tools are presented: single beam MEBES Exara designed and built by Etec Systems for mask writing, and the Reflected E-Beam Lithography tool (REBL), designed and built by KLA-Tencor under a DARPA Agreement No. HR0011-07-9-0007. Both tools have implemented technologies not used before to achieve their goals. The MEBES X, renamed Exara for marketing purposes, used an air bearing stage running in vacuum to achieve smooth continuous scanning. The REBL used 2 dimensional imaging to distribute charge to a 4k pixel swath to achieve writing times on the order of 1 wafer per hour, scalable to throughput approaching optical projection tools. Three stage architectures were designed for continuous scanning of wafers: linear maglev, rotary maglev, and dual linear maglev.

  4. Assessing the Writing of Deaf College Students: Reevaluating a Direct Assessment of Writing

    ERIC Educational Resources Information Center

    Schley, Sara; Albertini, John

    2005-01-01

    The NTID Writing Test was developed to assess the writing ability of postsecondary deaf students entering the National Technical Institute for the Deaf and to determine their appropriate placement into developmental writing courses. While previous research (Albertini et al., 1986; Albertini et al., 1996; Bochner, Albertini, Samar, & Metz, 1992)…

  5. Quasi-crystalline and disordered photonic structures fabricated using direct laser writing

    NASA Astrophysics Data System (ADS)

    Sinelnik, Artem D.; Pinegin, Konstantin V.; Bulashevich, Grigorii A.; Rybin, Mikhail V.; Limonov, Mikhail F.; Samusev, Kirill B.

    2017-09-01

    Direct laser writing is a rapid prototyping technology that has been utilized for the fabrication of micro- and nano-scale materials that have a perfect structure in most of the cases. In this study we exploit the direct laser writing to create several classes of non-periodic materials, such as quasi-crystalline lattices and three-dimensional (3D) objects with an orientation disorder in structural elements. Among quasi-crystalline lattices we consider Penrose tiling and Lévy-type photonic glasses. Images of the fabricated structures are obtained with a scanning electron microscope. In experiment we study the optical diffraction from 3D woodpile photonic structures with orientation disorder and analyze diffraction patters observed on a flat screen positioned behind the sample. With increasing of the disorder degree, we find an impressive transformation of the diffraction patterns from perfect Laue picture to a speckle pattern.

  6. Investigation of beam self-polarization in the future e+e- circular collider

    NASA Astrophysics Data System (ADS)

    Gianfelice-Wendt, E.

    2016-10-01

    The use of resonant depolarization has been suggested for precise beam energy measurements (better than 100 keV) in the e+e- Future Circular Collider (FCC-e+e-) for Z and W W physics at 45 and 80 GeV beam energy respectively. Longitudinal beam polarization would benefit the Z peak physics program; however it is not essential and therefore it will be not investigated here. In this paper the possibility of self-polarized leptons is considered. Preliminary results of simulations in presence of quadrupole misalignments and beam position monitors (BPMs) errors for a simplified FCC-e+e- ring are presented.

  7. Elegant Gaussian beams for enhanced optical manipulation

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Alpmann, Christina, E-mail: c.alpmann@uni-muenster.de; Schöler, Christoph; Denz, Cornelia

    2015-06-15

    Generation of micro- and nanostructured complex light beams attains increasing impact in photonics and laser applications. In this contribution, we demonstrate the implementation and experimental realization of the relatively unknown, but highly versatile class of complex-valued Elegant Hermite- and Laguerre-Gaussian beams. These beams create higher trapping forces compared to standard Gaussian light fields due to their propagation changing properties. We demonstrate optical trapping and alignment of complex functional particles as nanocontainers with standard and Elegant Gaussian light beams. Elegant Gaussian beams will inspire manifold applications in optical manipulation, direct laser writing, or microscopy, where the design of the point-spread functionmore » is relevant.« less

  8. Korea. Frame In, Frame Out. Writing and Directing Educational Films.

    ERIC Educational Resources Information Center

    Mabrey, Layton

    The techniques of writing and directing an educational film are covered in this book. The book has been written with the intent that it will serve as a guideline for native Koreans interested in producing instructional films for their country. The author begins with a description of the various types of educational films and the uses to which they…

  9. Direct write with microelectronic circuit fabrication

    DOEpatents

    Drummond, Timothy; Ginley, David

    1992-01-01

    In a process for deposition of material onto a substrate, for example, the deposition of metals or dielectrics onto a semiconductor laser, the material is deposited by providing a colloidal suspension of the material and directly writing the suspension onto the substrate surface by ink jet printing techniques. This procedure minimizes the handling requirements of the substrate during the deposition process and also minimizes the exchange of energy between the material to be deposited and the substrate at the interface. The deposited material is then resolved into a desired pattern, preferably by subjecting the deposit to a laser annealing step. The laser annealing step provides high resolution of the resultant pattern while minimizing the overall thermal load of the substrate and permitting precise control of interface chemistry and interdiffusion between the substrate and the deposit.

  10. Investigation of beam self-polarization in the future e + e - circular collider

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gianfelice-Wendt, E.

    The use of resonant depolarization has been suggested for precise beam energy measurements (better than 100 keV) in the e +e - Future Circular Collider (FCC-e +e -) for Z and WW physics at 45 and 80 GeV beam energy respectively. Longitudinal beam polarization would benefit the Z peak physics program; however it is not essential and therefore it will be not investigated here. In this paper the possibility of self-polarized leptons is considered. As a result, preliminary results of simulations in presence of quadrupole misalignments and beam position monitors (BPMs) errors for a simplified FCC-e +e - ring are presented.

  11. Investigation of beam self-polarization in the future e + e - circular collider

    DOE PAGES

    Gianfelice-Wendt, E.

    2016-10-24

    The use of resonant depolarization has been suggested for precise beam energy measurements (better than 100 keV) in the e +e - Future Circular Collider (FCC-e +e -) for Z and WW physics at 45 and 80 GeV beam energy respectively. Longitudinal beam polarization would benefit the Z peak physics program; however it is not essential and therefore it will be not investigated here. In this paper the possibility of self-polarized leptons is considered. As a result, preliminary results of simulations in presence of quadrupole misalignments and beam position monitors (BPMs) errors for a simplified FCC-e +e - ring are presented.

  12. Kindergarten Predictors of Third Grade Writing

    PubMed Central

    Kim, Young-Suk; Al Otaiba, Stephanie; Wanzek, Jeanne

    2015-01-01

    The primary goal of the present study was to examine the relations of kindergarten transcription, oral language, word reading, and attention skills to writing skills in third grade. Children (N = 157) were assessed on their letter writing automaticity, spelling, oral language, word reading, and attention in kindergarten. Then, they were assessed on writing in third grade using three writing tasks – one narrative and two expository prompts. Children’s written compositions were evaluated in terms of writing quality (the extent to which ideas were developed and presented in an organized manner). Structural equation modeling showed that kindergarten oral language and lexical literacy skills (i.e., word reading and spelling) were independently predicted third grade narrative writing quality, and kindergarten literacy skill uniquely predicted third grade expository writing quality. In contrast, attention and letter writing automaticity were not directly related to writing quality in either narrative or expository genre. These results are discussed in light of theoretical and practical implications. PMID:25642118

  13. Grayscale photomask fabricated by laser direct writing in metallic nano-films.

    PubMed

    Guo, Chuan Fei; Cao, Sihai; Jiang, Peng; Fang, Ying; Zhang, Jianming; Fan, Yongtao; Wang, Yongsheng; Xu, Wendong; Zhao, Zhensheng; Liu, Qian

    2009-10-26

    The grayscale photomask plays a key role in grayscale lithography for creating 3D microstructures like micro-optical elements and MEMS structures, but how to fabricate grayscale masks in a cost-effective way is still a big challenge. Here we present novel low cost grayscale masks created in a two-step method by laser direct writing on Sn nano-films, which demonstrate continuous-tone gray levels depended on writing powers. The mechanism of the gray levels is due to the coexistence of the metal and the oxides formed in a laser-induced thermal process. The photomasks reveal good technical properties in fabricating 3D microstructures for practical applications.

  14. Writing Instruction.

    ERIC Educational Resources Information Center

    Richgels, Donald J.

    2003-01-01

    Discusses four recent writing books: "Teaching to Write: Theory Into Practice" (Jane B. Hughey and Charlotte Slack); "The Writing Teacher's Handbook" (Jo Phenix); "Scaffolding Young Writers: A Writers' Workshop Approach" (Linda J. Dorn and Carla Soffos); and "Directing the Writing Workshop: An Elementary Teacher's Handbook" (Jean Wallace Gillet…

  15. Estimation of line dimensions in 3D direct laser writing lithography

    NASA Astrophysics Data System (ADS)

    Guney, M. G.; Fedder, G. K.

    2016-10-01

    Two photon polymerization (TPP) based 3D direct laser writing (3D-DLW) finds application in a wide range of research areas ranging from photonic and mechanical metamaterials to micro-devices. Most common structures are either single lines or formed by a set of interconnected lines as in the case of crystals. In order to increase the fidelity of these structures and reach the ultimate resolution, the laser power and scan speed used in the writing process should be chosen carefully. However, the optimization of these writing parameters is an iterative and time consuming process in the absence of a model for the estimation of line dimensions. To this end, we report a semi-empirical analytic model through simulations and fitting, and demonstrate that it can be used for estimating the line dimensions mostly within one standard deviation of the average values over a wide range of laser power and scan speed combinations. The model delimits the trend in onset of micro-explosions in the photoresist due to over-exposure and of low degree of conversion due to under-exposure. The model guides setting of high-fidelity and robust writing parameters of a photonic crystal structure without iteration and in close agreement with the estimated line dimensions. The proposed methodology is generalizable by adapting the model coefficients to any 3D-DLW setup and corresponding photoresist as a means to estimate the line dimensions for tuning the writing parameters.

  16. Direct writing electrodes using a ball pen for paper-based point-of-care testing.

    PubMed

    Li, Zedong; Li, Fei; Hu, Jie; Wee, Wei Hong; Han, Yu Long; Pingguan-Murphy, Belinda; Lu, Tian Jian; Xu, Feng

    2015-08-21

    The integration of paper with an electrochemical device has attracted growing attention for point-of-care testing, where it is of great importance to fabricate electrodes on paper in a low-cost, easy and versatile way. In this work, we report a simple strategy for directly writing electrodes on paper using a pressure-assisted ball pen to form a paper-based electrochemical device (PED). This method is demonstrated to be capable of fabricating electrodes on paper with good electrical conductivity and electrochemical performance, holding great potential to be employed in point-of-care applications, such as in human health diagnostics and food safety detection. As examples, the PEDs fabricated using the developed method are applied for detection of glucose in artificial urine and melamine in sample solutions. Furthermore, our developed strategy is also extended to fabricate PEDs with multi-electrode arrays and write electrodes on non-planar surfaces (e.g., paper cup, human skin), indicating the potential application of our method in other fields, such as fabricating biosensors, paper electronics etc.

  17. Engineering of beam direct conversion for a 120-kV, 1-MW ion beam

    NASA Technical Reports Server (NTRS)

    Barr, W. L.; Doggett, J. N.; Hamilton, G. W.; Kinney, J. D.; Moir, R. W.

    1977-01-01

    Practical systems for beam direct conversion are required to recover the energy from ion beams at high efficiency and at very high beam power densities in the environment of a high-power neutral-injection system. Such an experiment is now in progress using a 120-kV beam with a maximum total current of 20 A. After neutralization, the H(+) component to be recovered will have a power of approximately 1 MW. A system testing these concepts has been designed and tested at 15 kV, 2 kW in preparation for the full-power tests. The engineering problems involved in the full-power tests affect electron suppression, gas pumping, voltage holding, diagnostics, and measurement conditions. Planning for future experiments at higher power includes the use of cryopumping and electron suppression by a magnetic field rather than by an electrostatic field. Beam direct conversion for large fusion experiments and reactors will save millions of dollars in the cost of power supplies and electricity and will dispose of the charged beam under conditions that may not be possible by other techniques.

  18. Fabrication of submicron proteinaceous structures by direct laser writing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Serien, Daniela; Takeuchi, Shoji, E-mail: takeuchi@iis.u-tokyo.ac.jp; ERATO Takeuchi Biohybrid Innovation Project, Japan Science and Technology Agency, 4-6-1 Komaba, Meguro-ku, 153-8505 Tokyo

    In this paper, we provide a characterization of truly free-standing proteinaceous structures with submicron feature sizes depending on the fabrication conditions by model-based analysis. Protein cross-linking of bovine serum albumin is performed by direct laser writing and two-photon excitation of flavin adenine dinucleotide. We analyze the obtainable fabrication resolution and required threshold energy for polymerization. The applied polymerization model allows prediction of fabrication conditions and resulting fabrication size, alleviating the application of proteinaceous structure fabrication.

  19. Direct write with microelectronic circuit fabrication

    DOEpatents

    Drummond, T.; Ginley, D.

    1988-05-31

    In a process for deposition of material onto a substrate, for example, the deposition of metals for dielectrics onto a semiconductor laser, the material is deposited by providing a colloidal suspension of the material and directly writing the suspension onto the substrate surface by ink jet printing techniques. This procedure minimizes the handling requirements of the substrate during the deposition process and also minimizes the exchange of energy between the material to be deposited and the substrate at the interface. The deposited material is then resolved into a desired pattern, preferably by subjecting the deposit to a laser annealing step. The laser annealing step provides high resolution of the resultant pattern while minimizing the overall thermal load of the substrate and permitting precise control of interface chemistry and interdiffusion between the substrate and the deposit. 3 figs.

  20. The Effectiveness of Adopting E-Readers to Facilitate EFL Students' Process-Based Academic Writing

    ERIC Educational Resources Information Center

    Hung, Hui-Chun; Young, Shelley Shwu-Ching

    2015-01-01

    English as Foreign Language (EFL) students face additional difficulties for academic writing largely due to their level of language competency. An appropriate structural process of writing can help students develop their academic writing skills. This study explored the use of the e-readers to facilitate EFL students' process-based academic…

  1. Applications of ultrafast laser direct writing: from polarization control to data storage

    NASA Astrophysics Data System (ADS)

    Donko, A.; Gertus, T.; Brambilla, G.; Beresna, M.

    2018-02-01

    Ultrafast laser direct writing is a fascinating technology which emerged more than two decades from fundamental studies of material resistance to high-intensity optical fields. Its development saw the discovery of many puzzling phenomena and demonstration of useful applications. Today, ultrafast laser writing is seen as a technology with great potential and is rapidly entering the industrial environment. Whereas, less than 10 years ago, ultrafast lasers were still confined within the research labs. This talk will overview some of the unique features of ultrafast lasers and give examples of its applications in optical data storage, polarization control and optical fibers.

  2. Dewetting of thin films on flexible substrates via direct-write laser exposure

    NASA Astrophysics Data System (ADS)

    Ferrer, Anthony Jesus

    Microelectromechanical systems (MEMS) have enabled a wide variety of technologies both in the consumer space and in industrial/research areas. At the market level, such devices advance by the invention and innovation of production techniques. Additionally, there has been increased demand for flexible versions of such MEMS devices. Thin film patterning, represents a key technology for the realization of such flexible electronics. Patterns and methods that can be directly written into the thin film allow for design modification on the fly with the need for harsh chemicals and long etching steps. Laser-induced dewetting has the potential to create patterns in thin films at both the microscopic and nanoscopic level without wasting deposited material. This thesis presents the first demonstration of high-speed direct-write patterning of metallic thin films that uses a laser-induced dewetting phenomenon to prevent material loss. The ability to build film material with this technique is explored using various scanning geometries. Finally, demonstrations of direct-write dewetting of a variety of thin films will be presented with special consideration for high melting point metals deposited upon polymer substrates.

  3. Fabrication of carbon quantum dots with nano-defined position and pattern in one step via sugar-electron-beam writing.

    PubMed

    Weng, Yuyan; Li, Zhiyun; Peng, Lun; Zhang, Weidong; Chen, Gaojian

    2017-12-14

    Quantum dots (QDs) are promising materials in nanophotonics, biological imaging, and even quantum computing. Precise positioning and patterning of QDs is a prerequisite for realizing their actual applications. Contrary to the traditional two discrete steps of fabricating and positioning QDs, herein, a novel sugar-electron-beam writing (SEW) method is reported for producing QDs via electron-beam lithography (EBL) that uses a carefully chosen synthetic resist, poly(2-(methacrylamido)glucopyranose) (PMAG). Carbon QDs (CQDs) could be fabricated in situ through electron beam exposure, and the nanoscale position and luminescence intensity of the produced CQDs could be precisely controlled without the assistance of any other fluorescent matter. We have demonstrated that upon combining an electron beam with a glycopolymer, in situ production of CQDs occurs at the electron beam spot center with nanoscale precision at any place and with any patterns, an advancement that we believe will stimulate innovations in future applications.

  4. Topology Control in Aerial Multi-Beam Directional Networks

    DTIC Science & Technology

    2017-04-24

    underlying challenges to topology control in multi -beam direction networks. Two topology control algorithms are developed: a centralized algorithm...main beam, the gain is negligible. Thus, for topology control in a multi -beam system, two nodes that are being simultaneously transmitted to or...the network. As the network size is larger than the communication range, even the original network will require some multi -hop traffic. The second two

  5. Substrate thermal conductivity controls the ability to manufacture microstructures via laser-induced direct write

    NASA Astrophysics Data System (ADS)

    Tomko, John A.; Olson, David H.; Braun, Jeffrey L.; Kelliher, Andrew P.; Kaehr, Bryan; Hopkins, Patrick E.

    2018-01-01

    In controlling the thermal properties of the surrounding environment, we provide insight into the underlying mechanisms driving the widely used laser direct write method for additive manufacturing. We find that the onset of silver nitrate reduction for the formation of direct write structures directly corresponds to the calculated steady-state temperature rises associated with both continuous wave and high-repetition rate, ultrafast pulsed laser systems. Furthermore, varying the geometry of the heat affected zone, which is controllable based on in-plane thermal diffusion in the substrate, and laser power, allows for control of the written geometries without any prior substrate preparation. These findings allow for the advance of rapid manufacturing of micro- and nanoscale structures with minimal material constraints through consideration of the laser-controllable thermal transport in ionic liquid/substrate media.

  6. Sensitivity studies of beam directionality, beam size, and neutron spectrum for a fission converter-based epithermal neutron beam for boron neutron capture therapy.

    PubMed

    Sakamoto, S; Kiger, W S; Harling, O K

    1999-09-01

    Sensitivity studies of epithermal neutron beam performance in boron neutron capture therapy are presented for realistic neutron beams with varying filter/moderator and collimator/delimiter designs to examine the relative importance of neutron beam spectrum, directionality, and size. Figures of merit for in-air and in-phantom beam performance are calculated via the Monte Carlo technique for different well-optimized designs of a fission converter-based epithermal neutron beam with head phantoms as the irradiation target. It is shown that increasing J/phi, a measure of beam directionality, does not always lead to corresponding monotonic improvements in beam performance. Due to the relatively low significance, for most configurations, of its effect on in-phantom performance and the large intensity losses required to produce beams with very high J/phi, beam directionality should not be considered an important figure of merit in epithermal neutron beam design except in terms of its consequences on patient positioning and collateral dose. Hardening the epithermal beam spectrum, while maintaining the specific fast neutron dose well below the inherent hydrogen capture dose, improves beam penetration and advantage depth and, as a desirable by-product, significantly increases beam intensity. Beam figures of merit are shown to be strongly dependent on beam size relative to target size. Beam designs with J/phi approximately 0.65-0.7, specific fast neutron doses of 2-2.6x10(-13) Gy cm2/n and beam sizes equal to or larger than the size of the head target produced the deepest useful penetration, highest therapeutic ratios, and highest intensities.

  7. Gelatin-based laser direct-write technique for the precise spatial patterning of cells.

    PubMed

    Schiele, Nathan R; Chrisey, Douglas B; Corr, David T

    2011-03-01

    Laser direct-writing provides a method to pattern living cells in vitro, to study various cell-cell interactions, and to build cellular constructs. However, the materials typically used may limit its long-term application. By utilizing gelatin coatings on the print ribbon and growth surface, we developed a new approach for laser cell printing that overcomes the limitations of Matrigel™. Gelatin is free of growth factors and extraneous matrix components that may interfere with cellular processes under investigation. Gelatin-based laser direct-write was able to successfully pattern human dermal fibroblasts with high post-transfer viability (91% ± 3%) and no observed double-strand DNA damage. As seen with atomic force microscopy, gelatin offers a unique benefit in that it is present temporarily to allow cell transfer, but melts and is removed with incubation to reveal the desired application-specific growth surface. This provides unobstructed cellular growth after printing. Monitoring cell location after transfer, we show that melting and removal of gelatin does not affect cellular placement; cells maintained registry within 5.6 ± 2.5 μm to the initial pattern. This study demonstrates the effectiveness of gelatin in laser direct-writing to create spatially precise cell patterns with the potential for applications in tissue engineering, stem cell, and cancer research.

  8. Gelatin-Based Laser Direct-Write Technique for the Precise Spatial Patterning of Cells

    PubMed Central

    Schiele, Nathan R.; Chrisey, Douglas B.

    2011-01-01

    Laser direct-writing provides a method to pattern living cells in vitro, to study various cell–cell interactions, and to build cellular constructs. However, the materials typically used may limit its long-term application. By utilizing gelatin coatings on the print ribbon and growth surface, we developed a new approach for laser cell printing that overcomes the limitations of Matrigel™. Gelatin is free of growth factors and extraneous matrix components that may interfere with cellular processes under investigation. Gelatin-based laser direct-write was able to successfully pattern human dermal fibroblasts with high post-transfer viability (91% ± 3%) and no observed double-strand DNA damage. As seen with atomic force microscopy, gelatin offers a unique benefit in that it is present temporarily to allow cell transfer, but melts and is removed with incubation to reveal the desired application-specific growth surface. This provides unobstructed cellular growth after printing. Monitoring cell location after transfer, we show that melting and removal of gelatin does not affect cellular placement; cells maintained registry within 5.6 ± 2.5 μm to the initial pattern. This study demonstrates the effectiveness of gelatin in laser direct-writing to create spatially precise cell patterns with the potential for applications in tissue engineering, stem cell, and cancer research. PMID:20849381

  9. Aerosol Properties Derived from Airborne Sky Radiance and Direct Beam Measurements in Recent NASA and DoE Field Campaigns

    NASA Technical Reports Server (NTRS)

    Redemann, J.; Flynn, C. J.; Shinozuka, Y.; Russell, P. B.; Kacenelenbogen, M.; Segal-Rosenheimer, M.; Livingston, J. M.; Schmid, B.; Dunagan, S. E.; Johnson, R. R.; hide

    2014-01-01

    The AERONET (AErosol RObotic NETwork) ground-based suite of sunphotometers provides measurements of spectral aerosol optical depth (AOD), precipitable water and spectral sky radiance, which can be inverted to retrieve aerosol microphysical properties that are critical to assessments of aerosol-climate interactions. Because of data quality criteria and sampling constraints, there are significant limitations to the temporal and spatial coverage of AERONET data and their representativeness for global aerosol conditions.The 4STAR (Spectrometer for Sky-Scanning, Sun-Tracking Atmospheric Research) instrument, jointly developed by NASA Ames and PNNL (Pacific Northwest National Laboratory) with NASA Goddard collaboration, combines airborne sun tracking and AERONET-like sky scanning with spectroscopic detection. Being an airborne instrument, 4STAR has the potential to fill gaps in the AERONET data set. The 4STAR instrument operated successfully in the SEAC4RS (Studies of Emissions and Atmospheric Composition, Clouds and Climate Coupling by Regional Surveys) experiment in Aug./Sep. 2013 aboard the NASA DC-8 and in the DoE (Department of Energy)-sponsored TCAP (Two Column Aerosol Project, July 2012 & Feb. 2013) experiment aboard the DoE G-1 aircraft. 4STAR provided direct beam measurements of hyperspectral AOD, columnar trace gas retrievals (H2O, O3, NO2), and the first ever airborne hyperspectral sky radiance scans, which can be inverted to yield the same products as AERONET ground-based observations. In this presentation, we provide an overview of the new 4STAR capabilities, with an emphasis on 26 high-quality sky radiance measurements carried out by 4STAR in SEAC4RS. We compare collocated 4STAR and AERONET sky radiances, as well as their retrievals of aerosol microphysical properties for a subset of the available case studies. We summarize the particle property and air-mass characterization studies made possible by the combined 4STAR direct beam and sky radiance

  10. Characterization of a 5-eV neutral atomic oxygen beam facility

    NASA Technical Reports Server (NTRS)

    Vaughn, J. A.; Linton, R. C.; Carruth, M. R., Jr.; Whitaker, A. F.; Cuthbertson, J. W.; Langer, W. D.; Motley, R. W.

    1991-01-01

    An experimental effort to characterize an existing 5-eV neutral atomic oxygen beam facility being developed at Princeton Plasma Physics Laboratory is described. This characterization effort includes atomic oxygen flux and flux distribution measurements using a catalytic probe, energy determination using a commercially designed quadrupole mass spectrometer (QMS), and the exposure of oxygen-sensitive materials in this beam facility. Also, comparisons were drawn between the reaction efficiencies of materials exposed in plasma ashers, and the reaction efficiencies previously estimated from space flight experiments. The results of this study show that the beam facility is capable of producing a directional beam of neutral atomic oxygen atoms with the needed flux and energy to simulate low Earth orbit (LEO) conditions for real time accelerated testing. The flux distribution in this facility is uniform to +/- 6 percent of the peak flux over a beam diameter of 6 cm.

  11. Substrate thermal conductivity controls the ability to manufacture microstructures via laser-induced direct write

    DOE PAGES

    Tomko, John A.; Olson, David H.; Braun, Jeffrey L.; ...

    2018-01-30

    In controlling the thermal properties of the surrounding environment, we provide insight into the underlying mechanisms driving the widely used laser direct write method for additive manufacturing. In this study, we find that the onset of silver nitrate reduction for the formation of direct write structures directly corresponds to the calculated steady-state temperature rises associated with both continuous wave and high-repetition rate, ultrafast pulsed laser systems. Furthermore, varying the geometry of the heat affected zone, which is controllable based on in-plane thermal diffusion in the substrate, and laser power, allows for control of the written geometries without any prior substratemore » preparation. In conclusion, these findings allow for the advance of rapid manufacturing of micro- and nanoscale structures with minimal material constraints through consideration of the laser-controllable thermal transport in ionic liquid/substrate media.« less

  12. Substrate thermal conductivity controls the ability to manufacture microstructures via laser-induced direct write

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tomko, John A.; Olson, David H.; Braun, Jeffrey L.

    In controlling the thermal properties of the surrounding environment, we provide insight into the underlying mechanisms driving the widely used laser direct write method for additive manufacturing. In this study, we find that the onset of silver nitrate reduction for the formation of direct write structures directly corresponds to the calculated steady-state temperature rises associated with both continuous wave and high-repetition rate, ultrafast pulsed laser systems. Furthermore, varying the geometry of the heat affected zone, which is controllable based on in-plane thermal diffusion in the substrate, and laser power, allows for control of the written geometries without any prior substratemore » preparation. In conclusion, these findings allow for the advance of rapid manufacturing of micro- and nanoscale structures with minimal material constraints through consideration of the laser-controllable thermal transport in ionic liquid/substrate media.« less

  13. Direct Write Printing on Thin and Flexible Substrates for Space Applications

    NASA Technical Reports Server (NTRS)

    Paquette, Beth

    2016-01-01

    This presentation describes the work done on direct-write printing conductive traces for a flexible detector application. A Repeatability Plan was established to define detector requirements, material and printer selections, printing facilities, and tests to verify requirements are met. Designs were created for the detector, and printed using an aerosol jet printer. Testing for requirement verification is ongoing.

  14. Direct-laser metal writing of surface acoustic wave transducers for integrated-optic spatial light modulators in lithium niobate

    NASA Astrophysics Data System (ADS)

    Datta, Bianca C.; Savidis, Nickolaos; Moebius, Michael; Jolly, Sundeep; Mazur, Eric; Bove, V. Michael

    2017-02-01

    Recently, the fabrication of high-resolution silver nanostructures using a femtosecond laser-based direct write process in a gelatin matrix was reported. The application of direct metal writing towards feature development has also been explored with direct metal fusion, in which metal is fused onto the surface of the substrate via a femtosecond laser process. In this paper, we present a comparative study of gelatin matrix and metal fusion approaches for directly laser-written fabrication of surface acoustic wave transducers on a lithium niobate substrate for application in integrated optic spatial light modulators.

  15. Laser direct writing of complex radially varying single-mode polymer waveguide structures

    NASA Astrophysics Data System (ADS)

    Kruse, Kevin; Peng, Jie; Middlebrook, Christopher T.

    2015-07-01

    Increasing board-to-board and chip-to-chip computational data rates beyond 12.5 Gbs will require the use of single-mode polymer waveguides (WGs) that have high bandwidths and are able to be wavelength division multiplexed. Laser direct writing (LDW) of polymer WGs provides a scalable and reconfigurable maskless procedure compared to common photolithography fabrication. LDW of straights and radial curves are readily achieved using predefined drive commands of the two-axis direct drive linear stage system. Using the laser direct write process for advanced WG structures requires stage-drive programming techniques that account for specified polymer material exposure durations. Creating advanced structures such as WG S-bends into single-mode polymer WG builds provides designers with the ability to affect pitch control, optical coupling, and reduce footprint requirements. Fabrication of single-mode polymer WG segmented radial arcs is achieved through a smooth radial arc user-programmed defined mathematical algorithm. Cosine and raised-sine S-bends are realized through a segmentation method where the optimal incremental step length and bend dimensions are controlled to achieve minimal structure loss. Laser direct written S-bends are compared with previously published photolithographic S-bend results using theoretical bend loss models. Fabrication results show that LDW is a viable method in the fabrication of advanced polymer WG structures.

  16. Graphene engineering by neon ion beams

    DOE PAGES

    Iberi, Vighter; Ievlev, Anton V.; Vlassiouk, Ivan; ...

    2016-02-18

    Achieving the ultimate limits of materials and device performance necessitates the engineering of matter with atomic, molecular, and mesoscale fidelity. While common for organic and macromolecular chemistry, these capabilities are virtually absent for 2D materials. In contrast to the undesired effect of ion implantation from focused ion beam (FIB) lithography with gallium ions, and proximity effects in standard e-beam lithography techniques, the shorter mean free path and interaction volumes of helium and neon ions offer a new route for clean, resist free nanofabrication. Furthermore, with the advent of scanning helium ion microscopy, maskless He + and Ne + beam lithographymore » of graphene based nanoelectronics is coming to the forefront. Here, we will discuss the use of energetic Ne ions in engineering graphene devices and explore the mechanical, electromechanical and chemical properties of the ion-milled devices using scanning probe microscopy (SPM). By using SPM-based techniques such as band excitation (BE) force modulation microscopy, Kelvin probe force microscopy (KPFM) and Raman spectroscopy, we demonstrate that the mechanical, electrical and optical properties of the exact same devices can be quantitatively extracted. Additionally, the effect of defects inherent in ion beam direct-write lithography, on the overall performance of the fabricated devices is elucidated.« less

  17. Silicon patterning using ion blistering and e-beam lithography

    NASA Astrophysics Data System (ADS)

    Giguere, A.; Terreault, B.; Beerens, J.; Aimez, V.; Beauvais, J.

    2004-03-01

    We explore the limits of silicon patterning using ion blistering in conjunction with e-beam lithography. In a first approach, we implanted 3.5E16 H/cm**2 at 5 keV through variable width (0.1-10 micron) e-beam written PMMA masks. The resist was then removed and the samples were rapid-thermal-annealed (RTA) up to 650 °C. In the wider trenches, round blisters with 800-900 nm diameter and 15 nm height and a few exfoliations are observed, which are similar to those observed on an unmasked surface. In submicron trenches (500-1000 nm), there is a transition in morphology created by the proximity to the border; the blisters are smaller and they are densely aligned along the trench direction ("pearl-string" pattern). No effect is observed in the lowest dimension trenches. The results are discussed in terms of stress/strain fields, defect configuration, and mask shadowing and charging effects. Ultimate pattern resolution will be limited by lateral straggling of the ions in and by the mechanics of lateral crack propagation.

  18. Note: Proton microbeam formation with continuously variable kinetic energy using a compact system for three-dimensional proton beam writing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ohkubo, T., E-mail: ohkubo.takeru@jaea.go.jp; Ishii, Y.

    A compact focused gaseous ion beam system has been developed to form proton microbeams of a few hundreds of keV with a penetration depth of micrometer range in 3-dimensional proton beam writing. Proton microbeams with kinetic energies of 100-140 keV were experimentally formed on the same point at a constant ratio of the kinetic energy of the object side to that of the image side. The experimental results indicate that the beam diameters were measured to be almost constant at approximately 6 μm at the same point with the kinetic energy range. These characteristics of the system were experimentally andmore » numerically demonstrated to be maintained as long as the ratio was constant.« less

  19. Volume gratings and welding of glass/plastic by femtosecond laser direct writing

    NASA Astrophysics Data System (ADS)

    Watanabe, Wataru

    2018-01-01

    Femtosecond laser direct writing is used to fabricate diffractive optical elements in three dimensions and to weld glass and/or plastic. In this paper, we review volume gratings in plastics and welding of glass/plastic by femtosecond laser direct writing. Volume gratings were embedded inside polymethyl methacrylate (PMMA) by femtosecond laser pulses. The diffraction efficiency of the gratings increased after fabrication and reached the maximum. After an initial slow decrease within first several days after the fabrication, the efficiency increased again. This phenomena was called regeneration of the grating. We also demonstrate welding of PMMA by dendrite pattern using femtosecond laser pulses. Laser pulses are focused at the interface of two PMMA substrates with an air gap and melted materials in laser-irradiated region spread within a gap of the substrates and dendrite morphology of melted PMMA was observed outside the laser irradiated area. Finally, we show welding of glass/plastic and metal.

  20. Electrostatically focused addressable field emission array chips (AFEA's) for high-speed massively parallel maskless digital E-beam direct write lithography and scanning electron microscopy

    DOEpatents

    Thomas, Clarence E.; Baylor, Larry R.; Voelkl, Edgar; Simpson, Michael L.; Paulus, Michael J.; Lowndes, Douglas H.; Whealton, John H.; Whitson, John C.; Wilgen, John B.

    2002-12-24

    Systems and methods are described for addressable field emission array (AFEA) chips. A method of operating an addressable field-emission array, includes: generating a plurality of electron beams from a pluralitly of emitters that compose the addressable field-emission array; and focusing at least one of the plurality of electron beams with an on-chip electrostatic focusing stack. The systems and methods provide advantages including the avoidance of space-charge blow-up.

  1. Uncoordinated MAC for Adaptive Multi Beam Directional Networks: Analysis and Evaluation

    DTIC Science & Technology

    2016-08-01

    control (MAC) policies for emerging systems that are equipped with fully digital antenna arrays which are capable of adaptive multi-beam directional...Adaptive Beam- forming, Multibeam, Directional Networking, Random Access, Smart Antennas I. INTRODUCTION Fully digital beamforming antenna arrays that...are capable of adaptive multi-beam communications are quickly becoming a reality. These antenna arrays allow users to form multiple simultaneous

  2. Engineering fluidic delays in paper-based devices using laser direct-writing.

    PubMed

    He, P J W; Katis, I N; Eason, R W; Sones, C L

    2015-10-21

    We report the use of a new laser-based direct-write technique that allows programmable and timed fluid delivery in channels within a paper substrate which enables implementation of multi-step analytical assays. The technique is based on laser-induced photo-polymerisation, and through adjustment of the laser writing parameters such as the laser power and scan speed we can control the depth and/or the porosity of hydrophobic barriers which, when fabricated in the fluid path, produce controllable fluid delay. We have patterned these flow delaying barriers at pre-defined locations in the fluidic channels using either a continuous wave laser at 405 nm, or a pulsed laser operating at 266 nm. Using this delay patterning protocol we generated flow delays spanning from a few minutes to over half an hour. Since the channels and flow delay barriers can be written via a common laser-writing process, this is a distinct improvement over other methods that require specialist operating environments, or custom-designed equipment. This technique can therefore be used for rapid fabrication of paper-based microfluidic devices that can perform single or multistep analytical assays.

  3. Laser direct-write for fabrication of three-dimensional paper-based devices.

    PubMed

    He, P J W; Katis, I N; Eason, R W; Sones, C L

    2016-08-16

    We report the use of a laser-based direct-write (LDW) technique that allows the design and fabrication of three-dimensional (3D) structures within a paper substrate that enables implementation of multi-step analytical assays via a 3D protocol. The technique is based on laser-induced photo-polymerisation, and through adjustment of the laser writing parameters such as the laser power and scan speed we can control the depths of hydrophobic barriers that are formed within a substrate which, when carefully designed and integrated, produce 3D flow paths. So far, we have successfully used this depth-variable patterning protocol for stacking and sealing of multi-layer substrates, for assembly of backing layers for two-dimensional (2D) lateral flow devices and finally for fabrication of 3D devices. Since the 3D flow paths can also be formed via a single laser-writing process by controlling the patterning parameters, this is a distinct improvement over other methods that require multiple complicated and repetitive assembly procedures. This technique is therefore suitable for cheap, rapid and large-scale fabrication of 3D paper-based microfluidic devices.

  4. Smart optical writing head design for laser-based manufacturing

    NASA Astrophysics Data System (ADS)

    Amin, M. Junaid; Riza, Nabeel A.

    2014-03-01

    Proposed is a smart optical writing head design suitable for high precision industrial laser based machining and manufacturing applications. The design uses an Electronically Controlled Variable Focus Lens (ECVFL) which enables the highest achievable spatial resolution of writing head spot sizes for axial target distances reaching 8 meters. A proof-of-concept experiment is conducted using a visible wavelength laser with a collimated beam that is coupled to beam conditioning optics which includes an electromagnetically actuated deformable membrane liquid ECVFL cascaded with a bias convex lens of fixed focal length. Electronic tuning and control of the ECVFL keeps the laser writing head far-field spot beam radii under 1 mm that is demonstrated over a target range of 20 cm to 800 cm. Applications for the proposed writing head design, which can accommodate both continuous wave and pulsed wave sources, include laser machining, high precision industrial molding of components, as well as materials processing requiring material sensitive optical power density control.

  5. Direct writing of metal nanostructures: lithographic tools for nanoplasmonics research.

    PubMed

    Leggett, Graham J

    2011-03-22

    Continued progress in the fast-growing field of nanoplasmonics will require the development of new methods for the fabrication of metal nanostructures. Optical lithography provides a continually expanding tool box. Two-photon processes, as demonstrated by Shukla et al. (doi: 10.1021/nn103015g), enable the fabrication of gold nanostructures encapsulated in dielectric material in a simple, direct process and offer the prospect of three-dimensional fabrication. At higher resolution, scanning probe techniques enable nanoparticle particle placement by localized oxidation, and near-field sintering of nanoparticulate films enables direct writing of nanowires. Direct laser "printing" of single gold nanoparticles offers a remarkable capability for the controlled fabrication of model structures for fundamental studies, particle-by-particle. Optical methods continue to provide a powerful support for research into metamaterials.

  6. REAP (raster e-beam advanced process) using 50-kV raster e-beam system for sub-100-nm node mask technology

    NASA Astrophysics Data System (ADS)

    Baik, Ki-Ho; Dean, Robert L.; Mueller, Mark; Lu, Maiying; Lem, Homer Y.; Osborne, Stephen; Abboud, Frank E.

    2002-07-01

    A chemically amplified resist (CAR) process has been recognized as an approach to meet the demanding critical dimension (CD) specifications of 100nm node technology and beyond. Recently, significant effort has been devoted to optimizing CAR materials, which offer the characteristics required for next generation photomask fabrication. In this paper, a process established with a positive-tone CAR from TOK and 50kV MEBES eXara system is discussed. This resist is developed for raster scan 50 kV e-beam systems. It has high contrast, good coating characteristics, good dry etch selectivity, and high environmental stability. The coating process is conducted in an environment with amine concentration less than 2 ppb. A nitrogen environment is provided during plate transfer steps. Resolution using a 60nm writing grid is 90nm line and space patterns. CD linearity is maintained down to 240nm for isolated lines or spaces by applying embedded proximity effect correction (emPEC). Optimizations of post-apply bake (PAB) and post-expose bake (PEB) time, temperature, and uniformity are completed to improve adhesion, coating uniformity, and resolution. A puddle develop process is optimized to improve line edge roughness, edge slope, and resolution. Dry etch process is optimized on a TetraT system to transfer the resist image into the chrome layer with minimum etch bias.

  7. Electron Beam "Writes" Silicon On Sapphire

    NASA Technical Reports Server (NTRS)

    Heinemann, Klaus

    1988-01-01

    Method of growing silicon on sapphire substrate uses beam of electrons to aid growth of semiconductor material. Silicon forms as epitaxial film in precisely localized areas in micron-wide lines. Promising fabrication method for fast, densely-packed integrated circuits. Silicon deposited preferentially in contaminated substrate zones and in clean zone irradiated by electron beam. Electron beam, like surface contamination, appears to stimulate decomposition of silane atmosphere.

  8. Do you feel you know how to write an e-mail?

    NASA Astrophysics Data System (ADS)

    Leonova, Anna O.

    2003-10-01

    Computers have opened doors to the new era of telecommunication. Electronic mail is becoming very popular in different spheres of professional activity and everyday life of people all over the world as it provides people an excellent opportunity for real, natural communication. The use of e-mail and the Internet involves a whole range of skills including knowing how to use a personal computer, knowing how to navigate the immense resources of cyberspace, and becoming familiar with the special register of e-mail communication (which lies somewhere between the formality of traditional writing and the spontaneity of speech). Conferencing via e-mail, or communicating with partners through networked computers, offers many opportunities in the Scientific Community. E-mail allows us to collaborate easily with thousands of colleagues, sharing new ideas, resources, and materials. It can provide the information, contacts, and stimulation that can make our research work more effective and enjoyable. The English language is world-wide accepted as lingua-franca of the Internet and intercultural communication. This brings us to a necessity to introduce some ideas on e-mail writing.

  9. Coulomb repulsion and the electron beam directed energy weapon

    NASA Astrophysics Data System (ADS)

    Retsky, Michael W.

    2004-09-01

    Mutual repulsion of discrete charged particles or Coulomb repulsion is widely considered to be an ultimate hard limit in charged particle optics. It prevents the ability to finely focus high current beams into small spots at large distances from defining apertures. A classic example is the 1970s era "Star Wars" study of an electron beam directed energy weapon as an orbiting antiballistic missile device. After much analysis, it was considered physically impossible to focus a 1000-amp 1-GeV beam into a 1-cm diameter spot 1000-km from the beam generator. The main reason was that a 1-cm diameter beam would spread to 5-m diameter at 1000-km due to Coulomb repulsion. Since this could not be overcome, the idea was abandoned. But is this true? What if the rays were reversed? That is, start with a 5-m beam converging slightly with the same nonuniform angular and energy distribution as the electrons from the original problem were spreading at 1000-km distance. Could Coulomb repulsion be overcome? Looking at the terms in computational studies, some are reversible while others are not. Based on estimates, the nonreversible terms should be small - of the order of 0.1 mm. If this is true, it is possible to design a practical electron beam directed weapon not limited by Coulomb repulsion.

  10. Magnetic Characterization of Direct-Write Free-Form Building Blocks for Artificial Magnetic 3D Lattices

    PubMed Central

    Al Mamoori, Mohanad K. I.; Keller, Lukas; Pieper, Jonathan; Winkler, Robert; Plank, Harald; Müller, Jens

    2018-01-01

    Three-dimensional (3D) nanomagnetism, where spin configurations extend into the vertical direction of a substrate plane allow for more complex, hierarchical systems and the design of novel magnetic effects. As an important step towards this goal, we have recently demonstrated the direct-write fabrication of freestanding ferromagnetic 3D nano-architectures of ferromagnetic CoFe in shapes of nano-tree and nano-cube structures by means of focused electron beam induced deposition. Here, we present a comprehensive characterization of the magnetic properties of these structures by local stray-field measurements using a high-resolution micro-Hall magnetometer. Measurements in a wide range of temperatures and different angles of the externally applied magnetic field with respect to the surface plane of the sensor are supported by corresponding micromagnetic simulations, which explain the overall switching behavior of in part rather complex magnetization configurations remarkably well. In particular, the simulations yield coercive and switching fields that are in good quantitative correspondence with the measured coercive and switching fields assuming a bulk metal content of 100 at % consisting of bcc Co3Fe. We show that thermally-unstable magnetization states can be repetitively prepared and their lifetime controlled at will, a prerequisite to realizing dynamic and thermally-active magnetic configurations if the building blocks are to be used in lattice structures. PMID:29439553

  11. E-Mail Writing: Providing Background Information in the Core of Computer Assisted Instruction

    ERIC Educational Resources Information Center

    Nazari, Behzad; Ninknejad, Sahar

    2015-01-01

    The present study highly supported the effective role of providing background information via email by the teacher to write e-mail by the students in learners' writing ability. A total number of 50 EFL advanced male students aged between 25 and 40 at different branches of Iran Language Institute in Tehran, Tehran. Through the placement test of…

  12. Epifluorescent direct-write photolithography for microfluidic applications

    NASA Astrophysics Data System (ADS)

    Higgins, MacCallister; Geiger, Emil J.

    2015-01-01

    We present a technique for fabricating soft-lithography molds created using an epifluorescent microscope. By focusing the UV light emitted from a Hg arc lamp, we demonstrate the ability to direct-write photoresist features with a minimum resolution of 45 μm. This resolution is satisfactory for many microfluidic applications. A major advantage of this technique is its low cost, both in terms of capital investment and on-going expenditures. Furthermore, by using a motorized stage, we can quickly fabricate a design on demand, eliminating the need, cost, and lead-time required for a photomask. With the addition of an electronic shutter, complicated separate structures can be imaged and utilized to make a wide range of microfluidic devices. We demonstrate this technique using dry-film resist due to its low cost, ease of application, and less stringent safety protocols.

  13. E-BEST Principles: Infusing Technology into the Writing Workshop

    ERIC Educational Resources Information Center

    Coskie, Tracy L.; Hornof, M. Michelle

    2013-01-01

    As teachers work to move their writing workshop into the 21st century and to help students meet new standards expectations, they are ramping up their use of technology. This article lays out a set of guiding principles (E-BEST) to help teachers make reflective choices, so that the digital technologies in their workshops will enhance and extend…

  14. Recent Direct Reaction Experimental Studies with Radioactive Tin Beams

    DOE PAGES

    Jones, K. L.; Ahn, S.; Allmond, J. M.; ...

    2015-01-01

    Direct reaction techniques are powerful tools to study the single-particle nature of nuclei. Performing direct reactions on short-lived nuclei requires radioactive ion beams produced either via fragmentation or the Isotope Separation OnLine (ISOL) method. Some of the most interesting regions to study with direct reactions are close to the magic numbers where changes in shell structure can be tracked. These changes can impact the final abundances of explosive nucleosynthesis. The structure of the chain of tin isotopes is strongly influenced by the Z = 50 proton shell closure, as well as the neutron shell closures lying in the neutron-rich, Nmore » = 82, and neutron-deficient, N = 50, regions. Here, we present two examples of direct reactions on exotic tin isotopes. The first uses a one-neutron transfer reaction and a low-energy reaccelerated ISOL beam to study states in Sn-131 from across the N = 82 shell closure. The second example utilizes a one-neutron knockout reaction on fragmentation beams of neutron-deficient Sn- 106,108Sn. In conclusion, In both cases, measurements of γ rays in coincidence with charged particles proved to be invaluable.« less

  15. Electron beam enhanced surface modification for making highly resolved structures

    DOEpatents

    Pitts, John R.

    1986-01-01

    A method for forming high resolution submicron structures on a substrate is provided by direct writing with a submicron electron beam in a partial pressure of a selected gas phase characterized by the ability to dissociate under the beam into a stable gaseous leaving group and a reactant fragment that combines with the substrate material under beam energy to form at least a surface compound. Variations of the method provide semiconductor device regions on doped silicon substrates, interconnect lines between active sites, three dimensional electronic chip structures, electron beam and optical read mass storage devices that may include color differentiated data areas, and resist areas for use with selective etching techniques.

  16. Electron beam enhanced surface modification for making highly resolved structures

    DOEpatents

    Pitts, J.R.

    1984-10-10

    A method for forming high resolution submicron structures on a substrate is provided by direct writing with a submicron electron beam in a partial pressure of a selected gas phase characterized by the ability to dissociate under the beam into a stable gaseous leaving group and a reactant fragment that combines with the substrate material under beam energy to form at least a surface compound. Variations of the method provide semiconductor device regions on doped silicon substrates, interconnect lines between active sites, three dimensional electronic chip structures, electron beam and optical read mass storage devices that may include color differentiated data areas, and resist areas for use with selective etching techniques.

  17. Fully Packaged Carbon Nanotube Supercapacitors by Direct Ink Writing on Flexible Substrates.

    PubMed

    Chen, Bolin; Jiang, Yizhou; Tang, Xiaohui; Pan, Yayue; Hu, Shan

    2017-08-30

    The ability to print fully packaged integrated energy storage components (e.g., supercapacitors) is of critical importance for practical applications of printed electronics. Due to the limited variety of printable materials, most studies on printed supercapacitors focus on printing the electrode materials but rarely the full-packaged cell. This work presents for the first time the printing of a fully packaged single-wall carbon nanotube-based supercapacitor with direct ink writing (DIW) technology. Enabled by the developed ink formula, DIW setup, and cell architecture, the whole printing process is mask free, transfer free, and alignment free with precise and repeatable control on the spatial distribution of all constituent materials. Studies on cell design show that a wider electrode pattern and narrower gap distance between electrodes lead to higher specific capacitance. The as-printed fully packaged supercapacitors have energy and power performances that are among the best in recently reported planar carbon-based supercapacitors that are only partially printed or nonprinted.

  18. Using Facebook-Based e-Portfolio in ESL Writing Classrooms: Impact and Challenges

    ERIC Educational Resources Information Center

    Barrot, Jessie S.

    2016-01-01

    In English as a second language (ESL) writing pedagogy, much attention has been given to electronic portfolio (e-portfolio) assessment via social networking sites. However, little is known about how Facebook can be used as an e-portfolio platform. Hence, this paper describes the impact of Facebook-based e-portfolio on ESL students' writing…

  19. In-chip direct laser writing of a centimeter-scale acoustic micromixer

    NASA Astrophysics Data System (ADS)

    van't Oever, Jorick; Spannenburg, Niels; Offerhaus, Herman; van den Ende, Dirk; Herek, Jennifer; Mugele, Frieder

    2015-04-01

    A centimeter-scale micromixer was fabricated by two-photon polymerization inside a closed microchannel using direct laser writing. The structure consists of a repeating pattern of 20 μm×20 μm×155 μm acrylate pillars and extends over 1.2 cm. Using external ultrasonic actuation, the micropillars locally induce streaming with flow speeds of 30 μm s-1. The fabrication method allows for large flexibility and more complex designs.

  20. Differential correction system of laser beam directional dithering based on symmetrical beamsplitter

    NASA Astrophysics Data System (ADS)

    Hongwei, Yang; Wei, Tao; Xiaoqia, Yin; Hui, Zhao

    2018-02-01

    This paper proposes a differential correction system with a differential optical path and a symmetrical beamsplitter for correcting the directional dithering of the laser beams. This system can split a collimated laser beam into two laser beams with equal and opposite movements. Thus, the positional averages of the two split laser beams remain constant irrespective of the dithering angle. The symmetrical beamsplitter designed based on transfer matrix principle is to balance the optical paths and irradiances of the two laser beams. Experimental results show that the directional dithering is reduced to less than one-pixel value. Finally, two examples show that this system can be widely used in one-dimensional measurement.

  1. Preferential acceleration and magnetic field enhancement in plasmas with e{sup +}/e{sup −} beam injection

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Huynh, Cong Tuan; Ryu, Chang-Mo, E-mail: ryu201@postech.ac.kr

    A theoretical model of current filaments predicting preferential acceleration/deceleration and magnetic field enhancement in a plasma with e{sup +}/e{sup −} beam injection is presented. When the e{sup +}/e{sup −} beams are injected into a plasma, current filaments are formed. The beam particles are accelerated or decelerated depending on the types of current filaments in which they are trapped. It is found that in the electron/ion ambient plasma, the e{sup +} beam particles are preferentially accelerated, while the e{sup −} beam particles are preferentially decelerated. The preferential particle acceleration/deceleration is absent when the ambient plasma is the e{sup +}/e{sup −} plasma.more » We also find that the particle momentum decrease can explain the magnetic field increase during the development of Weibel/filamentation instability. Supporting simulation results of particle acceleration/deceleration and magnetic field enhancement are presented. Our findings can be applied to a wide range of astrophysical plasmas with the e{sup +}/e{sup −} beam injection.« less

  2. Bessel-Gauss beams as rigorous solutions of the Helmholtz equation.

    PubMed

    April, Alexandre

    2011-10-01

    The study of the nonparaxial propagation of optical beams has received considerable attention. In particular, the so-called complex-source/sink model can be used to describe strongly focused beams near the beam waist, but this method has not yet been applied to the Bessel-Gauss (BG) beam. In this paper, the complex-source/sink solution for the nonparaxial BG beam is expressed as a superposition of nonparaxial elegant Laguerre-Gaussian beams. This provides a direct way to write the explicit expression for a tightly focused BG beam that is an exact solution of the Helmholtz equation. It reduces correctly to the paraxial BG beam, the nonparaxial Gaussian beam, and the Bessel beam in the appropriate limits. The analytical expression can be used to calculate the field of a BG beam near its waist, and it may be useful in investigating the features of BG beams under tight focusing conditions.

  3. Analysis of e-beam impact on the resist stack in e-beam lithography process

    NASA Astrophysics Data System (ADS)

    Indykeiwicz, K.; Paszkiewicz, B.

    2013-07-01

    Paper presents research on the sub-micron gate, AlGaN /GaN HEMT type transistors, fabrication by e-beam lithography and lift-off technique. The impact of the electron beam on the resists layer and the substrate was analyzed by MC method in Casino v3.2 software. The influence of technological process parameters on the metal structures resolution and quality for paths 100 nm, 300 nm and 500 nm wide and 20 μm long was studied. Qualitative simulation correspondences to the conducted experiments were obtained.

  4. Direct laser writing of topographic features in semiconductor-doped glass

    NASA Astrophysics Data System (ADS)

    Smuk, Andrei Y.

    2000-11-01

    Patterning of glass and silica surfaces is important for a number of modern technologies, which depend on these materials for manufacturing of both final products, such as optics, and prototypes for casting and molding. Among the fields that require glass processing on microscopic scale are optics (lenses and arrays, diffractive/holographic elements, waveguides), biotechnology (capillary electrophoresis chips and biochemical libraries) and magnetic media (landing zones for magnetic heads). Currently, standard non-laser techniques for glass surface patterning require complex multi-step processes, such as photolithography. Work carried out at Brown has shown that semiconductor- doped glasses (SDG) allow a single-step patterning process using low power continuous-wave visible lasers. SDG are composite materials, which consist of semiconductor crystallites embedded into glass matrix. In this study, borosilicate glasses doped with CdSxSe1-x nanocrystals were used. Exposure of these materials to a low-power above- the-energy gap laser beam leads to local softening, and subsequent expansion and rapid solidification of the exposed volume, resulting in a nearly spherical topographic feature on the surface. The effects of the incident power, beam configuration, and the exposure time on the formation and final parameters of the microlens were studied. Based on the numerical simulation of the temperature distribution produced by the absorbed Gaussian beam, and the ideas of viscous flow at the temperatures around the glass transition point, a model of lens formation is suggested. The light intensity distribution in the near-field of the growing lens is shown to have a significant effect on the final lens height. Fabrication of dense arrays of microlenses is shown, and the thermal and structural interactions between the neighboring lenses were also studied. Two-dimensional continuous-profile topographic features are achieved by exposure of the moving substrates to the writing

  5. Direct-write maskless lithography using patterned oxidation of Si-substrate Induced by femtosecond laser pulses

    NASA Astrophysics Data System (ADS)

    Kiani, Amirkianoosh; Venkatakrishnan, Krishnan; Tan, Bo

    2013-03-01

    In this study we report a new method for direct-write maskless lithography using oxidized silicon layer induced by high repetition (MHz) ultrafast (femtosecond) laser pulses under ambient condition. The induced thin layer of predetermined pattern can act as an etch stop during etching process in alkaline etchants such as KOH. The proposed method can be leading to promising solutions for direct-write maskless lithography technique since the proposed method offers a higher degree of flexibility and reduced time and cost of fabrication which makes it particularly appropriate for rapid prototyping and custom scale manufacturing. A Scanning Electron Microscope (SEM), Micro-Raman, Energy Dispersive X-ray (EDX), optical microscope and X-ray diffraction spectroscopy (XRD) were used to evaluate the quality of oxidized layer induced by laser pulses.

  6. Direct laser writing for micro-optical devices using a negative photoresist.

    PubMed

    Tsutsumi, Naoto; Hirota, Junichi; Kinashi, Kenji; Sakai, Wataru

    2017-12-11

    Direct laser writing (DLW) via two-photon absorption (TPA) has attracted much attention as a new microfabrication technique because it can be applied to fabricate complex, three-dimensional (3D) microstructures. In this study, 3D microstructures and micro-optical devices of micro-lens array on the micrometer scale are fabricated using the negative photoresist SU-8 through TPA with a femtosecond laser pulse under a microscope. The effects of the irradiation conditions on linewidths, such as laser power, writing speed, and writing cycles (a number of times a line is overwritten), are investigated before the fabrication of the 3D microstructures. Various microstructures such as woodpiles, hemisphere and microstructures, 3D micro-lens and micro-lens array for micro-optical devices are fabricated. The shape of the micro-lens is evaluated using the shape analysis mode of a laser microscope to calculate the working distance of the fabricated micro-lenses. The calculated working distance corresponds well to the experimentally measured value. The focusing performance of the fabricated micro-lens is confirmed by the TPA fluorescence of an isopropyl thioxanthone (ITX) ethanol solution excited by a Ti:sapphire femtosecond laser at 800 nm. Micro-lens array (assembled 9 micro-lenses) are fabricated. Nine independent woodpile structures are simultaneously manufactured by DLW via TPA to confirm the multi-focusing ability using the fabricated micro-lens array.

  7. Flexible carbon micro-supercapacitors prepared by direct cw-laser writing

    NASA Astrophysics Data System (ADS)

    Cai, Jinguang; Watanabe, Akira

    2016-03-01

    Micro-/nano-scale power supply units with high energy and high power densities are critical components for the development of compact miniaturized portable electronic devices. Supercapacitors have attracted many research attentions due to their high power density, robust cycle performance, pollution-free operation, and maintenance-free features. Besides, the properties of small size, light weight, and flexibility are also required. On-chip microsupercapacitors (MSCs) have the potential acting as power supply units in portable devices, due to their simplified packaging processes and compatibility to the integrated circuits. However, the fabrication methods and materials should be cost-effective, scalable, and compatible to current electronic industry. Carbon materials own high specific surface areas, electrochemical stability, and high electrical conductivity, which are critical parameters for high-power supercapacitors. Moreover, the high mechanical tolerance makes them good candidates for flexible wearable devices. Therefore, MSCs based on carbon materials would satisfy the requirements of portable electronics. In this work, we demonstrated the fabrication of carbon MSCs by laser direct writing on commercial polyimide sheets in Ar with lowcost semiconductor cw-laser with a wavelength of 405nm. The obtained structures are macro-nanostructures comprising graphitized and amorphous carbon with relatively smooth surfaces and low resistance, in compared with the structures obtained by laser writing in air. As-prepared micro-supercapacitors show a high capacitance of about 14.9 mF/cm2 at a scanning rate of 10 mV/s, which is comparable to the reported highest capacitance of carbon-based supercapacitors fabricated by pulse-laser writing.

  8. Hierarchical Co-Assembly Enhanced Direct Ink Writing.

    PubMed

    Li, Longyu; Zhang, Pengfei; Zhang, Zhiyun; Lin, Qianming; Wu, Yuyang; Cheng, Alexander; Lin, Yunxiao; Thompson, Christina M; Smaldone, Ronald A; Ke, Chenfeng

    2018-04-23

    Integrating intelligent molecular systems into 3D printing materials and transforming their molecular functions to the macroscale with controlled superstructures will unleash great potential for the development of smart materials. Compared to macromolecular 3D printing materials, self-assembled small-molecule-based 3D printing materials are very rare owing to the difficulties of facilitating 3D printability as well as preserving their molecular functions macroscopically. Herein, we report a general approach for the integration of functional small molecules into 3D printing materials for direct ink writing through the introduction of a supramolecular template. A variety of inorganic and organic small-molecule-based inks were 3D-printed, and their superstructures were refined by post-printing hierarchical co-assembly. Through spatial and temporal control of individual molecular events from the nano- to the macroscale, fine-tuned macroscale features were successfully installed in the monoliths. © 2018 Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim.

  9. Did you seek assistance for writing your advance directive? A qualitative study.

    PubMed

    Becker, Matthias; Jaspers, Birgit; King, Claudius; Radbruch, Lukas; Voltz, Raymond; Nauck, Friedemann

    2010-11-01

    the completion of an advanced directive is paired with a high degree of self-responsibility of the signatory. It requires anticipation of probably complex medical situations. In the literature, the family physician is often seen as the most important person for advice when writing an advance directive. But little is known about whether or not patients want to involve medical advisors and to what extent physicians are willing to give advice. The aim of this study was to analyse whether or not individuals approached advisors for the completion of their advance directive, whom they chose and which reasons were given for seeking or foregoing assistance. semi-structured interviews with healthy individuals, chronically ill individuals and patients in palliative care including questions associated with advice for completing an advance directive (8/2008-7/2009). age 55-70 years and advance directive ≥ 3 months old. The interviews were fully transcribed according to standard transcription rules and analysed applying an inductive category development. interviews were conducted with 53 probands (healthy n = 20, chronically ill n = 17, palliative care patients n = 16); 18 probands were male. Mean age was 63.2 ± 4.4 years (range 55-70 years). Professional advice was sought by 12 probands (physician = 2, nurse = 1, lawyer/notary = 8, self-employed advisor = 1), another 8 probands included family members. In 17 cases, the physician knew the proband's advance directive, 36 probands never told their doctor about its existence. Categories of reasons for seeking or foregoing advice were trust/lack of trust, autonomy, rejection and financial considerations. information about the medical implications concerning patient preferences for end-of-life care seems not to be the main focus of interest when individuals write an advance directive. Autonomy and trust into notarially certified documents seem to be more important matters. If family physicians want to have a role in their

  10. Quasi-Airy beams along tunable propagation trajectories and directions.

    PubMed

    Qian, Yixian; Zhang, Site

    2016-05-02

    We present a theoretical and experimental exhibit that accelerates quasi-Airy beams propagating along arbitrarily appointed parabolic trajectories and directions in free space. We also demonstrate that such quasi-Airy beams can be generated by a tunable phase pattern, where two disturbance factors are introduced. The topological structures of quasi-Airy beams are readily manipulated with tunable phase patterns. Quasi-Airy beams still possess the characteristics of non-diffraction, self-healing to some extent, although they are not the solutions for paraxial wave equation. The experiments show the results are consistent with theoretical predictions. It is believed that the property of propagation along arbitrarily desired parabolic trajectories will provide a broad application in trapping atom and living cell manipulation.

  11. High Intensity e-beam Diode Development for Flash X-ray Radiography

    NASA Astrophysics Data System (ADS)

    Oliver, Bryan

    2007-11-01

    A variety of electron beam diodes are being used and developed for the purpose of creating high-brightness, flash x-ray radiography sources. In these diodes, high energy (multi MeV), high current (multi kA), small spot (multi mm) electron beams are generated and stopped in high atomic number anode-targets (typically Ta or W). Beam stopping in the target creates copious amounts of bremsstrahlung radiation. In addition, beam heating of the target liberates material, either in the form of low density (˜10^12-10^14 cm-3) ion emission or higher density (> 10^15 cm-3) plasma. In all cases, beam/target collective effects dominate the diode and beam characteristics, affecting the radiation properties (dose and spot-size). Recent experiments at Sandia National Laboratories have demonstrated diodes capable of producing > 350 rad@m with 1.7mm FWHM x-ray source distributions. A review of our present theoretical understanding of the diode (s) operation and our experimental and simulation methods to investigate them will be presented. Emphasis will be given to e- beam sources used on state-of-the-art Inductive Voltage Adder (IVA) pulsed-power accelerators. In particular, the physics of magnetically pinched diodes (e.g. the rod-pinch [1,2]), gas-cell focusing diodes [3] and the magnetically immersed [4] diode will be discussed. Various proposed methods to optimize the x-ray intensity and the direction of future diode research will be discussed. [1] G. Cooperstein, et al., Phys. Plasmas 8, 4618 (2001).[2] B.V. Oliver et al., Phys. Plasmas 11, 3976 (2004)[3] B.V. Oliver, et al., IEEE Trans. on Plasma Science 33, 704 (2005).[4] M.G. Mazarakis, et al., Appl. Phys. Lett. 70, 832 (1997)

  12. Higher-resolution selective metallization on alumina substrate by laser direct writing and electroless plating

    NASA Astrophysics Data System (ADS)

    Lv, Ming; Liu, Jianguo; Wang, Suhuan; Ai, Jun; Zeng, Xiaoyan

    2016-03-01

    How to fabricate conductive patterns on ceramic boards with higher resolution is a challenge in the past years. The fabrication of copper patterns on alumina substrate by laser direct writing and electroless copper plating is a low cost and high efficiency method. Nevertheless, the lower resolution limits its further industrial applications in many fields. In this report, the mechanisms of laser direct writing and electroless copper plating were studied. The results indicated that as the decomposed products of precursor PdCl2 have different chemical states respectively in laser-irradiated zone (LIZ) and laser-affected zone (LAZ). This phenomenon was utilized and a special chemical cleaning method with aqua regia solution was taken to selectively remove the metallic Pd in LAZ, while kept the PdO in LIZ as the only active seeds. As a result, the resolution of subsequent copper patterns was improved significantly. This technique has a great significance to develop the microelectronics devices.

  13. Gigahertz Electromagnetic Structures via Direct Ink Writing for Radio-Frequency Oscillator and Transmitter Applications.

    PubMed

    Zhou, Nanjia; Liu, Chengye; Lewis, Jennifer A; Ham, Donhee

    2017-04-01

    Radio-frequency (RF) electronics, which combine passive electromagnetic devices and active transistors to generate and process gigahertz (GHz) signals, provide a critical basis of ever-pervasive wireless networks. While transistors are best realized by top-down fabrication, relatively larger electromagnetic passives are within the reach of printing techniques. Here, direct writing of viscoelastic silver-nanoparticle inks is used to produce a broad array of RF passives operating up to 45 GHz. These include lumped devices such as inductors and capacitors, and wave-based devices such as transmission lines, their resonant networks, and antennas. Moreover, to demonstrate the utility of these printed RF passive structures in active RF electronic circuits, they are combined with discrete transistors to fabricate GHz self-sustained oscillators and synchronized oscillator arrays that provide RF references, and wireless transmitters clocked by the oscillators. This work demonstrates the synergy of direct ink writing and RF electronics for wireless applications. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  14. Direct-write graded index materials realized in protein hydrogels

    DOE PAGES

    Kaehr, Bryan; Scrymgeour, David A.

    2016-09-20

    Here, the ability to create optical materials with arbitrary index distributions would prove transformative for optics design and applications. However, current fabrication techniques for graded index (GRIN) materials rely on diffusion profiles and therefore are unable to realize arbitrary distribution GRIN design. Here, we demonstrate the laser direct writing of graded index structures in protein-based hydrogels using multiphoton lithography. We show index changes spanning a range of 10 –2, which is comparable with laser densified glass and polymer systems. Further, we demonstrate the conversion of these written density variation structures into SiO 2, opening up the possibility of transforming GRINmore » hydrogels to a wide range of material systems.« less

  15. Direct-write graded index materials realized in protein hydrogels

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kaehr, Bryan; Scrymgeour, David A.

    Here, the ability to create optical materials with arbitrary index distributions would prove transformative for optics design and applications. However, current fabrication techniques for graded index (GRIN) materials rely on diffusion profiles and therefore are unable to realize arbitrary distribution GRIN design. Here, we demonstrate the laser direct writing of graded index structures in protein-based hydrogels using multiphoton lithography. We show index changes spanning a range of 10 –2, which is comparable with laser densified glass and polymer systems. Further, we demonstrate the conversion of these written density variation structures into SiO 2, opening up the possibility of transforming GRINmore » hydrogels to a wide range of material systems.« less

  16. Real-time capture of student reasoning while writing

    NASA Astrophysics Data System (ADS)

    Franklin, Scott V.; Hermsen, Lisa M.

    2014-12-01

    We present a new approach to investigating student reasoning while writing: real-time capture of the dynamics of the writing process. Key-capture or video software is used to record the entire writing episode, including all pauses, deletions, insertions, and revisions. A succinct shorthand, "S notation," is used to highlight significant moments in the episode that may be indicative of shifts in understanding and can be used in followup interviews for triangulation. The methodology allows one to test the widespread belief that writing is a valuable pedagogical technique, which currently has little directly supportive research. To demonstrate the method, we present a case study of a writing episode. The data reveal an evolution of expression and articulation, discontinuous in both time and space. Distinct shifts in the tone and topic that follow long pauses and revisions are not restricted to the most recently written text. Real-time writing analysis, with its study of the temporal breaks and revision locations, can serve as a complementary tool to more traditional research methods (e.g., speak-aloud interviews) into student reasoning during the writing process.

  17. Note: Laser beam scanning using a ferroelectric liquid crystal spatial light modulator

    NASA Astrophysics Data System (ADS)

    Das, Abhijit; Boruah, Bosanta R.

    2014-04-01

    In this work we describe laser beam scanning using a ferroelectric liquid crystal spatial light modulator. Commercially available ferroelectric liquid crystal spatial light modulators are capable of displaying 85 colored images in 1 s using a time dithering technique. Each colored image, in fact, comprises 24 single bit (black and white) images displayed sequentially. We have used each single bit image to write a binary phase hologram. For a collimated laser beam incident on the hologram, one of the diffracted beams can be made to travel along a user defined direction. We have constructed a beam scanner employing the above arrangement and demonstrated its use to scan a single laser beam in a laser scanning optical sectioning microscope setup.

  18. Highly conductive and pure gold nanostructures grown by electron beam induced deposition

    PubMed Central

    Shawrav, Mostafa M.; Taus, Philipp; Wanzenboeck, Heinz D.; Schinnerl, M.; Stöger-Pollach, M.; Schwarz, S.; Steiger-Thirsfeld, A.; Bertagnolli, Emmerich

    2016-01-01

    This work introduces an additive direct-write nanofabrication technique for producing extremely conductive gold nanostructures from a commercial metalorganic precursor. Gold content of 91 atomic % (at. %) was achieved by using water as an oxidative enhancer during direct-write deposition. A model was developed based on the deposition rate and the chemical composition, and it explains the surface processes that lead to the increases in gold purity and deposition yield. Co-injection of an oxidative enhancer enabled Focused Electron Beam Induced Deposition (FEBID)—a maskless, resistless deposition method for three dimensional (3D) nanostructures—to directly yield pure gold in a single process step, without post-deposition purification. Gold nanowires displayed resistivity down to 8.8 μΩ cm. This is the highest conductivity achieved so far from FEBID and it opens the possibility of applications in nanoelectronics, such as direct-write contacts to nanomaterials. The increased gold deposition yield and the ultralow carbon level will facilitate future applications such as the fabrication of 3D nanostructures in nanoplasmonics and biomolecule immobilization. PMID:27666531

  19. Direct-Write Laser Grayscale Lithography for Multilayer Lead Zirconate Titanate Thin Films.

    PubMed

    Benoit, Robert R; Jordan, Delaney M; Smith, Gabriel L; Polcawich, Ronald G; Bedair, Sarah S; Potrepka, Daniel M

    2018-05-01

    Direct-write laser grayscale lithography has been used to facilitate a single-step patterning technique for multilayer lead zirconate titanate (PZT) thin films. A 2.55- -thick photoresist was patterned with a direct-write laser. The intensity of the laser was varied to create both tiered and sloped structures that are subsequently transferred into multilayer PZT(52/48) stacks using a single Ar ion-mill etch. Traditional processing requires a separate photolithography step and an ion mill etch for each layer of the substrate, which can be costly and time consuming. The novel process allows access to buried electrode layers in the multilayer stack in a single photolithography step. The grayscale process was demonstrated on three 150-mm diameter Si substrates configured with a 0.5- -thick SiO 2 elastic layer, a base electrode of Pt/TiO 2 , and a stack of four PZT(52/48) thin films of either 0.25- thickness per layer or 0.50- thickness per layer, and using either Pt or IrO 2 electrodes above and below each layer. Stacked capacitor structures were patterned and results will be reported on the ferroelectric and electromechanical properties using various wiring configurations and compared to comparable single layer PZT configurations.

  20. Writing Week-Journals to Improve the Writing Quality of Fourth-Graders' Compositions

    ERIC Educational Resources Information Center

    Rosário, Pedro; Högemann, Julia; Núñez, José Carlos; Vallejo, Guillermo; Cunha, Jennifer; Oliveira, Vera; Fuentes, Sonia; Rodrigues, Celestino

    2017-01-01

    Students' writing problems are a global educational concern and is in need of particular attention. This study aims to examine the impact of providing extra writing opportunities (i.e., writing journals) on the quality of writing compositions. A longitudinal cluster-randomized controlled design using a multilevel modeling analysis with 182 fourth…

  1. Networked Airborne Communications Using Adaptive Multi Beam Directional Links

    DTIC Science & Technology

    2016-03-05

    Networked Airborne Communications Using Adaptive Multi-Beam Directional Links R. Bruce MacLeod Member, IEEE, and Adam Margetts Member, IEEE MIT...provide new techniques for increasing throughput in airborne adaptive directional net- works. By adaptive directional linking, we mean systems that can...techniques can dramatically increase the capacity in airborne networks. Advances in digital array technology are beginning to put these gains within reach

  2. Flexible metal patterning in glass microfluidic structures using femtosecond laser direct-write ablation followed by electroless plating

    NASA Astrophysics Data System (ADS)

    Xu, Jian; Midorikawa, Katsumi; Sugioka, Koji

    2014-03-01

    A simple and flexible technique for integrating metal micropatterns into glass microfluidic structures based on threedimensional femtosecond laser microfabrication is presented. Femtosecond laser direct writing followed by thermal treatment and successive chemical etching allows us to fabricate three-dimensional microfluidic structures such as microchannels and microreservoirs inside photosensitive glass. Then, the femtosecond laser direct-write ablation followed by electroless metal plating enables space-selective deposition of patterned metal films on desired locations of internal walls of the fabricated microfluidic structures. The developed technique is applied to integrate a metal microheater into a glass microchannel to control the temperature of liquid samples in the channel, which can be used as a microreactor for enhancement of chemical reactions.

  3. Experimental observation of ion beams in the Madison Helicon eXperiment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wiebold, Matt; Sung, Yung-Ta; Scharer, John E.

    2011-06-15

    Argon ion beams up to E{sub b} = 165 eV at P{sub rf} = 500 W are observed in the Madison Helicon eXperiment (MadHeX) helicon source with a magnetic nozzle. A two-grid retarding potential analyzer (RPA) is used to measure the ion energy distribution, and emissive and rf-filtered Langmuir probes measure the plasma potential, electron density, and temperature. The supersonic ion beam (M = v{sub i}/c{sub s} up to 5) forms over tens of Debye lengths and extends spatially for a few ion-neutral charge-exchange mean free paths. The parametric variation of the ion beam energy is explored, including flow rate,more » rf power, and magnetic field dependence. The beam energy is equal to the difference in plasma potentials in the Pyrex chamber and the grounded expansion chamber. The plasma potential in the expansion chamber remains near the predicted eV{sub p} {approx} 5kT{sub e} for argon, but the upstream potential is much higher, likely due to wall charging, resulting in accelerated ion beam energies E{sub b} = e[V{sub beam} - V{sub plasma}] > 10kT{sub e}.« less

  4. PREVAIL: IBM's e-beam technology for next generation lithography

    NASA Astrophysics Data System (ADS)

    Pfeiffer, Hans C.

    2000-07-01

    PREVAIL - Projection Reduction Exposure with Variable Axis Immersion Lenses represents the high throughput e-beam projection approach to NGL which IBM is pursuing in cooperation with Nikon Corporation as alliance partner. This paper discusses the challenges and accomplishments of the PREVAIL project. The supreme challenge facing all e-beam lithography approaches has been and still is throughput. Since the throughput of e-beam projection systems is severely limited by the available optical field size, the key to success is the ability to overcome this limitation. The PREVAIL technique overcomes field-limiting off-axis aberrations through the use of variable axis lenses, which electronically shift the optical axis simultaneously with the deflected beam so that the beam effectively remains on axis. The resist images obtained with the Proof-of-Concept (POC) system demonstrate that PREVAIL effectively eliminates off- axis aberrations affecting both resolution and placement accuracy of pixels. As part of the POC system a high emittance gun has been developed to provide uniform illumination of the patterned subfield and to fill the large numerical aperture projection optics designed to significantly reduce beam blur caused by Coulomb interaction.

  5. Improving Student Writing through E-Mail Mentoring

    ERIC Educational Resources Information Center

    Burns, Mary

    2006-01-01

    Computer technology has become an indispensable tool in writing. Those of us who have spent any time in schools can attest to the prevalence of word processing, concept mapping, Web editing, and electronic presentation software, all deployed, to a large extent, in the collective effort to enhance student writing. The degree to which such tools…

  6. Linguistic Feature Development Across Grades and Genre in Elementary Writing.

    PubMed

    Hall-Mills, Shannon; Apel, Kenn

    2015-07-01

    As children develop skills in writing across academic contexts, clinicians and educators need to have a fundamental understanding of typical writing development as well as valid and reliable assessment methods. The purpose of this study was to examine the progression of linguistic elements in school-age children's narrative and expository writing development. Narrative and expository writing samples produced by 89 children in Grades 2 through 4 were analyzed at the microstructure and macrostructure levels. Measures of receptive vocabulary, word-level reading, and reading comprehension were obtained. Exploratory factor analyses revealed 4 microstructure factors (e.g., productivity, grammatical complexity, grammatical accuracy, and lexical density) and 1 macrostructure factor (e.g., a combination of organization, text structure, and cohesion). Multivariate analyses of covariance with reading comprehension as a covariate showed that productivity and macrostructure were sensitive to grade-level and genre differences and that expository grammatical complexity was sensitive to grade-level differences. Findings are discussed in light of grade-level standards for narrative and expository writing and current practices in writing assessment. Multiple suggestions are offered for clinical and educational implications, and specific directions are provided for future research.

  7. Direct-write Bioprinting of Cell-laden Methacrylated Gelatin Hydrogels

    PubMed Central

    Bertassoni, Luiz E.; Cardoso, Juliana C.; Manoharan, Vijayan; Cristino, Ana L.; Bhise, Nupura S.; Araujo, Wesleyan A.; Zorlutuna, Pinar; Vrana, Nihal E.; Ghaemmaghami, Amir M.

    2014-01-01

    Fabrication of three dimensional (3D) organoids with controlled microarchitectures has been shown to enhance tissue functionality. Bioprinting can be used to precisely position cells and cell-laden materials to generate controlled tissue architecture. Therefore, it represents an exciting alternative for organ fabrication. Despite the rapid progress in the field, the development of printing processes that can be used to fabricate macroscale tissue constructs from ECM-derived hydrogels has remained a challenge. Here we report a strategy for bioprinting of photolabile cell-laden methacrylated gelatin (GelMA) hydrogels. We bioprinted cell-laden GelMA at concentrations ranging from 7 to 15% with varying cell densities and found a direct correlation between printability and the hydrogel mechanical properties. Furthermore, encapsulated HepG2 cells preserved cell viability for at least 8 days following the bioprinting process. In summary, this work presents a strategy for direct-write bioprinting of a cell-laden photolabile ECM-derived hydrogel, which may find widespread application for tissue engineering, organ printing and the development of 3D drug discovery platforms. PMID:24695367

  8. Direct-write bioprinting of cell-laden methacrylated gelatin hydrogels.

    PubMed

    Bertassoni, Luiz E; Cardoso, Juliana C; Manoharan, Vijayan; Cristino, Ana L; Bhise, Nupura S; Araujo, Wesleyan A; Zorlutuna, Pinar; Vrana, Nihal E; Ghaemmaghami, Amir M; Dokmeci, Mehmet R; Khademhosseini, Ali

    2014-06-01

    Fabrication of three dimensional (3D) organoids with controlled microarchitectures has been shown to enhance tissue functionality. Bioprinting can be used to precisely position cells and cell-laden materials to generate controlled tissue architecture. Therefore, it represents an exciting alternative for organ fabrication. Despite the rapid progress in the field, the development of printing processes that can be used to fabricate macroscale tissue constructs from ECM-derived hydrogels has remained a challenge. Here we report a strategy for bioprinting of photolabile cell-laden methacrylated gelatin (GelMA) hydrogels. We bioprinted cell-laden GelMA at concentrations ranging from 7 to 15% with varying cell densities and found a direct correlation between printability and the hydrogel mechanical properties. Furthermore, encapsulated HepG2 cells preserved cell viability for at least eight days following the bioprinting process. In summary, this work presents a strategy for direct-write bioprinting of a cell-laden photolabile ECM-derived hydrogel, which may find widespread application for tissue engineering, organ printing and the development of 3D drug discovery platforms.

  9. Bloomington Writing Assessment 1977; Student Exercise, Teacher Directions, Scoring.

    ERIC Educational Resources Information Center

    Bloomington Public Schools, MN.

    This booklet contains the 14 exercises that are used in the Bloomington, Minnesota, school system's writing assessment program. Depending on their applicability, the exercises may be used to assess the writing performance of fourth-, eighth-, or eleventh-grade students. Thirteen of the exercises are from the National Assessment of Educational…

  10. Ted's Writing across the Curriculum E-BOOK.

    ERIC Educational Resources Information Center

    Panitz, Theodore

    The purpose of this paper is to provide a wide range of examples of writing across the curriculum (WAC) activities in order to encourage teachers to use writing in their classes regularly as a way of stimulating critical thinking in their students and providing variety in their teaching methods. The paper is presented in three sections. The first…

  11. Preparing High School Students for College-Level Writing: Using ePortfolio to Support a Successful Transition

    ERIC Educational Resources Information Center

    Acker, Stephen; Halasek, Kay

    2008-01-01

    Seniors submitted and revised essays within ePortfolios for evaluation by high school faculty and university first-year writing instructors. The project also examined differences between high school and college teachers' responses and effects on students' assumptions about the writing expected and valued in college. Results suggest techniques…

  12. Optical superimposed vortex beams generated by integrated holographic plates with blazed grating

    NASA Astrophysics Data System (ADS)

    Zhang, Xue-Dong; Su, Ya-Hui; Ni, Jin-Cheng; Wang, Zhong-Yu; Wang, Yu-Long; Wang, Chao-Wei; Ren, Fei-Fei; Zhang, Zhen; Fan, Hua; Zhang, Wei-Jie; Li, Guo-Qiang; Hu, Yan-Lei; Li, Jia-Wen; Wu, Dong; Chu, Jia-Ru

    2017-08-01

    In this paper, we demonstrate that the superposition of two vortex beams with controlled topological charges can be realized by integrating two holographic plates with blazed grating. First, the holographic plate with blazed grating was designed and fabricated by laser direct writing for generating well-separated vortex beam. Then, the relationship between the periods of blazed grating and the discrete angles of vortex beams was systemically investigated. Finally, through setting the discrete angle and different revolving direction of the holographic plates, the composite fork-shaped field was realized by the superposition of two vortex beams in a particular position. The topological charges of composite fork-shaped field (l = 1, 0, 3, and 4) depend on the topological charges of compositional vortex beams, which are well agreed with the theoretical simulation. The method opens up a wide range of opportunities and possibilities for applying in optical communication, optical manipulations, and photonic integrated circuits.

  13. UV waveguides light fabricated in fluoropolymer CYTOP by femtosecond laser direct writing.

    PubMed

    Hanada, Yasutaka; Sugioka, Koji; Midorikawa, Katsumi

    2010-01-18

    We have fabricated optical waveguides inside the UV-transparent polymer, CYTOP, by femtosecond laser direct writing for propagating UV light in biochip applications. Femtosecond laser irradiation is estimated to increase the refractive index of CYTOP by 1.7 x 10(-3) due to partial bond breaking in CYTOP. The waveguide in CYTOP has propagation losses of 0.49, 0.77, and 0.91 dB/cm at wavelengths of 632.8, 355, and 266 nm, respectively.

  14. A Conceptual Design of Omni-Directional Receiving Dual-Beam Laser Engine

    NASA Astrophysics Data System (ADS)

    Tang, Zhiping; Zhang, Qinghong

    2010-05-01

    The laser engine design is one of the key issues for laser propulsion technology. A concept of Omni-Directional Receiving Dual-Beam Laser Engine (ODLE) together with its configuration design is proposed in this paper. The ODLE is noted for its features as follows: First, the optical system is completely separated from the thrust system, the incident laser beams are reflected into the thrust chamber by the optics only twice, so the beam energy loss is small. Second, the optical system can be adjusted in all direction to track the incident laser beams, ensuring its wide applications in various kinds of launching trajectories. Third, the adoption of the dual-beam single-or double-engine configuration can reduce 50% of the power requirement for each laser, and a smooth laser relay can be carried out if needed during the launching process. The paper has proposed 2 launch plans into the LEO with the ODLE: the plane trajectory and the conic spiral trajectory. The simulated results indicate that the transmission distance of laser beams for the conic spiral trajectory is far less than that of the plane trajectory. As a result, it can reduce significantly the divergence and energy loss of laser beams, and is also of advantage for the measurement and control operation during the launch process.

  15. Measurement of νμ and νe Events in an Off-Axis Horn-Focused Neutrino Beam

    NASA Astrophysics Data System (ADS)

    Adamson, P.; Aguilar-Arevalo, A. A.; Anderson, C. E.; Bazarko, A. O.; Bishai, M.; Brice, S. J.; Brown, B. C.; Bugel, L.; Cao, J.; Choudhary, B. C.; Coney, L.; Conrad, J. M.; Cox, D. C.; Curioni, A.; Djurcic, Z.; Finley, D. A.; Fleming, B. T.; Ford, R.; Gallagher, H. R.; Garcia, F. G.; Garvey, G. T.; Green, C.; Green, J. A.; Harris, D.; Hart, T. L.; Hawker, E.; Hylen, J.; Imlay, R.; Johnson, R. A.; Karagiorgi, G.; Kasper, P.; Katori, T.; Kobilarcik, T.; Kopp, S.; Kourbanis, I.; Koutsoliotas, S.; Laird, E. M.; Linden, S. K.; Link, J. M.; Liu, Y.; Liu, Y.; Loiacono, L.; Louis, W. C.; Marchionni, A.; Mahn, K. B. M.; Marsh, W.; McGregor, G.; Messier, M. D.; Metcalf, W.; Meyers, P. D.; Mills, F.; Mills, G. B.; Monroe, J.; Moore, C. D.; Nelson, J. K.; Nelson, R. H.; Nguyen, V. T.; Nienaber, P.; Nowak, J. A.; Ouedraogo, S.; Patterson, R. B.; Pavlovic, Z.; Perevalov, D.; Polly, C. C.; Prebys, E.; Raaf, J. L.; Ray, H.; Roe, B. P.; Russell, A. D.; Sandberg, V.; Schirato, R.; Schmitz, D.; Shaevitz, M. H.; Shoemaker, F. C.; Smart, W.; Smith, D.; Sodeberg, M.; Sorel, M.; Spentzouris, P.; Stancu, I.; Stefanski, R. J.; Sung, M.; Tanaka, H. A.; Tayloe, R.; Tzanov, M.; Vahle, P.; van de Water, R.; Viren, B.; Wascko, M. O.; White, D. H.; Wilking, M. J.; Yang, H. J.; Yumiceva, F. X.; Zeller, G. P.; Zimmerman, E. D.; Zwaska, R.

    2009-05-01

    We report the first observation of off-axis neutrino interactions in the MiniBooNE detector from the NuMI beam line at Fermilab. The MiniBooNE detector is located 745 m from the NuMI production target, at 110 mrad angle (6.3°) with respect to the NuMI beam axis. Samples of charged-current quasielastic νμ and νe interactions are analyzed and found to be in agreement with expectation. This provides a direct verification of the expected pion and kaon contributions to the neutrino flux and validates the modeling of the NuMI off-axis beam.

  16. Vortex Airy beams directly generated via liquid crystal q-Airy-plates

    NASA Astrophysics Data System (ADS)

    Wei, Bing-Yan; Liu, Sheng; Chen, Peng; Qi, Shu-Xia; Zhang, Yi; Hu, Wei; Lu, Yan-Qing; Zhao, Jian-Lin

    2018-03-01

    Liquid crystal q-Airy-plates with director distributions integrated by q-plates and polarization Airy masks are proposed and demonstrated via the photoalignment technique. Single/dual vortex Airy beams of opposite topological charges and orthogonal circular polarizations are directly generated with polarization-controllable characteristic. The singular phase of the vortex part is verified by both astigmatic transformation and digital holography. The trajectory of vortex Airy beams is investigated, manifesting separate propagation dynamics of optical vortices and Airy beams. Meanwhile, Airy beams still keep their intrinsic transverse acceleration, self-healing, and nondiffraction features. This work provides a versatile candidate for generating high-quality vortex Airy beams.

  17. Low loss photonic components in high index bismuth borate glass by femtosecond laser direct writing.

    PubMed

    Yang, Weijia; Corbari, Costantino; Kazansky, Peter G; Sakaguchi, Koichi; Carvalho, Isabel C S

    2008-09-29

    Single mode, low loss waveguides were fabricated in high index bismuth borate glass by femtosecond laser direct writing. A specific set of writing parameters leading to waveguides perfectly mode matched to standard single-mode fibers at 1.55 microm with an overall insertion loss of approximately 1 dB and with propagation loss below 0.2 dB/cm was identified. Photonic components such as Y-splitters and directional couplers were also demonstrated. A close agreement between their performances and theoretical predictions based upon the characterization of the waveguide properties is shown. Finally, the nonlinear refractive index of the waveguides has been measured to be 6.6 x 10(-15) cm(2)/W by analyzing self-phase modulation of the propagating femtosecond laser pulse at the wavelength of 1.46 microm. Broadening of the transmitted light source as large as 500 nm was demonstrated through a waveguide with the length of 1.8 cm.

  18. Ultrafast Directional Beam Switching in Coupled VCSELs

    NASA Technical Reports Server (NTRS)

    Ning, Cun-Zheng; Goorjian, Peter

    2001-01-01

    We propose a new approach to performing ultrafast directional beam switching using two coupled Vertical-Cavity Surface-Emitting Lasers (VCSELs). The proposed strategy is demonstrated for two VCSELs of 5.6 microns in diameter placed about 1 micron apart from the edges, showing a switching speed of 42 GHz with a maximum far-field angle span of about 10 degrees.

  19. The role of research-article writing motivation and self-regulatory strategies in explaining research-article abstract writing ability.

    PubMed

    Lin, Ming-Chia; Cheng, Yuh-Show; Lin, Sieh-Hwa; Hsieh, Pei-Jung

    2015-04-01

    The purpose of the study was to investigate the effects of research-article writing motivation and use of self-regulatory writing strategies in explaining second language (L2) research-article abstract writing ability, alongside the L2 literacy effect. Four measures were administered: a L2 literacy test, a research abstract performance assessment, and inventories of writing motivation and strategy. Participants were L2 graduate students in Taiwan (N=185; M age=25.8 yr., SD=4.5, range=22-53). Results of structural equation modeling showed a direct effect of motivation on research-article writing ability, but no direct effect of strategy or indirect effect of motivation via strategy on research-article writing ability, with L2 literacy controlled. The findings suggest research-article writing instruction should address writing motivation, besides L2 literacy.

  20. Holographic memory using beam steering

    NASA Technical Reports Server (NTRS)

    Chao, Tien-Hsin (Inventor); Hanan, Jay C. (Inventor); Reyes, George F. (Inventor); Zhou, Hanying (Inventor)

    2007-01-01

    A method, apparatus, and system provide the ability for storing holograms at high speed. A single laser diode emits a collimated laser beam to both write to and read from a photorefractice crystal. One or more liquid crystal beam steering spatial light modulators (BSSLMs) steer a reference beam, split from the collimated laser beam, at high speed to the photorefractive crystal.

  1. Geometrical correction of the e-beam proximity effect for raster scan systems

    NASA Astrophysics Data System (ADS)

    Belic, Nikola; Eisenmann, Hans; Hartmann, Hans; Waas, Thomas

    1999-06-01

    Increasing demands on pattern fidelity and CD accuracy in e- beam lithography require a correction of the e-beam proximity effect. The new needs are mainly coming from OPC at mask level and x-ray lithography. The e-beam proximity limits the achievable resolution and affects neighboring structures causing under- or over-exposion depending on the local pattern densities and process settings. Methods to compensate for this unequilibrated does distribution usually use a dose modulation or multiple passes. In general raster scan systems are not able to apply variable doses in order to compensate for the proximity effect. For system of this kind a geometrical modulation of the original pattern offers a solution for compensation of line edge deviations due to the proximity effect. In this paper a new method for the fast correction of the e-beam proximity effect via geometrical pattern optimization is described. The method consists of two steps. In a first step the pattern dependent dose distribution caused by back scattering is calculated by convolution of the pattern with the long range part of the proximity function. The restriction to the long range part result in a quadratic sped gain in computing time for the transformation. The influence of the short range part coming from forward scattering is not pattern dependent and can therefore be determined separately in a second step. The second calculation yields the dose curve at the border of a written structure. The finite gradient of this curve leads to an edge displacement depending on the amount of underground dosage at the observed position which was previously determined in the pattern dependent step. This unintended edge displacement is corrected by splitting the line into segments and shifting them by multiples of the writers address grid to the opposite direction.

  2. Beam shaping of laser diode radiation by waveguides with arbitrary cladding geometry written with fs-laser radiation.

    PubMed

    Beckmann, Dennis; Schnitzler, Daniel; Schaefer, Dagmar; Gottmann, Jens; Kelbassa, Ingomar

    2011-12-05

    Waveguides with arbitrary cross sections are written in the volume of Al(2)O(3)-crystals using tightly focused femtosecond laser radiation. Utilizing a scanning system with large numerical aperture, complex cladding geometries are realized with a precision around 0.5 µm and a scanning speed up to 100 mm/s. Individual beam and mode shaping of laser diode radiation is demonstrated by varying the design of the waveguide cladding. The influence of the writing parameters on the waveguide properties are investigated resulting in a numerical aperture of the waveguides in the range of 0.1. This direct laser writing technique enables optical devices which could possibly replace bulky beam shaping setups with an integrated solution.

  3. Direct printing of micro/nanostructures by femtosecond laser excitation of nanocrystals

    NASA Astrophysics Data System (ADS)

    Shou, Wan; Pan, Heng

    2017-02-01

    Direct writing using single or multiple energized beams (e.g. laser, ion or electron beams) provides high feature resolution (<1μm) compared with other solution-based printing methods (e.g. inkjet printing). There have been extensive researches on micro/nano additive manufacturing methods employing laser (or optical) and ion/electron beams. Many of these processes utilize specially designed photosensitive materials consisting of additives and effective components. Due to the presence of additive (such as polymer and binders), the effective components are relatively low resulting in high threshold for device operation. In order to direct print functional devices at low cost, there has been extensive research on laser processing of pre-synthesized nanomaterials for non-polymer functional device manufacturing. Pre-synthesized nanocrystals can have better control in the stoichiometry and crystallinity. In addition, pre-synthesis process enjoys the flexibility in material choice since a variety of materials can be synthesized. Femtosecond laser assembly and deposition of nanomaterials can be a feasible 3D micro/nano additive manufacturing approach, although mechanisms leading to assembly and deposition have not been fully understood. In this paper, we propose a mechanism for 2D and 3D deposition of nanocrystals by laser excitation with moderate peak intensities(1011-1012 W/cm2). It is postulated that laser induced charging is responsible for the deposition. The scheme paves the way for laser selective electrophoretic deposition as a micro/nanoscale additive manufacturing approach.

  4. E-beam-pumped semiconductor lasers

    NASA Astrophysics Data System (ADS)

    Rice, Robert R.; Shanley, James F.; Ruggieri, Neil F.

    1995-04-01

    The collapse of the Soviet Union opened many areas of laser technology to the West. E-beam- pumped semiconductor lasers (EBSL) were pursued for 25 years in several Soviet Institutes. Thin single crystal screens of II-VI alloys (ZnxCd1-xSe, CdSxSe1-x) were incorporated in laser CRTs to produce scanned visible laser beams at average powers greater than 10 W. Resolutions of 2500 lines were demonstrated. MDA-W is conducting a program for ARPA/ESTO to assess EBSL technology for high brightness, high resolution RGB laser projection application. Transfer of II-VI crystal growth and screen processing technology is underway, and initial results will be reported. Various techniques (cathodoluminescence, one- and two-photon laser pumping, etc.) have been used to assess material quality and screen processing damage. High voltage (75 kV) video electronics were procured in the U.S. to operate test EBSL tubes. Laser performance was documented as a function of screen temperature, beam voltage and current. The beam divergence, spectrum, efficiency and other characteristics of the laser output are being measured. An evaluation of the effect of laser operating conditions upon the degradation rate is being carried out by a design-of-experiments method. An initial assessment of the projected image quality will be performed.

  5. Formation of Si and Ge films and micropatterns by wet process using laser direct writing method

    NASA Astrophysics Data System (ADS)

    Watanabe, Akira

    2011-03-01

    The studies toward the formation of Si and Ge films and micropatterns by wet process using laser direct writing method are reported. First is the the formation of Si film by laser scanning irradiation to Si nano- or micro-particle dispersed films. By using organogermanium nanocluster (OrGe) as a dispersion medium of Si particles, a homogeneous Si film was formed by laser scanning irradiation on a Si particle/OrGe composite film. The micro-Raman spectra showed the formation of the polycrystalline Ge and SiGe alloy during the fusion of the Si particles by laser irradiation. The second is the formation of the Si and Ge micropatterns by LLDW (liquid phase laser direct writing) method. Micro-Raman spectra showed the formation of polycrystalline Si and Ge micropatterns by laser irradiation on the interfaces of SiCl4/substrate and GeCl4/substrate, respectively.

  6. Revision Strategies for Adolescent Writers: Moving Students in the Write Direction

    ERIC Educational Resources Information Center

    Borgese, Jolene; Heyler, Dick; Romano, Stephanie

    2011-01-01

    For many secondary students, writing effectively is the most elusive of the critical literacy skills needed for college and career readiness. And for many teachers, revision is the most difficult part of the writing process to tackle. How can adolescent writers be guided to revisit their work, to identify the weaknesses in their writing drafts,…

  7. Factors that determine the optimum dose for sub-20nm resist systems: DUV, EUV, and e-beam options

    NASA Astrophysics Data System (ADS)

    Preil, Moshe

    2012-03-01

    As EUV and e-beam direct write (EBDW) technologies move closer to insertion into pilot production, questions regarding cost effectiveness take on increasing importance. One of the most critical questions is determining the optimum dose which balances the requirements for cost-effective throughput vs. imaging performance. To date most of the dose requirements have been dictated by the hardware side of the industry. The exposure tool manufacturers have a vested interest in specifying the fastest resists possible in order to maximize the throughput even if it comes at the expense of optimum resist performance. This is especially true for both EUV and EBDW where source power is severely limited. We will explore the cost-benefit tradeoffs which drive the equipment side of the industry, and show how these considerations lead to the current throughput and dose requirements for volume production tools. We will then show how the resulting low doses may lead to shot noise problems and a resulting penalty in resist performance. By comparison to the history of 248 nm DUV resist development we will illustrate how setting unrealistic initial targets for resist dose may lead to unacceptable tradeoffs in resist performance and subsequently long delays in the development of production worthy resists.

  8. Direct Ink Writing of Three-Dimensional (K, Na)NbO3-Based Piezoelectric Ceramics

    PubMed Central

    Li, Yayun; Li, Longtu; Li, Bo

    2015-01-01

    A kind of piezoelectric ink was prepared with Li, Ta, Sb co-doped (K, Na)NbO3 (KNN) powders. Piezoelectric scaffolds with diameters at micrometer scale were constructed from this ink by using direct ink writing method. According to the micro-morphology and density test, the samples sintered at 1100 °C for 2 h have formed ceramics completely with a high relative density of 98%. X-ray diffraction (XRD) test shows that the main phase of sintered samples is orthogonal (Na0.52K0.4425Li0.0375)(Nb0.87Sb0.07Ta0.06)O3. The piezoelectric constant d33 of 280 pC/N, dielectric constant ε of 1775, remanent polarization Pr of 18.8 μC/cm2 and coercive field Ec of 8.5 kV/cm prove that the sintered samples exhibit good electrical properties. The direct ink writing method allows one to design and rapidly fabricate piezoelectric structures in complex three-dimensional (3D) shapes without the need for any dies or lithographic masks, which will simplify the process of material preparation and offer new ideas for the design and application of piezoelectric devices. PMID:28788028

  9. The Effects of Stimulus Writing Modality To Produce Writing Fluency in the Primary Grades.

    ERIC Educational Resources Information Center

    Duross, Christine; And Others

    An action research project set out to increase students' writing fluency and investigate whether writing fluency varies as a function of writing prompts and directions given to students. Subjects were 62 students in a first-grade class, a second-grade class, and a fifth/sixth-grade Special Day class (all in this class are learning disabled) in a…

  10. Directly writing resistor, inductor and capacitor to composite functional circuits: a super-simple way for alternative electronics.

    PubMed

    Gao, Yunxia; Li, Haiyan; Liu, Jing

    2013-01-01

    The current strategies for making electronic devices are generally time, water, material and energy consuming. Here, the direct writing of composite functional circuits through comprehensive use of GaIn10-based liquid metal inks and matching material is proposed and investigated, which is a rather easy going and cost effective electronics fabrication way compared with the conventional approaches. Owing to its excellent adhesion and electrical properties, the liquid metal ink was demonstrated as a generalist in directly making various basic electronic components such as planar resistor, inductor and capacitor or their combination and thus composing circuits with expected electrical functions. For a precise control of the geometric sizes of the writing, a mask with a designed pattern was employed and demonstrated. Mechanisms for justifying the chemical components of the inks and the magnitudes of the target electronic elements so as to compose various practical circuits were disclosed. Fundamental tests on the electrical components including capacitor and inductor directly written on paper with working time up to 48 h and elevated temperature demonstrated their good stability and potential widespread adaptability especially when used in some high frequency circuits. As the first proof-of-concept experiment, a typical functional oscillating circuit including an integrated chip of 74HC04 with a supply voltage of 5 V, a capacitor of 10 nF and two resistors of 5 kΩ and 1 kΩ respectively was directly composed on paper through integrating specific electrical elements together, which presented an oscillation frequency of 8.8 kHz. The present method significantly extends the roles of the metal ink in recent works serving as only a single electrical conductor or interconnecting wires. It opens the way for directly writing out complex functional circuits or devices on different substrates. Such circuit composition strategy has generalized purpose and can be extended to more

  11. Writing Like a Scientist: Exploring Elementary Teachers' Understandings and Practices of Writing in Science

    NASA Astrophysics Data System (ADS)

    Glen, Nicole J.; Dotger, Sharon

    2013-10-01

    This qualitative study examined the connections between elementary teachers’ conceptions of how scientists use writing and how the teachers used writing during science lessons. Data collected included lesson observations, interviews, handouts to students, and curriculum resources. The findings revealed that teachers in this study thought scientists write for several purposes: the presentation of data, observations, experiences, procedures, and facts. The teachers used writing tasks that mirrored this with their students. The teachers also had a limited definition of creativity in writing, and when they had students write creatively in science it was to add in fictional elements. Implications of this study include providing teachers with better models for how and why scientists write, including these models in more inquiry-based science lessons, and directly relating concepts of nature of science to elementary science writing.

  12. Three-dimensional direct cell patterning in collagen hydrogels with near-infrared femtosecond laser

    PubMed Central

    Hribar, Kolin C.; Meggs, Kyle; Liu, Justin; Zhu, Wei; Qu, Xin; Chen, Shaochen

    2015-01-01

    We report a methodology for three-dimensional (3D) cell patterning in a hydrogel in situ. Gold nanorods within a cell-encapsulating collagen hydrogel absorb a focused near-infrared femtosecond laser beam, locally denaturing the collagen and forming channels, into which cells migrate, proliferate, and align in 3D. Importantly, pattern resolution is tunable based on writing speed and laser power, and high cell viability (>90%) is achieved using higher writing speeds and lower laser intensities. Overall, this patterning technique presents a flexible direct-write method that is applicable in tissue engineering systems where 3D alignment is critical (such as vascular, neural, cardiac, and muscle tissue). PMID:26603915

  13. Holographic memory using beam steering

    NASA Technical Reports Server (NTRS)

    Chao, Tien-Hsin (Inventor); Hanan, Jay C. (Inventor); Reyes, George F. (Inventor); Zhou, Hanying (Inventor)

    2006-01-01

    A method, apparatus, and system provide the ability for storing holograms at high speed. A single laser diode emits a collimated laser beam to both write to and read from a photorefractice crystal. One or more liquid crystal beam steering spatial light modulators (BSSLMs) or Micro-Electro-Mechanical Systems (MEMS) mirrors steer a reference beam, split from the collimated laser beam, at high speed to the photorefractive crystal.

  14. Direct laser writing of polymeric nanostructures via optically induced local thermal effect

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Tong, Quang Cong; Institute of Materials Science, Vietnam Academy of Science and Technology, 18 Hoang Quoc Viet, Cau Giay, 10000 Hanoi; Nguyen, Dam Thuy Trang

    We demonstrate the fabrication of desired structures with feature size below the diffraction limit by use of a positive photoresist. The direct laser writing technique employing a continuous-wave laser was used to optically induce a local thermal effect in a positive photoresist, which then allowed the formation of solid nanostructures. This technique enabled us to realize multi-dimensional sub-microstructures by use of a positive photoresist, with a feature size down to 57 nm. This mechanism acting on positive photoresists opens a simple and low-cost way for nanofabrication.

  15. Classroom Writing Environments and Children's Early Writing Skills: An Observational Study in Head Start Classrooms

    ERIC Educational Resources Information Center

    Zhang, Chenyi; Hur, Jinhee; Diamond, Karen E.; Powell, Douglas

    2015-01-01

    This study examined the classroom writing environment in 31 Head Start classrooms, and explored the relations between the writing environment, children's (N = 262) name-writing, and children's letter knowledge using pathway analysis. Our analyses showed that Head Start classrooms provided opportunities (i.e., writing materials and teachers'…

  16. Architected cellular ceramics with tailored stiffness via direct foam writing

    NASA Astrophysics Data System (ADS)

    Muth, Joseph T.; Dixon, Patrick G.; Woish, Logan; Gibson, Lorna J.; Lewis, Jennifer A.

    2017-02-01

    Hierarchical cellular structures are ubiquitous in nature because of their low-density, high-specific properties, and multifunctionality. Inspired by these systems, we created lightweight ceramic architectures composed of closed-cell porous struts patterned in the form of hexagonal and triangular honeycombs by direct foam writing. The foam ink contains bubbles stabilized by attractive colloidal particles suspended in an aqueous solution. The printed and sintered ceramic foam honeycombs possess low relative density (˜6%). By tailoring their microstructure and geometry, we created honeycombs with different modes of deformation, exceptional specific stiffness, and stiffness values that span over an order of magnitude. This capability represents an important step toward the scalable fabrication of hierarchical porous materials for applications, including lightweight structures, thermal insulation, tissue scaffolds, catalyst supports, and electrodes.

  17. Direct laser writing of polymer micro-ring resonator ultrasonic sensors

    NASA Astrophysics Data System (ADS)

    Wei, Heming; Krishnaswamy, Sridhar

    2017-04-01

    With the development of photoacoustic technology in recent years, ultrasound-related sensors play a vital role in a number of areas ranging from scientific research to nondestructive testing. Compared with the traditional PZT transducer as ultrasonic sensors, novel ultrasonic sensors based on optical methods such as micro-ring resonators have gained increasing attention. The total internal reflection of the light along the cavity results in light propagating in microcavities as whispering gallery modes (WGMs), which are extremely sensitive to change in the radius and refractive index of the cavity induced by ultrasound strain field. In this work, we present a polymer optical micro-ring resonator based ultrasonic sensor fabricated by direct laser writing optical lithography. The design consists of a single micro-ring and a straight tapered waveguide that can be directly coupled by single mode fibers (SMFs). The design and fabrication of the printed polymer resonator have been optimized to provide broad bandwidth and high optical quality factor to ensure high detection sensitivity. The experiments demonstrate the potential of the polymer micro-ring resonator to works as a high-performance ultrasonic sensor.

  18. Mirror writing in pre-school children: a pilot study.

    PubMed

    Cubelli, Roberto; Della Sala, Sergio

    2009-05-01

    Mirror writing refers to the production of individual letters, whole words or sentences in reverse direction. Unintentional mirror writing has been observed in young children learning to write and interpreted as the manifestation of different cognitive impairments. We report on mirror writing instances in a sample of 108 pre-school children. Results showed MW to be age-related but independent from handedness and left-right discrimination abilities. We propose an account of mirror writing as reflecting dissociation between acquired motor programmes for letter shape composition and unspecified spatial direction of hand movements. Before learning to write, the child's directional cognitive system is assumed to be dichotomous, thus inducing the production of randomly oriented asymmetrical letters.

  19. Teaching Writing for Keeps

    ERIC Educational Resources Information Center

    Alber-Morgan, Sheila R.; Hessler, Terri; Konrad, Moira

    2007-01-01

    Proficiency with written expression is critical for students' academic success. Unfortunately, writing presents a challenge for both students and teachers. Recent data suggest that many students in U.S. schools fail to meet even the most basic writing standards. And even when students receive effective (i.e., evidence-based) writing instruction,…

  20. Quantitative approach for optimizing e-beam condition of photoresist inspection and measurement

    NASA Astrophysics Data System (ADS)

    Lin, Chia-Jen; Teng, Chia-Hao; Cheng, Po-Chung; Sato, Yoshishige; Huang, Shang-Chieh; Chen, Chu-En; Maruyama, Kotaro; Yamazaki, Yuichiro

    2018-03-01

    Severe process margin in advanced technology node of semiconductor device is controlled by e-beam metrology system and e-beam inspection system with scanning electron microscopy (SEM) image. By using SEM, larger area image with higher image quality is required to collect massive amount of data for metrology and to detect defect in a large area for inspection. Although photoresist is the one of the critical process in semiconductor device manufacturing, observing photoresist pattern by SEM image is crucial and troublesome especially in the case of large image. The charging effect by e-beam irradiation on photoresist pattern causes deterioration of image quality, and it affect CD variation on metrology system and causes difficulties to continue defect inspection in a long time for a large area. In this study, we established a quantitative approach for optimizing e-beam condition with "Die to Database" algorithm of NGR3500 on photoresist pattern to minimize charging effect. And we enhanced the performance of measurement and inspection on photoresist pattern by using optimized e-beam condition. NGR3500 is the geometry verification system based on "Die to Database" algorithm which compares SEM image with design data [1]. By comparing SEM image and design data, key performance indicator (KPI) of SEM image such as "Sharpness", "S/N", "Gray level variation in FOV", "Image shift" can be retrieved. These KPIs were analyzed with different e-beam conditions which consist of "Landing Energy", "Probe Current", "Scanning Speed" and "Scanning Method", and the best e-beam condition could be achieved with maximum image quality, maximum scanning speed and minimum image shift. On this quantitative approach of optimizing e-beam condition, we could observe dependency of SEM condition on photoresist charging. By using optimized e-beam condition, measurement could be continued on photoresist pattern over 24 hours stably. KPIs of SEM image proved image quality during measurement and

  1. Technical Writing 1987: Galloping Off in at Least Two Directions.

    ERIC Educational Resources Information Center

    Stohrer, Freda F.

    Technical writing instructors generally agree about the absolute need for communication skills throughout the technological work place, but a survey of technical writing journal articles shows a lack of focus on ways to address business's needs for on-the-job literacy. One major advance within the profession in recent years has been the…

  2. Experiential self-focus writing as a facilitator of processing an interpersonal hurt.

    PubMed

    Liao, Kelly Yu-Hsin; Wei, Meifen; Russell, Daniel W; Abraham, W Todd

    2012-10-01

    This study examined the effects of experiential self-focus writing on changes in psychological outcomes (i.e., unforgiveness and negative affect) after an interpersonal hurt and the buffering effects of experiential self-focus writing on the association between anger rumination and these psychological outcomes. A sample of 182 college students who had experienced interpersonal hurt were randomly assigned to either the experiential self-focus writing condition, in which participants wrote about their feelings and experiences related to the hurt, or to a control writing condition in which they wrote about a recent neutral event. Latent growth curve analyses indicated that changes in unforgiveness over time did not differ between the experiential self-focus writing and the control writing conditions. However, relative to the control writing condition, negative affect decreased faster during writing and increased more slowly at follow-ups in the experiential self-focus writing condition. The results supported the hypothesis that negative affect resulting from an interpersonal hurt would significantly decrease over time among participants in the experiential self-focus writing group compared with the control group. Implications of experiential self-focus writing for interpersonal hurt and directions for future studies are discussed. © 2012 Wiley Periodicals, Inc.

  3. Controlling Second Harmonic Efficiency of Laser Beam Interactions

    NASA Technical Reports Server (NTRS)

    Barnes, Norman P. (Inventor); Walsh, Brian M. (Inventor); Reichle, Donald J. (Inventor)

    2011-01-01

    A method is provided for controlling second harmonic efficiency of laser beam interactions. A laser system generates two laser beams (e.g., a laser beam with two polarizations) for incidence on a nonlinear crystal having a preferred direction of propagation. Prior to incidence on the crystal, the beams are optically processed based on the crystal's beam separation characteristics to thereby control a position in the crystal along the preferred direction of propagation at which the beams interact.

  4. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography

    PubMed Central

    Jaramillo, Juan; Zarzycki, Artur; Galeano, July; Sandoz, Patrick

    2017-01-01

    This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP) observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 µm, which is an acceptable value for most of research and development (R and D) microtechnology developments in which the typical feature size used is in the micrometer range. PMID:28146126

  5. Performance Characterization of an xy-Stage Applied to Micrometric Laser Direct Writing Lithography.

    PubMed

    Jaramillo, Juan; Zarzycki, Artur; Galeano, July; Sandoz, Patrick

    2017-01-31

    This article concerns the characterization of the stability and performance of a motorized stage used in laser direct writing lithography. The system was built from commercial components and commanded by G-code. Measurements use a pseudo-periodic-pattern (PPP) observed by a camera and image processing is based on Fourier transform and phase measurement methods. The results report that the built system has a stability against vibrations determined by peak-valley deviations of 65 nm and 26 nm in the x and y directions, respectively, with a standard deviation of 10 nm in both directions. When the xy-stage is in movement, it works with a resolution of 0.36 μm, which is an acceptable value for most of research and development (R and D) microtechnology developments in which the typical feature size used is in the micrometer range.

  6. Laser direct writing (LDW) of magnetic structures

    NASA Astrophysics Data System (ADS)

    Alasadi, Alaa; Claeyssens, F.; Allwood, D. A.

    2018-05-01

    Laser direct writing (LDW) has been used to pattern 90nm thick permalloy (Ni81Fe19) into 1-D and 2-D microstructures with strong shape anisotropy. Sub-nanosecond laser pulses were focused with a 0.75 NA lens to a 1.85μm diameter spot, to achieve a fluence of approximately 350 mJ.cm-2 and ablate the permalloy film. Computer-controlled sample scanning then allowed structures to be defined. Scan speeds were controlled to give 30% overlap between successive laser pulses and reduce the extent of width modulation in the final structures. Continuous magnetic wires that adjoined the rest of the film were fabricated with widths from 650 nm - 6.75μm and magneto-optical measurements showed coercivity reducing across this width range from 47 Oe to 11 Oe. Attempts to fabricate wires narrower than 650nm resulted in discontinuities in the wires and a marked decrease in coercivity. This approach is extremely rapid and was carried out in air, at room temperature and with no chemical processing. The 6-kHz laser pulse repetition rate allowed wire arrays across an area of 4 mm x 0.18 mm to be patterned in 85 s.

  7. Measurement of the muon beam direction and muon flux for the T2K neutrino experiment

    NASA Astrophysics Data System (ADS)

    Suzuki, K.; Aoki, S.; Ariga, A.; Ariga, T.; Bay, F.; Bronner, C.; Ereditato, A.; Friend, M.; Hartz, M.; Hiraki, T.; Ichikawa, A. K.; Ishida, T.; Ishii, T.; Juget, F.; Kikawa, T.; Kobayashi, T.; Kubo, H.; Matsuoka, K.; Maruyama, T.; Minamino, A.; Murakami, A.; Nakadaira, T.; Nakaya, T.; Nakayoshi, K.; Otani, M.; Oyama, Y.; Patel, N.; Pistillo, C.; Sakashita, K.; Sekiguchi, T.; Suzuki, S. Y.; Tada, S.; Yamada, Y.; Yamamoto, K.; Yokoyama, M.

    2015-05-01

    The Tokai-to-Kamioka (T2K) neutrino experiment measures neutrino oscillations by using an almost pure muon neutrino beam produced at the J-PARC accelerator facility. The T2K muon monitor was installed to measure the direction and stability of the muon beam which is produced in conjunction with the muon neutrino beam. The systematic error in the muon beam direction measurement was estimated, using data and MC simulation, to be 0.28 mrad. During beam operation, the proton beam has been controlled using measurements from the muon monitor and the direction of the neutrino beam has been tuned to within 0.3 mrad with respect to the designed beam-axis. In order to understand the muon beam properties, measurement of the absolute muon yield at the muon monitor was conducted with an emulsion detector. The number of muon tracks was measured to be (4.06± 0.05± 0.10)× 10^4cm^{-2} normalized with 4× 10^{11} protons on target with 250 kA horn operation. The result is in agreement with the prediction, which is corrected based on hadron production data.

  8. Fabrication of functional fibronectin patterns by nanosecond excimer laser direct write for tissue engineering applications.

    PubMed

    Grigorescu, S; Hindié, M; Axente, E; Carreiras, F; Anselme, K; Werckmann, J; Mihailescu, I N; Gallet, O

    2013-07-01

    Laser direct write techniques represent a prospective alternative for engineering a new generation of hybrid biomaterials via the creation of patterns consisting of biological proteins onto practically any type of substrate. In this paper we report on the characterization of fibronectin features obtained onto titanium substrates by UV nanosecond laser transfer. Fourier-transform infrared spectroscopy measurements evidenced no modification in the secondary structure of the post-transferred protein. The molecular weight of the transferred protein was identical to the initial fibronectin, no fragment bands being found in the transferred protein's Western blot migration profile. The presence of the cell-binding domain sequence and the mannose groups within the transferred molecules was revealed by anti-fibronectin monoclonal antibody immunolabelling and FITC-Concanavalin-A staining, respectively. The in vitro tests performed with MC3T3-E1 osteoblast-like cells and Swiss-3T3 fibroblasts showed that the cells' morphology and spreading were strongly influenced by the presence of the fibronectin spots.

  9. Task factor usability ratings for different age groups writing Chinese.

    PubMed

    Chan, A H S; So, J C Y

    2009-11-01

    This study evaluated how different task factors affect performance and user subjective preferences for three different age groups of Chinese subjects (6-11, 20-23, 65-70 years) when hand writing Chinese characters. The subjects copied Chinese character sentences with different settings for the task factors of writing plane angle (horizontal 0 degrees , slanted 15 degrees ), writing direction (horizontal, vertical), and line spacing (5 mm, 7 mm and no lines). Writing speed was measured and subjective preferences (effectiveness and satisfaction) were assessed for each of the task factor settings. The result showed that there was a conflict between writing speed and personal preference for the line spacing factor; 5 mm line spacing increased writing speed but it was the least preferred. It was also found that: vertical and horizontal writing directions and a slanted work surface suited school-aged children; a horizontal work surface and horizontal writing direction suited university students; and a horizontal writing direction with either a horizontal or slanted work surface suited the older adults.

  10. Beam propagation modeling of modified volume Fresnel zone plates fabricated by femtosecond laser direct writing.

    PubMed

    Srisungsitthisunti, Pornsak; Ersoy, Okan K; Xu, Xianfan

    2009-01-01

    Light diffraction by volume Fresnel zone plates (VFZPs) is simulated by the Hankel transform beam propagation method (Hankel BPM). The method utilizes circularly symmetric geometry and small step propagation to calculate the diffracted wave fields by VFZP layers. It is shown that fast and accurate diffraction results can be obtained with the Hankel BPM. The results show an excellent agreement with the scalar diffraction theory and the experimental results. The numerical method allows more comprehensive studies of the VFZP parameters to achieve higher diffraction efficiency.

  11. Writing instruction in kindergarten: examining an emerging area of research for children with writing and reading difficulties.

    PubMed

    Edwards, Lana

    2003-01-01

    This review examines the literature on how to teach kindergarten children with reading and writing difficulties how to write. Specifically, research on handwriting instruction, spelling instruction, and composition writing is discussed. Due to the limited number of empirical studies on writing that included kindergarten students with diagnosed reading and writing difficulties, selected studies conducted with the full range of kindergarten children, as well as studies conducted in the early elementary grades, are presented to highlight future directions for research.

  12. Architected cellular ceramics with tailored stiffness via direct foam writing

    PubMed Central

    Muth, Joseph T.; Dixon, Patrick G.; Woish, Logan; Gibson, Lorna J.; Lewis, Jennifer A.

    2017-01-01

    Hierarchical cellular structures are ubiquitous in nature because of their low-density, high-specific properties, and multifunctionality. Inspired by these systems, we created lightweight ceramic architectures composed of closed-cell porous struts patterned in the form of hexagonal and triangular honeycombs by direct foam writing. The foam ink contains bubbles stabilized by attractive colloidal particles suspended in an aqueous solution. The printed and sintered ceramic foam honeycombs possess low relative density (∼6%). By tailoring their microstructure and geometry, we created honeycombs with different modes of deformation, exceptional specific stiffness, and stiffness values that span over an order of magnitude. This capability represents an important step toward the scalable fabrication of hierarchical porous materials for applications, including lightweight structures, thermal insulation, tissue scaffolds, catalyst supports, and electrodes. PMID:28179570

  13. Fabrication of multi-functional silicon surface by direct laser writing

    NASA Astrophysics Data System (ADS)

    Verma, Ashwani Kumar; Soni, R. K.

    2018-05-01

    We present a simple, quick and one-step methodology based on nano-second laser direct writing for the fabrication of micro-nanostructures on silicon surface. The fabricated surfaces suppress the optical reflection by multiple reflection due to light trapping effect to a much lower value than polished silicon surface. These textured surfaces offer high enhancement ability after gold nanoparticle deposition and then explored for Surface Enhanced Raman Scattering (SERS) for specific molecular detection. The effect of laser scanning line interval on optical reflection and SERS signal enhancement ability was also investigated. Our results indicate that low optical reflection substrates exhibit uniform SERS enhancement with enhancement factor of the order of 106. Furthermore, this methodology provide an alternative approach for cost-effective large area fabrication with good control over feature size.

  14. Revelation of graphene-Au for direct write deposition and characterization

    NASA Astrophysics Data System (ADS)

    Bhandari, Shweta; Deepa, Melepurath; Joshi, Amish G.; Saxena, Aditya P.; Srivastava, Avanish K.

    2011-06-01

    Graphene nanosheets were prepared using a modified Hummer's method, and Au-graphene nanocomposites were fabricated by in situ reduction of a gold salt. The as-produced graphene was characterized by X-ray photoelectron spectroscopy, ultraviolet-visible spectroscopy, scanning electron microscopy, and high-resolution transmission electron microscopy (HR-TEM). In particular, the HR-TEM demonstrated the layered crystallites of graphene with fringe spacing of about 0.32 nm in individual sheets and the ultrafine facetted structure of about 20 to 50 nm of Au particles in graphene composite. Scanning helium ion microscopy (HIM) technique was employed to demonstrate direct write deposition on graphene by lettering with gaps down to 7 nm within the chamber of the microscope. Bare graphene and graphene-gold nanocomposites were further characterized in terms of their composition and optical and electrical properties.

  15. The Word Writing CAFE: Assessing Student Writing for Complexity, Accuracy, and Fluency

    ERIC Educational Resources Information Center

    Leal, Dorothy J.

    2005-01-01

    The Word Writing CAFE is a new assessment tool designed for teachers to evaluate objectively students' word-writing ability for fluency, accuracy, and complexity. It is designed to be given to the whole class at one time. This article describes the development of the CAFE and provides directions for administering and scoring it. The author also…

  16. Directly Writing Resistor, Inductor and Capacitor to Composite Functional Circuits: A Super-Simple Way for Alternative Electronics

    PubMed Central

    Gao, Yunxia; Li, Haiyan; Liu, Jing

    2013-01-01

    Background The current strategies for making electronic devices are generally time, water, material and energy consuming. Here, the direct writing of composite functional circuits through comprehensive use of GaIn10-based liquid metal inks and matching material is proposed and investigated, which is a rather easy going and cost effective electronics fabrication way compared with the conventional approaches. Methods Owing to its excellent adhesion and electrical properties, the liquid metal ink was demonstrated as a generalist in directly making various basic electronic components such as planar resistor, inductor and capacitor or their combination and thus composing circuits with expected electrical functions. For a precise control of the geometric sizes of the writing, a mask with a designed pattern was employed and demonstrated. Mechanisms for justifying the chemical components of the inks and the magnitudes of the target electronic elements so as to compose various practical circuits were disclosed. Results Fundamental tests on the electrical components including capacitor and inductor directly written on paper with working time up to 48 h and elevated temperature demonstrated their good stability and potential widespread adaptability especially when used in some high frequency circuits. As the first proof-of-concept experiment, a typical functional oscillating circuit including an integrated chip of 74HC04 with a supply voltage of 5 V, a capacitor of 10 nF and two resistors of 5 kΩ and 1 kΩ respectively was directly composed on paper through integrating specific electrical elements together, which presented an oscillation frequency of 8.8 kHz. Conclusions The present method significantly extends the roles of the metal ink in recent works serving as only a single electrical conductor or interconnecting wires. It opens the way for directly writing out complex functional circuits or devices on different substrates. Such circuit composition strategy has

  17. Hybrid 2D patterning using UV laser direct writing and aerosol jet printing of UV curable polydimethylsiloxane

    NASA Astrophysics Data System (ADS)

    Obata, Kotaro; Schonewille, Adam; Slobin, Shayna; Hohnholz, Arndt; Unger, Claudia; Koch, Jürgen; Suttmann, Oliver; Overmeyer, Ludger

    2017-09-01

    The hybrid technique of aerosol jet printing and ultraviolet (UV) laser direct writing was developed for 2D patterning of thin film UV curable polydimethylsiloxane (PDMS). A dual atomizer module in an aerosol jet printing system generated aerosol jet streams from material components of the UV curable PDMS individually and enables the mixing in a controlled ratio. Precise control of the aerosol jet printing achieved the layer thickness of UV curable PDMS as thin as 1.6 μm. This aerosol jet printing system is advantageous because of its ability to print uniform thin-film coatings of UV curable PDMS on planar surfaces as well as free-form surfaces without the use of solvents. In addition, the hybrid 2D patterning using the combination of UV laser direct writing and aerosol jet printing achieved selective photo-initiated polymerization of the UV curable PDMS layer with an X-Y resolution of 17.5 μm.

  18. Writing for Manufacturing Personnel.

    ERIC Educational Resources Information Center

    Mercer County Community Coll., Trenton, NJ.

    This document, developed by Mercer County Community College (New Jersey) and its partners, offers lists of topics covered in each day of a 24-day course designed to teach General Motors employees the following skills: document information; write clear directions and instructions; outline and organize thoughts and ideas; write memos and business…

  19. Ion Beam Etching: Replication of Micro Nano-structured 3D Stencil Masks

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Weber, Patrick; Guibert, Edouard; Mikhailov, Serguei

    2009-03-10

    Ion beam LIGA allows the etching of 3D nano-structures by direct writing with a nano-sized beam. However, this is a relatively time consuming process. We propose here another approach for etching structures on large surfaces and faster, compared to the direct writing process. This approach consists of replicating 3D structured masks, by scanning an unfocused ion beam. A polymer substrate is placed behind the mask, as in UV photolithography. But the main advantage is that the 3D structure of the mask can be replicated into the polymer. For that purpose, the masks (developped at LMIS1, EPFL) are made of amore » silicon nitride membrane 100 nm thick, on which 3D gold structures up to 200 nm thick, are deposited. The 3D Au structures are made with the nanostencil method, based on successive gold deposition. The IMA institute, from HE-Arc, owns a High Voltage Engineering 1.7 MV Tandetron with both solid and gaseous negative ion sources, able to generate ions from almost every chemical element in a broad range of energies comprised between 400 keV and 6.8 MeV. The beam composition and energy are chosen in such a way, that ions lose a significant fraction of their energy when passing through the thickest regions of the mask. Ions passing through thinner regions of the mask loose a smaller fraction of their energy and etch the polymer with larger thicknesses, allowing a replication of the mask into the polymer. For our trials, we have used a carbon beam with an energy of 500 keV. The beam was focussed to a diameter of 5 mm with solid slits, in order to avoid border effects and thus ensure a homogeneous dose distribution on the beam diameter. The feasibility of this technique has been demonstrated, allowing industrial applications for micro-mould fabrication, micro-fluidics and micro-optics.« less

  20. Directed block copolymer self-assembly implemented via surface-embedded electrets

    NASA Astrophysics Data System (ADS)

    Wu, Mei-Ling; Wang, Dong; Wan, Li-Jun

    2016-02-01

    Block copolymer (BCP) nanolithography is widely recognized as a promising complementary approach to circumvent the feature size limits of conventional photolithography. The directed self-assembly of BCP thin film to form ordered nanostructures with controlled orientation and localized pattern has been the key challenge for practical nanolithography applications. Here we show that BCP nanopatterns can be directed on localized surface electrets defined by electron-beam irradiation to realize diverse features in a simple, effective and non-destructive manner. Charged electrets can generate a built-in electric field in BCP thin film and induce the formation of perpendicularly oriented microdomain of BCP film. The electret-directed orientation control of BCP film can be either integrated with mask-based patterning technique or realized by electron-beam direct-writing method to fabricate microscale arbitrary lateral patterns down to single BCP cylinder nanopattern. The electret-directed BCP self-assembly could provide an alternative means for BCP-based nanolithography, with high resolution.

  1. Acquisition and replay systems for direct-to-digital holography and holovision

    DOEpatents

    Thomas, Clarence E.; Hanson, Gregory R.

    2003-02-25

    Improvements to the acquisition and replay systems for direct-to-digital holography and holovision are described. A method of recording an off-axis hologram includes: splitting a laser beam into an object beam and a reference beam; reflecting the reference beam from a reference beam mirror; reflecting the object beam from an illumination beamsplitter; passing the object beam through an objective lens; reflecting the object beam from an object; focusing the reference beam and the object beam at a focal plane of a digital recorder to form an off-axis hologram; digitally recording the off-axis hologram; and transforming the off-axis hologram in accordance with a Fourier transform to obtain a set of results. A method of writing an off-axis hologram includes: passing a laser beam through a spatial light modulator; and focusing the laser beam at a focal plane of a photorefractive crystal to impose a holographic diffraction grating pattern on the photorefractive crystal. A method of replaying an off-axis hologram includes: illuminating a photorefractive crystal having a holographic diffraction grating with a replay beam.

  2. Experimental, theoretical, and device application development of nanoscale focused electron-beam-induced deposition

    NASA Astrophysics Data System (ADS)

    Randolph, Steven Jeffrey

    Electron-beam-induced deposition (EBID) is a highly versatile nanofabrication technique that allows for growth of a variety of materials with nanoscale precision and resolution. While several applications and studies of EBID have been reported and published, there is still a significant lack of understanding of the complex mechanisms involved in the process. Consequently, EBID process control is, in general, limited and certain common experimental results regarding nanofiber growth have yet to be fully explained. Such anomalous results have been addressed in this work both experimentally and by computer simulation. Specifically, a correlation between SiOx nanofiber deposition observations and the phenomenon of electron beam heating (EBH) was shown by comparison of thermal computer models and experimental results. Depending on the beam energy, beam current, and nanostructure geometry, the heat generated can be substantial and may influence the deposition rate. Temperature dependent EBID growth experiments qualitatively verified the results of the EBH model. Additionally, EBID was used to produce surface image layers for maskless, direct-write lithography (MDL). A single layer process used directly written SiOx features as a masking layer for amorphous silicon thin films. A bilayer process implemented a secondary masking layer consisting of standard photoresist into which a pattern---directly written by EBID tungsten---was transferred. The single layer process was found to be extremely sensitive to the etch selectivity of the plasma etch. In the bilayer process, EBID tungsten was written onto photoresist and the pattern transferred by means of oxygen plasma dry development following a brief refractory descum. Conditions were developed to reduce the spatial spread of electrons in the photoresist layer and obtain ˜ 35 nm lines. Finally, an EBID-based technique for field emitter repair was applied to the Digital Electrostatically focused e-beam Array Lithography (DEAL

  3. Synthesis of metal nanoparticle and patterning in polymeric films induced by electron beam

    NASA Astrophysics Data System (ADS)

    Yamamoto, Hiroki; Kozawa, Takahiro; Tagawa, Seiichi; Marignier, Jean-Louis; Mostafavi, Mehran; Belloni, Jacqueline

    2018-03-01

    Using an electron beam, thin polymeric films loaded with metal nanoparticles of silver were prepared by a one-step irradiation-induced reduction of the metal ions embedded in the polymer. The metal nanoparticles were observed by either optical absorption or microscopy. The mechanism of the reduction of metal ions and of the polymer crosslinking were deduced from the average absorbance measurements. In view of realizing specific patterns of high resolution using the electron beam, electron beam produces 200 nm wide lines that can be separated by unexposed spaces of adjustable width, where precursors were dissolved. The resolution of the electron beam has been exploited to demonstrate the achievement of nanopatterning on polymer films using a direct-writing process. This method supplies interesting applications such as masks, replicas, or imprint molds of improved density and contrast.

  4. Dynamic modulation of electronic properties of graphene by localized carbon doping using focused electron beam induced deposition

    NASA Astrophysics Data System (ADS)

    Kim, S.; Russell, M.; Henry, M.; Kim, S. S.; Naik, R. R.; Voevodin, A. A.; Jang, S. S.; Tsukruk, V. V.; Fedorov, A. G.

    2015-09-01

    We report on the first demonstration of controllable carbon doping of graphene to engineer local electronic properties of a graphene conduction channel using focused electron beam induced deposition (FEBID). Electrical measurements indicate that an ``n-p-n'' junction on graphene conduction channel is formed by partial carbon deposition near the source and drain metal contacts by low energy (<50 eV) secondary electrons due to inelastic collisions of long range backscattered primary electrons generated from a low dose of high energy (25 keV) electron beam (1 × 1018 e- per cm2). Detailed AFM imaging provides direct evidence of the new mechanism responsible for dynamic evolution of the locally varying graphene doping. The FEBID carbon atoms, which are physisorbed and weakly bound to graphene, diffuse towards the middle of graphene conduction channel due to their surface chemical potential gradient, resulting in negative shift of Dirac voltage. Increasing a primary electron dose to 1 × 1019 e- per cm2 results in a significant increase of carbon deposition, such that it covers the entire graphene conduction channel at high surface density, leading to n-doping of graphene channel. Collectively, these findings establish a unique capability of FEBID technique to dynamically modulate the doping state of graphene, thus enabling a new route to resist-free, ``direct-write'' functional patterning of graphene-based electronic devices with potential for on-demand re-configurability.We report on the first demonstration of controllable carbon doping of graphene to engineer local electronic properties of a graphene conduction channel using focused electron beam induced deposition (FEBID). Electrical measurements indicate that an ``n-p-n'' junction on graphene conduction channel is formed by partial carbon deposition near the source and drain metal contacts by low energy (<50 eV) secondary electrons due to inelastic collisions of long range backscattered primary electrons generated

  5. Writing a Writing Assessment: Saying What You Want to Say Isn't as Simple as It Seems.

    ERIC Educational Resources Information Center

    Escoe, Adrienne

    Since acceptable writing is essential to success in job training programs and in many entry-level jobs, a writing sample was included in the Training and Employment Prerequisites Survey, a multiple-choice test about skills like mechanics, usage, and spelling. The two writing prompts asked students to give directions for finding a location in a…

  6. Direct writing of continuous and discontinuous sub-wavelength periodic surface structures on single-crystalline silicon using femtosecond laser

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Kuladeep, Rajamudili; Sahoo, Chakradhar; Narayana Rao, Desai, E-mail: dnrsp@uohyd.ernet.in, E-mail: dnr-laserlab@yahoo.com

    Laser-induced ripples or uniform arrays of continuous near sub-wavelength or discontinuous deep sub-wavelength structures are formed on single-crystalline silicon (Si) by femtosecond (fs) laser direct writing technique. Laser irradiation was performed on Si wafers at normal incidence in air and by immersing them in dimethyl sulfoxide using linearly polarized Ti:sapphire fs laser pulses of ∼110 fs pulse duration and ∼800 nm wavelength. Morphology studies of laser written surfaces reveal that sub-wavelength features are oriented perpendicular to laser polarization, while their morphology and spatial periodicity depend on the surrounding dielectric medium. The formation mechanism of the sub-wavelength features is explained by interferencemore » of incident laser with surface plasmon polaritons. This work proves the feasibility of fs laser direct writing technique for the fabrication of sub-wavelength features, which could help in fabrication of advanced electro-optic devices.« less

  7. A new e-beam application in the pharmaceutical industry

    NASA Astrophysics Data System (ADS)

    Sadat, Theo; Malcolm, Fiona

    2005-10-01

    The paper presents a new electron beam application in the pharmaceutical industry: an in-line self-shielded atropic transfer system using electron beam for surface decontamination of products entering a pharmaceutical filling line. The unit was developed by Linac Technologies in response to the specifications of a multi-national pharmaceutical company, to solve the risk of microbial contamination entering a filling line housed inside an isolator. In order to fit the sterilization unit inside the pharmaceutical plant, a "miniature" low-energy (200 keV) electron beam accelerator and e-beam tunnel were designed, all conforming to the pharmaceutical good manufacturing practice (GMP) regulations. Process validation using biological indicators is described, with reference to the regulations governing the pharmaceutical industry. Other industrial applications of a small-sized self-shielded electron beam sterilization unit are mentioned.

  8. Vertical beam size measurement in the CESR-TA e+e- storage ring using x-rays from synchrotron radiation

    NASA Astrophysics Data System (ADS)

    Alexander, J. P.; Chatterjee, A.; Conolly, C.; Edwards, E.; Ehrlichman, M. P.; Fontes, E.; Heltsley, B. K.; Hopkins, W.; Lyndaker, A.; Peterson, D. P.; Rider, N. T.; Rubin, D. L.; Savino, J.; Seeley, R.; Shanks, J.; Flanagan, J. W.

    2014-06-01

    We describe the construction and operation of an X-ray beam size monitor (xBSM), a device measuring e+ and e- beam sizes in the CESR-TA storage ring using synchrotron radiation. The device can measure vertical beam sizes of 10-100μm on a turn-by-turn, bunch-by-bunch basis at e± beam energies of ~2GeV. At such beam energies the xBSM images X-rays of ɛ≈1-10keV (λ≈0.1-1nm) that emerge from a hard-bend magnet through a single- or multiple-slit (coded aperture) optical element onto an array of 32 InGaAs photodiodes with 50μm pitch. Beamlines and detectors are entirely in-vacuum, enabling single-shot beam size measurement down to below 0.1 mA (2.5×109 particles) per bunch and inter-bunch spacing of as little as 4 ns. At Eb=2.1GeV, systematic precision of ~1μm is achieved for a beam size of ~12μm; this is expected to scale as ∝1/σb and ∝1/Eb. Achieving this precision requires comprehensive alignment and calibration of the detector, optical elements, and X-ray beam. Data from the xBSM have been used to extract characteristics of beam oscillations on long and short timescales, and to make detailed studies of low-emittance tuning, intra-beam scattering, electron cloud effects, and multi-bunch instabilities.

  9. The Effect of Concept Mapping on L2 Writing Performance: Examining Possible Effects of Trait-Level Writing Anxiety

    ERIC Educational Resources Information Center

    Machida, Naoko; Dalsky, David J.

    2014-01-01

    Research on anxiety in a foreign language-learning context is well-documented; however, few studies have directly focused on anxiety occurring within writing contexts despite the fact that writing anxiety is known to affect students' learning. The present study examined the effectiveness of concept mapping considering students' writing anxiety.…

  10. Student Writing Standards: A Descending Spiral or a Bold New Direction?

    ERIC Educational Resources Information Center

    McKinney, Margaret; Comadina Granson, Ruben

    2013-01-01

    Many academics speak of a "literacy crisis" when referring to student writing standards, often pointing the finger of blame at an overall increase in social networking. The resulting tendency has been for language teachers in higher education to take a corrective, or even remedial, approach to writing fluency. This paper examines…

  11. Reading and writing direction effects on the aesthetic appreciation of photographs.

    PubMed

    Chahboun, Sobh; Flumini, Andrea; Pérez González, Carmen; McManus, I Chris; Santiago, Julio

    2017-05-01

    Does reading and writing direction (RWD) influence the aesthetic appreciation of photography? Pérez González showed that nineteenth-century Iranian and Spanish professional photographers manifest lateral biases linked to RWD in their compositions. The present study aimed to test whether a population sample showed similar biases. Photographs with left-to-right (L-R) and right-to-left (R-L) directionality were selected from Pérez González's collections and presented in both original and mirror-reversed forms to Spanish (L-R readers) and Moroccan (R-L readers) participants. In Experiment 1, participants rated each picture for its aesthetic pleasingness. The results showed neither effects of lateral organization nor interactions with RWD. In Experiment 2, each picture and its mirror version were presented together and participants chose the one they liked better. Spaniards preferred rightward versions and Moroccans preferred leftward versions. RWD therefore affects aesthetic impressions of photography in our participants when people pay attention to the lateral spatial dimension of pictures. The observed directional aesthetic preferences were not sensitive to the sex of the model in the photographs, failing to support expectations from the hypotheses of emotionality and agency. Preferences were attributable to the interaction between general scanning strategies and scanning habits linked to RWD.

  12. Direct emission of chirality controllable femtosecond LG01 vortex beam

    NASA Astrophysics Data System (ADS)

    Wang, S.; Zhang, S.; Yang, H.; Xie, J.; Jiang, S.; Feng, G.; Zhou, S.

    2018-05-01

    Direct emission of a chirality controllable ultrafast LG01 mode vortex optical beam from a conventional z-type cavity design SESAM (SEmiconductor Saturable Absorber Mirror) mode locked LD pumped Yb:Phosphate laser has been demonstrated. A clean 360 fs vortex beam of ˜45.7 mW output power has been achieved. A radial shear interferometer has been built to determine the phase singularity and the wavefront helicity of the ultrafast output laser. Theoretically, it is found that the LG01 vortex beam is obtained via the combination effect of diagonal HG10 mode generation by off-axis pumping and the controllable Gouy phase difference between HG10 and HG01 modes in the sagittal and tangential planes. The chirality of the LG01 mode can be manipulated by the pump position to the original point of the laser cavity optical axis.

  13. Conservation of direct dynamics in sterically hindered SN2/E2 reactions.

    PubMed

    Carrascosa, Eduardo; Meyer, Jennifer; Michaelsen, Tim; Stei, Martin; Wester, Roland

    2018-01-21

    Nucleophilic substitution (S N 2) and base-induced elimination (E2), two indispensable reactions in organic synthesis, are commonly assumed to proceed under stereospecific conditions. Understanding the way in which the reactants pre-orient in these reactions, that is its stereodynamics, is essential in order to achieve a detailed atomistic picture and control over such processes. Using crossed beam velocity map imaging, we study the effect of steric hindrance in reactions of Cl - and CN - with increasingly methylated alkyl iodides by monitoring the product ion energy and scattering angle. For both attacking anions the rebound mechanism, indicative of a direct S N 2 pathway, is found to contribute to the reaction at high relative collision energies despite being increasingly hindered. An additional forward scattering mechanism, ascribed to a direct E2 reaction, also contributes at these energies. Inspection of the product energy distributions confirms the direct and fast character of both mechanisms as opposed to an indirect reaction mechanism which leads to statistical energy redistribution in the reaction complex. This work demonstrates that nonstatistical dynamics and energetics govern S N 2 and E2 pathways even in sterically hindered exchange reaction systems.

  14. Conservation of direct dynamics in sterically hindered SN2/E2 reactions

    PubMed Central

    Carrascosa, Eduardo; Meyer, Jennifer; Michaelsen, Tim; Stei, Martin

    2017-01-01

    Nucleophilic substitution (SN2) and base-induced elimination (E2), two indispensable reactions in organic synthesis, are commonly assumed to proceed under stereospecific conditions. Understanding the way in which the reactants pre-orient in these reactions, that is its stereodynamics, is essential in order to achieve a detailed atomistic picture and control over such processes. Using crossed beam velocity map imaging, we study the effect of steric hindrance in reactions of Cl– and CN– with increasingly methylated alkyl iodides by monitoring the product ion energy and scattering angle. For both attacking anions the rebound mechanism, indicative of a direct SN2 pathway, is found to contribute to the reaction at high relative collision energies despite being increasingly hindered. An additional forward scattering mechanism, ascribed to a direct E2 reaction, also contributes at these energies. Inspection of the product energy distributions confirms the direct and fast character of both mechanisms as opposed to an indirect reaction mechanism which leads to statistical energy redistribution in the reaction complex. This work demonstrates that nonstatistical dynamics and energetics govern SN2 and E2 pathways even in sterically hindered exchange reaction systems. PMID:29629138

  15. Laser-Beam-Absorption Chemical-Species Monitor

    NASA Technical Reports Server (NTRS)

    Gersh, Michael; Goldstein, Neil; Lee, Jamine; Bien, Fritz; Richtsmeier, Steven

    1996-01-01

    Apparatus measures concentration of chemical species in fluid medium (e.g., gaseous industrial process stream). Directs laser beam through medium, and measures intensity of beam after passage through medium. Relative amount of beam power absorbed in medium indicative of concentration of chemical species; laser wavelength chosen to be one at which species of interest absorbs.

  16. Motivational Scaffolding, Politeness, and Writing Center Tutoring

    ERIC Educational Resources Information Center

    Mackiewicz, Jo; Thompson, Isabelle

    2013-01-01

    Writing center tutors know that improving writing skills requires sustained effort over a long period of time. They also know that motivation--the drive to actively invest in sustained effort toward a goal--is essential for writing improvement. Because motivation can direct attention toward particular tasks and increase both effort and…

  17. Advanced Methods for Direct Ink Write Additive Manufacturing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Compel, W. S.; Lewicki, J. P.

    Lawrence Livermore National Laboratory is one of the world’s premier labs for research and development of additive manufacturing processes. Out of these many processes, direct ink write (DIW) is arguably one of the most relevant for the manufacture of architected polymeric materials, components and hardware. However, a bottleneck in this pipeline that has largely been ignored to date is the lack of advanced software implementation with respect to toolpath execution. There remains to be a convenient, automated method to design and produce complex parts that is user-friendly and enabling for the realization of next generation designs and structures. For amore » material to be suitable as a DIW ink it must possess the appropriate rheological properties for this process. Most importantly, the material must exhibit shear-thinning in order to extrude through a print head and have a rapid recovery of its static shear modulus. This makes it possible for the extrudate to be self-supporting upon exiting the print head. While this and other prerequisites narrow the scope of ‘offthe- shelf’ printable materials directly amenable to DIW, the process still tolerates a wide range of potential feedstock materials. These include metallic alloys, inorganic solvent borne dispersions, polymeric melts, filler stabilized monomer compositions, pre-elastomeric feedstocks and thermoset resins each of which requires custom print conditions tailored to the individual ink. As such, an ink perfectly suited for DIW may be prematurely determined to be undesirable for the process if printed under the wrong conditions. Defining appropriate print conditions such as extrusion rate, layer height, and maximum bridge length is a vital first step in validating an ink’s DIW capability.« less

  18. Chaotic mixing in three-dimensional microvascular networks fabricated by direct-write assembly.

    PubMed

    Therriault, Daniel; White, Scott R; Lewis, Jennifer A

    2003-04-01

    The creation of geometrically complex fluidic devices is a subject of broad fundamental and technological interest. Here, we demonstrate the fabrication of three-dimensional (3D) microvascular networks through direct-write assembly of a fugitive organic ink. This approach yields a pervasive network of smooth cylindrical channels (approximately 10-300 microm) with defined connectivity. Square-spiral towers, isolated within this vascular network, promote fluid mixing through chaotic advection. These vertical towers give rise to dramatic improvements in mixing relative to simple straight (1D) and square-wave (2D) channels while significantly reducing the device planar footprint. We envisage that 3D microvascular networks will provide an enabling platform for a wide array of fluidic-based applications.

  19. Formative Assessment and Writing: A Meta-Analysis

    ERIC Educational Resources Information Center

    Graham, Steve; Hebert, Michael; Harris, Karen R.

    2015-01-01

    To determine whether formative writing assessments that are directly tied to everyday classroom teaching and learning enhance students' writing performance, we conducted a meta-analysis of true and quasi-experiments conducted with students in grades 1 to 8. We found that feedback to students about writing from adults, peers, self, and computers…

  20. Laser-Direct Writing of Silver Metal Electrodes on Transparent Flexible Substrates with High-Bonding Strength.

    PubMed

    Zhou, Weiping; Bai, Shi; Ma, Ying; Ma, Delong; Hou, Tingxiu; Shi, Xiaomin; Hu, Anming

    2016-09-21

    We demonstrate a novel approach to rapidly fabricate conductive silver electrodes on transparent flexible substrates with high-bonding strength by laser-direct writing. A new type of silver ink composed of silver nitrate, sodium citrate, and polyvinylpyrrolidone (PVP) was prepared in this work. The role of PVP was elucidated for improving the quality of silver electrodes. Silver nanoparticles and sintered microstructures were simultaneously synthesized and patterned on a substrate using a focused 405 nm continuous wave laser. The writing was completed through the transparent flexible substrate with a programmed 2D scanning sample stage. Silver electrodes fabricated by this approach exhibit a remarkable bonding strength, which can withstand an adhesive tape test at least 50 times. After a 1500 time bending test, the resistance only increased 5.2%. With laser-induced in-situ synthesis, sintering, and simultaneous patterning of silver nanoparticles, this technology is promising for the facile fabrication of conducting electronic devices on flexible substrates.

  1. Does Using E-Portfolios for Reflective Writing Enhance High School Students' Self-Regulated Learning?

    ERIC Educational Resources Information Center

    Chang, Chi-Cheng; Liang, Chaoyun; Shu, Kuen-Ming; Tseng, Kuo-Hung; Lin, Chun-Yu

    2016-01-01

    The study aims to examine whether reflective writing using e-portfolios enhances high school students' self-regulated learning. Participants included two classes of eighth-graders majoring in Information Processing and taking a course called "Website Design" at a vocational high school in Taiwan. There were 41 students, with 18 males and…

  2. Comparing Traditional Journal Writing with Journal Writing Shared over E-mail List Serves as Tools for Facilitating Reflective Thinking: A Study of Preservice Teachers

    ERIC Educational Resources Information Center

    Kaplan, Diane S.; Rupley, William H.; Sparks, Joanne; Holcomb, Angelia

    2007-01-01

    To determine the conditions that would best encourage reflection in journal writing of preservice teachers in field-based reading internships, the degree of reflective content found in self-contained traditional journals was compared to the reflective content found in journal entries shared over e-mail list serves. Participants were 56 preservice…

  3. Toward all-carbon electronics: fabrication of graphene-based flexible electronic circuits and memory cards using maskless laser direct writing.

    PubMed

    Liang, Jiajie; Chen, Yongsheng; Xu, Yanfei; Liu, Zhibo; Zhang, Long; Zhao, Xin; Zhang, Xiaoliang; Tian, Jianguo; Huang, Yi; Ma, Yanfeng; Li, Feifei

    2010-11-01

    Owing to its extraordinary electronic property, chemical stability, and unique two-dimensional nanostructure, graphene is being considered as an ideal material for the highly expected all-carbon-based micro/nanoscale electronics. Herein, we present a simple yet versatile approach to constructing all-carbon micro/nanoelectronics using solution-processing graphene films directly. From these graphene films, various graphene-based microcosmic patterns and structures have been fabricated using maskless computer-controlled laser cutting. Furthermore, a complete system involving a prototype of a flexible write-once-read-many-times memory card and a fast data-reading system has been demonstrated, with infinite data retention time and high reliability. These results indicate that graphene could be the ideal material for fabricating the highly demanded all-carbon and flexible devices and electronics using the simple and efficient roll-to-roll printing process when combined with maskless direct data writing.

  4. The e-beam sustained CO2 laser amplifier

    NASA Technical Reports Server (NTRS)

    Brown, M. J.; Shaw, S. R.; Evans, M. H.; Smith, I. M.; Holman, W.

    1990-01-01

    The design features of an e-beam sustained CO2 amplifier are described. The amplifier is designed specifically as a catalyst test-bed to study the performance of room temperature precious metal CO-oxidation catalysts under e-beam sustained operation. The amplifier has been designed to provide pulse durations of 30 microseconds in a discharge volume of 2 litres. With a gas flow velocity of 2 metres per second, operation at repetition rates of 10 Hz is accommodated. The system is designed for sealed-off operation and a catalyst bed is housed in the gas circulation system downstream from the discharge region. CO and oxygen monitors are used for diagnosis of gas composition in the amplifier so that catalyst performance can be monitored in situ during sealed lifetests.

  5. Beam distribution reconstruction simulation for electron beam probe

    NASA Astrophysics Data System (ADS)

    Feng, Yong-Chun; Mao, Rui-Shi; Li, Peng; Kang, Xin-Cai; Yin, Yan; Liu, Tong; You, Yao-Yao; Chen, Yu-Cong; Zhao, Tie-Cheng; Xu, Zhi-Guo; Wang, Yan-Yu; Yuan, You-Jin

    2017-07-01

    An electron beam probe (EBP) is a detector which makes use of a low-intensity and low-energy electron beam to measure the transverse profile, bunch shape, beam neutralization and beam wake field of an intense beam with small dimensions. While it can be applied to many aspects, we limit our analysis to beam distribution reconstruction. This kind of detector is almost non-interceptive for all of the beam and does not disturb the machine environment. In this paper, we present the theoretical aspects behind this technique for beam distribution measurement and some simulation results of the detector involved. First, a method to obtain a parallel electron beam is introduced and a simulation code is developed. An EBP as a profile monitor for dense beams is then simulated using the fast scan method for various target beam profiles, including KV distribution, waterbag distribution, parabolic distribution, Gaussian distribution and halo distribution. Profile reconstruction from the deflected electron beam trajectory is implemented and compared with the actual profile, and the expected agreement is achieved. Furthermore, as well as fast scan, a slow scan, i.e. step-by-step scan, is considered, which lowers the requirement for hardware, i.e. Radio Frequency deflector. We calculate the three-dimensional electric field of a Gaussian distribution and simulate the electron motion in this field. In addition, a fast scan along the target beam direction and slow scan across the beam are also presented, and can provide a measurement of longitudinal distribution as well as transverse profile simultaneously. As an example, simulation results for the China Accelerator Driven Sub-critical System (CADS) and High Intensity Heavy Ion Accelerator Facility (HIAF) are given. Finally, a potential system design for an EBP is described.

  6. First-order approximation error analysis of Risley-prism-based beam directing system.

    PubMed

    Zhao, Yanyan; Yuan, Yan

    2014-12-01

    To improve the performance of a Risley-prism system for optical detection and measuring applications, it is necessary to be able to determine the direction of the outgoing beam with high accuracy. In previous works, error sources and their impact on the performance of the Risley-prism system have been analyzed, but their numerical approximation accuracy was not high. Besides, pointing error analysis of the Risley-prism system has provided results for the case when the component errors, prism orientation errors, and assembly errors are certain. In this work, the prototype of a Risley-prism system was designed. The first-order approximations of the error analysis were derived and compared with the exact results. The directing errors of a Risley-prism system associated with wedge-angle errors, prism mounting errors, and bearing assembly errors were analyzed based on the exact formula and the first-order approximation. The comparisons indicated that our first-order approximation is accurate. In addition, the combined errors produced by the wedge-angle errors and mounting errors of the two prisms together were derived and in both cases were proved to be the sum of errors caused by the first and the second prism separately. Based on these results, the system error of our prototype was estimated. The derived formulas can be implemented to evaluate beam directing errors of any Risley-prism beam directing system with a similar configuration.

  7. In-Plane Electrical Connectivity and Near-Field Concentration of Isolated Graphene Resonators Realized by Ion Beams.

    PubMed

    Luo, Weiwei; Cai, Wei; Xiang, Yinxiao; Wu, Wei; Shi, Bin; Jiang, Xiaojie; Zhang, Ni; Ren, Mengxin; Zhang, Xinzheng; Xu, Jingjun

    2017-08-01

    Graphene plasmons provide great opportunities in light-matter interactions benefiting from the extreme confinement and electrical tunability. Structured graphene cavities possess enhanced confinements in 3D and steerable plasmon resonances, potential in applications for sensing and emission control at the nanoscale. Besides graphene boundaries obtained by mask lithography, graphene defects engineered by ion beams have shown efficient plasmon reflections. In this paper, near-field responses of structured graphene achieved by ion beam direct-writing are investigated. Graphene nanoresonators are fabricated easily and precisely with a spatial resolution better than 30 nm. Breathing modes are observed in graphene disks. The amorphous carbons around weaken the response of edge modes in the resonators, but meanwhile render the isolated resonators in-plane electrical connections, where near-fields are proved gate-tunable. The realization of gate-tunable near-fields of graphene 2D resonators opens up tunable near-field couplings with matters. Moreover, graphene nonconcentric rings with engineered near-field confinement distributions are demonstrated, where the quadrupole plasmon modes are excited. Near-field mappings reveal concentrations at the scale of 3.8×10-4λ02 within certain zones which can be engineered. The realization of electrically tunable graphene nanoresonators by ion beam direct-writing is promising for active manipulation of emission and sensing at the nanoscale. © 2017 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  8. Single cell electroporation using proton beam fabricated biochips

    NASA Astrophysics Data System (ADS)

    Homhuan, S.; Zhang, B.; Sheu, F.-S.; Bettiol, A. A.; Watt, F.

    2010-05-01

    We report the design and fabrication of a novel single cell electroporation biochip fabricated by the Proton Beam Writing technique (PBW), a new technique capable of direct-writing high-aspect-ratio nano and microstructures. The biochip features nickel micro-electrodes with straight-side walls between which individual cells are positioned. By applying electrical impulses across the electrodes, SYTOX® Green nucleic acid stain is incorporated into mouse neuroblastoma (N2a) cells. When the stain binds with DNA inside the cell nucleus, green fluorescence is observed upon excitation from a halogen lamp. Three parameters; electric field strength, pulse duration, and the number of pulses have been considered and optimized for the single cell electroporation. The results show that our biochip gives successfully electroporated cells . This single cell electroporation system represents a promising method for investigating the introduction of a wide variety of fluorophores, nanoparticles, quantum dots, DNAs and proteins into cells.

  9. Jet behaviors and ejection mode recognition of electrohydrodynamic direct-write

    NASA Astrophysics Data System (ADS)

    Zheng, Jianyi; Zhang, Kai; Jiang, Jiaxin; Wang, Xiang; Li, Wenwang; Liu, Yifang; Liu, Juan; Zheng, Gaofeng

    2018-01-01

    By introducing image recognition and micro-current testing, jet behavior research was conducted, in which the real-time recognition of ejection mode was realized. To study the factors influencing ejection modes and the current variation trends under different modes, an Electrohydrodynamic Direct-Write (EDW) system with functions of current detection and ejection mode recognition was firstly built. Then a program was developed to recognize the jet modes. As the voltage applied to the metal tip increased, four jet ejection modes in EDW occurred: droplet ejection mode, Taylor cone ejection mode, retractive ejection mode and forked ejection mode. In this work, the corresponding relationship between the ejection modes and the effect on fiber deposition as well as current was studied. The real-time identification of ejection mode and detection of electrospinning current was realized. The results in this paper are contributed to enhancing the ejection stability, providing a good technical basis to produce continuous uniform nanofibers controllably.

  10. Production of a Scalar Boson and a Fermion Pair in Arbitrarily Polarized e - e + Beams

    NASA Astrophysics Data System (ADS)

    Abdullayev, S. K.; Gojayev, M. Sh.; Nasibova, N. A.

    2018-05-01

    Within the framework of the Standard Model (Minimal Supersymmetric Standard Model) we consider the production of the scalar boson HSM (h; H) and a fermion pair ff- in arbitrarily polarized, counterpropagating electron-positron beams e - e + ⇒ HSM (h; H) ff-. Characteristic features of the behavior of the cross sections and polarization characteristics (right-left spin asymmetry, degree of longitudinal polarization of the fermion, and transverse spin asymmetry) are investigated and elucidated as functions of the energy of the electron-positron beams and the mass of the scalar boson.

  11. Focus detection by shearing interference of vortex beams for non-imaging systems.

    PubMed

    Li, Xiongfeng; Zhan, Shichao; Liang, Yiyong

    2018-02-10

    In focus detection of non-imaging systems, the common image-based methods are not available. Also, interference techniques are seldom used because only the degree with hardly any direction of defocus can be derived from the fringe spacing. In this paper, we propose a vortex-beam-based shearing interference system to do focus detection for a focused laser direct-writing system, where a vortex beam is already involved. Both simulated and experimental results show that fork-like features are added in the interference patterns due to the existence of an optical vortex, which makes it possible to distinguish the degree and direction of defocus simultaneously. The theoretical fringe spacing and resolution of this method are derived. A resolution of 0.79 μm can be achieved under the experimental combination of parameters, and it can be further improved with the help of the image processing algorithm and closed-loop controlling in the future. Finally, the influence of incomplete collimation and the wedge angle of the shear plate is discussed. This focus detection approach is extremely appropriate for those non-imaging systems containing one or more focused vortex beams.

  12. What Happens When I Write? Pupils' Writing about Writing

    ERIC Educational Resources Information Center

    Barbeiro, Luis Filipe

    2011-01-01

    This article presents pupils' awareness of writing as elicited through a metawriting task, in other words a task in which pupils from the third, fourth and sixth forms (grades) were required to write about writing. The analysis of the texts revealed the pupils' increasing ability to write texts focusing on writing and on the subject's relationship…

  13. Direct laser writing of microstructures on optically opaque and reflective surfaces

    NASA Astrophysics Data System (ADS)

    Rekštytė, S.; Jonavičius, T.; Malinauskas, M.

    2014-02-01

    Direct laser writing (DLW) based on ultra-localized polymerization is an efficient way to produce three-dimensional (3D) micro/nano-structures for diverse applications in science and industry. It is attractive for its flexibility to materialize CAD models out of wide spectrum of materials on the desired substrates. In case of direct laser lithography, photo-crosslinking can be achieved by tightly focusing ultrashort laser pulses to a photo- or thermo-polymers. Selectively exposing material to laser radiation allows creating fully 3D structures with submicrometer spatial resolution. In this paper we present DLW results of hybrid organic-inorganic material SZ2080 on optically opaque and reflective surfaces, such as silicon and various metals (Cr, Ti, Au). Our studies prove that one can precisely fabricate 2D and 3D structures with lower than 1 μm spatial resolution even on glossy or rough surfaces (surface roughness rms 0.068-0.670 μm) using sample translation velocities of up to 1 mm/s. Using femtosecond high pulse repetition rate laser, sample translation velocity can reach over 1 mm/s ensuring repeatable submicrometer structuring resolution.

  14. Addressable Direct-Write Nanoscale Filament Formation and Dissolution by Nanoparticle-Mediated Bipolar Electrochemistry.

    PubMed

    Crouch, Garrison M; Han, Donghoon; Fullerton-Shirey, Susan K; Go, David B; Bohn, Paul W

    2017-05-23

    Nanoscale conductive filaments, usually associated with resistive memory or memristor technology, may also be used for chemical sensing and nanophotonic applications; however, realistic implementation of the technology requires precise knowledge of the conditions that control the formation and dissolution of filaments. Here we describe and characterize an addressable direct-write nanoelectrochemical approach to achieve repeatable formation/dissolution of Ag filaments across a ∼100 nm poly(ethylene oxide) (PEO) film containing either Ag + alone or Ag + together with 50 nm Ag-nanoparticles acting as bipolar electrodes. Using a conductive AFM tip, formation occurs when the PEO film is subjected to a forward bias, and dissolution occurs under reverse bias. Formation-dissolution kinetics were studied for three film compositions: Ag|PEO-Ag + , Ag|poly(ethylene glycol) monolayer-PEO-Ag + , and Ag|poly(ethylene glycol) monolayer-PEO-Ag + /Ag-nanoparticle. Statistical analysis shows that the distribution of formation times exhibits Gaussian behavior, and the fastest average initial formation time occurs for the Ag|PEO-Ag + system. In contrast, formation in the presence of Ag nanoparticles likely proceeds by a noncontact bipolar electrochemical mechanism, exhibiting the slowest initial filament formation. Dissolution times are log-normal for all three systems, and repeated reformation of filaments from previously formed structures is characterized by rapid regrowth. The direct-write bipolar electrochemical deposition/dissolution strategy developed here presents an approach to reconfigurable, noncontact in situ wiring of nanoparticle arrays-thereby enabling applications where actively controlled connectivity of nanoparticle arrays is used to manipulate nanoelectronic and nanophotonic behavior. The system further allows for facile manipulation of experimental conditions while simultaneously characterizing surface conditions and filament formation/dissolution kinetics.

  15. 48 CFR 952.242-70 - Technical direction.

    Code of Federal Regulations, 2010 CFR

    2010-10-01

    ... contract. (d) All technical direction shall be issued in writing by the COR. (e) The Contractor must... 48 Federal Acquisition Regulations System 5 2010-10-01 2010-10-01 false Technical direction. 952... FORMS SOLICITATION PROVISIONS AND CONTRACT CLAUSES Text of Provisions and Clauses 952.242-70 Technical...

  16. An optimized nanoparticle separator enabled by electron beam induced deposition

    NASA Astrophysics Data System (ADS)

    Fowlkes, J. D.; Doktycz, M. J.; Rack, P. D.

    2010-04-01

    Size-based separations technologies will inevitably benefit from advances in nanotechnology. Direct-write nanofabrication provides a useful mechanism for depositing/etching nanoscale elements in environments otherwise inaccessible to conventional nanofabrication techniques. Here, electron beam induced deposition was used to deposit an array of nanoscale features in a 3D environment with minimal material proximity effects outside the beam-interaction region. Specifically, the membrane component of a nanoparticle separator was fabricated by depositing a linear array of sharply tipped nanopillars, with a singular pitch, designed for sub-50 nm nanoparticle permeability. The nanopillar membrane was used in a dual capacity to control the flow of nanoparticles in the transaxial direction of the array while facilitating the sealing of the cellular-sized compartment in the paraxial direction. An optimized growth recipe resulted which (1) maximized the growth efficiency of the membrane (which minimizes proximity effects) and (2) preserved the fidelity of the spacing between nanopillars (which maximizes the size-based gating quality of the membrane) while (3) maintaining sharp nanopillar apexes for impaling an optically transparent polymeric lid critical for device sealing.

  17. Direct writing of fiber optic components in photonic crystal fibers and other specialty fibers

    NASA Astrophysics Data System (ADS)

    Fernandes, Luis Andre; Sezerman, Omur; Best, Garland; Ng, Mi Li; Kane, Saidou

    2016-04-01

    Femtosecond direct laser writing has recently shown great potential for the fabrication of complex integrated devices in the cladding of optical fibers. Such devices have the advantage of requiring no bulk optical components and no breaks in the fiber path, thus reducing the need for complicated alignment, eliminating contamination, and increasing stability. This technology has already found applications using combinations of Bragg gratings, interferometers, and couplers for the fabrication of optical filters, sensors, and power monitors. The femtosecond laser writing method produces a local modification of refractive index through non-linear absorption of the ultrafast laser pulses inside the dielectric material of both the core and cladding of the fiber. However, fiber geometries that incorporate air or hollow structures, such as photonic crystal fibers (PCFs), still present a challenge since the index modification regions created by the writing process cannot be generated in the hollow regions of the fiber. In this work, the femtosecond laser method is used together with a pre-modification method that consists of partially collapsing the hollow holes using an electrical arc discharge. The partial collapse of the photonic band gap structure provides a path for femtosecond laser written waveguides to couple light from the core to the edge of the fiber for in-line power monitoring. This novel approach is expected to have applications in other specialty fibers such as suspended core fibers and can open the way for the integration of complex devices and facilitate miniaturization of optical circuits to take advantage of the particular characteristics of the PCFs.

  18. Direct Femtosecond Laser Surface Structuring with Optical Vortex Beams Generated by a q-plate

    PubMed Central

    JJ Nivas, Jijil; He, Shutong; Rubano, Andrea; Vecchione, Antonio; Paparo, Domenico; Marrucci, Lorenzo; Bruzzese, Riccardo; Amoruso, Salvatore

    2015-01-01

    Creation of patterns and structures on surfaces at the micro- and nano-scale is a field of growing interest. Direct femtosecond laser surface structuring with a Gaussian-like beam intensity profile has already distinguished itself as a versatile method to fabricate surface structures on metals and semiconductors. Here we present an approach for direct femtosecond laser surface structuring based on optical vortex beams with different spatial distributions of the state of polarization, which are easily generated by means of a q-plate. The different states of an optical vortex beam carrying an orbital angular momentum ℓ = ±1 are used to demonstrate the fabrication of various regular surface patterns on silicon. The spatial features of the regular rippled and grooved surface structures are correlated with the state of polarization of the optical vortex beam. Moreover, scattered surface wave theory approach is used to rationalize the dependence of the surface structures on the local state of the laser beam characteristics (polarization and fluence). The present approach can be further extended to fabricate even more complex and unconventional surface structures by exploiting the possibilities offered by femtosecond optical vector fields. PMID:26658307

  19. Mirror writing: a tachistoscopic study of a woman suffering from migraine when writing with the right hand.

    PubMed

    Nakano, Mitsuko; Tanaka, Shigeki; Izuno, Kenji; Ichihara, Shigeru

    2012-01-01

    An experimental study was conducted with a young woman who had suddenly developed mirror writing in the right hand, which she used for writing. She was not cured for eight years. The patient was ambidextrous and had no medical complaints except for migraine with perceptual and sensory abnormalities, and an enlarged cavity of the septum pellucidum. A previous study using functional magnetic resonance imaging (fMRI), conducted when she imagined letters and wrote letters in the air with either hand, indicated that both her cerebral hemispheres were active. In the present study three experiments were conducted using a tachistoscope to explore the stage in the cognitive process when directional errors emerged. In the experiments, after independently being presented with Attneave's meaningless figures or letters to each hemisphere, participants were requested to do the following: (a) verbally respond whether the orientation of two consecutively shown figures were the same or different and the letters were standard or reversed; (b) distinguish the orientations with right and left hand movements other than by writing (by pushing a button); and (c) reproduce the stimuli (drawing) immediately after the presentation. Results showed a higher rate of incorrect directions only when drawings were reproduced by the right hand. Results also indicated that the woman's inaccurate judgment in direction emerged only when in writing and not at the perceptual level, or when responding with hand movements other than writing. Her migraine was cured after five years following the experiment. The mirror writing was cured 2-3 months later.

  20. Creation of diffraction-limited non-Airy multifocal arrays using a spatially shifted vortex beam

    NASA Astrophysics Data System (ADS)

    Lin, Han; Gu, Min

    2013-02-01

    Diffraction-limited non-Airy multifocal arrays are created by focusing a phase-modulated vortex beam through a high numerical-aperture objective. The modulated phase at the back aperture of the objective resulting from the superposition of two concentric phase-modulated vortex beams allows for the generation of a multifocal array of cylindrically polarized non-Airy patterns. Furthermore, we shift the spatial positions of the phase vortices to manipulate the intensity distribution at each focal spot, leading to the creation of a multifocal array of split-ring patterns. Our method is experimentally validated by generating the predicted phase modulation through a spatial light modulator. Consequently, the spatially shifted circularly polarized vortex beam adopted in a dynamic laser direct writing system facilitates the fabrication of a split-ring microstructure array in a polymer material by a single exposure of a femtosecond laser beam.

  1. Physical processes in directed ion beam sputtering. Ph.D. Thesis

    NASA Technical Reports Server (NTRS)

    Robinson, R. S.

    1979-01-01

    The general operation of a discharge chamber for the production of ions is described. A model is presented for the magnetic containment of both primary and secondary or Maxwellian electrons in the discharge plasma. Cross sections were calculated for energy and momentum transfer in binary collisions between like pairs of Ar, Kr, and Xe atoms in the energy range from about 1 eV to 1000 eV. These calculations were made from available pair interaction potentials using a classical model. Experimental data from the literature were fit to a theoretical expression for the Ar resonance charge exchange cross section over the same energy range. A model was developed that describes the processes of conical texturing of a surface due to simultaneous directed ion beam etching and sputter deposition of an impurity material. This model accurately predicts both a minimum temperature for texturing to take place and the variation of cone density with temperature. It also provides the correct order of magnitude of cone separation. It was predicted from the model, and subsequently verified experimentally, that a high sputter yield material could serve as a seed for coning of a lower sputter yield substrate. Seeding geometries and seed deposition rates were studied to obtain an important input to the theoretical texturing model.

  2. Field emission investigations of single crystal LaB6 FEA fabricated by femtosecond laser direct writing

    NASA Astrophysics Data System (ADS)

    Liu, Hongliang; Zhang, Xin; Li, Yuancheng; Xiao, Yixin; Zhang, Wei; Zhang, Jiu-Xing

    2018-04-01

    The femtosecond laser direct writing method has been used to fabricate the single crystal lanthanum hexaboride (LaB6) field-emission tip arrays (FEAs). The morphologies, structure phase, and field emission of the single crystal LaB6 FEAs are systematically studied. The nanostructures on the surface of tips with the LaB6 phase were formed, resulting in favor of improving field emission, particularly for samples with the nanohill shaped bulges having the size of about 100 nm. The produced single crystal LaB6 FEAs have a uniform structure and a controllable curvature radius of about 0.5-3.0 μm. The FEAs with a curvature radius of about 0.5 μm as field emitters have the best field emission performance, which the field emission turns on and the threshold electric fields are as low as 2.2 and 3.8 V/μm with an emission current of 1.0 A/cm2 at 8.0 V/μm, and the emission current exhibits high stability. These indicate that the processed LaB6 FEAs have a good prospect applied in vacuum microelectronic devices and the simple femtosecond laser direct writing method could lead to an approach for the development of electron sources.

  3. Transverse writing of three-dimensional tubular optical waveguides in glass with a slit-shaped femtosecond laser beam

    PubMed Central

    Liao, Yang; Qi, Jia; Wang, Peng; Chu, Wei; Wang, Zhaohui; Qiao, Lingling; Cheng, Ya

    2016-01-01

    We report on fabrication of tubular optical waveguides buried in ZBLAN glass based on transverse femtosecond laser direct writing. Irradiation in ZBLAN with focused femtosecond laser pulses leads to decrease of refractive index in the modified region. Tubular optical waveguides of variable mode areas are fabricated by forming the four sides of the cladding with slit-shaped femtosecond laser pulses, ensuring single mode waveguiding with a mode field dimension as small as ~4 μm. PMID:27346285

  4. Generating size-controlled embryoid bodies using laser direct-write.

    PubMed

    Dias, A D; Unser, A M; Xie, Y; Chrisey, D B; Corr, D T

    2014-06-01

    Embryonic stem cells (ESCs) have the potential to self-renew and differentiate into any specialized cell type. One common method to differentiate ESCs in vitro is through embryoid bodies (EBs), three-dimensional cellular aggregates that spontaneously self-assemble and generally express markers for the three germ layers, endoderm, ectoderm, and mesoderm. It has been previously shown that both EB size and 2D colony size each influence differentiation. We hypothesized that we could control the size of the EB formed by mouse ESCs (mESCs) by using a cell printing method, laser direct-write (LDW), to control both the size of the initial printed colony and the local cell density in printed colonies. After printing mESCs at various printed colony sizes and printing densities, two-way ANOVAs indicated that the EB diameter was influenced by printing density after three days (p = 0.0002), while there was no effect of the printed colony diameter on the EB diameter at the same timepoint (p = 0.74). There was no significant interaction between these two factors. Tukey's honestly significant difference test showed that high-density colonies formed significantly larger EBs, suggesting that printed mESCs quickly aggregate with nearby cells. Thus, EBs can be engineered to a desired size by controlling printing density, which will influence the design of future differentiation studies. Herein, we highlight the capacity of LDW to control the local cell density and colony size independently, at prescribed spatial locations, potentially leading to better stem cell maintenance and directed differentiation.

  5. Comparison of DNQ/novolac resists for e-beam exposure

    NASA Astrophysics Data System (ADS)

    Fedynyshyn, Theodore H.; Doran, Scott P.; Lind, Michele L.; Lyszczarz, Theodore M.; DiNatale, William F.; Lennon, Donna; Sauer, Charles A.; Meute, Jeff

    1999-12-01

    We have surveyed the commercial resist market with the dual purpose of identifying diazoquinone/novolac based resists that have potential for use as e-beam mask making resists and baselining these resists for comparison against future mask making resist candidates. For completeness, this survey would require that each resist be compared with an optimized developer and development process. To accomplish this task in an acceptable time period, e-beam lithography modeling was employed to quickly identify the resist and developer combinations that lead to superior resist performance. We describe the verification of a method to quickly screen commercial i-line resists with different developers, by determining modeling parameters for i-line resists from e-beam exposures, modeling the resist performance, and comparing predicted performance versus actual performance. We determined the lithographic performance of several DNQ/novolac resists whose modeled performance suggests that sensitivities of less than 40 (mu) C/cm2 coupled with less than 10-nm CD change per percent change in dose are possible for target 600-nm features. This was accomplished by performing a series of statistically designed experiments on the leading resists candidates to optimize processing variables, followed by comparing experimentally determined resist sensitivities, latitudes, and profiles of the DNQ/novolac resists a their optimized process.

  6. Direct writing of 150 nm gratings and squares on ZnO crystal in water by using 800 nm femtosecond laser.

    PubMed

    Liu, Jukun; Jia, Tianqing; Zhou, Kan; Feng, Donghai; Zhang, Shian; Zhang, Hongxin; Jia, Xin; Sun, Zhenrong; Qiu, Jianrong

    2014-12-29

    We present a controllable fabrication of nanogratings and nanosquares on the surface of ZnO crystal in water based on femtosecond laser-induced periodic surface structures (LIPSS). The formation of nanogrooves depends on both laser fluence and writing speed. A single groove with width less than 40 nm and double grooves with distance of 150 nm have been produced by manipulating 800 nm femtosecond laser fluence. Nanogratings with period of 150 nm, 300 nm and 1000 nm, and nanosquares with dimensions of 150 × 150 nm2 were fabricated by using this direct femtosecond laser writing technique.

  7. Balancing Self-Directed Learning with Expert Mentoring: The Science Writing Heuristic Approach

    ERIC Educational Resources Information Center

    Shelley, Mack; Fostvedt, Luke; Gonwa-Reeves, Christopher; Baenziger, Joan; McGill, Michael; Seefeld, Ashley; Hand, Brian; Therrien, William; Taylor, Jonte; Villanueva, Mary Grace

    2012-01-01

    This study focuses on the implementation of the Science Writing Heuristic (SWH) curriculum (Hand, 2007), which combines current understandings of learning as a cognitive and negotiated process with the techniques of argument-based inquiry, critical thinking skills, and writing to strengthen student outcomes. Success of SWH is dependent on the…

  8. The Effects of Teacher Directed Writing Instruction Combined with SOLO Literacy Suite

    ERIC Educational Resources Information Center

    Park, Y.; Ambrose, G.; Coleman, M. B.; Moore, T. C.

    2017-01-01

    The purpose of this study was to examine the effectiveness of an intervention in which teacher-led instruction was combined with computerized writing software to improve paragraph writing for three middle school students with intellectual disability. A multiple probe across participants design was used to evaluate the effectiveness of the…

  9. Electron-Beam-Induced Deposition as a Technique for Analysis of Precursor Molecule Diffusion Barriers and Prefactors.

    PubMed

    Cullen, Jared; Lobo, Charlene J; Ford, Michael J; Toth, Milos

    2015-09-30

    Electron-beam-induced deposition (EBID) is a direct-write chemical vapor deposition technique in which an electron beam is used for precursor dissociation. Here we show that Arrhenius analysis of the deposition rates of nanostructures grown by EBID can be used to deduce the diffusion energies and corresponding preexponential factors of EBID precursor molecules. We explain the limitations of this approach, define growth conditions needed to minimize errors, and explain why the errors increase systematically as EBID parameters diverge from ideal growth conditions. Under suitable deposition conditions, EBID can be used as a localized technique for analysis of adsorption barriers and prefactors.

  10. Laser Doppler velocity measurement without directional ambiguity by using frequency shifted incident beams

    NASA Technical Reports Server (NTRS)

    Mazumder, M. K.

    1970-01-01

    Laser Doppler heterodyning system for velocity measurements without directional ambiguity, employing incident beams of different frequencies through rotating diffraction grating or Bragg cell application

  11. SU-E-T-645: Qualification of a 2D Ionization Chamber Array for Beam Steering and Profile Measurement

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Gao, S; Balter, P; Rose, M

    2015-06-15

    Purpose: Establish a procedure for beam steering and profile measurement using a 2D ionization chamber array and show equivalence to a water scanning system. Methods: Multiple photon beams (30×30cm{sup 2} field) and electron beams (25×25cm{sup 2} cone) were steered in the radial and transverse directions using Sun Nuclear’s IC PROFILER (ICP). Solid water was added during steering to ensure measurements were beyond the buildup region. With steering complete, servos were zeroed and enabled. Photon profiles were collected in a 30×30cm{sup 2} field at dmax and 2.9 cm depth for flattened and FFF beams respectively. Electron profiles were collected with amore » 25×25cm{sup 2} cone and effective depth (solid water + 0.9 cm intrinsic buildup) as follows: 0.9 cm (6e), 1.9 cm (9e), 2.9 cm (12e, 16e, 20e). Profiles of the same energy, field size and depth were measured in water with Sun Nuclear’s 3D SCANNER (3DS). Profiles were re-measured using the ICP after the in-water scans. Profiles measured using the ICP and 3DS were compared by (a) examining the differences in Varian’s “Point Difference Symmetry” metric, (b) visual inspection of the overlaid profile shapes and (c) calculation of point-by-point differences. Results: Comparing ICP measurements before and after water scanning showed very good agreement indicating good stability of the linac and measurement system. Comparing ICP Measurements to water phantom measurements using Varian’s symmetry metric showed agreement within 0.5% for all beams. The average magnitude of the agreement was within 0.2%. Comparing ICP Measurements to water phantom measurements using point-by-point difference showed agreement within 0.5% inside of 80% area of the field width. Conclusion: Profile agreement to within 0.5% was observed between ICP and 3DS after steering multiple energies with the ICP. This indicates that the ICP may be used for steering electron beams, and both flattened and FFF photon beams. Song Gao: Sun

  12. How To Improve Students' Writing Styles.

    ERIC Educational Resources Information Center

    Griffin, Beverly Norris

    Instructors often criticize student writing styles in abstract, nebulous terms which further serve to alienate student writers from the concept of style. College instructors should direct students to such concise, recognized discourses on improving writing style as Strunk and White's "The Elements of Style." In these manuals, specific, effective…

  13. TH-CD-202-02: A Preliminary Study Evaluating Beam-Hardening Artifact Reduction On CT Direct Electron-Density Images

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, H; Dolly, S; Zhao, T

    Purpose: A prototype reconstruction algorithm that can provide direct electron density (ED) images from single energy CT scans is being currently developed by Siemens Healthcare GmbH. This feature can eliminate the need for kV specific calibration curve for radiation treatemnt planning. An added benefit is that beam-hardening artifacts are also reduced on direct-ED images due to the underlying material decomposition. This study is to quantitatively analyze the reduction of beam-hardening artifacts on direct-ED images and suggest additional clinical usages. Methods: HU and direct-ED images were reconstructed on a head phantom scanned on a Siemens Definition AS CT scanner at fivemore » tube potentials of 70kV, 80kV, 100kV, 120kV and 140kV respectively. From these images, mean, standard deviation (SD), and local NPS were calculated for regions of interest (ROI) of same locations and sizes. A complete analysis of beam-hardening artifact reduction and image quality improvement was conducted. Results: Along with the increase of tube potentials, ROI means and SDs decrease on both HU and direct-ED images. The mean value differences between HU and direct-ED images are up to 8% with absolute value of 2.9. Compared to that on HU images, the SDs are lower on direct-ED images, and the differences are up to 26%. Interestingly, the local NPS calculated from direct-ED images shows consistent values in the low spatial frequency domain for images acquired from all tube potential settings, while varied dramatically on HU images. This also confirms the beam -hardening artifact reduction on ED images. Conclusions: The low SDs on direct-ED images and relative consistent NPS values in the low spatial frequency domain indicate a reduction of beam-hardening artifacts. The direct-ED image has the potential to assist in more accurate organ contouring, and is a better fit for the desired purpose of CT simulations for radiotherapy.« less

  14. Photonic guiding structures in lithium niobate crystals produced by energetic ion beams

    NASA Astrophysics Data System (ADS)

    Chen, Feng

    2009-10-01

    A range of ion beam techniques have been used to fabricate a variety of photonic guiding structures in the well-known lithium niobate (LiNbO3 or LN) crystals that are of great importance in integrated photonics/optics. This paper reviews the up-to-date research progress of ion-beam-processed LiNbO3 photonic structures and reports on their fabrication, characterization, and applications. Ion beams are being used with this material in a wide range of techniques, as exemplified by the following examples. Ion beam milling/etching can remove the selected surface regions of LiNbO3 crystals via the sputtering effects. Ion implantation and swift ion irradiation can form optical waveguide structures by modifying the surface refractive indices of the LiNbO3 wafers. Crystal ion slicing has been used to obtain bulk-quality LiNbO3 single-crystalline thin films or membranes by exfoliating the implanted layer from the original substrate. Focused ion beams can either generate small structures of micron or submicron dimensions, to realize photonic bandgap crystals in LiNbO3, or directly write surface waveguides or other guiding devices in the crystal. Ion beam-enhanced etching has been extensively applied for micro- or nanostructuring of LiNbO3 surfaces. Methods developed to fabricate a range of photonic guiding structures in LiNbO3 are introduced. Modifications of LiNbO3 through the use of various energetic ion beams, including changes in refractive index and properties related to the photonic guiding structures as well as to the materials (i.e., electro-optic, nonlinear optic, luminescent, and photorefractive features), are overviewed in detail. The application of these LiNbO3 photonic guiding structures in both micro- and nanophotonics are briefly summarized.

  15. Review Of E-Beam Electrical Test Techniques

    NASA Astrophysics Data System (ADS)

    Hohn, Fritz J.

    1987-09-01

    Electron beams as a viable technique for contactless testing of electrical functions and electrical integrity of different active devices in VLSI-chips has been demonstrated over the past years. This method of testing electronic networks, most widely used in the laboratory environment, is based on an electron probe which is deflected from point to point in the network. A current of secondary electrons emitted in response to the impingement of the electron probe is converted to a signal indicating the presence of a voltage or varying potential at the different points. Voltage contrast, electron beam induced current, dual potential approach, stroboscopic techniques and other methods have been developed and are used to detect different functional failures in devices. Besides the VLSI application, the contactless testing of three dimensional conductor networks of a 10cm x 10cm x .8cm multilayer ceramic module poses a different and new application for the electron beam test technique. A dual potential electron beam test system allows to generate electron beam induced voltage contrast. The same system at a different potential is used to detect this voltage contrast over the large area without moving the substrate and thus test for the electrical integrity of the networks. Less attention in most of the applications has been paid to the electron optical environment, mostly SEM's were upgraded or converted to do the job of a "voltage contrast" machine. This by no means will satisfy all requirements and more thoughts have to be given to aspects such as: low voltage electron guns: thermal emitter, Schottky emitter, field emitter, low voltage electron optics, two lens systems, different means of detection, signal processing - storage and others. This paper will review available E-beam test techniques, specific applications and some critical components.

  16. Medial-Vowel Writing Difficulty in Korean Syllabic Writing: A Characteristic Sign of Alzheimer's Disease

    PubMed Central

    Yoon, Ji Hye; Jeong, Yong

    2018-01-01

    Background and Purpose Korean-speaking patients with a brain injury may show agraphia that differs from that of English-speaking patients due to the unique features of Hangul syllabic writing. Each grapheme in Hangul must be arranged from left to right and/or top to bottom within a square space to form a syllable, which requires greater visuospatial abilities than when writing the letters constituting an alphabetic writing system. Among the Hangul grapheme positions within a syllable, the position of a vowel is important because it determines the writing direction and the whole configuration in Korean syllabic writing. Due to the visuospatial characteristics of the Hangul vowel, individuals with early-onset Alzheimer's disease (EOAD) may experiences differences between the difficulties of writing Hangul vowels and consonants due to prominent visuospatial dysfunctions caused by parietal lesions. Methods Eighteen patients with EOAD and 18 age-and-education-matched healthy adults participated in this study. The participants were requested to listen to and write 30 monosyllabic characters that consisted of an initial consonant, medial vowel, and final consonant with a one-to-one phoneme-to-grapheme correspondence. We measured the writing time for each grapheme, the pause time between writing the initial consonant and the medial vowel (P1), and the pause time between writing the medial vowel and the final consonant (P2). Results All grapheme writing and pause times were significantly longer in the EOAD group than in the controls. P1 was also significantly longer than P2 in the EOAD group. Conclusions Patients with EOAD might require a higher judgment ability and longer processing time for determining the visuospatial grapheme position before writing medial vowels. This finding suggests that a longer pause time before writing medial vowels is an early marker of visuospatial dysfunction in patients with EOAD. PMID:29504296

  17. Medial-Vowel Writing Difficulty in Korean Syllabic Writing: A Characteristic Sign of Alzheimer's Disease.

    PubMed

    Yoon, Ji Hye; Jeong, Yong; Na, Duk L

    2018-04-01

    Korean-speaking patients with a brain injury may show agraphia that differs from that of English-speaking patients due to the unique features of Hangul syllabic writing. Each grapheme in Hangul must be arranged from left to right and/or top to bottom within a square space to form a syllable, which requires greater visuospatial abilities than when writing the letters constituting an alphabetic writing system. Among the Hangul grapheme positions within a syllable, the position of a vowel is important because it determines the writing direction and the whole configuration in Korean syllabic writing. Due to the visuospatial characteristics of the Hangul vowel, individuals with early-onset Alzheimer's disease (EOAD) may experiences differences between the difficulties of writing Hangul vowels and consonants due to prominent visuospatial dysfunctions caused by parietal lesions. Eighteen patients with EOAD and 18 age-and-education-matched healthy adults participated in this study. The participants were requested to listen to and write 30 monosyllabic characters that consisted of an initial consonant, medial vowel, and final consonant with a one-to-one phoneme-to-grapheme correspondence. We measured the writing time for each grapheme, the pause time between writing the initial consonant and the medial vowel (P1), and the pause time between writing the medial vowel and the final consonant (P2). All grapheme writing and pause times were significantly longer in the EOAD group than in the controls. P1 was also significantly longer than P2 in the EOAD group. Patients with EOAD might require a higher judgment ability and longer processing time for determining the visuospatial grapheme position before writing medial vowels. This finding suggests that a longer pause time before writing medial vowels is an early marker of visuospatial dysfunction in patients with EOAD. Copyright © 2018 Korean Neurological Association.

  18. Measuring Broadband IR Irradiance in the Direct Solar Beam and Recent Development

    NASA Astrophysics Data System (ADS)

    Reda, I.; Andreas, A.; Dooraghi, M.; Habte, A.; Sengupta, M.; Kutchenreiter, M.

    2016-12-01

    Solar and atmospheric science radiometers such as pyranometers, pyrheliometers, and photovoltaic cells are calibrated with traceability to consensus Reference, which is maintained by Absolute Cavity Radiometers (ACRs). An ACR is an open cavity with no window, and developed to measure extended broadband spectrum of the terrestrial direct solar beam irradiance, extends beyond the ultraviolet and infrared bands; i.e. below 0.2 µm and above 50 µm, respectively. On the other hand, the pyranometers and pyrheliometers were developed to measure broadband shortwave irradiance from approximately 0.3 µm to 3 µm, while the present photovoltaic cells are limited to the spectral range of approximately 0.3 µm to 1 µm. The broadband mismatch of ACR versus such radiometers causes discrepancy in radiometers' calibration methods that has not been discussed or addressed in the solar and atmospheric science literature. Pyrgeometers are also used for solar and atmospheric science applications and calibrated with traceability to consensus Reference, yet they are calibrated during nighttime only, because no consensus reference has yet been established for the daytime longwave irradiance. This poster describes a method to measure the broadband longwave irradiance in the terrestrial direct solar beam from 3 µm to 50 µm, as a first step that might be used to help develop calibration methods to address the mismatch between broadband ACR and shortwave radiometers, and the lack of a daytime reference for pyrgeometers. The described method is used to measure the irradiance from sunrise to sunset; the irradiance varied from approximately 1 Wm-2 to 16 Wm-2 with an estimated uncertainty of 1.5 Wm-2, for a solar zenith angle range from 80° to 16°, respectively.

  19. Writing the Trenches: What Students of Technical Writing and Literature Can Learn Together

    ERIC Educational Resources Information Center

    Baake, Ken; Shelton, Jen

    2017-01-01

    We argue for a course in which students analyze writing about a common topic--in this case World War I--from multiple genres (e.g., poetry and technical manuals). We address the divide between instruction in pragmatic and literary writing and calls to bridge that gap. Students working in disparate areas of English learn the strengths and the…

  20. Polarization leakage in epoch of reionization windows - II. Primary beam model and direction-dependent calibration

    NASA Astrophysics Data System (ADS)

    Asad, K. M. B.; Koopmans, L. V. E.; Jelić, V.; Ghosh, A.; Abdalla, F. B.; Brentjens, M. A.; de Bruyn, A. G.; Ciardi, B.; Gehlot, B. K.; Iliev, I. T.; Mevius, M.; Pandey, V. N.; Yatawatta, S.; Zaroubi, S.

    2016-11-01

    Leakage of diffuse polarized emission into Stokes I caused by the polarized primary beam of the instrument might mimic the spectral structure of the 21-cm signal coming from the epoch of reionization (EoR) making their separation difficult. Therefore, understanding polarimetric performance of the antenna is crucial for a successful detection of the EoR signal. Here, we have calculated the accuracy of the nominal model beam of Low Frequency ARray (LOFAR) in predicting the leakage from Stokes I to Q, U by comparing them with the corresponding leakage of compact sources actually observed in the 3C 295 field. We have found that the model beam has errors of ≤10 per cent on the predicted levels of leakage of ˜1 per cent within the field of view, I.e. if the leakage is taken out perfectly using this model the leakage will reduce to 10-3 of the Stokes I flux. If similar levels of accuracy can be obtained in removing leakage from Stokes Q, U to I, we can say, based on the results of our previous paper, that the removal of this leakage using this beam model would ensure that the leakage is well below the expected EoR signal in almost the whole instrumental k-space of the cylindrical power spectrum. We have also shown here that direction-dependent calibration can remove instrumentally polarized compact sources, given an unpolarized sky model, very close to the local noise level.

  1. Innovative Writing Instruction: Writing Selves, Writing Stories

    ERIC Educational Resources Information Center

    Kinloch, Valeria, Ed.

    2009-01-01

    In this third "Innovative Writing Instruction" column, the author invited a former high school teacher on the verge of preparing for doctoral candidacy exams, an instructor and doctoral student interested in writing research/practice, and a university supervisor for teacher education preservice students to share aspects of their writing selves,…

  2. Direct-write 3D printing of NdFeB bonded magnets

    DOE PAGES

    Compton, Brett Gibson; Kemp, James William; Novikov, Timofei V.; ...

    2016-08-17

    We report a method to fabricate Nd-Fe-B bonded magnets of complex shape via extrusion-based additive manufacturing (AM), also known as 3D-printing. We have successfully formulated a 3D-printable epoxy-based ink for direct-write AM with anisotropic MQA NdFeB magnet particles that can be deposited at room temperature. The new feedstocks contain up to 40 vol.% MQA anisotropic Nd-Fe-B magnet particles, and they are shown to remain uniformly dispersed in the thermoset matrix throughout the deposition process. Ring, bar, and horseshoe-type 3D magnet structures were printed and cured in air at 100°C without degrading the magnetic properties. Lastly, this study provides a newmore » pathway for fabricating Nd-Fe-B bonded magnets with complex geometry at low temperature, and presents new opportunities for fabricating multifunctional hybrid structures and devices.« less

  3. Direct-write 3D printing of NdFeB bonded magnets

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Compton, Brett Gibson; Kemp, James William; Novikov, Timofei V.

    We report a method to fabricate Nd-Fe-B bonded magnets of complex shape via extrusion-based additive manufacturing (AM), also known as 3D-printing. We have successfully formulated a 3D-printable epoxy-based ink for direct-write AM with anisotropic MQA NdFeB magnet particles that can be deposited at room temperature. The new feedstocks contain up to 40 vol.% MQA anisotropic Nd-Fe-B magnet particles, and they are shown to remain uniformly dispersed in the thermoset matrix throughout the deposition process. Ring, bar, and horseshoe-type 3D magnet structures were printed and cured in air at 100°C without degrading the magnetic properties. Lastly, this study provides a newmore » pathway for fabricating Nd-Fe-B bonded magnets with complex geometry at low temperature, and presents new opportunities for fabricating multifunctional hybrid structures and devices.« less

  4. Direct and compound reactions induced by unstable helium beams near the Coulomb barrier

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Navin, A.; Tripathi, V.; Chatterjee, A.

    2004-10-01

    Reactions induced by radioactive {sup 6,8}He beams from the SPIRAL facility were studied on {sup 63,65}Cu and {sup 188,190,192}Os targets and compared to reactions with the stable {sup 4}He projectiles from the Mumbai Pelletron. Partial residue cross sections for fusion and neutron transfer obtained from the measured intensities of characteristic in-beam {gamma} rays for the {sup 6}He+{sup 63,65}Cu systems are presented. Coincidence measurements of heavy reaction products, identified by their characteristic {gamma} rays, with projectilelike charged particles, provide direct evidence for a large transfer cross section with Borromean nuclei {sup 6}He at 19.5 and 30 MeV and {sup 8}He atmore » 27 MeV. Reaction cross sections were also obtained from measured elastic angular distributions for {sup 6,8}He+Cu systems. Cross sections for fusion and direct reactions with {sup 4,6}He beams on heavier targets of {sup 188,192}Os at 30 MeV are also presented. The present work underlines the need to distinguish between various reaction mechanisms leading to the same products before drawing conclusions about the effect of weak binding on the fusion process. The feasibility of extracting small cross sections from inclusive in-beam {gamma}-ray measurements for reaction studies near the Coulomb barrier with low intensity isotope separation on-line beams is highlighted.« less

  5. Direct laser written polymer waveguides with out of plane couplers for optical chips

    NASA Astrophysics Data System (ADS)

    Landowski, Alexander; Zepp, Dominik; Wingerter, Sebastian; von Freymann, Georg; Widera, Artur

    2017-10-01

    Optical technologies call for waveguide networks featuring high integration densities, low losses, and simple operation. Here, we present polymer waveguides fabricated from a negative tone photoresist via two-photon-lithography in direct laser writing, and show a detailed parameter study of their performance. Specifically, we produce waveguides featuring bend radii down to 40 μ m, insertion losses of the order of 10 dB, and loss coefficients smaller than 0.81 dB mm-1, facilitating high integration densities in writing fields of 300 μ m×300 μ m. A novel three-dimensional coupler design allows for coupling control as well as direct observation of outputs in a single field of view through a microscope objective. Finally, we present beam-splitting devices to construct larger optical networks, and we show that the waveguide material is compatible with the integration of quantum emitters.

  6. Wide-angle full-vector beam propagation method based on an alternating direction implicit preconditioner

    NASA Astrophysics Data System (ADS)

    Chui, Siu Lit; Lu, Ya Yan

    2004-03-01

    Wide-angle full-vector beam propagation methods (BPMs) for three-dimensional wave-guiding structures can be derived on the basis of rational approximants of a square root operator or its exponential (i.e., the one-way propagator). While the less accurate BPM based on the slowly varying envelope approximation can be efficiently solved by the alternating direction implicit (ADI) method, the wide-angle variants involve linear systems that are more difficult to handle. We present an efficient solver for these linear systems that is based on a Krylov subspace method with an ADI preconditioner. The resulting wide-angle full-vector BPM is used to simulate the propagation of wave fields in a Y branch and a taper.

  7. Wide-angle full-vector beam propagation method based on an alternating direction implicit preconditioner.

    PubMed

    Chui, Siu Lit; Lu, Ya Yan

    2004-03-01

    Wide-angle full-vector beam propagation methods (BPMs) for three-dimensional wave-guiding structures can be derived on the basis of rational approximants of a square root operator or its exponential (i.e., the one-way propagator). While the less accurate BPM based on the slowly varying envelope approximation can be efficiently solved by the alternating direction implicit (ADI) method, the wide-angle variants involve linear systems that are more difficult to handle. We present an efficient solver for these linear systems that is based on a Krylov subspace method with an ADI preconditioner. The resulting wide-angle full-vector BPM is used to simulate the propagation of wave fields in a Y branch and a taper.

  8. Impulsive solar X-ray bursts. 3: Polarization and directivity of bremsstrahlung radiation from a beam of electrons directed toward the photosphere

    NASA Technical Reports Server (NTRS)

    Langer, S. H.; Petrosian, V.

    1976-01-01

    The spectrum, directivity and state of polarization is presented of the bremsstrahlung radiation expected from a beam of high energy electrons spiraling along radial magnetic field lines toward the photosphere. The results are used for calculation of the characteristics of the reflected plus direct flux.

  9. Effective data compaction algorithm for vector scan EB writing system

    NASA Astrophysics Data System (ADS)

    Ueki, Shinichi; Ashida, Isao; Kawahira, Hiroichi

    2001-01-01

    We have developed a new mask data compaction algorithm dedicated to vector scan electron beam (EB) writing systems for 0.13 μm device generation. Large mask data size has become a significant problem at mask data processing for which data compaction is an important technique. In our new mask data compaction, 'array' representation and 'cell' representation are used. The mask data format for the EB writing system with vector scan supports these representations. The array representation has a pitch and a number of repetitions in both X and Y direction. The cell representation has a definition of figure group and its reference. The new data compaction method has the following three steps. (1) Search arrays of figures by selecting pitches of array so that a number of figures are included. (2) Find out same arrays that have same repetitive pitch and number of figures. (3) Search cells of figures, where the figures in each cell take identical positional relationship. By this new method for the mask data of a 4M-DRAM block gate layer with peripheral circuits, 202 Mbytes without compaction was highly compacted to 6.7 Mbytes in 20 minutes on a 500 MHz PC.

  10. Electrophoretic deposition of thermites onto micro-engineered electrodes prepared by direct-ink writing.

    PubMed

    Sullivan, K T; Zhu, C; Tanaka, D J; Kuntz, J D; Duoss, E B; Gash, A E

    2013-02-14

    This work combines electrophoretic deposition (EPD) with direct-ink writing (DIW) to prepare thin films of Al/CuO thermites onto patterned two- and three-dimensional silver electrodes. DIW was used to write the electrodes using a silver nanoparticle ink, and EPD was performed in a subsequent step to deposit the thermite onto the conductive electrodes. Unlike conventional lithographic techniques, DIW is a low-cost and versatile alternative to print fine-featured electrodes, and adds the benefit of printing self-supported three-dimensional structures. EPD provides a method for depositing the composite thermite only onto the conductive electrodes, and with controlled thicknesses, which provides fine spatial and mass control, respectively. EPD has previously been shown to produce well-mixed thermite composites which can pack to reasonably high densities without the need for any postprocessing. Homogeneous mixing is particularly important in reactive composities, where good mixing can enhance the reaction kinetics by decreasing the transport distance between the components. Several two- and three-dimensional designs were investigated to highlight the versatility of using DIW and EPD together. In addition to energetic applications, we anticipate that this combination of techniques will have a variety of other applications, which would benefit from the controlled placement of a thin film of one material onto a conductive architecture of a second material.

  11. "Why are Your Kids Writing during Reading Time?"

    ERIC Educational Resources Information Center

    Harp, Bill

    1987-01-01

    Presents a hypothetical situation of an elementary school principal's concern at students writing during reading time, and offers a possible teacher's response with information about the direct tie between writing and reading improvement. (JC)

  12. High-Fidelity 3D-Nanoprinting via Focused Electron Beams: Growth Fundamentals

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Winkler, Robert; Lewis, Brett B.; Fowlkes, Jason Davidson

    While 3D-printing is currently experiencing significant growth and having a significant impact on science and technology, the expansion into the nanoworld is still a highly challenging task. Among the increasing number of approaches, focused electron-beam-induced deposition (FEBID) was recently demonstrated to be a viable candidate toward a generic direct-write fabrication technology with spatial nanometer accuracy for complex shaped 3D-nanoarchitectures. In this comprehensive study, we explore the parameter space for 3D-FEBID and investigate the implications of individual and interdependent parameters on freestanding nanosegments, which act as a fundamental building block for complex 3D-structures. In particular, the study provides new basic insightsmore » such as precursor transport limitations and angle dependent growth rates, both essential for high-fidelity fabrication. In conclusion, complemented by practical aspects, we provide both basic insights in 3D-growth dynamics and technical guidance for specific process adaption to enable predictable and reliable direct-write synthesis of freestanding 3D-nanoarchitectures.« less

  13. High-Fidelity 3D-Nanoprinting via Focused Electron Beams: Growth Fundamentals

    DOE PAGES

    Winkler, Robert; Lewis, Brett B.; Fowlkes, Jason Davidson; ...

    2018-02-14

    While 3D-printing is currently experiencing significant growth and having a significant impact on science and technology, the expansion into the nanoworld is still a highly challenging task. Among the increasing number of approaches, focused electron-beam-induced deposition (FEBID) was recently demonstrated to be a viable candidate toward a generic direct-write fabrication technology with spatial nanometer accuracy for complex shaped 3D-nanoarchitectures. In this comprehensive study, we explore the parameter space for 3D-FEBID and investigate the implications of individual and interdependent parameters on freestanding nanosegments, which act as a fundamental building block for complex 3D-structures. In particular, the study provides new basic insightsmore » such as precursor transport limitations and angle dependent growth rates, both essential for high-fidelity fabrication. In conclusion, complemented by practical aspects, we provide both basic insights in 3D-growth dynamics and technical guidance for specific process adaption to enable predictable and reliable direct-write synthesis of freestanding 3D-nanoarchitectures.« less

  14. Writing time estimation of EB mask writer EBM-9000 for hp16nm/logic11nm node generation

    NASA Astrophysics Data System (ADS)

    Kamikubo, Takashi; Takekoshi, Hidekazu; Ogasawara, Munehiro; Yamada, Hirokazu; Hattori, Kiyoshi

    2014-10-01

    The scaling of semiconductor devices is slowing down because of the difficulty in establishing their functionality at the nano-size level and also because of the limitations in fabrications, mainly the delay of EUV lithography. While multigate devices (FinFET) are currently the main driver for scalability, other types of devices, such as 3D devices, are being realized to relax the scaling of the node. In lithography, double or multiple patterning using ArF immersion scanners is still a realistic solution offered for the hp16nm node fabrication. Other lithography candidates are those called NGL (Next Generation Lithography), such as DSA (Directed-Self-Assembling) or nanoimprint. In such situations, shot count for mask making by electron beam writers will not increase. Except for some layers, it is not increasing as previously predicted. On the other hand, there is another aspect that increases writing time. The exposure dose for mask writing is getting higher to meet tighter specifications of CD uniformity, in other words, reduce LER. To satisfy these requirements, a new electron beam mask writer, EBM-9000, has been developed for hp16nm/logic11nm generation. Electron optical system, which has the immersion lens system, was evolved from EBM-8000 to achieve higher current density of 800A/cm2. In this paper, recent shot count and dose trend are discussed. Also, writing time is estimated for the requirements in EBM-9000.

  15. Directed Block Copolymer Assembly versus Electron Beam Lithography for Bit-Patterned Media with Areal Density of 1 Terabit/inch(2) and Beyond.

    PubMed

    Yang, Xiaomin; Wan, Lei; Xiao, Shuaigang; Xu, Yuan; Weller, Dieter K

    2009-07-28

    The directed self-assembly of block copolymer (BCP) offers a new route to perfect nanolithographic patterning at sub-50 nm length scale with molecular scale precision. We have explored the feasibility of using the BCP approach versus the conventional electron beam (e-beam) lithography to create highly dense dot patterns for bit-patterned media (BPM) applications. Cylinder-forming poly(styrene-b-methyl methacrylate) (PS-b-PMMA) directly self-assembled on a chemically prepatterned substrate. The nearly perfect hexagonal arrays of perpendicularly oriented cylindrical pores at a density of approximately 1 Terabit per square inch (Tb/in.(2)) are achieved over an arbitrarily large area. Considerable gains in the BCP process are observed relative to the conventional e-beam lithography in terms of the dot size variation, the placement accuracy, the pattern uniformity, and the exposure latitude. The maximum dimensional latitude in the cylinder-forming BCP patterns and the maximum skew angle that the BCP can tolerate have been investigated for the first time. The dimensional latitude restricts the formation of more than one lattice configuration in certain ranges. More defects in BCP patterns are observed when using low molecular weight BCP materials or on non-hexagonal prepatterns due to the dimensional latitude restriction. Finally, the limitations and challenges in the BCP approach that are associated with BPM applications will be briefly discussed.

  16. Neighbor Discovery Algorithm in Wireless Local Area Networks Using Multi-beam Directional Antennas

    NASA Astrophysics Data System (ADS)

    Wang, Jin; Peng, Wei; Liu, Song

    2017-10-01

    Neighbor discovery is an important step for Wireless Local Area Networks (WLAN) and the use of multi-beam directional antennas can greatly improve the network performance. However, most neighbor discovery algorithms in WLAN, based on multi-beam directional antennas, can only work effectively in synchronous system but not in asynchro-nous system. And collisions at AP remain a bottleneck for neighbor discovery. In this paper, we propose two asynchrono-us neighbor discovery algorithms: asynchronous hierarchical scanning (AHS) and asynchronous directional scanning (ADS) algorithm. Both of them are based on three-way handshaking mechanism. AHS and ADS reduce collisions at AP to have a good performance in a hierarchical way and directional way respectively. In the end, the performance of the AHS and ADS are tested on OMNeT++. Moreover, it is analyzed that different application scenarios and the factors how to affect the performance of these algorithms. The simulation results show that AHS is suitable for the densely populated scenes around AP while ADS is suitable for that most of the neighborhood nodes are far from AP.

  17. Focused-electron-beam-induced processing (FEBIP) for emerging applications in carbon nanoelectronics

    NASA Astrophysics Data System (ADS)

    Fedorov, Andrei G.; Kim, Songkil; Henry, Mathias; Kulkarni, Dhaval; Tsukruk, Vladimir V.

    2014-12-01

    Focused-electron-beam-induced processing (FEBIP), a resist-free additive nanomanufacturing technique, is an actively researched method for "direct-write" processing of a wide range of structural and functional nanomaterials, with high degree of spatial and time-domain control. This article attempts to critically assess the FEBIP capabilities and unique value proposition in the context of processing of electronics materials, with a particular emphasis on emerging carbon (i.e., based on graphene and carbon nanotubes) devices and interconnect structures. One of the major hurdles in advancing the carbon-based electronic materials and device fabrication is a disjoint nature of various processing steps involved in making a functional device from the precursor graphene/CNT materials. Not only this multi-step sequence severely limits the throughput and increases the cost, but also dramatically reduces the processing reproducibility and negatively impacts the quality because of possible between-the-step contamination, especially for impurity-susceptible materials such as graphene. The FEBIP provides a unique opportunity to address many challenges of carbon nanoelectronics, especially when it is employed as part of an integrated processing environment based on multiple "beams" of energetic particles, including electrons, photons, and molecules. This avenue is promising from the applications' prospective, as such a multi-functional (electron/photon/molecule beam) enables one to define shapes (patterning), form structures (deposition/etching), and modify (cleaning/doping/annealing) properties with locally resolved control on nanoscale using the same tool without ever changing the processing environment. It thus will have a direct positive impact on enhancing functionality, improving quality and reducing fabrication costs for electronic devices, based on both conventional CMOS and emerging carbon (CNT/graphene) materials.

  18. Direction-dependent waist-shift-difference of Gaussian beam in a multiple-pass zigzag slab amplifier and geometrical optics compensation method.

    PubMed

    Li, Zhaoyang; Kurita, Takashi; Miyanaga, Noriaki

    2017-10-20

    Zigzag and non-zigzag beam waist shifts in a multiple-pass zigzag slab amplifier are investigated based on the propagation of a Gaussian beam. Different incident angles in the zigzag and non-zigzag planes would introduce a direction-dependent waist-shift-difference, which distorts the beam quality in both the near- and far-fields. The theoretical model and analytical expressions of this phenomenon are presented, and intensity distributions in the two orthogonal planes are simulated and compared. A geometrical optics compensation method by a beam with 90° rotation is proposed, which not only could correct the direction-dependent waist-shift-difference but also possibly average the traditional thermally induced wavefront-distortion-difference between the horizontal and vertical beam directions.

  19. A Not-So-Simple View of Adolescent Writing

    ERIC Educational Resources Information Center

    Poch, Apryl L.; Lembke, Erica S.

    2017-01-01

    According to the Simple View of Writing, four primary skills are necessary for successful writing (Berninger & Amtmann, 2003; Berninger & Winn, 2006). Transcription skills (e.g., handwriting, spelling) represent lower-order cognitive tasks, whereas text generation skills (e.g., ideation, translation) represent higher-order…

  20. Direct Measurement of the Topological Charge in Elliptical Beams Using Diffraction by a Triangular Aperture.

    PubMed

    Melo, Leandro A; Jesus-Silva, Alcenísio J; Chávez-Cerda, Sabino; Ribeiro, Paulo H Souto; Soares, Willamys C

    2018-04-23

    We introduce a simple method to characterize the topological charge associated with the orbital angular momentum of a m-order elliptic light beam. This method consists in the observation of the far field pattern of the beam carrying orbital angular momentum, diffracted from a triangular aperture. We show numerically and experimentally, for Mathieu, Ince-Gaussian, and vortex Hermite-Gaussian beams, that only isosceles triangular apertures allow us to determine in a precise and direct way, the magnitude m of the order and the number and sign of unitary topological charges of isolated vortices inside the core of these beams.

  1. Direct generation of abruptly focusing vortex beams using a 3/2 radial phase-only pattern.

    PubMed

    Davis, Jeffrey A; Cottrell, Don M; Zinn, Jonathan M

    2013-03-20

    Abruptly focusing Airy beams have previously been generated using a radial cubic phase pattern that represents the Fourier transform of the Airy beam. The Fourier transform of this pattern is formed using a system length of 2f, where f is the focal length of the Fourier transform lens. In this work, we directly generate these abruptly focusing Airy beams using a 3/2 radial phase pattern encoded onto a liquid crystal display. The resulting optical system is much shorter. In addition, we can easily produce vortex patterns at the focal point of these beams. Experimental results match theoretical predictions.

  2. Laser Direct Writing of Tree-Shaped Hierarchical Cones on a Superhydrophobic Film for High-Efficiency Water Collection.

    PubMed

    Wang, Meng; Liu, Qian; Zhang, Haoran; Wang, Chuang; Wang, Lei; Xiang, Bingxi; Fan, Yongtao; Guo, Chuan Fei; Ruan, Shuangchen

    2017-08-30

    Directional water collection has stimulated a great deal of interest because of its potential applications in the field of microfluidics, liquid transportation, fog harvesting, and so forth. There have been some bio or bioinspired structures for directional water collection, from one-dimensional spider silk to two-dimensional star-like patterns to three-dimensional Nepenthes alata. Here we present a simple way for the accurate design and highly controllable driving of tiny droplets: by laser direct writing of hierarchical patterns with modified wettability and desired geometry on a superhydrophobic film, the patterned film can precisely and directionally drive tiny water droplets and dramatically improve the efficiency of water collection with a factor of ∼36 compared with the original superhydrophobic film. Such a patterned film might be an ideal platform for water collection from humid air and for planar microfluidics without tunnels.

  3. Ion-beam doping of GaAs with low-energy (100 eV) C + using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Iida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV-30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C+) irradiation during MBE growth of GaAs was carried out at substrate temperatures Tg between 500 and 590 °C. C+-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. CAs acceptor-related emissions such as ``g,'' [g-g], and [g-g]β are observed and their spectra are significantly changed with increasing C+ beam current density Ic. PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for Tg as low as 500 °C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C+ with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  4. Ion-beam doping of GaAs with low-energy (100 eV) C(+) using combined ion-beam and molecular-beam epitaxy

    NASA Astrophysics Data System (ADS)

    Lida, Tsutomu; Makita, Yunosuke; Kimura, Shinji; Winter, Stefan; Yamada, Akimasa; Fons, Paul; Uekusa, Shin-Ichiro

    1995-01-01

    A combined ion-beam and molecular-beam-epitaxy (CIBMBE) system has been developed. This system consists of an ion implanter capable of producing ions in the energy range of 30 eV - 30 keV and conventional solid-source MBE. As a successful application of CIBMBE, low-energy (100 eV) carbon ion (C(+)) irradiation during MBE growth of GaAs was carried out at substrate temperatures T(sub g) between 500 and 590 C. C(+)-doped layers were characterized by low-temperature (2 K) photoluminescence (PL), Raman scattering, and van der Pauw measurements. PL spectra of undoped GaAs grown by CIBMBE revealed that unintentional impurity incorporation into the epilayer is extremely small and precise doping effects are observable. C(sub As) acceptor-related emissions such as 'g', (g-g), and (g-g)(sub beta) are observed and their spectra are significantly changed with increasing C(+) beam current density I(sub c). PL measurements showed that C atoms were efficiently incorporated during MBE growth by CIBMBE and were optically well activated as an acceptor in the as-grown condition even for T(sub g) as low as 500 C. Raman measurement showed negligible lattice damage of the epilayer bombarded with 100 eV C(+) with no subsequent heat treatment. These results indicate that contamination- and damage-free impurity doping without postgrowth annealing can be achieved by the CIBMBE method.

  5. Beam parameter optimization at CLIC using the process e+e- → HZ → Hq q bar at 380 GeV

    NASA Astrophysics Data System (ADS)

    Andrianala, F.; Raboanary, R.; Roloff, P.; Schulte, D.

    2017-01-01

    At CLIC and the ILC beam-beam forces lead to the emission of beamstrahlung photons and a reduction of the effective center-of-mass energy. This degradation is controlled by the choice of the horizontal beam size. A reduction of this parameter would increase the luminosity but also the beamstrahlung. In this paper the optimum choice for the horizontal beam size is investigated for one of the most important physics processes. The Higgsstrahlung process e+e- → HZ is identified in a model-independent manner by observing the Z boson and determining the mass against which it is recoiling. The physics analysis for this process is performed for constant running times, assuming different beam size and taking into account the resulting levels of integrated luminosity and the associated luminosity spectra.

  6. High-resolution computer-generated reflection holograms with three-dimensional effects written directly on a silicon surface by a femtosecond laser.

    PubMed

    Wædegaard, Kristian J; Balling, Peter

    2011-02-14

    An infrared femtosecond laser has been used to write computer-generated holograms directly on a silicon surface. The high resolution offered by short-pulse laser ablation is employed to write highly detailed holograms with resolution up to 111 kpixels/mm2. It is demonstrated how three-dimensional effects can be realized in computer-generated holograms. Three-dimensional effects are visualized as a relative motion between different parts of the holographic reconstruction, when the hologram is moved relative to the reconstructing laser beam. Potential security applications are briefly discussed.

  7. Mechanical analysis of non-uniform bi-directional functionally graded intelligent micro-beams using modified couple stress theory

    NASA Astrophysics Data System (ADS)

    Bakhshi Khaniki, Hossein; Rajasekaran, Sundaramoorthy

    2018-05-01

    This study develops a comprehensive investigation on mechanical behavior of non-uniform bi-directional functionally graded beam sensors in the framework of modified couple stress theory. Material variation is modelled through both length and thickness directions using power-law, sigmoid and exponential functions. Moreover, beam is assumed with linear, exponential and parabolic cross-section variation through the length using power-law and sigmoid varying functions. Using these assumptions, a general model for microbeams is presented and formulated by employing Hamilton’s principle. Governing equations are solved using a mixed finite element method with Lagrangian interpolation technique, Gaussian quadrature method and Wilson’s Lagrangian multiplier method. It is shown that by using bi-directional functionally graded materials in nonuniform microbeams, mechanical behavior of such structures could be affected noticeably and scale parameter has a significant effect in changing the rigidity of nonuniform bi-directional functionally graded beams.

  8. Three-dimensional microfluidic channel with arbitrary length and configuration fabricated inside glass by femtosecond laser direct writing.

    PubMed

    Liao, Yang; Ju, Yongfeng; Zhang, Long; He, Fei; Zhang, Qiang; Shen, Yinglong; Chen, Danping; Cheng, Ya; Xu, Zhizhan; Sugioka, Koji; Midorikawa, Katsumi

    2010-10-01

    We demonstrate, for the first time to the best of our knowledge, fabrication of three-dimensional microfluidic channels with arbitrary lengths and configurations inside glass by femtosecond laser direct writing. The main fabrication process includes two steps: (1) direct formation of hollow microchannels in a porous glass substrate immersed in water by femtosecond laser ablation and (2) postannealing of the glass substrate at ∼1150°C by which the porous glass can be consolidated. We show that a square-wavelike channel with a total length of ∼1.4 cm and a diameter of ∼64 μm can be easily produced ∼250 μm beneath the glass surface.

  9. Measuring Broadband IR Irradiance in the Direct Solar Beam and Recent Developments

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reda, Ibrahim; Andreas, Afshin; Dooraghi, Mike

    2016-12-14

    Solar and atmospheric science radiometers such as pyranometers, pyrheliometers, and photovoltaic cells are calibrated with traceability to a consensus reference which is maintained by Absolute Cavity Radiometers (ACRs). An ACR is an open cavity with no window, developed to measure the extended broadband spectrum of the terrestrial direct solar beam irradiance that extends beyond the ultraviolet and infrared bands; i.e. below 0.2 um and above 50 um, respectively. On the other hand, the pyranometers and pyrheliometers were developed to measure broadband shortwave irradiance from approximately 0.3 um to 3 um, while the present photovoltaic cells are limited to the spectralmore » range of approximately 0.3 um to 1 um. The broadband mismatch of ACR versus such radiometers causes discrepancy in radiometers' calibration methods that has not been discussed or addressed in the solar and atmospheric science literature. Pyrgeometers, which measure the atmospheric longwave irradiance, are also used for solar and atmospheric science applications and calibrated with traceability to a consensus reference, yet they are calibrated during nighttime only, because no consensus reference has been established for the daytime longwave irradiance. This poster describes a method to measure the broadband longwave irradiance in the terrestrial direct solar beam from 3 um to 50 um, as a first step that might be used to help develop calibration methods to address the mismatch between broadband ACR and shortwave radiometers, and the lack of a daytime reference for pyrgeometers. The described method is used to measure the irradiance from sunrise to sunset; the irradiance varied from approximately 1 Wm-2 to 16 Wm-2 with an estimated uncertainty of 1.5 Wm-2, for a solar zenith angle range from 80 degrees to 16 degrees, respectively. Recent development shows that there is greater than 1.1 percent bias in measuring shortwave solar irradiance.« less

  10. Direct diode lasers with comparable beam quality to fiber, CO2, and solid state lasers

    NASA Astrophysics Data System (ADS)

    Huang, Robin K.; Chann, Bien; Burgess, James; Kaiman, Michael; Overman, Robert; Glenn, John D.; Tayebati, Parviz

    2012-03-01

    TeraDiode has produced kW-class ultra-high brightness fiber-coupled direct diode lasers. A fiber-coupled direct diode laser with a power level of 2,040 W from a 50 μm core diameter, 0.15 numerical aperture (NA) output fiber at a single center wavelength was demonstrated. This was achieved with a novel beam combining and shaping technique using COTS diode lasers. The fiber-coupled output corresponds to a Beam Parameter Product (BPP) of 3.75 mm-mrad and is the lowest BPP kW-class direct diode laser yet reported. This laser is suitable for industrial materials processing applications, including sheet metal cutting and welding. This 2-kW fiber-coupled direct diode laser has comparable brightness to that of industrial fiber lasers and CO2 lasers, and is over 10x brighter than state-of-the-art direct diode lasers.

  11. Optical read/write memory system components

    NASA Technical Reports Server (NTRS)

    Kozma, A.

    1972-01-01

    The optical components of a breadboard holographic read/write memory system have been fabricated and the parameters specified of the major system components: (1) a laser system; (2) an x-y beam deflector; (3) a block data composer; (4) the read/write memory material; (5) an output detector array; and (6) the electronics to drive, synchronize, and control all system components. The objectives of the investigation were divided into three concurrent phases: (1) to supply and fabricate the major components according to the previously established specifications; (2) to prepare computer programs to simulate the entire holographic memory system so that a designer can balance the requirements on the various components; and (3) to conduct a development program to optimize the combined recording and reconstruction process of the high density holographic memory system.

  12. Realisation of 3D metamaterial perfect absorber structures by direct laser writing

    NASA Astrophysics Data System (ADS)

    Fanyaeu, I.; Mizeikis, V.

    2017-02-01

    We report design, fabrication and optical properties of 3D electromagnetic metamaterial structures applicable as perfect absorbers (PA) at mid infra-red frequencies. PA architecture consisting of single-turn metallic helices arranged in a periodic two-dimensional array enables polarization-invariant perfect absorption within a considerable range of incidence angles. The absorber structure is all-metallic, and in principle does not require metallic ground plane, which permits optical transparency at frequencies away from the PA resonance. The samples were fabricated by preparing their dielectric templates using Direct Laser Write technique in photoresist, and metalisation by gold sputtering. Resonant absorption in excess of 90% was found at the resonant wavelength of 7.7 μm in accordance with numerical modelling. Similar PA structures may prove useful for harvesting and conversion of infrared energy as well as narrow-band thermal emission and detection.

  13. Examining Fourth-Grade Mathematics Writing: Features of Organization, Mathematics Vocabulary, and Mathematical Representations

    ERIC Educational Resources Information Center

    Hebert, Michael A.; Powell, Sarah R.

    2016-01-01

    Increasingly, students are expected to write about mathematics. Mathematics writing may be informal (e.g., journals, exit slips) or formal (e.g., writing prompts on high-stakes mathematics assessments). In order to develop an effective mathematics-writing intervention, research needs to be conducted on how students organize mathematics writing and…

  14. New Directions in Composition Research. Perspectives in Writing Research.

    ERIC Educational Resources Information Center

    Beach, Richard, Ed.; Bridwell, Lillian S., Ed.

    This book contains 20 articles, from a wide variety of perspectives, designed to bridge the interests of researchers and teachers on the topic of current composition research. The following articles are included: "Studying the Writing Abilities of a University Freshman Class: Strategies from a Case Study" (Charles R. Cooper, with Roger…

  15. Direction to an Internet Support Group Compared With Online Expressive Writing for People With Depression And Anxiety: A Randomized Trial.

    PubMed

    Dean, Jeremy; Potts, Henry Ww; Barker, Chris

    2016-05-17

    Depression and anxiety are common, often comorbid, conditions, and Internet support groups for them are well used. However, little rigorous research has been conducted on the outcome of these groups. This study aimed to evaluate the efficacy of an Internet support group in reducing depression and anxiety, and increasing social support and life satisfaction. A randomized trial compared direction to an existing Internet support group for depression and anxiety with an online expressive writing condition. A total of 863 (628 female) United Kingdom, United States, and Canadian volunteers were recruited via the Internet. Online, self-report measures of depression, anxiety, social support, and satisfaction with life were administered at baseline, 3, and 6 months. All four outcomes - depression, anxiety, social support, and satisfaction with life - improved over the 6 months of the study (all P <.001). There was no difference in outcome between the two conditions: participants responded similarly to the expressive writing and the Internet support group. Engagement with the Internet support group was low, it had high 6-month attrition (692/795, 87%) and low adherence, and it received mixed and often negative feedback. The main problems reported were a lack of comfort and connection with others, negative social comparisons, and the potential for receiving bad advice. Expressive writing had lower attrition (194/295, 65%) and participants reported that it was more acceptable. Until further evidence accumulates, directing people with depression and anxiety to Internet support groups cannot be recommended. On the other hand, online expressive writing seems to have potential, and its use for people with depression and anxiety warrants further investigation. Clinicaltrials.gov NCT01149265; https://clinicaltrials.gov/ct2/show/NCT01149265 (Archived by WebCite at http://www.webcitation.org/6hYISlNFT).

  16. SU-E-T-14: A Feasibility Study of Using Modified AP Proton Beam for Post-Operative Pancreatic Cancer Therapy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ding, X; Witztum, A; Kenton, O

    2014-06-01

    Purpose: Due to the unpredictability of bowel gas movement, the PA beam direction is always favored for robust proton therapy in post-operative pancreatic cancer treatment. We investigate the feasibility of replacing PA beam with a modified AP beam to take the bowel gas uncertainty into account. Methods: Nine post-operative pancreatic cancer patients treated with proton therapy (5040cGy, 28 fractions) in our institution were randomly selected. The original plan uses PA and lateral direction passive-scattering proton beams. Beam weighting is about 1:1. All patients received weekly verification CTs to assess the daily variations(total 17 verification CTs). The PA direction beam wasmore » replaced by two other groups of AP direction beam. Group AP: takes 3.5% range uncertainty into account. Group APmod: compensates the bowel gas uncertainty by expanding the proximal margin to 2cm more. The 2cm margin was acquired from the average bowel diameter in from 100 adult abdominal CT scans near pancreatic region (+/- 5cm superiorly and inferiorly). Dose Volume Histograms(DVHs) of the verification CTs were acquired for robustness study. Results: Without the lateral beam, Group APmod is as robust as Group PA. In Group AP, more than 10% of iCTV D98/D95 were reduced by 4–8%. LT kidney and Liver dose robustness are not affected by the AP/PA beam direction. There is 10% of chance that RT kidney and cord will be hit by AP proton beam due to the bowel gas. Compared to Group PA, APmod plan reduced the dose to kidneys and cord max significantly, while there is no statistical significant increase in bowel mean dose. Conclusion: APmod proton beam for the target coverage could be as robust as the PA direction without sacrificing too much of bowel dose. When the AP direction beam has to be selected, a 2cm proximal margin should be considered.« less

  17. Focused electron beam based direct-write fabrication of graphene and amorphous carbon from oxo-functionalized graphene on silicon dioxide.

    PubMed

    Schindler, Severin; Vollnhals, Florian; Halbig, Christian E; Marbach, Hubertus; Steinrück, Hans-Peter; Papp, Christian; Eigler, Siegfried

    2017-01-25

    Controlled patterning of graphene is an important task towards device fabrication and thus is the focus of current research activities. Graphene oxide (GO) is a solution-processible precursor of graphene. It can be patterned by thermal processing. However, thermal processing of GO leads to decomposition and CO 2 formation. Alternatively, focused electron beam induced processing (FEBIP) techniques can be used to pattern graphene with high spatial resolution. Based on this approach, we explore FEBIP of GO deposited on SiO 2 . Using oxo-functionalized graphene (oxo-G) with an in-plane lattice defect density of 1% we are able to image the electron beam-induced effects by scanning Raman microscopy for the first time. Depending on electron energy (2-30 keV) and doses (50-800 mC m -2 ) either reduction of GO or formation of permanent lattice defects occurs. This result reflects a step towards controlled FEBIP processing of oxo-G.

  18. Electro-optical tunable waveguide embedded multiscan Bragg gratings in lithium niobate by direct femtosecond laser writing.

    PubMed

    Kroesen, Sebastian; Horn, Wolfgang; Imbrock, Jörg; Denz, Cornelia

    2014-09-22

    optical tunable Bragg gratings in lithium niobate fabricated by direct femtosecond laser writing. The hybrid design that consists of a circular type-II waveguide and a multiscan type-I Bragg grating exhibits low loss ordinary and extraordinary polarized guiding as well as narrowband reflections in the c-band of optical communications. High bandwidth tunability of more than a peak width and nearly preserved electro-optic coefficients of r(13) = 7.59 pm V(-1) and r(33) = 23.21 pm V(-1) are demonstrated.

  19. E-Beam Capture Aid Drawing Based Modelling on Cell Biology

    NASA Astrophysics Data System (ADS)

    Hidayat, T.; Rahmat, A.; Redjeki, S.; Rahman, T.

    2017-09-01

    The objectives of this research are to find out how far Drawing-based Modeling assisted with E-Beam Capture could support student’s scientific reasoning skill using Drawing - based Modeling approach assisted with E-Beam Capture. The research design that is used for this research is the Pre-test and Post-test Design. The data collection of scientific reasoning skills is collected by giving multiple choice questions before and after the lesson. The data analysis of scientific reasoning skills is using scientific reasoning assessment rubric. The results show an improvement of student’s scientific reasoning in every indicator; an improvement in generativity which shows 2 students achieving high scores, 3 students in elaboration reasoning, 4 students in justification, 3 students in explanation, 3 students in logic coherency, 2 students in synthesis. The research result in student’s explanation reasoning has the highest number of students with high scores, which shows 20 students with high scores in the pre-test and 23 students in post-test and synthesis reasoning shows the lowest number, which shows 1 student in the pretest and 3 students in posttest. The research result gives the conclusion that Drawing-based Modeling approach assisted with E-Beam Capture could not yet support student’s scientific reasoning skills comprehensively.

  20. Bridging the Gap: Contextualizing Professional Ethics in Collaborative Writing Projects

    ERIC Educational Resources Information Center

    Rice, J. A.

    2007-01-01

    Many business and technical writing students find classroom discussions of professional ethics interesting and enjoyable. However, when trying to incorporate the content of discussions directly into their writing practices, they often experience difficulties linking ethical concepts to writing process. This article discusses how instructors can…

  1. The Consequences of Writing: Enhancing Learning in the Disciplines.

    ERIC Educational Resources Information Center

    Parker, Robert P.; Goodkin, Vera

    Intended for teachers of grades 7-16, this book discusses "heuristic" writing--writing that produces new, irreversible knowledge for the writer leading to a fuller understanding of the self and of the act of writing--as well as principles and practices having direct implications for a wide range of students. Chapter 1 examines the…

  2. Design of beam optics for the future circular collider e + e - collider rings

    DOE PAGES

    Oide, Katsunobu; Aiba, M.; Aumon, S.; ...

    2016-11-21

    A beam optics scheme has been designed for the future circular collider- e +e - (FCC-ee). The main characteristics of the design are: beam energy 45 to 175 GeV, 100 km circumference with two interaction points (IPs) per ring, horizontal crossing angle of 30 mrad at the IP and the crab-waist scheme [P. Raimondi, D. Shatilov, and M. Zobov, arXiv:physics/0702033; P. Raimondi, M. Zobov, and D. Shatilov, in Proceedings of the 22nd Particle Accelerator Conference, PAC-2007, Albuquerque, NM (IEEE, New York, 2007), p. TUPAN037.] with local chromaticity correction. The crab-waist scheme is implemented within the local chromaticity correction system withoutmore » additional sextupoles, by reducing the strength of one of the two sextupoles for vertical chromatic correction at each side of the IP. So-called “tapering” of the magnets is applied, which scales all fields of the magnets according to the local beam energy to compensate for the effect of synchrotron radiation (SR) loss along the ring. An asymmetric layout near the interaction region reduces the critical energy of SR photons on the incoming side of the IP to values below 100 keV, while matching the geometry to the beam line of the FCC proton collider (FCC-hh) [A. Chancé et al., Proceedings of IPAC’16, 9–13 May 2016, Busan, Korea, TUPMW020 (2016).] as closely as possible. Sufficient transverse/longitudinal dynamic aperture (DA) has been obtained, including major dynamical effects, to assure an adequate beam lifetime in the presence of beamstrahlung and top-up injection. In particular, a momentum acceptance larger than ±2% has been obtained, which is better than the momentum acceptance of typical collider rings by about a factor of 2. The effects of the detector solenoids including their compensation elements are taken into account as well as synchrotron radiation in all magnets. The optics presented in this study is a step toward a full conceptual design for the collider. Finally, a number of issues have

  3. Design of beam optics for the future circular collider e + e - collider rings

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oide, Katsunobu; Aiba, M.; Aumon, S.

    A beam optics scheme has been designed for the future circular collider- e +e - (FCC-ee). The main characteristics of the design are: beam energy 45 to 175 GeV, 100 km circumference with two interaction points (IPs) per ring, horizontal crossing angle of 30 mrad at the IP and the crab-waist scheme [P. Raimondi, D. Shatilov, and M. Zobov, arXiv:physics/0702033; P. Raimondi, M. Zobov, and D. Shatilov, in Proceedings of the 22nd Particle Accelerator Conference, PAC-2007, Albuquerque, NM (IEEE, New York, 2007), p. TUPAN037.] with local chromaticity correction. The crab-waist scheme is implemented within the local chromaticity correction system withoutmore » additional sextupoles, by reducing the strength of one of the two sextupoles for vertical chromatic correction at each side of the IP. So-called “tapering” of the magnets is applied, which scales all fields of the magnets according to the local beam energy to compensate for the effect of synchrotron radiation (SR) loss along the ring. An asymmetric layout near the interaction region reduces the critical energy of SR photons on the incoming side of the IP to values below 100 keV, while matching the geometry to the beam line of the FCC proton collider (FCC-hh) [A. Chancé et al., Proceedings of IPAC’16, 9–13 May 2016, Busan, Korea, TUPMW020 (2016).] as closely as possible. Sufficient transverse/longitudinal dynamic aperture (DA) has been obtained, including major dynamical effects, to assure an adequate beam lifetime in the presence of beamstrahlung and top-up injection. In particular, a momentum acceptance larger than ±2% has been obtained, which is better than the momentum acceptance of typical collider rings by about a factor of 2. The effects of the detector solenoids including their compensation elements are taken into account as well as synchrotron radiation in all magnets. The optics presented in this study is a step toward a full conceptual design for the collider. Finally, a number of issues have

  4. Design of beam optics for the future circular collider e+e- collider rings

    NASA Astrophysics Data System (ADS)

    Oide, K.; Aiba, M.; Aumon, S.; Benedikt, M.; Blondel, A.; Bogomyagkov, A.; Boscolo, M.; Burkhardt, H.; Cai, Y.; Doblhammer, A.; Haerer, B.; Holzer, B.; Jowett, J. M.; Koop, I.; Koratzinos, M.; Levichev, E.; Medina, L.; Ohmi, K.; Papaphilippou, Y.; Piminov, P.; Shatilov, D.; Sinyatkin, S.; Sullivan, M.; Wenninger, J.; Wienands, U.; Zhou, D.; Zimmermann, F.

    2016-11-01

    A beam optics scheme has been designed for the future circular collider-e+e- (FCC-ee). The main characteristics of the design are: beam energy 45 to 175 GeV, 100 km circumference with two interaction points (IPs) per ring, horizontal crossing angle of 30 mrad at the IP and the crab-waist scheme [P. Raimondi, D. Shatilov, and M. Zobov, arXiv:physics/0702033; P. Raimondi, M. Zobov, and D. Shatilov, in Proceedings of the 22nd Particle Accelerator Conference, PAC-2007, Albuquerque, NM (IEEE, New York, 2007), p. TUPAN037.] with local chromaticity correction. The crab-waist scheme is implemented within the local chromaticity correction system without additional sextupoles, by reducing the strength of one of the two sextupoles for vertical chromatic correction at each side of the IP. So-called "tapering" of the magnets is applied, which scales all fields of the magnets according to the local beam energy to compensate for the effect of synchrotron radiation (SR) loss along the ring. An asymmetric layout near the interaction region reduces the critical energy of SR photons on the incoming side of the IP to values below 100 keV, while matching the geometry to the beam line of the FCC proton collider (FCC-hh) [A. Chancé et al., Proceedings of IPAC'16, 9-13 May 2016, Busan, Korea, TUPMW020 (2016).] as closely as possible. Sufficient transverse/longitudinal dynamic aperture (DA) has been obtained, including major dynamical effects, to assure an adequate beam lifetime in the presence of beamstrahlung and top-up injection. In particular, a momentum acceptance larger than ±2 % has been obtained, which is better than the momentum acceptance of typical collider rings by about a factor of 2. The effects of the detector solenoids including their compensation elements are taken into account as well as synchrotron radiation in all magnets. The optics presented in this paper is a step toward a full conceptual design for the collider. A number of issues have been identified for further

  5. Polarization Rotation Caused by Cross-Beam Energy Transfer in Direct-Drive Implosions

    NASA Astrophysics Data System (ADS)

    Edgell, D. H.; Follett, R. K.; Katz, J.; Myatt, J. F.; Shaw, J. G.; Turnbull, D.; Froula, D. H.

    2017-10-01

    The first evidence of polarization rotation caused by cross-beam energy transfer (CBET) during direct-drive implosions has been provided by a new beamlets diagnostic that was fielded on OMEGA. Beamlet images are, in essence, the end points of beamlets of light originating from different regions of each beam profile and following paths determined by refraction through the coronal plasma. The intensity of each beamlet varies because of absorption and many CBET interactions along that path. The new diagnostic records images in two time windows and includes a Wollaston prism to split each beamlet into two orthogonal polarization images recording the polarization of each beamlet. Only the common polarization components couple during CBET so when each beam is linearly polarized, CBET rotates the polarization of each beam. A 3-D CBET postprocessor for hydrodynamics codes was used to model the beamlet images. The predicted images are compared to the images recorded by the new diagnostic. This material is based upon work supported by the Department of Energy National Nuclear Security Administration under Award Number DE-NA0001944.

  6. Challenges and requirements of mask data processing for multi-beam mask writer

    NASA Astrophysics Data System (ADS)

    Choi, Jin; Lee, Dong Hyun; Park, Sinjeung; Lee, SookHyun; Tamamushi, Shuichi; Shin, In Kyun; Jeon, Chan Uk

    2015-07-01

    To overcome the resolution and throughput of current mask writer for advanced lithography technologies, the platform of e-beam writer have been evolved by the developments of hardware and software in writer. Especially, aggressive optical proximity correction (OPC) for unprecedented extension of optical lithography and the needs of low sensitivity resist for high resolution result in the limit of variable shaped beam writer which is widely used for mass production. The multi-beam mask writer is attractive candidate for photomask writing of sub-10nm device because of its high speed and the large degree of freedom which enable high dose and dose modulation for each pixel. However, the higher dose and almost unlimited appetite for dose modulation challenge the mask data processing (MDP) in aspects of extreme data volume and correction method. Here, we discuss the requirements of mask data processing for multi-beam mask writer and presents new challenges of the data format, data flow, and correction method for user and supplier MDP tool.

  7. Mythbusting Medical Writing: Goodbye, Ghosts! Hello, Help!

    PubMed

    Hamilton, Cindy W; Gertel, Art; Jacobs, Adam; Marchington, Jackie; Weaver, Shelley; Woolley, Karen

    To meet ethical and scientific obligations, authors should submit timely, high-quality manuscripts. Authors, however, can encounter ethical (e.g., authorship designation) and practical (e.g., time and resource limitations) challenges during manuscript preparation. Could professional medical writers-not ghostwriters-help authors address these challenges? This essay summarizes evidence countering three myths that may have hindered authors from considering the use of professional medical writers. Authors with sufficient time, writing expertise, and reporting guideline knowledge may meet their obligations without writing assistance. Unfortunately, not all authors are in this position. Decisions about writing support should be based on evidence, not myths.

  8. Teaching High School Students How to Write: The Importance of Direct Explicit Instruction and Teacher Training

    ERIC Educational Resources Information Center

    Soiferman, L. Karen

    2017-01-01

    Learning how to teach writing is a skill just as learning how to write is a skill. Without a dedicated composition course in Education faculties pre-service teachers are not getting the training they require to be effective teachers of writing. In this report, a case is made for why teachers have to be more aware of how students learn to write and…

  9. Laser or charged-particle-beam fusion reactor with direct electric generation by magnetic flux compression

    DOEpatents

    Lasche, George P.

    1988-01-01

    A high-power-density laser or charged-particle-beam fusion reactor system maximizes the directed kinetic energy imparted to a large mass of liquid lithium by a centrally located fusion target. A fusion target is embedded in a large mass of lithium, of sufficient radius to act as a tritium breeding blanket, and provided with ports for the access of beam energy to implode the target. The directed kinetic energy is converted directly to electricity with high efficiency by work done against a pulsed magnetic field applied exterior to the lithium. Because the system maximizes the blanket thickness per unit volume of lithium, neutron-induced radioactivities in the reaction chamber wall are several orders of magnitude less than is typical of other fusion reactor systems.

  10. Laser or charged-particle-beam fusion reactor with direct electric generation by magnetic flux compression

    DOEpatents

    Lasche, G.P.

    1987-02-20

    A high-power-density-laser or charged-particle-beam fusion reactor system maximizes the directed kinetic energy imparted to a large mass of liquid lithium by a centrally located fusion target. A fusion target is embedded in a large mass of lithium, of sufficient radius to act as a tritium breeding blanket, and provided with ports for the access of beam energy to implode the target. The directed kinetic energy is converted directly to electricity with high efficiency by work done against a pulsed magnetic field applied exterior to the lithium. Because the system maximizes the blanket thickness per unit volume of lithium, neutron-induced radioactivities in the reaction chamber wall are several orders of magnitude less than is typical of other fusion reactor systems. 25 figs.

  11. 2000W high beam quality diode laser for direct materials processing

    NASA Astrophysics Data System (ADS)

    Qin, Wen-bin; Liu, You-qiang; Cao, Yin-hua; Gao, Jing; Pan, Fei; Wang, Zhi-yong

    2011-11-01

    This article describes high beam quality and kilowatt-class diode laser system for direct materials processing, using optical design software ZEMAX® to simulate the diode laser optical path, including the beam shaping, collimation, coupling, focus, etc.. In the experiment, the diode laser stack of 808nm and the diode laser stack of 915nm were used for the wavelength coupling, which were built vertical stacks up to 16 bars. The threshold current of the stack is 6.4A, the operating current is 85A and the output power is 1280W. Through experiments, after collimating the diode laser beam with micro-lenses, the fast axis BPP of the stack is less than 60mm.mrad, and the slow-axis BPP of the stack is less than 75mm.mrad. After shaping the laser beam and improving the beam quality, the fast axis BPP of the stack is still 60mm.mrad, and the slow-axis BPP of the stack is less than 19mm.mrad. After wavelength coupling and focusing, ultimately the power of 2150W was obtained, focal spot size of 1.5mm * 1.2mm with focal length 300mm. The laser power density is 1.2×105W/cm2, and that can be used for metal remelting, alloying, cladding and welding. The total optical coupling conversion efficiency is 84%, and the total electrical - optical conversion efficiency is 50%.

  12. An Exploratory Study of E-Mail Application on FL Writing Performance

    ERIC Educational Resources Information Center

    Shang, Hui-Fang

    2007-01-01

    This study focused on examining the overall effect of using email on the improvement of writing performance in aspects of syntactic complexity, grammatical accuracy and lexical density, as well as investigating the relation between the number of email exchanges and writing performance. Subjects in this study were 40 non-traditional EFL students…

  13. Load Deflection of Dow Corning SE 1700 Simple Cubic Direct Ink Write Materials: Effect of Thickness and Filament Spacing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Small, Ward; Pearson, Mark A.; Metz, Tom R.

    Dow Corning SE 1700 (reinforced polydimethylsiloxane) porous structures were made by direct ink writing (DIW) in a simple cubic (SC) configuration. The filament diameter was 250 μm. Structures consisting of 4, 8, or 12 layers were fabricated with center-to-center filament spacing (“road width” (RW)) of 475, 500, 525, 550, or 575 μm. Three compressive load-unload cycles to 2000 kPa were performed on four separate areas of each sample; three samples of each thickness and filament spacing were tested. Geometry-dependent buckling of the SC structure was evident. At a given strain during the third loading phase, stress varied inversely with porosity.more » At strains of 25% and higher, the stress varied inversely with the number of layers (i.e., thickness); however, the relationship between stress and number of layers was more complex at lower strains. Intra-and inter-sample variability of the load deflection response was higher for thinner and less porous structures.« less

  14. Direct laser writing of auxetic structures: present capabilities and challenges

    NASA Astrophysics Data System (ADS)

    Hengsbach, Stefan; Díaz Lantada, Andrés

    2014-08-01

    Auxetic materials (or metamaterials) are those with a negative Poisson ratio (NPR) and that display the unexpected property of lateral expansion when stretched, as well as an equal and opposing densification when compressed. Such geometries are being progressively employed in the development of novel products, especially in the fields of intelligent expandable actuators, shape morphing structures and minimally invasive implantable devices. Although several micromanufacturing technologies have already been applied to the development of auxetic geometries and devices, additional precision is needed to take full advantage of their special mechanical properties. In this study we present a very promising approach for the development of auxetic metamaterials and devices based on the use of direct laser writing. The process stands out for its precision and complex three-dimensional (3D) geometries attainable without the need of supporting structures. To our knowledge it represents one of the first examples of the application of this technology to the manufacture of auxetic geometries and mechanical metamaterials, with details even more remarkable than those shown in very recent studies, almost reaching the current limit of this additive manufacturing technology. We have used some special 3D auxetic designs whose remarkable NPR has been previously highlighted.

  15. The Impact of Blended e-Learning on Undergraduate Academic Essay Writing in English (L2)

    ERIC Educational Resources Information Center

    Ferriman, Nicholas

    2013-01-01

    This paper describes a quasi-experimental study into the impact of a blended e-learning environment on academic writing assignments in English (L2) at a Thai international college. An experimental group of 15 students used an on-line bulletin board, as well as face-to-face (F2F) communication in class, to share information for essay topics they…

  16. Beam Extinction Monitoring in the Mu2e Experiment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Prebys, Eric; Bartoszek, Larry; Gaponenko, Andrei

    The Mu2e Experiment at Fermilab will search for the conversion of a muon to an electron in the field of an atomic nucleus with unprecedented sensitivity. The experiment requires a beam consisting of proton bunches approximately 200ns FW long, separated by 1.7 microseconds, with no out-of-time protons at the 10⁻¹⁰ fractional level. The verification of this level of extinction is very challenging. The proposed technique uses a special purpose spectrometer which will observe particles scattered from the production target of the experiment. The acceptance will be limited such that there will be no saturation effects from the in-time beam. Themore » precise level and profile of the out-of-time beam can then be built up statistically, by integrating over many bunches.« less

  17. Dave Sperling's Guide to the Internet's Best Writing Resources.

    ERIC Educational Resources Information Center

    Sperling, Dave

    2003-01-01

    Provides a guide to writing resources on the Internet, including resources for business writing, dictionaries and thesauruses, e-mail, encyclopedias, free Web space, grammar, fun, online help, online writing labs, punctuation, and spelling. Lists useful Internet tips. (Author/VWL)

  18. Beam masking to reduce cyclic error in beam launcher of interferometer

    NASA Technical Reports Server (NTRS)

    Ames, Lawrence L. (Inventor); Bell, Raymond Mark (Inventor); Dutta, Kalyan (Inventor)

    2005-01-01

    Embodiments of the present invention are directed to reducing cyclic error in the beam launcher of an interferometer. In one embodiment, an interferometry apparatus comprises a reference beam directed along a reference path, and a measurement beam spatially separated from the reference beam and being directed along a measurement path contacting a measurement object. The reference beam and the measurement beam have a single frequency. At least a portion of the reference beam and at least a portion of the measurement beam overlapping along a common path. One or more masks are disposed in the common path or in the reference path and the measurement path to spatially isolate the reference beam and the measurement beam from one another.

  19. Laser direct writing of thin-film copper structures as a modification of lithographic processes

    NASA Astrophysics Data System (ADS)

    Meyer, F.; Ostendorf, A.; Stute, U.

    2007-04-01

    This paper presents a flexible, mask-free and efficient technique for UV-laser micropatterning of photosensitive resist by laser direct writing (LDW). Photo resist spun on gold sputtered silicon wafers has been laser structured by a scanner guided 266nm DPSSL and electroplated. Ablation behaviour and optimum seed layer preparation in relation to parameters like pulse energy, scanning speed and number of scanned cycles and the electroplating results are discussed. The resulting adhesive strength was measured by a µ-sear device and the gold seed layer-plated copper interface investigated by SEM and EDX to explain correlation to identified bonding behaviour. Improved adhesive strength was observed with higher laser pulse energy and reduced number of cycle.

  20. Which Components of Working Memory Are Important in the Writing Process?

    ERIC Educational Resources Information Center

    Vanderberg, Robert; Swanson, H. Lee

    2007-01-01

    This study investigated the relationship between components of working memory (WM) and the macrostructure (e.g., planning, writing, and revision) and microstructure (e.g., grammar, punctuation) of writing. A battery of WM and writing measures were administered to 160 high-school students. Overall, hierarchical regression analyses showed that the…

  1. A Printing-Centric Approach to the Electrostatic Modification of Polymer/Clay Composites for use in 3D Direct-Ink Writing

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Rauzan, Brittany; Lehman, Sean; McCracken, Josell

    Polymer/clay composite inks are exceptionally useful materials for fabrication processes based on 3D direct-ink writing, however, there remains an insufficient understanding of how their physiochemical dynamics impact printability. Using a model system, N-isopropylacrylamide/Laponite, the electrostatic interactions between Laponite platelets are modified to tune critical rheological properties in order to improve printability. Rheological measurements and X-ray scattering experiments are carried out to monitor the nano/micro-structural dynamics and complex physicochemical interactions of Laponite as it impacts complex modulus in the linear region, flow behavior, thixotropy, and yield stress of the composite ink. Modification of the electrostatic interactions between platelets reduces the yieldmore » stress of the material, while maintaining a complex microstructure that allows for sufficient recovery times upon removal of stress to form stable, and thus printable, filaments. A printing-centric approach is established based on a fundamental understanding of electrostatic inter-particle interactions, harnessing the innate microstructure of Laponite in 3D direct-ink writing of composites.« less

  2. Direct femtosecond laser ablation of copper with an optical vortex beam

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Anoop, K. K.; Rubano, A.; Marrucci, L.

    Laser surface structuring of copper is induced by laser ablation with a femtosecond optical vortex beam generated via spin-to-orbital conversion of the angular momentum of light by using a q-plate. The variation of the produced surface structures is studied as a function of the number of pulses, N, and laser fluence, F. After the first laser pulse (N=1), the irradiated surface presents an annular region characterized by a corrugated morphology made by a rather complex network of nanometer-scale ridges, wrinkles, pores, and cavities. Increasing the number of pulses (21000) and a deep crater is formed. The nanostructure variation with themore » laser fluence, F, also evidences an interesting dependence, with a coarsening of the structure morphology as F increases. Our experimental findings demonstrate that direct femtosecond laser ablation with optical vortex beams produces interesting patterns not achievable by the more standard beams with a Gaussian intensity profile. They also suggest that appropriate tuning of the experimental conditions (F, N) can allow generating micro- and/or nano-structured surface for any specific application.« less

  3. Intregrating metallic wiring with three-dimensional polystyrene colloidal crystals using electron-beam lithography and three-dimensional laser lithography

    NASA Astrophysics Data System (ADS)

    Tian, Yaolan; Isotalo, Tero J.; Konttinen, Mikko P.; Li, Jiawei; Heiskanen, Samuli; Geng, Zhuoran; Maasilta, Ilari J.

    2017-02-01

    We demonstrate a method to fabricate narrow, down to a few micron wide metallic leads on top of a three-dimensional (3D) colloidal crystal self-assembled from polystyrene (PS) nanospheres of diameter 260 nm, using electron-beam lithography. This fabrication is not straightforward due to the fact that PS nanospheres cannot usually survive the harsh chemical treatments required in the development and lift-off steps of electron-beam lithography. We solve this problem by increasing the chemical resistance of the PS nanospheres using an additional electron-beam irradiation step, which allows the spheres to retain their shape and their self-assembled structure, even after baking to a temperature of 160 °C, the exposure to the resist developer and the exposure to acetone, all of which are required for the electron-beam lithography step. Moreover, we show that by depositing an aluminum oxide capping layer on top of the colloidal crystal after the e-beam irradiation, the surface is smooth enough so that continuous metal wiring can be deposited by the electron-beam lithography. Finally, we also demonstrate a way to self-assemble PS colloidal crystals into a microscale container, which was fabricated using direct-write 3D laser-lithography. Metallic wiring was also successfully integrated with the combination of a container structure and a PS colloidal crystal. Our goal is to make a device for studies of thermal transport in 3D phononic crystals, but other phononic or photonic crystal applications could also be envisioned.

  4. What is technical writing? Prolegomenon to a contextual definition

    NASA Technical Reports Server (NTRS)

    Barton, B. F.; Barton, M. S.

    1981-01-01

    The question, "What is Technical Writing?", is addressed. Interest about the stance a teacher assumes in a classroom, the orientation of textbooks, and the shape of curricula are considered. Technical writing is considered of age, definitions abound and the time is ripe for a metaperspective on the question. This analyzes pitfalls in representative definitions of technical writing suggest a direction for future inquiry.

  5. Directional view interpolation for compensation of sparse angular sampling in cone-beam CT.

    PubMed

    Bertram, Matthias; Wiegert, Jens; Schafer, Dirk; Aach, Til; Rose, Georg

    2009-07-01

    In flat detector cone-beam computed tomography and related applications, sparse angular sampling frequently leads to characteristic streak artifacts. To overcome this problem, it has been suggested to generate additional views by means of interpolation. The practicality of this approach is investigated in combination with a dedicated method for angular interpolation of 3-D sinogram data. For this purpose, a novel dedicated shape-driven directional interpolation algorithm based on a structure tensor approach is developed. Quantitative evaluation shows that this method clearly outperforms conventional scene-based interpolation schemes. Furthermore, the image quality trade-offs associated with the use of interpolated intermediate views are systematically evaluated for simulated and clinical cone-beam computed tomography data sets of the human head. It is found that utilization of directionally interpolated views significantly reduces streak artifacts and noise, at the expense of small introduced image blur.

  6. Direct-writing of copper-based micropatterns on polymer substrates using femtosecond laser reduction of copper (II) oxide nanoparticles

    NASA Astrophysics Data System (ADS)

    Mizoshiri, Mizue; Ito, Yasuaki; Sakurai, Junpei; Hata, Seiichi

    2017-04-01

    Copper (Cu)-based micropatterns were fabricated on polymer substrates using femtosecond laser reduction of copper (II) oxide (CuO) nanoparticles. CuO nanoparticle solution, which consisted of CuO nanoparticles, ethylene glycol as a reductant agent, and polyvinylpyrrolidone as a dispersant, was spin-coated on poly(dimethylsiloxane) (PDMS) substrates and was irradiated by focused femtosecond laser pulses to fabricate Cu-based micropatterns. When the laser pulses were raster-scanned onto the solution, CuO nanoparticles were reduced and sintered. Cu-rich and copper (I)-oxide (Cu2O)-rich micropatterns were formed at laser scanning speeds of 15 mm/s and 0.5 mm/s, respectively, and at a pulse energy of 0.54 nJ. Cu-rich electrically conductive micropatterns were obtained without significant damages on the substrates. On the other hand, Cu2O-rich micropatterns exhibited no electrical conductivity, indicating that microcracks were generated on the micropatterns by thermal expansion and shrinking of the substrates. We demonstrated a direct-writing of Cu-rich micro-temperature sensors on PDMS substrates using the foregoing laser irradiation condition. The resistance of the fabricated sensors increased with increasing temperature, which is consistent with that of Cu. This direct-writing technique is useful for fabricating Cu-polymer composite microstructures.

  7. Scalable High-Performance Ultraminiature Graphene Micro-Supercapacitors by a Hybrid Technique Combining Direct Writing and Controllable Microdroplet Transfer.

    PubMed

    Shen, Daozhi; Zou, Guisheng; Liu, Lei; Zhao, Wenzheng; Wu, Aiping; Duley, Walter W; Zhou, Y Norman

    2018-02-14

    Miniaturization of energy storage devices can significantly decrease the overall size of electronic systems. However, this miniaturization is limited by the reduction of electrode dimensions and the reproducible transfer of small electrolyte drops. This paper reports first a simple scalable direct writing method for the production of ultraminiature microsupercapacitor (MSC) electrodes, based on femtosecond laser reduced graphene oxide (fsrGO) interlaced pads. These pads, separated by 2 μm spacing, are 100 μm long and 8 μm wide. A second stage involves the accurate transfer of an electrolyte microdroplet on top of each individual electrode, which can avoid any interference of the electrolyte with other electronic components. Abundant in-plane mesopores in fsrGO induced by a fs laser together with ultrashort interelectrode spacing enables MSCs to exhibit a high specific capacitance (6.3 mF cm -2 and 105 F cm -3 ) and ∼100% retention after 1000 cycles. An all graphene resistor-capacitor (RC) filter is also constructed by combining the MSC and a fsrGO resistor, which is confirmed to exhibit highly enhanced performance characteristics. This new hybrid technique combining fs laser direct writing and precise microdroplet transfer easily enables scalable production of ultraminiature MSCs, which is believed to be significant for practical application of micro-supercapacitor microelectronic systems.

  8. Direct writing of half-meter long CNT based fiber for flexible electronics.

    PubMed

    Huang, Sihan; Zhao, Chunsong; Pan, Wei; Cui, Yi; Wu, Hui

    2015-03-11

    Rapid construction of flexible circuits has attracted increasing attention according to its important applications in future smart electronic devices. Herein, we introduce a convenient and efficient "writing" approach to fabricate and assemble ultralong functional fibers as fundamental building blocks for flexible electronic devices. We demonstrated that, by a simple hand-writing process, carbon nanotubes (CNTs) can be aligned inside a continuous and uniform polymer fiber with length of more than 50 cm and diameters ranging from 300 nm to several micrometers. The as-prepared continuous fibers exhibit high electrical conductivity as well as superior mechanical flexibility (no obvious conductance increase after 1000 bending cycles to 4 mm diameter). Such functional fibers can be easily configured into designed patterns with high precision according to the easy "writing" process. The easy construction and assembly of functional fiber shown here holds potential for convenient and scalable fabrication of flexible circuits in future smart devices like wearable electronics and three-dimensional (3D) electronic devices.

  9. Multidimensional Motivation and Engagement for Writing: Construct Validation with a Sample of Boys

    ERIC Educational Resources Information Center

    Collie, Rebecca J.; Martin, Andrew J.; Curwood, Jen Scott

    2016-01-01

    Given recent concerns around boys' literacy, this study examined multidimensional writing motivation and engagement among boys. We explored internal and external validity of 11 adaptive (e.g. self-efficacy for writing) and maladaptive (e.g. disengagement from writing) factors of writing motivation and engagement. The sample comprised 781 male…

  10. Direct Laser Writing of Low-Density Interdigitated Foams for Plasma Drive Shaping [Direct Laser Writing of Low Density Nanostitched Foams for Plasma Drive Shaping

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oakdale, James S.; Smith, Raymond F.; Forien, Jean -Baptiste

    Monolithic porous bulk materials have many promising applications ranging from energy storage and catalysis to high energy density physics. High resolution additive manufacturing techniques, such as direct laser writing via two photon polymerization (DLW-TPP), now enable the fabrication of highly porous microlattices with deterministic morphology control. In this work, DLW-TPP is used to print millimeter-sized foam reservoirs (down to 0.06 g cm –3) with tailored density-gradient profiles, where density is varied by over an order of magnitude (for instance from 0.6 to 0.06 g cm –3) along a length of <100 µm. Taking full advantage of this technology, however, ismore » a multiscale materials design problem that requires detailed understanding of how the different length scales, from the molecular level to the macroscopic dimensions, affect each other. The design of these 3D-printed foams is based on the brickwork arrangement of 100 × 100 × 16 µm 3 log-pile blocks constructed from sub-micrometer scale features. A block-to-block interdigitated stitching strategy is introduced for obtaining high density uniformity at all length scales. Lastly, these materials are used to shape plasma-piston drives during ramp-compression of targets under high energy density conditions created at the OMEGA Laser Facility.« less

  11. Direct Laser Writing of Low-Density Interdigitated Foams for Plasma Drive Shaping [Direct Laser Writing of Low Density Nanostitched Foams for Plasma Drive Shaping

    DOE PAGES

    Oakdale, James S.; Smith, Raymond F.; Forien, Jean -Baptiste; ...

    2017-09-27

    Monolithic porous bulk materials have many promising applications ranging from energy storage and catalysis to high energy density physics. High resolution additive manufacturing techniques, such as direct laser writing via two photon polymerization (DLW-TPP), now enable the fabrication of highly porous microlattices with deterministic morphology control. In this work, DLW-TPP is used to print millimeter-sized foam reservoirs (down to 0.06 g cm –3) with tailored density-gradient profiles, where density is varied by over an order of magnitude (for instance from 0.6 to 0.06 g cm –3) along a length of <100 µm. Taking full advantage of this technology, however, ismore » a multiscale materials design problem that requires detailed understanding of how the different length scales, from the molecular level to the macroscopic dimensions, affect each other. The design of these 3D-printed foams is based on the brickwork arrangement of 100 × 100 × 16 µm 3 log-pile blocks constructed from sub-micrometer scale features. A block-to-block interdigitated stitching strategy is introduced for obtaining high density uniformity at all length scales. Lastly, these materials are used to shape plasma-piston drives during ramp-compression of targets under high energy density conditions created at the OMEGA Laser Facility.« less

  12. Investigating Student Use and Value of E-Learning Resources to Develop Academic Writing within the Discipline of Environmental Science

    ERIC Educational Resources Information Center

    Taffs, Kathryn H.; Holt, Julienne I.

    2013-01-01

    The use of information and communication technologies (ICTs) in higher education to support student learning is expanding. However, student usage has been low and the value of e-learning resources has been under investigation. We reflect on best practices for pedagogical design of e-learning resources to support academic writing in environmental…

  13. Single Layer Surface-Grafted PMMA as a Negative-Tone e-Beam Resist.

    PubMed

    Yamada, Hirotaka; Aydinoglu, Ferhat; Liu, Yaoze; Dey, Ripon K; Cui, Bo

    2017-12-05

    One of the important challenges in electron beam lithography is nanofabrication on nonflat or irregular surfaces. Although spin coating is the most popular technique for resist coating, it is not suitable for nonflat, irregular substrates because a uniform film cannot be achieved on those surfaces. Here, it is demonstrated that single layer surface-grafted PMMA can be used as a negative-tone e-beam resist, and it can be applied to nonflat, irregular surfaces as well as flat, conventional surfaces. Although it is well known that heavily exposed PMMA undergoes cross-linking and works as a negative-tone e-beam resist when developed by solvent, solvent does not work as a developer for negative-tone single-layer surface-grafted PMMA. Instead, thermal treatment at 360 °C for 1 min is used to develop PMMA.

  14. Alternative stitching method for massively parallel e-beam lithography

    NASA Astrophysics Data System (ADS)

    Brandt, Pieter; Tranquillin, Céline; Wieland, Marco; Bayle, Sébastien; Milléquant, Matthieu; Renault, Guillaume

    2015-03-01

    In this study a novel stitching method other than Soft Edge (SE) and Smart Boundary (SB) is introduced and benchmarked against SE. The method is based on locally enhanced Exposure Latitude without cost of throughput, making use of the fact that the two beams that pass through the stitching region can deposit up to 2x the nominal dose. The method requires a complex Proximity Effect Correction that takes a preset stitching dose profile into account. On a Metal clip at minimum half-pitch of 32 nm for MAPPER FLX 1200 tool specifications, the novel stitching method effectively mitigates Beam to Beam (B2B) position errors such that they do not induce increase in CD Uniformity (CDU). In other words, the same CDU can be realized inside the stitching region as outside the stitching region. For the SE method, the CDU inside is 0.3 nm higher than outside the stitching region. 5 nm direct overlay impact from B2B position errors cannot be reduced by a stitching strategy.

  15. Precision Rolled-Ink Nano-Technology; Development of a Direct Write Technique for the Fabrication of Thin Films and Conductive Elements

    DTIC Science & Technology

    2012-10-01

    Fabrication of Thin Films and Conductive Elements Larry R. Holmes, Jr. Weapons and Materials Research Directorate, ARL...polymer composites, glass, metals, ceramics , and others. Development of the PRINT system and future work are discussed. 15. SUBJECT TERMS direct write...7 Figure 5. PRINT deposition on (left) polished aluminum sheet metal, and (right) aluminum oxide ceramic tile

  16. Optimization of LOPA-based direct laser writing technique for fabrication of submicrometric polymer two- and three-dimensional structures

    NASA Astrophysics Data System (ADS)

    Do, Mai Trang; Li, Qinggele; Ledoux-Rak, Isabelle; Lai, Ngoc D.

    2013-05-01

    We demonstrate a novel and very simple method allowing very easy flexible fabrication of 2D and 3D submicrometric structures. By using a photosensitive polymer (SU8) possessing an ultralow one-photon absorption (LOPA) coefficient at the excition laser wavelength (532 nm) and a high numerical aperture (NA = 1.3, oil immersion) objective lens, various submicrometric structures with feature size as small as 150 nm have been successfully fabricated. We have further investigated the energy accumulation effect in LOPA direct laser writing when the structure lattice constant approaches the diffraction limit. In this case, a proximity correction, i.e., a compensation of the doses between different voxels, was applied, allowing to create uniform and submicrometric structures with a lattice constant as small as 400 nm. As compared to commonly used two-photon absorption microscopy, the LOPA method allows to simplify the experimental setup and also to minimize the photo-damaging or bleaching effect. The idea of using LOPA also opens a new and inexpensive way to optically address 3D structures, namely 3D fluorescence imaging and 3D data storage.

  17. High spatial resolution microdosimetry with monolithic ΔE-E detector on 12C beam: Monte Carlo simulations and experiment

    NASA Astrophysics Data System (ADS)

    Tran, Linh T.; Bolst, David; Guatelli, Susanna; Biasi, Giordano; Fazzi, Alberto; Sagia, Eleni; Prokopovich, Dale A.; Reinhard, Mark I.; Keat, Ying C.; Petasecca, Marco; Lerch, Michael L. F.; Pola, Andrea; Agosteo, Stefano; Matsufuji, Naruhiro; Jackson, Michael; Rosenfeld, Anatoly B.

    2018-04-01

    Nuclear fragmentation produced in 12C ion therapeutic beams contributes significantly to the Relative Biological Effectiveness (RBE)-weighted dose in the distal edge of the Spread out Bragg Peak (SOBP) and surrounding tissues in out-of-field. Complex mixed radiation field originated by the therapeutic 12C ion beam in a phantom is difficult to measure. This study presents a new method to characterise the radiation field produced in a 12C ion beam using a monolithic ΔE-E telescope which provides the capability to identify the particle components of the mixed radiation field as well as the microdosimetric spectra that allows derivation of the RBE based on a radiobiological model. The response of the monolithic ΔE-E telescope to a 290 MeV/u 12C ion beam at defined positions along the pristine Bragg Peak was studied using the Geant4 Monte Carlo toolkit. The microdosimetric spectra derived from the ΔE stage and the two-dimensional scatter plots of energy deposition in ΔE and E stages of the device in coincidence are presented, as calculated in-field and out-of-field. Partial dose weighted contribution to the microdosimetric spectra from nuclear fragments and recoils, such as 1H, 4He, 3He, 7Li, 9Be and 11B, have been analysed for each position. Comparison of simulation and experimental results are presented and demonstrates that the microdosimetric spectra changes dramatically within 0.5 mm depth increments close to and at the distal edge of the Bragg Peak which is impossible to identify using conventional Tissue Equivalent Proportional Counter (TEPC).

  18. Suitability of holographic beam scanning in high resolution applications

    NASA Astrophysics Data System (ADS)

    Kalita, Ranjan; Goutam Buddha, S. S.; Boruah, Bosanta R.

    2018-02-01

    The high resolution applications of a laser scanning imaging system very much demand the accurate positioning of the illumination beam. The galvanometer scanner based beam scanning imaging systems, on the other hand, suffer from both short term and long term beam instability issues. Fortunately Computer generated holography based beam scanning offers extremely accurate beam steering, which can be very useful for imaging in high-resolution applications in confocal microscopy. The holographic beam scanning can be achieved by writing a sequence of holograms onto a spatial light modulator and utilizing one of the diffracted orders as the illumination beam. This paper highlights relative advantages of such a holographic beam scanning based confocal system and presents some of preliminary experimental results.

  19. SU-E-T-50: Automatic Validation of Megavoltage Beams Modeled for Clinical Use in Radiation Therapy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Melchior, M; Salinas Aranda, F; 21st Century Oncology, Ft. Myers, FL

    2014-06-01

    Purpose: To automatically validate megavoltage beams modeled in XiO™ 4.50 (Elekta, Stockholm, Sweden) and Varian Eclipse™ Treatment Planning Systems (TPS) (Varian Associates, Palo Alto, CA, USA), reducing validation time before beam-on for clinical use. Methods: A software application that can automatically read and analyze DICOM RT Dose and W2CAD files was developed using MatLab integrated development environment.TPS calculated dose distributions, in DICOM RT Dose format, and dose values measured in different Varian Clinac beams, in W2CAD format, were compared. Experimental beam data used were those acquired for beam commissioning, collected on a water phantom with a 2D automatic beam scanningmore » system.Two methods were chosen to evaluate dose distributions fitting: gamma analysis and point tests described in Appendix E of IAEA TECDOC-1583. Depth dose curves and beam profiles were evaluated for both open and wedged beams. Tolerance parameters chosen for gamma analysis are 3% and 3 mm dose and distance, respectively.Absolute dose was measured independently at points proposed in Appendix E of TECDOC-1583 to validate software results. Results: TPS calculated depth dose distributions agree with measured beam data under fixed precision values at all depths analyzed. Measured beam dose profiles match TPS calculated doses with high accuracy in both open and wedged beams. Depth and profile dose distributions fitting analysis show gamma values < 1. Relative errors at points proposed in Appendix E of TECDOC-1583 meet therein recommended tolerances.Independent absolute dose measurements at points proposed in Appendix E of TECDOC-1583 confirm software results. Conclusion: Automatic validation of megavoltage beams modeled for their use in the clinic was accomplished. The software tool developed proved efficient, giving users a convenient and reliable environment to decide whether to accept or not a beam model for clinical use. Validation time before beam-on for

  20. Bi-directional phase transition of Cu/6H-SiC(0 0 0 1) system discovered by positron beam study

    NASA Astrophysics Data System (ADS)

    Zhang, J. D.; Weng, H. M.; Shan, Y. Y.; Ching, H. M.; Beling, C. D.; Fung, S.; Ling, C. C.

    2002-06-01

    The slow positron beam facility at the University of Hong Kong has been used to study the Cu/6H-SiC(0 0 0 1) system. The S- E data show the presence of the Cu/SiC interface buried at a depth of 30 nm. Keeping the beam energy fixed and sweeping the sample temperature, sharp discontinuities are noted in the S-parameter at both ˜17 and ˜250 K. The S-parameter transitions, which are in opposite directions, are indicative of sharp free volume changes that come as a result of the sudden changes in the structure at the Cu/SiC interface accompanying some phase transition. Energy dispersive X-ray spectroscopy (EDXS) room temperature scans reveal the presence of O in addition to Cu, C, Si at the interface, and thus copper oxide phases should be considered in interpreting this new phenomenon. It is suggested that TEM investigation together with temperature dependent X-ray diffraction spectroscopy may be able to shed further light on the nature of this interesting bi-directional phase transition.

  1. Simulation-Guided 3D Nanomanufacturing via Focused Electron Beam Induced Deposition

    DOE PAGES

    Fowlkes, Jason D.; Winkler, Robert; Lewis, Brett B.; ...

    2016-06-10

    Focused electron beam induced deposition (FEBID) is one of the few techniques that enables direct-write synthesis of free-standing 3D nanostructures. While the fabrication of simple architectures such as vertical or curving nanowires has been achieved by simple trial and error, processing complex 3D structures is not tractable with this approach. This is due, inpart, to the dynamic interplay between electron–solid interactions and the transient spatial distribution of absorbed precursor molecules on the solid surface. Here, we demonstrate the ability to controllably deposit 3D lattice structures at the micro/nanoscale, which have received recent interest owing to superior mechanical and optical properties.more » Moreover, a hybrid Monte Carlo–continuum simulation is briefly overviewed, and subsequently FEBID experiments and simulations are directly compared. Finally, a 3D computer-aided design (CAD) program is introduced, which generates the beam parameters necessary for FEBID by both simulation and experiment. In using this approach, we demonstrate the fabrication of various 3D lattice structures using Pt-, Au-, and W-based precursors.« less

  2. Beam width evolution of astigmatic hollow Gaussian beams in highly nonlocal nonlinear media

    NASA Astrophysics Data System (ADS)

    Yang, Zhen-Feng; Jiang, Xue-Song; Yang, Zhen-Jun; Li, Jian-Xing; Zhang, Shu-Min

    We investigate the beam width evolution of astigmatic hollow Gaussian beams propagating in highly nonlocal nonlinear media. The input-power-induced different evolutions of the beam width are illustrated: (i) the beam widths in two transverse directions are compressed or broadened at the same time; (ii) the beam width in one transverse direction keeps invariant, and the other is compressed or broadened; (iii) furthermore, the beam width in one transverse direction is compressed, whereas it in the other transverse direction is broadened.

  3. Spot-scanning beam delivery with laterally- and longitudinally-mixed spot size pencil beams in heavy ion radiotherapy

    NASA Astrophysics Data System (ADS)

    Yan, Yuan-Lin; Liu, Xin-Guo; Dai, Zhong-Ying; Ma, Yuan-Yuan; He, Peng-Bo; Shen, Guo-Sheng; Ji, Teng-Fei; Zhang, Hui; Li, Qiang

    2017-09-01

    The three-dimensional (3D) spot-scanning method is one of the most commonly used irradiation methods in charged particle beam radiotherapy. Generally, spot-scanning beam delivery utilizes the same size pencil beam to irradiate the tumor targets. Here we propose a spot-scanning beam delivery method with laterally- and longitudinally-mixed size pencil beams for heavy ion radiotherapy. This uses pencil beams with a bigger spot size in the lateral direction and wider mini spread-out Bragg peak (mini-SOBP) to irradiate the inner part of a target volume, and pencil beams with a smaller spot size in the lateral direction and narrower mini-SOBP to irradiate the peripheral part of the target volume. Instead of being controlled by the accelerator, the lateral size of the pencil beam was adjusted by inserting Ta scatterers in the beam delivery line. The longitudinal size of the pencil beam (i.e. the width of the mini-SOBP) was adjusted by tilting mini ridge filters along the beam direction. The new spot-scanning beam delivery using carbon ions was investigated theoretically and compared with traditional spot-scanning beam delivery. Our results show that the new spot-scanning beam delivery has smaller lateral penumbra, steeper distal dose fall-off and the dose homogeneity (1-standard deviation/mean) in the target volume is better than 95%. Supported by Key Project of National Natural Science Foundation of China (U1232207), National Key Technology Support Program of the Ministry of Science and Technology of China (2015BAI01B11), National Key Research and Development Program of the Ministry of Science and Technology of China (2016YFC0904602) and National Natural Science Foundation of China (11075191, 11205217, 11475231, 11505249)

  4. Write Angles: Strategies for Teaching Composition.

    ERIC Educational Resources Information Center

    Tompkins, Gail E., Ed.; Goss, Claudette, Ed.

    Written by teachers for teachers, this book, the first publication of the Oklahoma Writing Project, contains a collection of articles which describe successful strategies and activities for teaching composition. The articles, which deal with a variety of topics, listed with their authors, are as follows: (1) The Writing Process (Gail E. Tompkins…

  5. The Department of Humanities Writing Program.

    ERIC Educational Resources Information Center

    Umpqua Community Coll., Roseburg, OR.

    Designed for students, faculty, and others interested in the writing program at Umpqua Community College (UCC) in Oregon, this booklet clarifies the specific function and scope of the writing courses offered by UCC's Department of Humanities. Section I states the department's objectives (e.g., to provide a core curriculum meeting the requirements…

  6. Grammar-Guided Writing for AAC Users

    ERIC Educational Resources Information Center

    Hunnicutt, Sheri; Magnuson, Tina

    2007-01-01

    A method of grammar-guided writing has been devised to guide graphic sign users through the construction of text messages for use in e-mail and other applications with a remote receiver. The purpose is to promote morphologically and syntactically correct sentences. The available grammatical structures in grammar-guided writing are the highest…

  7. Suspended tungsten-based nanowires with enhanced mechanical properties grown by focused ion beam induced deposition

    NASA Astrophysics Data System (ADS)

    Córdoba, Rosa; Lorenzoni, Matteo; Pablo-Navarro, Javier; Magén, César; Pérez-Murano, Francesc; María De Teresa, José

    2017-11-01

    The implementation of three-dimensional (3D) nano-objects as building blocks for the next generation of electro-mechanical, memory and sensing nano-devices is at the forefront of technology. The direct writing of functional 3D nanostructures is made feasible by using a method based on focused ion beam induced deposition (FIBID). We use this technique to grow horizontally suspended tungsten nanowires and then study their nano-mechanical properties by three-point bending method with atomic force microscopy. These measurements reveal that these nanowires exhibit a yield strength up to 12 times higher than that of the bulk tungsten, and near the theoretical value of 0.1 times the Young’s modulus (E). We find a size dependence of E that is adequately described by a core-shell model, which has been confirmed by transmission electron microscopy and compositional analysis at the nanoscale. Additionally, we show that experimental resonance frequencies of suspended nanowires (in the MHz range) are in good agreement with theoretical values. These extraordinary mechanical properties are key to designing electro-mechanically robust nanodevices based on FIBID tungsten nanowires.

  8. Terahertz electrical writing speed in an antiferromagnetic memory

    PubMed Central

    Kašpar, Zdeněk; Campion, Richard P.; Baumgartner, Manuel; Sinova, Jairo; Kužel, Petr; Müller, Melanie; Kampfrath, Tobias

    2018-01-01

    The speed of writing of state-of-the-art ferromagnetic memories is physically limited by an intrinsic gigahertz threshold. Recently, realization of memory devices based on antiferromagnets, in which spin directions periodically alternate from one atomic lattice site to the next has moved research in an alternative direction. We experimentally demonstrate at room temperature that the speed of reversible electrical writing in a memory device can be scaled up to terahertz using an antiferromagnet. A current-induced spin-torque mechanism is responsible for the switching in our memory devices throughout the 12-order-of-magnitude range of writing speeds from hertz to terahertz. Our work opens the path toward the development of memory-logic technology reaching the elusive terahertz band. PMID:29740601

  9. Write from the Start: Tapping Your Child's Natural Writing Ability.

    ERIC Educational Resources Information Center

    Graves, Donald; Stuart, Virginia

    Based on a seminal classroom research project directed by Dr. Donald Graves, as well as on the experiences of numerous children, teachers, parents, and researchers around the world, this book shows what can happen when teachers and parents realize that every child can write. Although the book is the result of a collaboration, the two authors have…

  10. Micro/nanofabrication of poly({sub L}-lactic acid) using focused ion beam direct etching

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Oyama, Tomoko Gowa; Nagasawa, Naotsugu; Taguchi, Mitsumasa

    2013-10-14

    Micro/nanofabrication of biocompatible and biodegradable poly({sub L}-lactic acid) (PLLA) using focused Ga ion beam direct etching was evaluated for future bio-device applications. The fabrication performance was determined with different ion fluences and fluxes (beam currents), and it was found that the etching speed and fabrication accuracy were affected by irradiation-induced heat. Focused ion beam (FIB)-irradiated surfaces were analyzed using micro-area X-ray photoelectron spectroscopy. Owing to reactions such as the physical sputtering of atoms and radiation-induced decomposition, PLLA was gradually carbonized with increasing C=C bonds. Controlled micro/nanostructures of PLLA were fabricated with C=C bond-rich surfaces expected to have good cell attachmentmore » properties.« less

  11. Maskless direct laser writing with visible light: Breaking through the optical resolving limit with cooperative manipulations of nonlinear reverse saturation absorption and thermal diffusion

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Wei, Jingsong, E-mail: weijingsong@siom.ac.cn; Wang, Rui; University of Chinese Academy of Sciences, Beijing 100049

    In this work, the resolving limit of maskless direct laser writing is overcome by cooperative manipulation from nonlinear reverse saturation absorption and thermal diffusion, where the nonlinear reverse saturation absorption can induce the formation of below diffraction-limited energy absorption spot, and the thermal diffusion manipulation can make the heat quantity at the central region of energy absorption spot propagate along the thin film thickness direction. The temperature at the central region of energy absorption spot transiently reaches up to melting point and realizes nanolithography. The sample “glass substrate/AgInSbTe” is prepared, where AgInSbTe is taken as nonlinear reverse saturation absorption thinmore » film. The below diffraction-limited energy absorption spot is simulated theoretically and verified experimentally by near-field spot scanning method. The “glass substrate/Al/AgInSbTe” sample is prepared, where the Al is used as thermal conductive layer to manipulate the thermal diffusion channel because the thermal diffusivity coefficient of Al is much larger than that of AgInSbTe. The direct laser writing is conducted by a setup with a laser wavelength of 650 nm and a converging lens of NA=0.85, the lithographic marks with a size of about 100 nm are obtained, and the size is only about 1/10 the incident focused spot. The experimental results indicate that the cooperative manipulation from nonlinear reverse saturation absorption and thermal diffusion is a good method to realize nanolithography in maskless direct laser writing with visible light.« less

  12. Weibel instability for a streaming electron, counterstreaming e-e, and e-p plasmas with intrinsic temperature anisotropy

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Ghorbanalilu, M.; Physics Department, Azarbaijan Shahid Madani University, Tabriz; Sadegzadeh, S.

    2014-05-15

    The existence of Weibel instability for a streaming electron, counterstreaming electron-electron (e-e), and electron-positron (e-p) plasmas with intrinsic temperature anisotropy is investigated. The temperature anisotropy is included in the directions perpendicular and parallel to the streaming direction. It is shown that the beam mean speed changes the instability mode, for a streaming electron beam, from the classic Weibel to the Weibel-like mode. The analytical and numerical solutions approved that Weibel-like modes are excited for both counterstreaming e-e and e-p plasmas. The growth rates of the instabilities in e-e and e-p plasmas are compared. The growth rate is larger for e-pmore » plasmas if the thermal anisotropy is small and the opposite is true for large thermal anisotropies. The analytical and numerical solutions are in good agreement only in the small parallel temperature and wave number limits, when the instability growth rate increases linearly with normalized wave number kc∕ω{sub p}.« less

  13. Fabrication and electrodynamic properties of all-carbon terahertz planar metamaterials by laser direct-write

    NASA Astrophysics Data System (ADS)

    Komlenok, M. S.; Lebedev, S. P.; Komandin, G. A.; Piqué, A.; Konov, V. I.

    2018-03-01

    A new approach to THz metamaterial structures is proposed and experimentally realized. It is based on metal-less conductive subwavelength structures on diamond surfaces generated by laser direct-write. 200 nm thick graphitized layers with DC conductivity of 730 Ω-1 cm-1 are formed on a chemical vapour deposited polycrystalline diamond surface after irradiation with an excimer KrF laser (τ l  =  20 ns, λ  =  248 nm). The optical properties of such layers are determined and simulated according to the Drude model. A polarizer with a graphitized subwavelength grating is fabricated and tested in the THz range (0.9-1.2 THz), and shows different transmission losses for orthogonal polarizations.

  14. Investigation of Writing Strategies, Writing Apprehension, and Writing Achievement among Saudi EFL-Major Students

    ERIC Educational Resources Information Center

    Al Asmari, AbdulRahman

    2013-01-01

    The tenet of this study is to investigate the use of writing strategies in reducing writing apprehension and uncovering its effect on EFL students' writing achievement. It also attempts to explore associations between foreign language apprehension, writing achievement and writing strategies. The primary aims of the study were to explore the…

  15. Mirror man: a case of skilled deliberate mirror writing.

    PubMed

    McIntosh, Robert D; De Lucia, Natascia; Della Sala, Sergio

    2014-01-01

    Mirror writing is a striking behaviour that is common in children and can reemerge in adults following brain damage. Skilled deliberate mirror writing has also been reported, but only anecdotally. We provide the first quantitative study of skilled deliberate mirror writing. K.B. can write forward or backward, vertically upright or inverted, with the hands acting alone or simultaneously. K.B. is predominantly left handed, but writes habitually with his right hand. Of his writing formats, his left hand mirror writing is by far the most similar in style to his normal handwriting. When writing bimanually, he performs better when his two hands make mirror-symmetrical movements to write opposite scripts than if they move in the same direction to write similar scripts. He has no special facility for reading mirrored text. These features are consistent with prior anecdotal cases and support a motor basis for K.B.'s ability, according to which his skilled mirror writing results from the left hand execution of a low-level motor program for a right hand abductive writing action. Our methods offer a novel framework for investigating the sharing of motor representations across effectors.

  16. Lotus-on-chip: computer-aided design and 3D direct laser writing of bioinspired surfaces for controlling the wettability of materials and devices.

    PubMed

    Lantada, Andrés Díaz; Hengsbach, Stefan; Bade, Klaus

    2017-10-16

    In this study we present the combination of a math-based design strategy with direct laser writing as high-precision technology for promoting solid free-form fabrication of multi-scale biomimetic surfaces. Results show a remarkable control of surface topography and wettability properties. Different examples of surfaces inspired on the lotus leaf, which to our knowledge are obtained for the first time following a computer-aided design with this degree of precision, are presented. Design and manufacturing strategies towards microfluidic systems whose fluid driving capabilities are obtained just by promoting a design-controlled wettability of their surfaces, are also discussed and illustrated by means of conceptual proofs. According to our experience, the synergies between the presented computer-aided design strategy and the capabilities of direct laser writing, supported by innovative writing strategies to promote final size while maintaining high precision, constitute a relevant step forward towards materials and devices with design-controlled multi-scale and micro-structured surfaces for advanced functionalities. To our knowledge, the surface geometry of the lotus leaf, which has relevant industrial applications thanks to its hydrophobic and self-cleaning behavior, has not yet been adequately modeled and manufactured in an additive way with the degree of precision that we present here.

  17. Writing Queer across the Borders of Geography and Desire

    ERIC Educational Resources Information Center

    Malagreca, Miguel A.

    2009-01-01

    In this article, the author merges biographical notes, autoethnography and experimental writing to situate his migrant self as a self that "performs through writing," i.e. planned, experimental writing that subverts the centrality of the monolingual heterosexual identity. He explores the intersections of time, desire, and power across…

  18. Structural Equation Modeling of Writing Proficiency Using Can-Do Questionnaires

    ERIC Educational Resources Information Center

    Kobayashi, Wakako

    2017-01-01

    The purposes of this study were to validate the writing section of the Eiken Can-Do Questionnaires used in this study and the second purpose was to determine the effects of ten affective orientations (i.e., Desire to Write English, Attitude Toward Learning to Write English, Motivational Intensity, Instrumental Orientation for Writing in English,…

  19. Mix & match electron beam & scanning probe lithography for high throughput sub-10 nm lithography

    NASA Astrophysics Data System (ADS)

    Kaestner, Marcus; Hofer, Manuel; Rangelow, Ivo W.

    2013-03-01

    The prosperous demonstration of a technique able to produce features with single nanometer (SN) resolution could guide the semiconductor industry into the desired beyond CMOS era. In the lithographic community immense efforts are being made to develop extreme ultra-violet lithography (EUVL) and multiple-e-beam direct-write systems as possible successor for next generation lithography (NGL). However, patterning below 20 nm resolution and sub-10 nm overlay alignment accuracy becomes an extremely challenging quest. Herein, the combination of electron beam lithography (EBL) or EUVL with the outstanding capabilities of closed-loop scanning proximal probe nanolithography (SPL) reveals a promising way to improve both patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. In particular, the imaging and lithographic resolution capabilities provided by scanning probe microscopy (SPM) methods touches the atomic level, which expresses the theoretical limit of constructing nanoelectronic devices. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) far beyond state-of-the-art allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension level with theoretically nanometer precise pattern overlay alignment. Moreover, we can modify the EBL (EUVL) pattern before as well as after the development step. In this paper we demonstrate proof of concept using the ultra-high resolution molecular glass resist calixarene. Therefor we applied Gaussian E-beam lithography system operating at 10 keV and a home-developed SPL set-up. The introduced Mix and Match lithography strategy enables a powerful use of our SPL set-up especially as post-patterning tool for inspection and repair functions below the sub-10 nm critical dimension level.

  20. Professional Writing in the English Classroom: Professional Collaborative Writing--Teaching, Writing, and Learning--Together.

    ERIC Educational Resources Information Center

    Bush, Jonathan; Zuidema, Leah

    2013-01-01

    In this article, the authors report the importance of teaching students about collaborative writing. When teachers are effective in helping students to learn processes for collaborative writing, everyone involved needs to speak, listen, write, and read about how to write well and what makes writing good. Students are forced to "go meta"…

  1. Bandgaps and directional properties of two-dimensional square beam-like zigzag lattices

    NASA Astrophysics Data System (ADS)

    Wang, Yan-Feng; Wang, Yue-Sheng; Zhang, Chuanzeng

    2014-12-01

    In this paper we propose four kinds of two-dimensional square beam-like zigzag lattice structures and study their bandgaps and directional propagation of elastic waves. The band structures are calculated by using the finite element method. Both the in-plane and out-of-plane waves are investigated simultaneously via the three-dimensional Euler beam elements. The mechanism of the bandgap generation is analyzed by studying the vibration modes at the bandgap edges. The effects of the geometry parameters of the xy- and z-zigzag lattices on the bandgaps are investigated and discussed. Multiple complete bandgaps are found owing to the separation of the degeneracy by introducing bending arms. The bandgaps are sensitive to the geometry parameters of the periodic systems. The deformed displacement fields of the harmonic responses of a finite lattice structure subjected to harmonic loads at different positions are illustrated to show the directional wave propagation. An extension of the proposed concept to the hexagonal lattices is also presented. The research work in this paper is relevant to the practical design of cellular structures with enhanced vibro-acoustics performance.

  2. Observations of ionospheric electron beams in the plasma sheet.

    PubMed

    Zheng, H; Fu, S Y; Zong, Q G; Pu, Z Y; Wang, Y F; Parks, G K

    2012-11-16

    Electrons streaming along the magnetic field direction are frequently observed in the plasma sheet of Earth's geomagnetic tail. The impact of these field-aligned electrons on the dynamics of the geomagnetic tail is however not well understood. Here we report the first detection of field-aligned electrons with fluxes increasing at ~1 keV forming a "cool" beam just prior to the dissipation of energy in the current sheet. These field-aligned beams at ~15 R(E) in the plasma sheet are nearly identical to those commonly observed at auroral altitudes, suggesting the beams are auroral electrons accelerated upward by electric fields parallel (E([parallel])) to the geomagnetic field. The density of the beams relative to the ambient electron density is δn(b)/n(e)~5-13% and the current carried by the beams is ~10(-8)-10(-7) A m(-2). These beams in high β plasmas with large density and temperature gradients appear to satisfy the Bohm criteria to initiate current driven instabilities.

  3. Using an e-Portfolio System to Improve the Academic Writing Performance of ESL Students

    ERIC Educational Resources Information Center

    Alshahrani, Ali; Windeatt, Scott

    2012-01-01

    Many intensive English language programmes that English second language (ESL) students enrol in adopt a process approach to writing, interpreting writing as a cognitive process that is highly private or individualistic (Atkinson, 2003), where writers use specific cognitive phases, such as pre-writing, drafting, and revising, to generate their…

  4. Virtual and Material Buildings: Construction and Constructivism in Architecture and Writing.

    ERIC Educational Resources Information Center

    Medway, Peter

    1996-01-01

    Endorses recent arguments for more study of writing that shapes and directs the production of material artifacts and for considering writing as one semiotic mode among others. Considers a case of "nonwritten" symbolic production, architectural design, for what it may suggest for the study and teaching of writing. (TB)

  5. Research on Writing Conventions: U R What U Write

    ERIC Educational Resources Information Center

    Nelson, Leah; Feinstein, Sheryl G.

    2007-01-01

    The purpose of this quantitative study is to determine how secondary students use conventions in classroom composition assignments compared to the Internet writing outlets of e-mail, instant messaging, and blogs. Thirty-two ninth grade students were identified to participate in this study. Half of the participants were deeply involved in online…

  6. Investigation of the effects of melt electrospinning parameters on the direct-writing fiber size using orthogonal design

    NASA Astrophysics Data System (ADS)

    He, Feng-Li; He, Jin; Deng, Xudong; Li, Da-Wei; Ahmad, Fiaz; Liu, Yang-Yang; Liu, Ya-Li; Ye, Ya-Jing; Zhang, Chen-Yan; Yin, Da-Chuan

    2017-10-01

    Melt electrospinning is a complex process, and many of the processing parameters can impact the result of fiber formation. In this paper, we conducted a systematic investigation on the impacts of the melt electrospinning parameters (including temperature, needle gauge, flow rate and collector speed) on the fiber diameter via an orthogonal design experiment. The straight single fibers were fabricated using melt electrospinning in a direct-writing way with a diameter varied from 9.68  ±  0.93 µm to 48.55  ±  3.72 µm. The results showed that the fiber diameter changed differently against different parameters: when the temperature or needle gauge increased, the fiber diameter increased first and then decreased; when the flow rate increased, the fiber diameter decreased first and then increased; when the collector speed increased, the fiber diameter decreased monotonously. We also found that the collector speed was the most influential factor while the needle gauge was least important in determining the diameter of the fiber. Moreover, the feasibility of melt electrospinning in a direct-writing way as a novel 3D printing technology had been demonstrated by fabricating both uniform and controllable structures with high accuracy, based on the optimal parameters from the orthogonal experiments. The promising results indicated that melt electrospinning can be developed as a powerful technique for fabricating miniatured parts with high resolution and controllable structures for versatile potential applications.

  7. Complete data preparation flow for Massively Parallel E-Beam lithography on 28nm node full-field design

    NASA Astrophysics Data System (ADS)

    Fay, Aurélien; Browning, Clyde; Brandt, Pieter; Chartoire, Jacky; Bérard-Bergery, Sébastien; Hazart, Jérôme; Chagoya, Alexandre; Postnikov, Sergei; Saib, Mohamed; Lattard, Ludovic; Schavione, Patrick

    2016-03-01

    Massively parallel mask-less electron beam lithography (MP-EBL) offers a large intrinsic flexibility at a low cost of ownership in comparison to conventional optical lithography tools. This attractive direct-write technique needs a dedicated data preparation flow to correct both electronic and resist processes. Moreover, Data Prep has to be completed in a short enough time to preserve the flexibility advantage of MP-EBL. While the MP-EBL tools have currently entered an advanced stage of development, this paper will focus on the data preparation side of the work for specifically the MAPPER Lithography FLX-1200 tool [1]-[4], using the ASELTA Nanographics Inscale software. The complete flow as well as the methodology used to achieve a full-field layout data preparation, within an acceptable cycle time, will be presented. Layout used for Data Prep evaluation was one of a 28 nm technology node Metal1 chip with a field size of 26x33mm2, compatible with typical stepper/scanner field sizes and wafer stepping plans. Proximity Effect Correction (PEC) was applied to the entire field, which was then exported as a single file to MAPPER Lithography's machine format, containing fractured shapes and dose assignments. The Soft Edge beam to beam stitching method was employed in the specific overlap regions defined by the machine format as well. In addition to PEC, verification of the correction was included as part of the overall data preparation cycle time. This verification step was executed on the machine file format to ensure pattern fidelity and accuracy as late in the flow as possible. Verification over the full chip, involving billions of evaluation points, is performed both at nominal conditions and at Process Window corners in order to ensure proper exposure and process latitude. The complete MP-EBL data preparation flow was demonstrated for a 28 nm node Metal1 layout in 37 hours. The final verification step shows that the Edge Placement Error (EPE) is kept below 2.25 nm

  8. Is Handwriting Performance Affected by the Writing Surface? Comparing Preschoolers', Second Graders', and Adults' Writing Performance on a Tablet vs. Paper.

    PubMed

    Gerth, Sabrina; Klassert, Annegret; Dolk, Thomas; Fliesser, Michael; Fischer, Martin H; Nottbusch, Guido; Festman, Julia

    2016-01-01

    Due to their multifunctionality, tablets offer tremendous advantages for research on handwriting dynamics or for interactive use of learning apps in schools. Further, the widespread use of tablet computers has had a great impact on handwriting in the current generation. But, is it advisable to teach how to write and to assess handwriting in pre- and primary schoolchildren on tablets rather than on paper? Since handwriting is not automatized before the age of 10 years, children's handwriting movements require graphomotor and visual feedback as well as permanent control of movement execution during handwriting. Modifications in writing conditions, for instance the smoother writing surface of a tablet, might influence handwriting performance in general and in particular those of non-automatized beginning writers. In order to investigate how handwriting performance is affected by a difference in friction of the writing surface, we recruited three groups with varying levels of handwriting automaticity: 25 preschoolers, 27 second graders, and 25 adults. We administered three tasks measuring graphomotor abilities, visuomotor abilities, and handwriting performance (only second graders and adults). We evaluated two aspects of handwriting performance: the handwriting quality with a visual score and the handwriting dynamics using online handwriting measures [e.g., writing duration, writing velocity, strokes and number of inversions in velocity (NIV)]. In particular, NIVs which describe the number of velocity peaks during handwriting are directly related to the level of handwriting automaticity. In general, we found differences between writing on paper compared to the tablet. These differences were partly task-dependent. The comparison between tablet and paper revealed a faster writing velocity for all groups and all tasks on the tablet which indicates that all participants-even the experienced writers-were influenced by the lower friction of the tablet surface. Our results

  9. Is Handwriting Performance Affected by the Writing Surface? Comparing Preschoolers', Second Graders', and Adults' Writing Performance on a Tablet vs. Paper

    PubMed Central

    Gerth, Sabrina; Klassert, Annegret; Dolk, Thomas; Fliesser, Michael; Fischer, Martin H.; Nottbusch, Guido; Festman, Julia

    2016-01-01

    Due to their multifunctionality, tablets offer tremendous advantages for research on handwriting dynamics or for interactive use of learning apps in schools. Further, the widespread use of tablet computers has had a great impact on handwriting in the current generation. But, is it advisable to teach how to write and to assess handwriting in pre- and primary schoolchildren on tablets rather than on paper? Since handwriting is not automatized before the age of 10 years, children's handwriting movements require graphomotor and visual feedback as well as permanent control of movement execution during handwriting. Modifications in writing conditions, for instance the smoother writing surface of a tablet, might influence handwriting performance in general and in particular those of non-automatized beginning writers. In order to investigate how handwriting performance is affected by a difference in friction of the writing surface, we recruited three groups with varying levels of handwriting automaticity: 25 preschoolers, 27 second graders, and 25 adults. We administered three tasks measuring graphomotor abilities, visuomotor abilities, and handwriting performance (only second graders and adults). We evaluated two aspects of handwriting performance: the handwriting quality with a visual score and the handwriting dynamics using online handwriting measures [e.g., writing duration, writing velocity, strokes and number of inversions in velocity (NIV)]. In particular, NIVs which describe the number of velocity peaks during handwriting are directly related to the level of handwriting automaticity. In general, we found differences between writing on paper compared to the tablet. These differences were partly task-dependent. The comparison between tablet and paper revealed a faster writing velocity for all groups and all tasks on the tablet which indicates that all participants—even the experienced writers—were influenced by the lower friction of the tablet surface. Our

  10. Studies of the Influence of Beam Profile and Cooling Conditions on the Laser Deposition of a Directionally-Solidified Superalloy.

    PubMed

    Yang, Shuo; Du, Dong; Chang, Baohua

    2018-02-04

    In the laser deposition of single crystal and directionally-solidified superalloys, it is desired to form laser deposits with high volume fractions of columnar grains by suppressing the columnar-to-equiaxed transition efficiently. In this paper, the influence of beam profile (circular and square shapes) and cooling conditions (natural cooling and forced cooling) on the geometric morphology and microstructure of deposits were experimentally studied in the laser deposition of a directionally-solidified superalloy, IC10, and the mechanisms of influence were revealed through a numerical simulation of the thermal processes during laser deposition. The results show that wider and thinner deposits were obtained with the square laser beam than those with the circular laser beam, regardless of whether natural or forced cooling conditions was used. The heights and contact angles of deposits were notably increased due to the reduced substrate temperatures by the application of forced cooling for both laser beam profiles. Under natural cooling conditions, columnar grains formed epitaxially at both the center and the edges of the deposits with the square laser beam, but only at the center of the deposits with the circular laser beam; under forced cooling conditions, columnar grains formed at both the center and the edges of deposits regardless of the laser beam profile. The high ratios of thermal gradient and solidification velocity in the height direction of the deposits were favorable to forming deposits with higher volume fractions of columnar grains.

  11. Studies of the Influence of Beam Profile and Cooling Conditions on the Laser Deposition of a Directionally-Solidified Superalloy

    PubMed Central

    Yang, Shuo; Du, Dong

    2018-01-01

    In the laser deposition of single crystal and directionally-solidified superalloys, it is desired to form laser deposits with high volume fractions of columnar grains by suppressing the columnar-to-equiaxed transition efficiently. In this paper, the influence of beam profile (circular and square shapes) and cooling conditions (natural cooling and forced cooling) on the geometric morphology and microstructure of deposits were experimentally studied in the laser deposition of a directionally-solidified superalloy, IC10, and the mechanisms of influence were revealed through a numerical simulation of the thermal processes during laser deposition. The results show that wider and thinner deposits were obtained with the square laser beam than those with the circular laser beam, regardless of whether natural or forced cooling conditions was used. The heights and contact angles of deposits were notably increased due to the reduced substrate temperatures by the application of forced cooling for both laser beam profiles. Under natural cooling conditions, columnar grains formed epitaxially at both the center and the edges of the deposits with the square laser beam, but only at the center of the deposits with the circular laser beam; under forced cooling conditions, columnar grains formed at both the center and the edges of deposits regardless of the laser beam profile. The high ratios of thermal gradient and solidification velocity in the height direction of the deposits were favorable to forming deposits with higher volume fractions of columnar grains. PMID:29401715

  12. "Make It New": Introducing Poetry Through Writing Poetry.

    ERIC Educational Resources Information Center

    Lim, Shirley

    One approach to introducing students to poetry is to have them write and analyze their own poems. Although this approach has some disadvantages, it does serve to tap students' experiences and expressive potential with creative projects and to give them an immediate and direct relationship with the traditional published works. By writing poems…

  13. The writing retreat: a high-yield clinical faculty development opportunity in academic writing.

    PubMed

    Cable, Christian T; Boyer, Debra; Colbert, Colleen Y; Boyer, Edward W

    2013-06-01

    The need for consistent academic productivity challenges junior clinician-scholars, who often lack the aptitude to ensure efficient production of manuscripts. To solve this problem, an academic division of a major medical center developed an off-site writing retreat. The purpose of the retreat was not to teach writing skills, but to offer senior mentor assistance with a focus on the elements of manuscript writing. The retreat paired senior faculty members with junior staff. Senior faculty identified manuscript topics and provided real-time writing and editing supervision. Team-building exercises, midcourse corrections, and debriefing interviews were built into the retreat. The number of manuscripts and grant proposals generated during the 2008-2011 retreats was recorded, and the program was evaluated by using unstructured debriefing interviews. An average of 6 to 7 faculty members and fellows participated in each retreat. During the past 4 years, participants produced an average of 3 grant proposals and 7 manuscripts per retreat. After the writing retreat, each fellow and junior faculty member produced an average of 4 scholarly products per year, compared to fewer than 2 for prior years' retreats. Participant feedback indicated the success of the retreat resulted from protected time, direct mentorship by the scholars involved, and pairing of authors, which allows for rapid production of manuscripts and accelerated the editing process. More than 80% of mentors returned each year to participate. The writing retreat is a feasible, effective strategy to increase scholarship among faculty, acceptable to mentees and mentors, and sustainable over time.

  14. Wavelength-detuning cross-beam energy transfer mitigation scheme for direct drive: Modeling and evidence from National Ignition Facility implosions

    DOE PAGES

    Marozas, J. A.; Hohenberger, M.; Rosenberg, M. J.; ...

    2018-05-25

    Here, cross-beam energy transfer (CBET) results from two-beam energy exchange via seeded stimulated Brillouin scattering, which detrimentally reduces laser-energy absorption for direct-drive inertial confinement fusion. Consequently, ablation pressure and implosion velocity suffer from the decreased absorption, reducing target performance in both symmetric and polar direct drive. Additionally, CBET alters the time-resolved scattered-light spectra and redistributes absorbed and scattered-light–changing shell morphology and low-mode drive symmetry. Mitigating CBET is demonstrated in inertial confinement implosions at the National Ignition Facility by detuning the laser-source wavelengths (±2.3 Å UV) of the interacting beams. In polar direct drive, wavelength detuning was shown to increase themore » equatorial region velocity experimentally by 16% and to alter the in-flight shell morphology. These experimental observations are consistent with design predictions of radiation–hydrodynamic simulations that indicate a 10% increase in the average ablation pressure. These results indicate that wavelength detuning successfully mitigates CBET. Simulations predict that optimized phase plates and wavelength-detuning CBET mitigation utilizing the three-legged beam layout of the OMEGA Laser System significantly increase absorption and achieve >100-Gbar hot-spot pressures in symmetric direct drive.« less

  15. Wavelength-detuning cross-beam energy transfer mitigation scheme for direct drive: Modeling and evidence from National Ignition Facility implosions

    NASA Astrophysics Data System (ADS)

    Marozas, J. A.; Hohenberger, M.; Rosenberg, M. J.; Turnbull, D.; Collins, T. J. B.; Radha, P. B.; McKenty, P. W.; Zuegel, J. D.; Marshall, F. J.; Regan, S. P.; Sangster, T. C.; Seka, W.; Campbell, E. M.; Goncharov, V. N.; Bowers, M. W.; Di Nicola, J.-M. G.; Erbert, G.; MacGowan, B. J.; Pelz, L. J.; Moody, J.; Yang, S. T.

    2018-05-01

    Cross-beam energy transfer (CBET) results from two-beam energy exchange via seeded stimulated Brillouin scattering, which detrimentally reduces laser-energy absorption for direct-drive inertial confinement fusion. Consequently, ablation pressure and implosion velocity suffer from the decreased absorption, reducing target performance in both symmetric and polar direct drive. Additionally, CBET alters the time-resolved scattered-light spectra and redistributes absorbed and scattered-light-changing shell morphology and low-mode drive symmetry. Mitigating CBET is demonstrated in inertial confinement implosions at the National Ignition Facility by detuning the laser-source wavelengths (±2.3 Å UV) of the interacting beams. In polar direct drive, wavelength detuning was shown to increase the equatorial region velocity experimentally by 16% and to alter the in-flight shell morphology. These experimental observations are consistent with design predictions of radiation-hydrodynamic simulations that indicate a 10% increase in the average ablation pressure. These results indicate that wavelength detuning successfully mitigates CBET. Simulations predict that optimized phase plates and wavelength-detuning CBET mitigation utilizing the three-legged beam layout of the OMEGA Laser System significantly increase absorption and achieve >100-Gbar hot-spot pressures in symmetric direct drive.

  16. Wavelength-detuning cross-beam energy transfer mitigation scheme for direct drive: Modeling and evidence from National Ignition Facility implosions

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Marozas, J. A.; Hohenberger, M.; Rosenberg, M. J.

    Here, cross-beam energy transfer (CBET) results from two-beam energy exchange via seeded stimulated Brillouin scattering, which detrimentally reduces laser-energy absorption for direct-drive inertial confinement fusion. Consequently, ablation pressure and implosion velocity suffer from the decreased absorption, reducing target performance in both symmetric and polar direct drive. Additionally, CBET alters the time-resolved scattered-light spectra and redistributes absorbed and scattered-light–changing shell morphology and low-mode drive symmetry. Mitigating CBET is demonstrated in inertial confinement implosions at the National Ignition Facility by detuning the laser-source wavelengths (±2.3 Å UV) of the interacting beams. In polar direct drive, wavelength detuning was shown to increase themore » equatorial region velocity experimentally by 16% and to alter the in-flight shell morphology. These experimental observations are consistent with design predictions of radiation–hydrodynamic simulations that indicate a 10% increase in the average ablation pressure. These results indicate that wavelength detuning successfully mitigates CBET. Simulations predict that optimized phase plates and wavelength-detuning CBET mitigation utilizing the three-legged beam layout of the OMEGA Laser System significantly increase absorption and achieve >100-Gbar hot-spot pressures in symmetric direct drive.« less

  17. Direct Metal Writing and Precise Positioning of Gold Nanoparticles within Microfluidic Channels for SERS Sensing of Gaseous Analytes.

    PubMed

    Lee, Mian Rong; Lee, Hiang Kwee; Yang, Yijie; Koh, Charlynn Sher Lin; Lay, Chee Leng; Lee, Yih Hong; Phang, In Yee; Ling, Xing Yi

    2017-11-15

    We demonstrate a one-step precise direct metal writing of well-defined and densely packed gold nanoparticle (AuNP) patterns with tunable physical and optical properties. We achieve this by using two-photon lithography on a Au precursor comprising poly(vinylpyrrolidone) (PVP) and ethylene glycol (EG), where EG promotes higher reduction rates of Au(III) salt via polyol reduction. Hence, clusters of monodisperse AuNP are generated along raster scanning of the laser, forming high-particle-density, well-defined structures. By varying the PVP concentration, we tune the AuNP size from 27.3 to 65.0 nm and the density from 172 to 965 particles/μm 2 , corresponding to a surface roughness of 12.9 to 67.1 nm, which is important for surface-based applications such as surface-enhanced Raman scattering (SERS). We find that the microstructures exhibit an SERS enhancement factor of >10 5 and demonstrate remote writing of well-defined Au microstructures within a microfluidic channel for the SERS detection of gaseous molecules. We showcase in situ SERS monitoring of gaseous 4-methylbenzenethiol and real-time detection of multiple small gaseous species with no specific affinity to Au. This one-step, laser-induced fabrication of AuNP microstructures ignites a plethora of possibilities to position desired patterns directly onto or within most surfaces for the future creation of multifunctional lab-on-a-chip devices.

  18. Persistent left unilateral mirror writing: A neuropsychological case study.

    PubMed

    Angelillo, Valentina G; De Lucia, Natascia; Trojano, Luigi; Grossi, Dario

    2010-09-01

    Mirror writing (MW) is a rare disorder in which a script runs in direction opposite to normal and individual letters are reversed. The disorder generally occurs after left-hemisphere lesions, is transient and is observed on the left hand, whereas usually motor impairments prevent assessment of direction of right handwriting. We describe a left-handed patient with complete left hand mirror writing, still evident 2 years after a hemorrhagic stroke in left nucleo-capsular region. Since the patient could write with his right hand he underwent several writing tasks with either hand, and a thorough assessment to clarify the nature of MW. MW was evident in writing to dictation with left hand only, both in right and left hemispace, but the patient could modify his behavior when a verbal instruction was provided. No mirror errors were found in reading words, in copying geometric figures and in spatial orientation tasks. MW in our patient could be accounted for by a failure in automatic transformation of grapho-motor programs to write with the left hand. A lack of concern (a sort of anosodiaphoria) and a poor cognitive flexibility could contribute to long-term persistence of MW. 2010 Elsevier Inc. All rights reserved.

  19. Designing a Website to Support Students' Academic Writing Process

    ERIC Educational Resources Information Center

    Åberg, Eva Svärdemo; Ståhle, Ylva; Engdahl, Ingrid; Knutes-Nyqvist, Helen

    2016-01-01

    Academic writing skills are crucial when students, e.g., in teacher education programs, write their undergraduate theses. A multi-modal web-based and self-regulated learning resource on academic writing was developed, using texts, hypertext, moving images, podcasts and templates. A study, using surveys and a focus group, showed that students used…

  20. Alternative stitching method for massively parallel e-beam lithography

    NASA Astrophysics Data System (ADS)

    Brandt, Pieter; Tranquillin, Céline; Wieland, Marco; Bayle, Sébastien; Milléquant, Matthieu; Renault, Guillaume

    2015-07-01

    In this study, a stitching method other than soft edge (SE) and smart boundary (SB) is introduced and benchmarked against SE. The method is based on locally enhanced exposure latitude without throughput cost, making use of the fact that the two beams that pass through the stitching region can deposit up to 2× the nominal dose. The method requires a complex proximity effect correction that takes a preset stitching dose profile into account. Although the principle of the presented stitching method can be multibeam (lithography) systems in general, in this study, the MAPPER FLX 1200 tool is specifically considered. For the latter tool at a metal clip at minimum half-pitch of 32 nm, the stitching method effectively mitigates beam-to-beam (B2B) position errors such that they do not induce an increase in critical dimension uniformity (CDU). In other words, the same CDU can be realized inside the stitching region as outside the stitching region. For the SE method, the CDU inside is 0.3 nm higher than outside the stitching region. A 5-nm direct overlay impact from the B2B position errors cannot be reduced by a stitching strategy.

  1. Writing Plan Quality: Relevance to Writing Scores

    ERIC Educational Resources Information Center

    Chai, Constance

    2006-01-01

    If writing matters, how can we improve it? This study investigated the nature of writing plan quality and its relationship to the ensuing writing scores. Data were drawn from the 1998 Provincial Learning Assessment Programme (PLAP) in Writing, which was administered to pupils in Grades 4, 7, and 10 across British Columbia, Canada. Common features…

  2. A Waveguide Antenna with an Extended Angular Range for Remote Steering of Wave-Beam Direction

    NASA Astrophysics Data System (ADS)

    Sobolev, D. I.; Denisov, G. G.

    2018-03-01

    A new method for increasing the angular range of a waveguide antenna for remote steering of the wave-beam direction in thermonuclear-fusion experimental setups with plasma magnetic confinement is proposed. Characteristics for large beam inclination angles can be improved using the synthesized nonuniform waveguide profile. For small angles, the characteristics remain invariable, the waveguide profile differs only slightly from the regular shape, and can be fit to limited waveguide-channel sizes.

  3. Microwave accelerator E-beam pumped laser

    DOEpatents

    Brau, Charles A.; Stein, William E.; Rockwood, Stephen D.

    1980-01-01

    A device and method for pumping gaseous lasers by means of a microwave accelerator. The microwave accelerator produces a relativistic electron beam which is applied along the longitudinal axis of the laser through an electron beam window. The incident points of the electron beam on the electron beam window are varied by deflection coils to enhance the cooling characteristics of the foil. A thyratron is used to reliably modulate the microwave accelerator to produce electron beam pulses which excite the laser medium to produce laser pulse repetition frequencies not previously obtainable. An aerodynamic window is also disclosed which eliminates foil heating problems, as well as a magnetic bottle for reducing laser cavity length and pressures while maintaining efficient energy deposition.

  4. Highly Directional Sonar Beam of Narwhals (Monodon monoceros) Measured with a Vertical 16 Hydrophone Array.

    PubMed

    Koblitz, Jens C; Stilz, Peter; Rasmussen, Marianne H; Laidre, Kristin L

    2016-01-01

    Recordings of narwhal (Monodon monoceros) echolocation signals were made using a linear 16 hydrophone array in the pack ice of Baffin Bay, West Greenland in 2013 at eleven sites. An average -3 dB beam width of 5.0° makes the narwhal click the most directional biosonar signal reported for any species to date. The beam shows a dorsal-ventral asymmetry with a narrower beam above the beam axis. This may be an evolutionary advantage for toothed whales to reduce echoes from the water surface or sea ice surface. Source level measurements show narwhal click intensities of up to 222 dB pp re 1 μPa, with a mean apparent source level of 215 dB pp re 1 μPa. During ascents and descents the narwhals perform scanning in the vertical plane with their sonar beam. This study provides valuable information for reference sonar parameters of narwhals and for the use of acoustic monitoring in the Arctic.

  5. Highly Directional Sonar Beam of Narwhals (Monodon monoceros) Measured with a Vertical 16 Hydrophone Array

    PubMed Central

    Koblitz, Jens C.; Stilz, Peter; Rasmussen, Marianne H.; Laidre, Kristin L.

    2016-01-01

    Recordings of narwhal (Monodon monoceros) echolocation signals were made using a linear 16 hydrophone array in the pack ice of Baffin Bay, West Greenland in 2013 at eleven sites. An average -3 dB beam width of 5.0° makes the narwhal click the most directional biosonar signal reported for any species to date. The beam shows a dorsal-ventral asymmetry with a narrower beam above the beam axis. This may be an evolutionary advantage for toothed whales to reduce echoes from the water surface or sea ice surface. Source level measurements show narwhal click intensities of up to 222 dB pp re 1 μPa, with a mean apparent source level of 215 dB pp re 1 μPa. During ascents and descents the narwhals perform scanning in the vertical plane with their sonar beam. This study provides valuable information for reference sonar parameters of narwhals and for the use of acoustic monitoring in the Arctic. PMID:27828956

  6. Creating aperiodic photonic structures by synthesized Mathieu-Gauss beams

    NASA Astrophysics Data System (ADS)

    Vasiljević, Jadranka M.; Zannotti, Alessandro; Timotijević, Dejan V.; Denz, Cornelia; Savić, Dragana M. Jović

    2017-08-01

    We demonstrate a kind of aperiodic photonic structure realized using the interference of multiple Mathieu-Gauss beams. Depending on the beam configurations, their mutual distances, angles of rotation, or phase relations we are able to observe different classes of such aperiodic optically induced refractive index structures. Our experimental approach is based on the optical induction in a single parallel writing process.

  7. "The Dilemma That Still Counts": Basic Writing at a Political Crossroads.

    ERIC Educational Resources Information Center

    Harrington, Susanmarie; Adler-Kassner, Linda

    1998-01-01

    Reviews definitions of basic writers and basic writing over the last 20 years. Argues that basic writers are not defined only in terms of institutional convenience. Offers future directions for basic writing research, suggesting that to learn more about basic writers, researchers must return to studies of error informed by basic writing's rich…

  8. Teaching Science Writing in an Introductory Lab Course

    PubMed Central

    Holstein, Sarah E.; Mickley Steinmetz, Katherine R.; Miles, John D.

    2015-01-01

    One challenge that many neuroscience instructors face is how to teach students to communicate within the field. The goal of this project was to improve students’ scientific writing in an introductory psychology laboratory course that serves as a feeder course into the neuroscience curriculum. This course included a scaffolded approach - breaking assignments into different sections that build upon each other to allow for more direction and feedback on each section. Students were also provided with examples of scientific writing, given direction on finding and reading journal articles, and were taught how to effectively peer review a paper. Research papers were assessed before (Year 1) and after (Year 2) this scaffolded approach was instituted. The assessment included measures of “Genre Knowledge” for each section of a research paper (abstract, introduction, method, results, discussion) as well as measures of “Writing Elements” (grammar, formatting, clarity, transitions, building to the hypothesis, using evidence). The results indicated that there was an improvement for Genre Knowledge scores when comparing Year 1 to Year 2. However, there was no systematic improvement in Writing Elements. This suggests that this teaching technique was most effective in improving students’ ability to write within the scientific genre. The logistics of implementing such an approach are discussed. PMID:25838801

  9. Directed Self-Inquiry: A Scaffold for Teaching Laboratory Report Writing

    ERIC Educational Resources Information Center

    Deiner, L. Jay; Newsome, Daniel; Samaroo, Diana

    2012-01-01

    A scaffold was created for the explicit instruction of laboratory report writing. The scaffold breaks the laboratory report into sections and teaches students to ask and answer questions in order to generate section-appropriate content and language. Implementation of the scaffold is done through a series of section-specific worksheets that are…

  10. Strong constraints on sub-GeV dark sectors from SLAC beam dump E137.

    PubMed

    Batell, Brian; Essig, Rouven; Surujon, Ze'ev

    2014-10-24

    We present new constraints on sub-GeV dark matter and dark photons from the electron beam-dump experiment E137 conducted at SLAC in 1980-1982. Dark matter interacting with electrons (e.g., via a dark photon) could have been produced in the electron-target collisions and scattered off electrons in the E137 detector, producing the striking, zero-background signature of a high-energy electromagnetic shower that points back to the beam dump. E137 probes new and significant ranges of parameter space and constrains the well-motivated possibility that dark photons that decay to light dark-sector particles can explain the ∼3.6σ discrepancy between the measured and standard model value of the muon anomalous magnetic moment. It also restricts the parameter space in which the relic density of dark matter in these models is obtained from thermal freeze-out. E137 also convincingly demonstrates that (cosmic) backgrounds can be controlled and thus serves as a powerful proof of principle for future beam-dump searches for sub-GeV dark-sector particles scattering off electrons in the detector.

  11. The role of low-energy electrons in focused electron beam induced deposition: four case studies of representative precursors

    PubMed Central

    Thorman, Rachel M; Kumar T. P., Ragesh; Fairbrother, D Howard

    2015-01-01

    Summary Focused electron beam induced deposition (FEBID) is a single-step, direct-write nanofabrication technique capable of writing three-dimensional metal-containing nanoscale structures on surfaces using electron-induced reactions of organometallic precursors. Currently FEBID is, however, limited in resolution due to deposition outside the area of the primary electron beam and in metal purity due to incomplete precursor decomposition. Both limitations are likely in part caused by reactions of precursor molecules with low-energy (<100 eV) secondary electrons generated by interactions of the primary beam with the substrate. These low-energy electrons are abundant both inside and outside the area of the primary electron beam and are associated with reactions causing incomplete ligand dissociation from FEBID precursors. As it is not possible to directly study the effects of secondary electrons in situ in FEBID, other means must be used to elucidate their role. In this context, gas phase studies can obtain well-resolved information on low-energy electron-induced reactions with FEBID precursors by studying isolated molecules interacting with single electrons of well-defined energy. In contrast, ultra-high vacuum surface studies on adsorbed precursor molecules can provide information on surface speciation and identify species desorbing from a substrate during electron irradiation under conditions more representative of FEBID. Comparing gas phase and surface science studies allows for insight into the primary deposition mechanisms for individual precursors; ideally, this information can be used to design future FEBID precursors and optimize deposition conditions. In this review, we give a summary of different low-energy electron-induced fragmentation processes that can be initiated by the secondary electrons generated in FEBID, specifically, dissociative electron attachment, dissociative ionization, neutral dissociation, and dipolar dissociation, emphasizing the

  12. The role of low-energy electrons in focused electron beam induced deposition: four case studies of representative precursors.

    PubMed

    Thorman, Rachel M; Kumar T P, Ragesh; Fairbrother, D Howard; Ingólfsson, Oddur

    2015-01-01

    Focused electron beam induced deposition (FEBID) is a single-step, direct-write nanofabrication technique capable of writing three-dimensional metal-containing nanoscale structures on surfaces using electron-induced reactions of organometallic precursors. Currently FEBID is, however, limited in resolution due to deposition outside the area of the primary electron beam and in metal purity due to incomplete precursor decomposition. Both limitations are likely in part caused by reactions of precursor molecules with low-energy (<100 eV) secondary electrons generated by interactions of the primary beam with the substrate. These low-energy electrons are abundant both inside and outside the area of the primary electron beam and are associated with reactions causing incomplete ligand dissociation from FEBID precursors. As it is not possible to directly study the effects of secondary electrons in situ in FEBID, other means must be used to elucidate their role. In this context, gas phase studies can obtain well-resolved information on low-energy electron-induced reactions with FEBID precursors by studying isolated molecules interacting with single electrons of well-defined energy. In contrast, ultra-high vacuum surface studies on adsorbed precursor molecules can provide information on surface speciation and identify species desorbing from a substrate during electron irradiation under conditions more representative of FEBID. Comparing gas phase and surface science studies allows for insight into the primary deposition mechanisms for individual precursors; ideally, this information can be used to design future FEBID precursors and optimize deposition conditions. In this review, we give a summary of different low-energy electron-induced fragmentation processes that can be initiated by the secondary electrons generated in FEBID, specifically, dissociative electron attachment, dissociative ionization, neutral dissociation, and dipolar dissociation, emphasizing the different

  13. SU-E-J-47: Comparison of Online Image Registrations of Varian TrueBeam Cone-Beam CT and BrainLab ExacTrac Imaging Systems

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Li, J; Shi, W; Andrews, D

    2015-06-15

    Purpose To compare online image registrations of TrueBeam cone-beam CT (CBCT) and BrainLab ExacTrac imaging systems. Methods Tests were performed on a Varian TrueBeam STx linear accelerator (Version 2.0), which is integrated with a BrainLab ExacTrac imaging system (Version 6.0.5). The study was focused on comparing the online image registrations for translational shifts. A Rando head phantom was placed on treatment couch and immobilized with a BrainLab mask. The phantom was shifted by moving the couch translationally for 8 mm with a step size of 1 mm, in vertical, longitudinal, and lateral directions, respectively. At each location, the phantom wasmore » imaged with CBCT and ExacTrac x-ray. CBCT images were registered with TrueBeam and ExacTrac online registration algorithms, respectively. And ExacTrac x-ray image registrations were performed. Shifts calculated from different registrations were compared with nominal couch shifts. Results The averages and ranges of absolute differences between couch shifts and calculated phantom shifts obtained from ExacTrac x-ray registration, ExacTrac CBCT registration with default window, ExaxTrac CBCT registration with adjusted window (bone), Truebeam CBCT registration with bone window, and Truebeam CBCT registration with soft tissue window, were: 0.07 (0.02–0.14), 0.14 (0.01–0.35), 0.12 (0.02–0.28), 0.09 (0–0.20), and 0.06 (0–0.10) mm, in vertical direction; 0.06 (0.01–0.12), 0.27 (0.07–0.57), 0.23 (0.02–0.48), 0.04 (0–0.10), and 0.08 (0– 0.20) mm, in longitudinal direction; 0.05 (0.01–0.21), 0.35 (0.14–0.80), 0.25 (0.01–0.56), 0.19 (0–0.40), and 0.20 (0–0.40) mm, in lateral direction. Conclusion The shifts calculated from ExacTrac x-ray and TrueBeam CBCT registrations were close to each other (the differences between were less than 0.40 mm in any direction), and had better agreements with couch shifts than those from ExacTrac CBCT registrations. There were no significant differences between TrueBeam

  14. "Thinking-for-Writing": A Prolegomenon on Writing Signed Languages.

    PubMed

    Rosen, Russell S; Hartman, Maria C; Wang, Ye

    2017-01-01

    In his article in this American Annals of the Deaf special issue that also includes the present article, Grushkin argues that the writing difficulties of many deaf and hard of hearing children result primarily from the orthographic nature of the writing system; he proposes a new system based on features found in signed languages. In response, the present authors review the literature on D/HH children's writing difficulties, outline the main percepts of and assumptions about writing signed languages, discuss "thinking-for-writing" as a process in developing writing skills, offer research designs to test the effectiveness of writing signed language systems, and provide strategies for adopting "thinking-for-writing" in education. They conclude that until empirical studies show that writing signed languages effectively reflects writers' "thinking-for-writing," the alphabetic orthographic system of English should still be used, and ways should be found to teach D/HH children to use English writing to express their thoughts.

  15. Direct nano-patterning of graphene with helium ion beams

    NASA Astrophysics Data System (ADS)

    Naitou, Y.; Iijima, T.; Ogawa, S.

    2015-01-01

    Helium ion microscopy (HIM) was used for direct nano-patterning of single-layer graphene (SLG) on SiO2/Si substrates. This technique involves irradiation of the sample with accelerated helium ions (He+). Doses of 2.0 × 1016 He+ cm-2 from a 30 kV beam induced a metal-insulator transition in the SLG. The resolution of HIM patterning on SLG was investigated by fabricating nanoribbons and nanostructures. Analysis of scanning capacitance microscopy measurements revealed that the spatial resolution of HIM patterning depended on the dosage of He+ in a non-monotonic fashion. Increasing the dose from 2.0 × 1016 to 5.0 × 1016 He+ cm-2 improved the spatial resolution to several tens of nanometers. However, doses greater than 1.0 × 1017 He+ cm-2 degraded the patterning characteristics. Direct patterning using HIM is a versatile approach to graphene fabrication and can be applied to graphene-based devices.

  16. Generating Cultures of Writing: Collaborations between the Stanford Writing Center and High School Writing Centers

    ERIC Educational Resources Information Center

    Tinker, John

    2006-01-01

    For several years, the author has been working with colleagues in the Northern California Writing Centers Association (NCWCA) and the Stanford Writing Center to build bridges between college and high school writing centers. The writing center at Stanford defines one of its central goals as "celebrating a culture of writing" for all…

  17. Printing of highly conductive solution by alternating current electrohydrodynamic direct-write

    NASA Astrophysics Data System (ADS)

    Jiang, Jiaxin; Zheng, Gaofeng; Wang, Xiang; Zheng, Jianyi; Liu, Juan; Liu, Yifang; Li, Wenwang; Guo, Shumin

    2018-03-01

    Electrohydrodynamic Direct-Write (EDW) is a novel technology for the printing of micro/nano structures. In this paper, Alternating Current (AC) electrical field was introduced to improve the ejection stability of jet with highly conductive solution. By alternating the electrical field, the polarity of free charges on the surface of jet was changed and the average density of charge, as well as the repulsive force, was reduced to stabilize the jet. When the frequency of AC electrical field increased, the EDW process became more stable and the shape of deposited droplets became more regular. The diameter of printed droplets decreased and the deposition frequency increased with the increase of voltage frequency. The phenomenon of corona discharge was overcome effectively as well. To further evaluate the performance of AC EDW for highly conductive solution, more NaCl was added to the solution and the conductivity was increased to 2810μs/cm. With such high conductivity, the problem of serious corona discharge could still be prevented by AC EDW, and the diameter of printed droplets decreased significantly. This work provides an effective way to accelerate industrial applications of EDW.

  18. Reducing broadband shortwave radiometer calibration-bias caused by longwave irradiance in the reference direct beam

    DOE PAGES

    Reda, Ibrahim; Andreas, Afshin; Dooraghi, Mike; ...

    2017-01-13

    Shortwave radiometers such as pyranometers, pyrheliometers, and photovoltaic cells are calibrated with traceability to consensus reference, maintained by Absolute Cavity Radiometers (ACRs). The ACR is an open cavity with no window, and measures the extended broadband spectrum of the terrestrial direct solar beam irradiance, unlike shortwave radiometers that cover a limited range of the spectrum. The difference between the two spectral ranges may lead to calibration bias that can exceed 1%. This paper describes a method to reduce the calibration bias resulting from using broadband ACRs to calibrate shortwave radiometers, by using an ACR with Schott glass window to measuremore » the reference broadband shortwave irradiance in the terrestrial direct solar beam from 0.3 um to 3 um.« less

  19. Measuring Broadband IR Irradiance in the Direct Solar Beam (Poster)

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Reda, I.; Konings, J.; Xie, Y.

    Solar and atmospheric science radiometers, e.g. pyranometers, pyrheliometers, and photovoltaic cells are calibrated with traceability to a consensus reference, which is maintained by Absolute Cavity Radiometers (ACRs). The ACR is an open cavity with no window, developed to measure extended broadband direct solar irradiance beyond the ultraviolet and infrared bands below and above 0.2 micrometers and 50 micrometers, respectively. On the other hand, pyranometers and pyrheliometers are developed to measure broadband shortwave irradiance from approximately 0.3 micrometers to 3 micrcometers, while the present photovoltaic cells are limited to approximately 0.3 micrometers to 1 micrometers. The broadband mismatch of ACR versusmore » such radiometers causes discrepancy in radiometers' calibration methods that has not been discussed or addressed in the solar and atmospheric science literature. Pyrgeometers are also used for solar and atmospheric science applications and calibrated with traceability to consensus reference, yet calibrated during nighttime only, because no consensus reference has yet been established for the daytime longwave irradiance. This poster shows a method to measure the broadband IR irradiance in the direct solar beam from 3 micrometers to 50 micrometers, as first step that might be used to help develop calibration methods to address the mismatch between broadband ACR and shortwave radiometers, and the lack of a daytime reference for pyrgeometers. The irradiance was measured from sunrise to sunset for 5 days when the sun disk was cloudless; the irradiance varied from approximately 1 Wm-2 to 16 Wm-2 for solar zenith angle from 80 degres to 16 degrees respectively; estimated uncertainty is 1.5 Wm-2.« less

  20. Benchmarking the minimum Electron Beam (eBeam) dose required for the sterilization of space foods

    NASA Astrophysics Data System (ADS)

    Bhatia, Sohini S.; Wall, Kayley R.; Kerth, Chris R.; Pillai, Suresh D.

    2018-02-01

    As manned space missions extend in length, the safety, nutrition, acceptability, and shelf life of space foods are of paramount importance to NASA. Since food and mealtimes play a key role in reducing stress and boredom of prolonged missions, the quality of food in terms of appearance, flavor, texture, and aroma can have significant psychological ramifications on astronaut performance. The FDA, which oversees space foods, currently requires a minimum dose of 44 kGy for irradiated space foods. The underlying hypothesis was that commercial sterility of space foods could be achieved at a significantly lower dose, and this lowered dose would positively affect the shelf life of the product. Electron beam processed beef fajitas were used as an example NASA space food to benchmark the minimum eBeam dose required for sterility. A 15 kGy dose was able to achieve an approximately 10 log reduction in Shiga-toxin-producing Escherichia coli bacteria, and a 5 log reduction in Clostridium sporogenes spores. Furthermore, accelerated shelf life testing (ASLT) to determine sensory and quality characteristics under various conditions was conducted. Using Multidimensional gas-chromatography-olfactometry-mass spectrometry (MDGC-O-MS), numerous volatiles were shown to be dependent on the dose applied to the product. Furthermore, concentrations of off -flavor aroma compounds such as dimethyl sulfide were decreased at the reduced 15 kGy dose. The results suggest that the combination of conventional cooking combined with eBeam processing (15 kGy) can achieve the safety and shelf-life objectives needed for long duration space-foods.

  1. Multi-shaped beam: development status and update on lithography results

    NASA Astrophysics Data System (ADS)

    Slodowski, Matthias; Doering, Hans-Joachim; Dorl, Wolfgang; Stolberg, Ines A.

    2011-04-01

    According to the ITRS [1] photo mask is a significant challenge for the 22nm technology node requirements and beyond. Mask making capability and cost escalation continue to be critical for future lithography progress. On the technological side mask specifications and complexity have increased more quickly than the half-pitch requirements on the wafer designated by the roadmap due to advanced optical proximity correction and double patterning demands. From the economical perspective mask costs have significantly increased each generation, in which mask writing represents a major portion. The availability of a multi-electron-beam lithography system for mask write application is considered a potential solution to overcome these challenges [2, 3]. In this paper an update of the development status of a full-package high-throughput multi electron-beam writer, called Multi Shaped Beam (MSB), will be presented. Lithography performance results, which are most relevant for mask writing applications, will be disclosed. The MSB technology is an evolutionary development of the matured single Variable Shaped Beam (VSB) technology. An arrangement of Multi Deflection Arrays (MDA) allows operation with multiple shaped beams of variable size, which can be deflected and controlled individually [4]. This evolutionary MSB approach is associated with a lower level of risk and a relatively short time to implementation compared to the known revolutionary concepts [3, 5, 6]. Lithography performance is demonstrated through exposed pattern. Further details of the substrate positioning platform performance will be disclosed. It will become apparent that the MSB operational mode enables lithography on the same and higher performance level compared to single VSB and that there are no specific additional lithography challenges existing beside those which have already been addressed [1].

  2. Writing II for 2nd Year EFL Student Teachers

    ERIC Educational Resources Information Center

    Abdallah, Mahmoud M. S.

    2015-01-01

    Writing is a very important skill that should be mastered properly by university students, especially pre-service language teachers (e.g. EFL student teachers). In order to present their ideas efficiently in the context of their academic study, they have to be trained well on how to write meaningful pieces (e.g. essays, academic reports,…

  3. Teaching Writing

    ERIC Educational Resources Information Center

    Tomas, Z.; Kostka, I.; Mott-Smith, J. A.

    2013-01-01

    The authors of "Teaching Writing" draw on their years of teaching and their knowledge of theory and research to present major concepts in teaching L2 writing. These concepts encompass how cultural differences affect the writing class, planning instruction, text-based writing, writing strategies, modeling, and responding to student…

  4. Learning to Write Like a Scientist: A Writing-Intensive Course for Microbiology/Health Science Students †

    PubMed Central

    Grzyb, Kimi; Snyder, Wesley; Field, Katharine G.

    2018-01-01

    Learning the tools and conventions of expert communication in the sciences provides multiple benefits to bioscience students, yet often these skills are not formally taught. To address this need, we designed a writing-intensive microbiology course on emerging infectious diseases to provide upper-division students with science-specific writing skills along with disciplinary course content. The course followed the guidelines of our university’s Writing Intensive Curriculum (WIC) program. Students wrote a press release, a case study, a controversy/position paper, and a grant prospectus, and revised drafts after feedback. To assess the course, in 2015 and 2016 we administered pre-post surveys and collected writing samples for analysis. Students reported on their experience, training, skills, and knowledge before taking the course. They then rated the extent to which the assignments, lectures, in-class activities, and writing activities contributed to their attainment of the learning outcomes of the course. Students entering the class were inexperienced in tools of science writing and the specific genres covered by the class. Their confidence levels rose in both skills and knowledge. Feedback from instructors was cited as most helpful in the majority of the areas where students reported the most gains. The survey provided evidence that discipline-specific knowledge had been acquired through writing activities. Teaching science writing by allowing the students to write “fiction” (e.g., a case report about a fictional patient) was effective in maintaining a high level of interest, both in learning the conventions of the genre and in seeking out detailed information about emerging infectious diseases. Both the course structure and the specific assignments would be useful at other institutions to teach science writing. PMID:29904515

  5. Crossed beam (E--VRT) energy transfer experiment

    DOE Office of Scientific and Technical Information (OSTI.GOV)

    Hertel, I.V.; Hofmann, H.; Rost, K.A.

    A molecular crossed beam apparatus which has been developed to perform electronic-to-vibrational, rotational, translational (E--V,R,T) energy transfer studies is described. Its capabilities are illustrated on the basis of a number of energy transfer spectra obtained for collision systems of the type Na*+Mol(..nu..,j) ..-->..Na+Mol (..nu..',j') where Na* represents a laser excited sodium atom and Mol a diatomic or polyatomic molecule. Because of the lack of reliable dynamic theories on quenching processes, statistical approaches such as the ''linearly forced harmonic oscillator'' and ''prior distributions'' have been used to model the experimental spectra. The agreement is found to be satisfactory, so even suchmore » simple statistics may be useful to describe (E--V,R,T) energy transfer processes in collision systems with small molecules.« less

  6. How effective are expressive writing interventions for adolescents? A meta-analytic review.

    PubMed

    Travagin, Gabriele; Margola, Davide; Revenson, Tracey A

    2015-03-01

    This meta-analysis evaluated the effects of the expressive writing intervention (EW; Pennebaker & Beall, 1986) among adolescents. Twenty-one independent studies that assessed the efficacy of expressive writing on youth samples aged 10-18 ears were collected and analyzed. Results indicated an overall mean g-effect size that was positive in direction but relatively small (0.127), as well as significant g-effect sizes ranging from 0.107 to 0.246 for the outcome domains of Emotional Distress, Problem Behavior, Social Adjustment, and School Participation. Few significant effects were found within specific outcome domains for putative moderator variables that included characteristics of the participants, intervention instructions, or research design. Studies involving adolescents with high levels of emotional problems at baseline reported larger effects on school performance. Studies that implemented a higher dosage intervention (i.e., greater number and, to some extent, greater spacing of sessions) reported larger effects on somatic complaints. Overall, the findings suggest that expressive writing tends to produce small yet significant improvements on adolescents' well-being. The findings highlight the importance of modifying the traditional expressive writing protocol to enhance its efficacy and reduce potential detrimental effects. At this stage of research the evidence on expressive writing as a viable intervention for adolescents is promising but not decisive. Copyright © 2015 Elsevier Ltd. All rights reserved.

  7. An Emergentist Model for Writing in Mathematics.

    ERIC Educational Resources Information Center

    Hoh, Pau-San; Kirtland, Joe

    In spite of the widespread implementation of Writing Across the Curriculum (WAC), there remains little concrete evidence of the writing-thinking connection. This paper proposes a new research method that tracks students' performance and production at a deeper level of specificity than that in previous investigations of this relationship, e.g., in…

  8. Implementing a writing course in an online RN-BSN program.

    PubMed

    Stevens, Carol J; D'Angelo, Barbara; Rennell, Nathalie; Muzyka, Diann; Pannabecker, Virginia; Maid, Barry

    2014-01-01

    Scholarly writing is an essential skill for nurses to communicate new research and evidence. Written communication directly relates to patient safety and quality of care. However, few online RN-BSN programs integrate writing instruction into their curricula. Nurses traditionally learn how to write from instructor feedback and often not until midway into their baccalaureate education. Innovative strategies are needed to help nurses apply critical thinking skills to writing. The authors discuss a collaborative project between nursing faculty and technical communication faculty to develop and implement a writing course that is 1 of the 1st courses the students take in the online RN-BSN program.

  9. Cellular Microcultures: Programming Mechanical and Physicochemical Properties of 3D Hydrogel Cellular Microcultures via Direct Ink Writing (Adv. Healthcare Mater. 9/2016).

    PubMed

    McCracken, Joselle M; Badea, Adina; Kandel, Mikhail E; Gladman, A Sydney; Wetzel, David J; Popescu, Gabriel; Lewis, Jennifer A; Nuzzo, Ralph G

    2016-05-01

    R. Nuzzo and co-workers show on page 1025 how compositional differences in hydrogels are used to tune their cellular compliance by controlling their polymer mesh properties and subsequent uptake of the protein poly-l-lysine (green spheres in circled inset). The cover image shows pyramid micro-scaffolds prepared using direct ink writing (DIW) that differentially direct fibroblast and preosteoblast growth in 3D, depending on cell motility and surface treatment. © 2016 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim.

  10. Comparing Two Methods of Writing Instruction: Effects on Kindergarten Students' Reading Skills

    ERIC Educational Resources Information Center

    Jones, Cindy D'on; Reutzel, D. Ray; Fargo, Jamison D.

    2010-01-01

    This experimental study directly compared the effects of two prevalent forms of classroom writing instruction, interactive writing and writing workshop, on kindergarten students' acquisition of early reading skills. Repeated measures data was collected at four points over 16 weeks to monitor growth of 151 kindergarten students in phonological…

  11. Evaluation of "e-rater"® for the "Praxis I"®Writing Test. Research Report. ETS RR-15-03

    ERIC Educational Resources Information Center

    Ramineni, Chaitanya; Trapani, Catherine S.; Williamson, David M.

    2015-01-01

    Automated scoring models were trained and evaluated for the essay task in the "Praxis I"® writing test. Prompt-specific and generic "e-rater"® scoring models were built, and evaluation statistics, such as quadratic weighted kappa, Pearson correlation, and standardized differences in mean scores, were examined to evaluate the…

  12. Use of beam deflection to control an electron beam wire deposition process

    NASA Technical Reports Server (NTRS)

    Taminger, Karen M. (Inventor); Hofmeister, William H. (Inventor); Hafley, Robert A. (Inventor)

    2013-01-01

    A method for controlling an electron beam process wherein a wire is melted and deposited on a substrate as a molten pool comprises generating the electron beam with a complex raster pattern, and directing the beam onto an outer surface of the wire to thereby control a location of the wire with respect to the molten pool. Directing the beam selectively heats the outer surface of the wire and maintains the position of the wire with respect to the molten pool. An apparatus for controlling an electron beam process includes a beam gun adapted for generating the electron beam, and a controller adapted for providing the electron beam with a complex raster pattern and for directing the electron beam onto an outer surface of the wire to control a location of the wire with respect to the molten pool.

  13. The Computer-Based Writing Program: A Clinical Teaching Experience for Education Interns to Develop Professional Knowledge and Skills in Effective Instructional Writing Practices

    ERIC Educational Resources Information Center

    Painter, Diane D.

    2016-01-01

    The four-week university-sponsored summer Computer-based Writing (CBW) Program directed by the head of a special education initial teacher licensure program gave teaching interns opportunities to work with young struggling writers in a supervised clinical setting to address keyboarding skills, writing conventions and knowledge and application of…

  14. Scintillation of astigmatic dark hollow beams in weak atmospheric turbulence.

    PubMed

    Cai, Yangjian; Eyyuboğlu, Halil T; Baykal, Yahya

    2008-07-01

    The scintillation properties of astigmatic dark hollow beams (DHBs) in weak atmospheric turbulence were investigated in detail. An explicit expression for the on-axis scintillation index of an astigmatic DHB propagating in weak atmospheric turbulence was derived. It was found that the scintillation index value of an astigmatic DHB with suitable astigmatism (i.e., ratio of the beam waist size in the x direction to that in the y direction), dark size, beam waist size, and wavelength can be smaller than that of a stigmatic DHB and that of stigmatic and astigmatic flat-topped, annular, and Gaussian beams in weak atmospheric turbulence particularly at long propagation ranges. Our results will be useful in long-distance free-space optical communications.

  15. Reading the Writing Process: Toward a Theory of Current Pedagogies.

    ERIC Educational Resources Information Center

    Lynn, Steven

    1987-01-01

    Examines the representative conceptions of process pedagogy in (1) Maxine Hairston's article, "The Winds of Change: Thomas Kuhn and the Revolution in the Teaching of Writing"; (2) C.H. Knoblauch and Lil Brannon's "Rhetorical Traditions and the Teaching of Writing"; and (3) Ann E. Berthoff's "Forming, Thinking, Writing: The…

  16. Focused electron and ion beam systems

    DOEpatents

    Leung, Ka-Ngo; Reijonen, Jani; Persaud, Arun; Ji, Qing; Jiang, Ximan

    2004-07-27

    An electron beam system is based on a plasma generator in a plasma ion source with an accelerator column. The electrons are extracted from a plasma cathode in a plasma ion source, e.g. a multicusp plasma ion source. The beam can be scanned in both the x and y directions, and the system can be operated with multiple beamlets. A compact focused ion or electron beam system has a plasma ion source and an all-electrostatic beam acceleration and focusing column. The ion source is a small chamber with the plasma produced by radio-frequency (RF) induction discharge. The RF antenna is wound outside the chamber and connected to an RF supply. Ions or electrons can be extracted from the source. A multi-beam system has several sources of different species and an electron beam source.

  17. How We Write: Understanding Scholarly Writing through Metaphor

    ERIC Educational Resources Information Center

    Boyd, Michelle

    2012-01-01

    This article introduces the "writing metaphor" and examines why political scientists should consider developing one to describe their own writing process. Drawing on the author's experience with writing accountability groups, it defines the components of the writing metaphor, provides an example, and discusses its advantages and disadvantages. The…

  18. Optimal condition for employing an axicon-generated Bessel beam to fabricate cylindrical microlens arrays

    NASA Astrophysics Data System (ADS)

    Luo, Zhi; Yin, Kai; Dong, Xinran; Duan, Ji’an

    2018-05-01

    A numerical algorithm, modelling the transformation from a Gaussian beam to a Bessel beam, is presented for the purpose to study the optimal condition for employing an axicon-generated Bessel beam to fabricate cylindrical microlens arrays (CMLAs). By applying the numerical algorithm to simulate the spatial intensity distribution behind the axicon under different defects of a rotund-apex and different diameter ratios of an incident beam to the axicon, we find that the diffraction effects formed by the axicon edge can be almost eliminated when the diameter ratio is less than 1:2, but the spatial intensity distribution is disturbed dramatically even a few tens of microns deviation of the apex, especially for the front part of the axicon-generated Bessel beam. Fortunately, the lateral intensity profile in the rear part still maintains a desirable Bessel curve. Therefore, the rear part of the Bessel area and the less than 1:2 diameter ratio are the optimal choice for employing an axicon-generated Bessel beam to implement surface microstructures fabrication. Furthermore, by applying the optimal conditions to direct writing microstructures on fused silica with a femtosecond (fs) laser, a large area close-packed CMLA is fabricated. The CMLA presents high quality and uniformity and its optical performance is also demonstrated.

  19. Fabrication of metasurface-based infrared absorber structures using direct laser write lithography

    NASA Astrophysics Data System (ADS)

    Fanyaeu, Ihar; Mizeikis, Vygantas

    2016-03-01

    We report fabrication and optical properties of ultra-thin polarization-invariant electromagnetic absorber metasurface for infra-red spectral. The absorber structure, which uses three-dimensional architecture is based on single-turn metallic helices arranged into a periodic square lattice on a metallic substrate, is expected to exhibit total resonant absorption due to balanced coupling between resonances of the helices. The structure was designed using numerical simulations aiming to tune the total absorption resonance to infra-red wavelength range by appropriately downscaling the unit cell of the structure, and taking into account dielectric dispersion and losses of the metal. The designed structures were subsequently fabricated using femtosecond direct laser write technique in a dielectric photoresist, and subsequent metallisation by gold sputtering. In accordance with the expectations, the structure was found to exhibit resonant absorption centred near the wavelength of 6 - 9 µm, with peak absorption in excess of 82%. The absorber metasurface may be applied in various areas of science and technology, such as harvesting infra-red radiation in thermal detectors and energy converters.

  20. The Function of Text in a Dialogic Writing Course.

    ERIC Educational Resources Information Center

    Comprone, Joseph J.

    Focusing on recent composition theory, this paper offers suggestions for writing teachers in applying concepts of dialogic discourse directly to the pedagogy of the college writing course. The first section of the paper addresses the influence of the social constructionist work on interpretation theory by Richard Rorty, Clifford Geertz, and…